Commit Graph

156 Commits

Author SHA1 Message Date
Lucas Zampieri
26d3245d51
kernel-5.14.0-463.el9
* Wed Jun 12 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-463.el9]
- i2c: core: Fix atomic xfer check for non-preempt config (Steve Best) [RHEL-38315] {CVE-2023-52791}
- i2c: core: Run atomic i2c xfer when !preemptible (Steve Best) [RHEL-38315] {CVE-2023-52791}
- x86/fpu: Keep xfd_state in sync with MSR_IA32_XFD (Steve Best) [RHEL-36996] {CVE-2024-35801}
- platform/x86: p2sb: On Goldmont only cache P2SB and SPI devfn BAR (Steve Best) [RHEL-31058]
- xen-netfront: Add missing skb_mark_for_recycle (Vitaly Kuznetsov) [RHEL-36573] {CVE-2024-27393}
- bounds: Use the right number of bits for power-of-two CONFIG_NR_CPUS (Steve Best) [RHEL-37368] {CVE-2024-35983}
- mm/hugetlb: fix missing hugetlb_lock for resv uncharge (Rafael Aquini) [RHEL-37467] {CVE-2024-36000}
- ice: fix uninitialized dplls mutex usage (Petr Oros) [RHEL-36283]
- ice: fix pin phase adjust updates on PF reset (Petr Oros) [RHEL-36283]
- ice: fix dpll periodic work data updates on PF reset (Petr Oros) [RHEL-36283]
- ice: fix dpll and dpll_pin data access on PF reset (Petr Oros) [RHEL-36283]
- ice: fix dpll input pin phase_adjust value updates (Petr Oros) [RHEL-36283]
- ice: fix connection state of DPLL and out pin (Petr Oros) [RHEL-36283]
- ice: Add automatic VF reset on Tx MDD events (Petr Oros) [RHEL-36317]
- dmaengine: idxd: add a write() method for applications to submit work (Jerry Snitselaar) [RHEL-35828] {CVE-2024-21823}
- dmaengine: idxd: add a new security check to deal with a hardware erratum (Jerry Snitselaar) [RHEL-35828] {CVE-2024-21823}
- VFIO: Add the SPR_DSA and SPR_IAX devices to the denylist (Jerry Snitselaar) [RHEL-35828] {CVE-2024-21823}
- scsi: lpfc: Copyright updates for 14.4.0.2 patches (Dick Kennedy) [RHEL-35858]
- scsi: lpfc: Update lpfc version to 14.4.0.2 (Dick Kennedy) [RHEL-35858]
- scsi: lpfc: Add support for 32 byte CDBs (Dick Kennedy) [RHEL-35858]
- scsi: lpfc: Change lpfc_hba hba_flag member into a bitmask (Dick Kennedy) [RHEL-35858]
- scsi: lpfc: Introduce rrq_list_lock to protect active_rrq_list (Dick Kennedy) [RHEL-35858]
- scsi: lpfc: Clear deferred RSCN processing flag when driver is unloading (Dick Kennedy) [RHEL-35858]
- scsi: lpfc: Update logging of protection type for T10 DIF I/O (Dick Kennedy) [RHEL-35858]
- scsi: lpfc: Change default logging level for unsolicited CT MIB commands (Dick Kennedy) [RHEL-35858]
- mm/memory-failure: fix deadlock when hugetlb_optimize_vmemmap is enabled (Aristeu Rozanski) [RHEL-35090 RHEL-35091] {CVE-2024-26987}
- selftest/bpf: Test the read of vsyscall page under x86-64 (Rafael Aquini) [RHEL-33168] {CVE-2024-26906}
- x86/mm: Disallow vsyscall page read for copy_from_kernel_nofault() (Rafael Aquini) [RHEL-33168] {CVE-2024-26906}
- x86/mm: Move is_vsyscall_vaddr() into asm/vsyscall.h (Rafael Aquini) [RHEL-33168] {CVE-2024-26906}
- gfs2: Remove and replace gfs2_glock_queue_work (Andreas Gruenbacher) [RHEL-32940]
- gfs2: do_xmote fixes (Andreas Gruenbacher) [RHEL-32940]
- gfs2: finish_xmote cleanup (Andreas Gruenbacher) [RHEL-32940]
- gfs2: Fix lru_count accounting (Andreas Gruenbacher) [RHEL-32940]
- gfs2: Fix "Make glock lru list scanning safer" (Andreas Gruenbacher) [RHEL-32940]
- gfs2: Fix "ignore unlock failures after withdraw" (Andreas Gruenbacher) [RHEL-32940]
- gfs2: Don't set GLF_LOCK in gfs2_dispose_glock_lru (Andreas Gruenbacher) [RHEL-32940]
- gfs2: Replace gfs2_glock_queue_put with gfs2_glock_put_async (Andreas Gruenbacher) [RHEL-32940]
- gfs2: Get rid of gfs2_glock_queue_put in signal_our_withdraw (Andreas Gruenbacher) [RHEL-32940]
- gfs2: Don't forget to complete delayed withdraw (Andreas Gruenbacher) [RHEL-32940]
- arm64: entry: fix ARM64_WORKAROUND_SPECULATIVE_UNPRIV_LOAD (Mark Salter) [RHEL-31321] {CVE-2024-26670}
- arm64: Rename ARM64_WORKAROUND_2966298 (Mark Salter) [RHEL-31321] {CVE-2024-26670}
- cpufreq: Fix per-policy boost behavior on SoCs using cpufreq_boost_set_sw() (Mark Langsdorf) [RHEL-32446]
- cpufreq: qcom-nvmem: Preserve PM domain votes in system suspend (Mark Langsdorf) [RHEL-32446]
- cpufreq: qcom-nvmem: Enable virtual power domain devices (Mark Langsdorf) [RHEL-32446]
- PM: sleep: Add helpers to allow a device to remain powered-on (Mark Langsdorf) [RHEL-32446]
- cpufreq: imx6q: Don't disable 792 Mhz OPP unnecessarily (Mark Langsdorf) [RHEL-32446]
- cpufreq: qcom-nvmem: Introduce cpufreq for ipq95xx (Mark Langsdorf) [RHEL-32446]
- cpufreq: qcom-nvmem: Enable cpufreq for ipq53xx (Mark Langsdorf) [RHEL-32446]
- cpufreq: qcom-nvmem: add support for IPQ8074 (Mark Langsdorf) [RHEL-32446]
- cpufreq: qcom-nvmem: add support for IPQ8064 (Mark Langsdorf) [RHEL-32446]
- cpufreq: qcom-nvmem: also accept operating-points-v2-krait-cpu (Mark Langsdorf) [RHEL-32446]
- cpufreq: qcom-nvmem: drop pvs_ver for format a fuses (Mark Langsdorf) [RHEL-32446]
- cpufreq: qcom-nvmem: add support for IPQ6018 (Mark Langsdorf) [RHEL-32446]
- cpufreq: qcom-nvmem: Add MSM8909 (Mark Langsdorf) [RHEL-32446]
- cpufreq: qcom-nvmem: Simplify driver data allocation (Mark Langsdorf) [RHEL-32446]
- cpufreq: arm: Kconfig: Add i.MX7 to supported SoC for ARM_IMX_CPUFREQ_DT (Mark Langsdorf) [RHEL-32446]
- cpufreq: stats: Fix buffer overflow detection in trans_stats() (Mark Langsdorf) [RHEL-32446]
- cpufreq: ARM_BRCMSTB_AVS_CPUFREQ cannot be used with ARM_SCMI_CPUFREQ (Mark Langsdorf) [RHEL-32446]
- cpufreq: ti-cpufreq: Add opp support for am62p5 SoCs (Mark Langsdorf) [RHEL-32446]
- cpufreq: dt-platdev: add am62p5 to blocklist (Mark Langsdorf) [RHEL-32446]
- cpufreq: userspace: Move is_managed indicator into per-policy structure (Mark Langsdorf) [RHEL-32446]
- cpufreq: userspace: Use fine-grained mutex in userspace governor (Mark Langsdorf) [RHEL-32446]
- cpufreq: conservative: Simplify the condition of storing 'down_threshold' (Mark Langsdorf) [RHEL-32446]
- cpufreq: Replace deprecated strncpy() with strscpy() (Mark Langsdorf) [RHEL-32446]
- cpufreq: dt: platdev: Add MSM8909 to blocklist (Mark Langsdorf) [RHEL-32446]
- cpufreq: pmac32: Use of_property_read_reg() to parse "reg" (Mark Langsdorf) [RHEL-32446]
- cpufreq: Add QCM6490 to cpufreq-dt-platdev blocklist (Mark Langsdorf) [RHEL-32446]
- cpufreq: Support per-policy performance boost (Mark Langsdorf) [RHEL-32446]
- cpufreq: pcc: Fix the potentinal scheduling delays in target_index() (Mark Langsdorf) [RHEL-32446]
- cpufreq: governor: Free dbs_data directly when gov->init() fails (Mark Langsdorf) [RHEL-32446]
- cpufreq: Avoid printing kernel addresses in cpufreq_resume() (Mark Langsdorf) [RHEL-32446]
- cpufreq: powernow-k8: Use related_cpus instead of cpus in driver.exit() (Mark Langsdorf) [RHEL-32446]
- cpufreq: tegra194: add online/offline hooks (Mark Langsdorf) [RHEL-32446]
- cpufreq: qcom-cpufreq-hw: add support for 4 freq domains (Mark Langsdorf) [RHEL-32446]
- cpufreq: stats: Improve the performance of cpufreq_stats_create_table() (Mark Langsdorf) [RHEL-32446]
- cpufreq: cppc: Set fie_disabled to FIE_DISABLED if fails to create kworker_fie (Mark Langsdorf) [RHEL-32446]
- cpufreq: cppc: cppc_cpufreq_get_rate() returns zero in all error cases. (Mark Langsdorf) [RHEL-32446]
- cpufreq: Prefer to print cpuid in MIN/MAX QoS register error message (Mark Langsdorf) [RHEL-32446]
- cpufreq: brcmstb-avs-cpufreq: Fix -Warray-bounds bug (Mark Langsdorf) [RHEL-32446]
- cpufreq: blocklist MSM8998 in cpufreq-dt-platdev (Mark Langsdorf) [RHEL-32446]
- cpufreq: omap: Convert to platform remove callback returning void (Mark Langsdorf) [RHEL-32446]
- cpufreq: raspberrypi: Convert to platform remove callback returning void (Mark Langsdorf) [RHEL-32446]
- cpufreq: tegra186: Convert to platform remove callback returning void (Mark Langsdorf) [RHEL-32446]
- cpufreq: qoriq: Convert to platform remove callback returning void (Mark Langsdorf) [RHEL-32446]
- cpufreq: acpi: Convert to platform remove callback returning void (Mark Langsdorf) [RHEL-32446]
- cpufreq: qcom-nvmem: Convert to platform remove callback returning void (Mark Langsdorf) [RHEL-32446]
- cpufreq: kirkwood: Convert to platform remove callback returning void (Mark Langsdorf) [RHEL-32446]
- cpufreq: pcc-cpufreq: Convert to platform remove callback returning void (Mark Langsdorf) [RHEL-32446]
- cpufreq: davinci: Convert to platform remove callback returning void (Mark Langsdorf) [RHEL-32446]
- cpufreq: imx-cpufreq-dt: Convert to platform remove callback returning void (Mark Langsdorf) [RHEL-32446]
- cpufreq: brcmstb-avs-cpufreq: Convert to platform remove callback returning void (Mark Langsdorf) [RHEL-32446]
- cpufreq: tegra194: Convert to platform remove callback returning void (Mark Langsdorf) [RHEL-32446]
- cpufreq: vexpress: Convert to platform remove callback returning void (Mark Langsdorf) [RHEL-32446]
- cpufreq: scpi: Convert to platform remove callback returning void (Mark Langsdorf) [RHEL-32446]
- cpufreq: imx6q: Convert to platform remove callback returning void (Mark Langsdorf) [RHEL-32446]
- cpufreq: qcom-cpufreq-hw: Convert to platform remove callback returning void (Mark Langsdorf) [RHEL-32446]
- cpufreq: dt: Convert to platform remove callback returning void (Mark Langsdorf) [RHEL-32446]
- cpufreq: Explicitly include correct DT includes (Mark Langsdorf) [RHEL-32446]
- cpufreq: Make CONFIG_CPUFREQ_DT_PLATDEV depend on OF (Mark Langsdorf) [RHEL-32446]
- cpufreq: qcom-cpufreq-hw: Use dev_err_probe() when failing to get icc paths (Mark Langsdorf) [RHEL-32446]
- cpufreq: dt-platdev: Support building as module (Mark Langsdorf) [RHEL-32446]
- redhat/configs: add default values for CONFIG_CPUFREQ_DT_PLATDEV (Mark Langsdorf) [RHEL-32446]
- cpufreq: dt-platdev: Blacklist ti,am62a7 SoC (Mark Langsdorf) [RHEL-32446]
- cpufreq: ti-cpufreq: Add support for AM62A7 (Mark Langsdorf) [RHEL-32446]
- cpufreq: qcom-nvmem: use helper to get SMEM SoC ID (Mark Langsdorf) [RHEL-32446]
- cpufreq: qcom-nvmem: use SoC ID-s from bindings (Mark Langsdorf) [RHEL-32446]
- soc: qcom: smem: introduce qcom_smem_get_soc_id() (Mark Langsdorf) [RHEL-32446]
- soc: qcom: smem: Switch to EXPORT_SYMBOL_GPL() (Mark Langsdorf) [RHEL-32446]
- soc: qcom: socinfo: move SMEM item struct and defines to a header (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: Add IDs for SM8475 family (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: add SoC ID for QCM8550 and QCS8550 (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: Add SoC ID for SM8650 (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: Add IDs for IPQ8174 family (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: Add Soc ID for SM7150P (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: Add SoC ID for SM7125 (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: drop the IPQ5019 SoC ID (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: add SoC ID for SM4450 (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: add SoC ID for IPQ5300 (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: add SoC ID for IPQ5312 and IPQ5302 (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: Add IDs for IPQ5018 family (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: Add Soc ID for SM7150 (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: Add IDs for QRB4210 (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: Add IDs for IPQ9574 and its variants (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: Add IDs for QCM2290/QRB2210 (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: Add IDs for IPQ5332 and its variant (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: Add Soc IDs for IPQ8064 and variants (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: Add a bunch of older SoCs (Mark Langsdorf) [RHEL-32446]
- dt-bindings: arm: qcom,ids: Add QRD board ID (Mark Langsdorf) [RHEL-32446]
- cpufreq: imx6q: don't warn for disabling a non-existing frequency (Mark Langsdorf) [RHEL-32446]
- cpufreq: Adjust includes to remove of_device.h (Mark Langsdorf) [RHEL-32446]
- Merge tag 'devicetree-for-6.4-2' of git://git.kernel.org/pub/scm/linux/kernel/git/robh/linux (Mark Langsdorf) [RHEL-32446]
- cpufreq: dt-platdev: Add JH7110 SOC to the allowlist (Mark Langsdorf) [RHEL-32446]
- cpufreq: Add SM7225 to cpufreq-dt-platdev blocklist (Mark Langsdorf) [RHEL-32446]
- arch/x86: Fix XSAVE check for x86_64-v2 check (Prarit Bhargava) [RHEL-22439]
- arch/x86: mark x86_64-v1 and x86_64-v2 processors as deprecated (Prarit Bhargava) [RHEL-22439]
- scsi: qla2xxx: Update version to 10.02.09.200-k (Nilesh Javali) [RHEL-25184]
- scsi: qla2xxx: Delay I/O Abort on PCI error (Nilesh Javali) [RHEL-25184]
- scsi: qla2xxx: Change debug message during driver unload (Nilesh Javali) [RHEL-25184]
- scsi: qla2xxx: Fix double free of fcport (Nilesh Javali) [RHEL-25184]
- scsi: qla2xxx: Fix double free of the ha->vp_map pointer (Nilesh Javali) [RHEL-25184]
- scsi: qla2xxx: Fix command flush on cable pull (Nilesh Javali) [RHEL-25184]
- scsi: qla2xxx: NVME|FCP prefer flag not being honored (Nilesh Javali) [RHEL-25184]
- scsi: qla2xxx: Update manufacturer detail (Nilesh Javali) [RHEL-25184]
- scsi: qla2xxx: Split FCE|EFT trace control (Nilesh Javali) [RHEL-25184]
- scsi: qla2xxx: Fix N2N stuck connection (Nilesh Javali) [RHEL-25184]
- scsi: qla2xxx: Prevent command send on chip reset (Nilesh Javali) [RHEL-25184]
- scsi: qla2xxx: Use FIELD_GET() to extract PCIe capability fields (Nilesh Javali) [RHEL-25184]
- workqueue: Shorten events_freezable_power_efficient name (Audra Mitchell) [RHEL-3534]
- workqueue.c: Increase workqueue name length (Audra Mitchell) [RHEL-3534]
- net/mlx5e: Tidy up IPsec NAT-T SA discovery (Amir Tzin) [RHEL-24465]
- Revert "net/mlx5e: Check the number of elements before walk TC rhashtable" (Amir Tzin) [RHEL-24465]
- net/mlx5e: Fix peer flow lists handling (Amir Tzin) [RHEL-24465]
- net/mlx5e: Disable IPsec offload support if not FW steering (Amir Tzin) [RHEL-24465]
- net/mlx5: Refactor mlx5_flow_destination->rep pointer to vport num (Amir Tzin) [RHEL-24465]
- mlx5/core: E-Switch, Create ACL FT for eswitch manager in switchdev mode (Amir Tzin) [RHEL-24465]
- net/mlx5: Return correct EC_VF function ID (Amir Tzin) [RHEL-24465]
- net/mlx5e: Decrease num_block_tc when unblock tc offload (Amir Tzin) [RHEL-24465]
- net/mlx5: Devcom, only use devcom after NULL check in mlx5_devcom_send_event() (Amir Tzin) [RHEL-24465]
- net/mlx5: Fix mlx5_cmd_update_root_ft() error flow (Amir Tzin) [RHEL-24465]
- net/mlx5: DR, Fix peer domain namespace setting (Amir Tzin) [RHEL-24465]
- net/mlx5e: Fix overrun reported by coverity (Amir Tzin) [RHEL-24465]
- RDMA/mlx5: Change the key being sent for MPV device affiliation (Amir Tzin) [RHEL-24465]
- net/mlx5e: Check the number of elements before walk TC rhashtable (Amir Tzin) [RHEL-24465]
- net/mlx5e: Reduce eswitch mode_lock protection context (Amir Tzin) [RHEL-24465]
- net/mlx5e: Support IPsec upper TCP protocol selector (Amir Tzin) [RHEL-24465]
- net/mlx5e: Add IPsec and ASO syndromes check in HW (Amir Tzin) [RHEL-24465]
- net/mlx5e: Allow IPsec soft/hard limits in bytes (Amir Tzin) [RHEL-24465]
- net/mlx5e: Support IPsec upper protocol selector field offload for RX (Amir Tzin) [RHEL-24465]
- net/mlx5e: Remove exposure of IPsec RX flow steering struct (Amir Tzin) [RHEL-24465]
- net/mlx5e: Unify esw and normal IPsec status table creation/destruction (Amir Tzin) [RHEL-24465]
- net/mlx5e: Don't modify the peer sent-to-vport rules for IPSec offload (Amir Tzin) [RHEL-24465]
- net/mlx5: Handle IPsec steering upon master unbind/bind (Amir Tzin) [RHEL-24465]
- net/mlx5: Configure IPsec steering for ingress RoCEv2 MPV traffic (Amir Tzin) [RHEL-24465]
- net/mlx5: Configure IPsec steering for egress RoCEv2 MPV traffic (Amir Tzin) [RHEL-24465]
- net/mlx5: Add create alias flow table function to ipsec roce (Amir Tzin) [RHEL-24465]
- net/mlx5: Implement alias object allow and create functions (Amir Tzin) [RHEL-24465]
- net/mlx5: Add alias flow table bits (Amir Tzin) [RHEL-24465]
- net/mlx5: Store devcom pointer inside IPsec RoCE (Amir Tzin) [RHEL-24465]
- net/mlx5: Register mlx5e priv to devcom in MPV mode (Amir Tzin) [RHEL-24465]
- RDMA/mlx5: Send events from IB driver about device affiliation state (Amir Tzin) [RHEL-24465]
- net/mlx5: Implement devlink port function cmds to control ipsec_packet (Amir Tzin) [RHEL-24458 RHEL-24465 RHEL-6311]
- net/mlx5: Implement devlink port function cmds to control ipsec_crypto (Amir Tzin) [RHEL-24458 RHEL-24465 RHEL-6311]
- net/mlx5: Provide an interface to block change of IPsec capabilities (Amir Tzin) [RHEL-24458 RHEL-24465 RHEL-6311]
- net/mlx5: Add IFC bits to support IPsec enable/disable (Amir Tzin) [RHEL-24458 RHEL-24465 RHEL-6311]
- net/mlx5e: Rewrite IPsec vs. TC block interface (Amir Tzin) [RHEL-24458 RHEL-24465 RHEL-6311]
- net/mlx5: Drop extra layer of locks in IPsec (Amir Tzin) [RHEL-24458 RHEL-24465 RHEL-6311]
- net/mlx5: Store vport in struct mlx5_devlink_port and use it in port ops (Amir Tzin) [RHEL-24465]
- net/mlx5: Check vhca_resource_manager capability in each op and add extack msg (Amir Tzin) [RHEL-24465]
- net/mlx5: Relax mlx5_devlink_eswitch_get() return value checking (Amir Tzin) [RHEL-24465]
- net/mlx5: Return -EOPNOTSUPP in mlx5_devlink_port_fn_migratable_set() directly (Amir Tzin) [RHEL-24465]
- net/mlx5: Reduce number of vport lookups passing vport pointer instead of index (Amir Tzin) [RHEL-24465]
- net/mlx5: Embed struct devlink_port into driver structure (Amir Tzin) [RHEL-24465]
- net/mlx5: Don't register ops for non-PF/VF/SF port and avoid checks in ops (Amir Tzin) [RHEL-24465]
- net/mlx5: Remove no longer used mlx5_esw_offloads_sf_vport_enable/disable() (Amir Tzin) [RHEL-24465]
- net/mlx5: Introduce mlx5_eswitch_load/unload_sf_vport() and use it from SF code (Amir Tzin) [RHEL-24465]
- net/mlx5: Allow mlx5_esw_offloads_devlink_port_register() to register SFs (Amir Tzin) [RHEL-24465]
- net/mlx5: Push devlink port PF/VF init/cleanup calls out of devlink_port_register/unregister() (Amir Tzin) [RHEL-24465]
- net/mlx5: Push out SF devlink port init and cleanup code to separate helpers (Amir Tzin) [RHEL-24465]
- net/mlx5: Rework devlink port alloc/free into init/cleanup (Amir Tzin) [RHEL-24465]
- net/mlx5: Rename devlink port ops struct for PFs/VFs (Amir Tzin) [RHEL-24465]
- net/mlx5: Remove VPORT_UPLINK handling from devlink_port.c (Amir Tzin) [RHEL-24465]
- net/mlx5: Call mlx5_esw_offloads_rep_load/unload() for uplink port directly (Amir Tzin) [RHEL-24465]
- net/mlx5: Give esw_offloads_load/unload_rep() "mlx5_" prefix (Amir Tzin) [RHEL-24465]
- net/mlx5: Make mlx5_eswitch_load/unload_vport() static (Amir Tzin) [RHEL-24465]
- net/mlx5: Make mlx5_esw_offloads_rep_load/unload() static (Amir Tzin) [RHEL-24465]
- net/mlx5: Remove pointless devlink_rate checks (Amir Tzin) [RHEL-24465]
- net/mlx5: Don't check vport->enabled in port ops (Amir Tzin) [RHEL-24465]
- net/mlx5: Don't query MAX caps twice (Amir Tzin) [RHEL-24451 RHEL-24465]
- net/mlx5: Remove unused MAX HCA capabilities (Amir Tzin) [RHEL-24451 RHEL-24465]
- net/mlx5: Remove unused CAPs (Amir Tzin) [RHEL-24451 RHEL-24465]
- net/mlx5: Adjust mlx5_query_hca_caps() to upstream (Amir Tzin) [RHEL-24465]
- net/mlx5: Expose max possible SFs via devlink resource (Amir Tzin) [RHEL-24451 RHEL-24465]
- net/mlx5e: E-Switch, Allow devcom initialization on more vports (Amir Tzin) [RHEL-24465]
- net/mlx5e: E-Switch, Register devcom device with switch id key (Amir Tzin) [RHEL-24465]
- net/mlx5: Devcom, Infrastructure changes (Amir Tzin) [RHEL-24465]
- net/mlx5: Use shared code for checking lag is supported (Amir Tzin) [RHEL-24465]
- net/mlx5e: Support IPsec NAT-T functionality (Amir Tzin) [RHEL-24465]
- net/mlx5e: Check for IPsec NAT-T support (Amir Tzin) [RHEL-24465]
- net/mlx5: Add relevant capabilities bits to support NAT-T (Amir Tzin) [RHEL-24465]
- net/mlx5e: Make TC and IPsec offloads mutually exclusive on a netdev (Amir Tzin) [RHEL-24453 RHEL-24465]
- net/mlx5e: Add get IPsec offload stats for uplink representor (Amir Tzin) [RHEL-24453 RHEL-24465]
- net/mlx5e: Modify and restore TC rules for IPSec TX rules (Amir Tzin) [RHEL-24453 RHEL-24465]
- net/mlx5e: Make IPsec offload work together with eswitch and TC (Amir Tzin) [RHEL-24453 RHEL-24465]
- net/mlx5: Compare with old_dest param to modify rule destination (Amir Tzin) [RHEL-24453 RHEL-24465]
- net/mlx5e: Support IPsec packet offload for TX in switchdev mode (Amir Tzin) [RHEL-24453 RHEL-24465]
- net/mlx5e: Refactor IPsec TX tables creation (Amir Tzin) [RHEL-24453 RHEL-24465]
- net/mlx5e: Handle IPsec offload for RX datapath in switchdev mode (Amir Tzin) [RHEL-24453 RHEL-24465]
- net/mlx5e: Support IPsec packet offload for RX in switchdev mode (Amir Tzin) [RHEL-24453 RHEL-24465]
- net/mlx5e: Refactor IPsec RX tables creation and destruction (Amir Tzin) [RHEL-24453 RHEL-24465]
- net/mlx5e: Prepare IPsec packet offload for switchdev mode (Amir Tzin) [RHEL-24453 RHEL-24465]
- net/mlx5e: Change the parameter of IPsec RX skb handle function (Amir Tzin) [RHEL-24453 RHEL-24465]
- net/mlx5e: Add function to get IPsec offload namespace (Amir Tzin) [RHEL-24453 RHEL-24465]
- net/mlx5: DR, update query of HCA caps for EC VFs (Amir Tzin) [RHEL-24465]
- net/mlx5: Fix the macro for accessing EC VF vports (Amir Tzin) [RHEL-24465]
- net/mlx5: Fix devlink controller number for ECVF (Amir Tzin) [RHEL-24465]
- net/mlx5: Fix UAF in mlx5_eswitch_cleanup() (Amir Tzin) [RHEL-24465]
- net/mlx5: Fix SFs kernel documentation error (Amir Tzin) [RHEL-24465]
- net/mlx5: Bridge, set debugfs access right to root-only (Amir Tzin) [RHEL-24465]
- net/mlx5: Bridge, expose FDB state via debugfs (Amir Tzin) [RHEL-24465]
- net/mlx5: Bridge, pass net device when linking vport to bridge (Amir Tzin) [RHEL-24465]
- net/mlx5: Create eswitch debugfs root directory (Amir Tzin) [RHEL-24465]
- net/mlx5: Light probe local SFs (Amir Tzin) [RHEL-24417 RHEL-24465]
- net/mlx5: Move esw multiport devlink param to eswitch code (Amir Tzin) [RHEL-24417 RHEL-24465]
- net/mlx5: Split function_setup() to enable and open functions (Amir Tzin) [RHEL-24417 RHEL-24465]
- net/mlx5: Enable 4 ports VF LAG (Amir Tzin) [RHEL-24409 RHEL-24465]
- net/mlx5: LAG, block multiport eswitch LAG in case ldev have more than 2 ports (Amir Tzin) [RHEL-24409 RHEL-24465]
- net/mlx5: LAG, block multipath LAG in case ldev have more than 2 ports (Amir Tzin) [RHEL-24409 RHEL-24465]
- net/mlx5: LAG, change mlx5_shared_fdb_supported() to static (Amir Tzin) [RHEL-24409 RHEL-24465]
- net/mlx5: LAG, generalize handling of shared FDB (Amir Tzin) [RHEL-24409 RHEL-24465]
- net/mlx5: LAG, check if all eswitches are paired for shared FDB (Amir Tzin) [RHEL-24409 RHEL-24465]
- {net/RDMA}/mlx5: introduce lag_for_each_peer (Amir Tzin) [RHEL-24409 RHEL-24465]
- RDMA/mlx5: Free second uplink ib port (Amir Tzin) [RHEL-24409 RHEL-24465]
- net/mlx5e: E-Switch, Fix shared fdb error flow (Amir Tzin) [RHEL-24465]
- net/mlx5e: E-Switch, Pass other_vport flag if vport is not 0 (Amir Tzin) [RHEL-24465]
- net/mlx5e: E-Switch, Use xarray for devcom paired device index (Amir Tzin) [RHEL-24465]
- net/mlx5e: E-Switch, Add peer fdb miss rules for vport manager or ecpf (Amir Tzin) [RHEL-24465]
- net/mlx5e: Use vhca_id for device index in vport rx rules (Amir Tzin) [RHEL-24465]
- net/mlx5: Lag, Remove duplicate code checking lag is supported (Amir Tzin) [RHEL-24465]
- net/mlx5: Set max number of embedded CPU VFs (Amir Tzin) [RHEL-24465]
- net/mlx5: Update SRIOV enable/disable to handle EC/VFs (Amir Tzin) [RHEL-24465]
- net/mlx5: Query correct caps for min msix vectors (Amir Tzin) [RHEL-24465]
- net/mlx5: Use correct vport when restoring GUIDs (Amir Tzin) [RHEL-24465]
- net/mlx5: Add new page type for EC VF pages (Amir Tzin) [RHEL-24465]
- net/mlx5: Add/remove peer miss rules for EC VFs (Amir Tzin) [RHEL-24465]
- net/mlx5: Add management of EC VF vports (Amir Tzin) [RHEL-24465]
- mlx5/core: E-Switch, Allocate ECPF vport if it's an eswitch manager (Amir Tzin) [RHEL-24465]
- net/mlx5: Update vport caps query/set for EC VFs (Amir Tzin) [RHEL-24465]
- net/mlx5: Enable devlink port for embedded cpu VF vports (Amir Tzin) [RHEL-24465]
- net/mlx5: mlx5_ifc updates for embedded CPU SRIOV (Amir Tzin) [RHEL-24465]
- net/mlx5: Simplify unload all rep code (Amir Tzin) [RHEL-24465]
- net/mlx5: Skip inline mode check after mlx5_eswitch_enable_locked() failure (Amir Tzin) [RHEL-24465]
- net/mlx5: Devcom, extend mlx5_devcom_send_event to work with more than two devices (Amir Tzin) [RHEL-24465]
- net/mlx5: Devcom, introduce devcom_for_each_peer_entry (Amir Tzin) [RHEL-24465]
- net/mlx5: E-switch, mark devcom as not ready when all eswitches are unpaired (Amir Tzin) [RHEL-24465]
- net/mlx5: Devcom, Rename paired to ready (Amir Tzin) [RHEL-24465]
- net/mlx5: DR, handle more than one peer domain (Amir Tzin) [RHEL-24465]
- net/mlx5: E-switch, generalize shared FDB creation (Amir Tzin) [RHEL-24465]
- net/mlx5: E-switch, Handle multiple master egress rules (Amir Tzin) [RHEL-24465]
- net/mlx5: E-switch, refactor FDB miss rule add/remove (Amir Tzin) [RHEL-24465]
- net/mlx5: E-switch, enlarge peer miss group table (Amir Tzin) [RHEL-24465]
- net/mlx5e: Handle offloads flows per peer (Amir Tzin) [RHEL-24465]
- net/mlx5e: en_tc, re-factor query route port (Amir Tzin) [RHEL-24465]
- net/mlx5e: rep, store send to vport rules per peer (Amir Tzin) [RHEL-24465]
- net/mlx5e: tc, Refactor peer add/del flow (Amir Tzin) [RHEL-24465]
- net/mlx5e: en_tc, Extend peer flows to a list (Amir Tzin) [RHEL-24465]
- net/mlx5e: E-Switch, Initialize E-Switch for eswitch manager (Amir Tzin) [RHEL-24465]
- net/mlx5: devlink, Only show PF related devlink warning when needed (Amir Tzin) [RHEL-24465]
- net/mlx5: E-Switch, Use metadata matching for RoCE loopback rule (Amir Tzin) [RHEL-24465]
- net/mlx5: E-Switch, Use RoCE version 2 for loopback traffic (Amir Tzin) [RHEL-24465]
- net/mlx5e: E-Switch, Add a check that log_max_l2_table is valid (Amir Tzin) [RHEL-24465]
- net/mlx5e: E-Switch: move debug print of adding mac to correct place (Amir Tzin) [RHEL-24465]
- net/mlx5e: E-Switch, Check device is PF when stopping esw offloads (Amir Tzin) [RHEL-24465]
- net/mlx5: Remove redundant vport_group_manager cap check (Amir Tzin) [RHEL-24465]
- net/mlx5e: E-Switch, Use metadata for vport matching in send-to-vport rules (Amir Tzin) [RHEL-24465]
- net/mlx5e: E-Switch, Allow get vport api if esw exists (Amir Tzin) [RHEL-24465]
- net/mlx5e: E-Switch, Update when to set other vport context (Amir Tzin) [RHEL-24465]
- net/mlx5e: Remove redundant __func__ arg from fs_err() calls (Amir Tzin) [RHEL-24465]
- net/mlx5e: E-Switch, Remove flow_source check for metadata matching (Amir Tzin) [RHEL-24465]
- net/mlx5: E-Switch, Remove redundant check (Amir Tzin) [RHEL-24465]
- net/mlx5: Remove redundant esw multiport validate function (Amir Tzin) [RHEL-24465]
- RDMA/mlx5: Handles RoCE MACsec steering rules addition and deletion (Amir Tzin) [RHEL-22227]
- net/mlx5: Add RoCE MACsec steering infrastructure in core (Amir Tzin) [RHEL-22227]
- net/mlx5: Configure MACsec steering for ingress RoCEv2 traffic (Amir Tzin) [RHEL-22227]
- net/mlx5: Configure MACsec steering for egress RoCEv2 traffic (Amir Tzin) [RHEL-22227]
- net/mlx5: Add MACsec priorities in RDMA namespaces (Amir Tzin) [RHEL-22227]
- RDMA/mlx5: Implement MACsec gid addition and deletion (Amir Tzin) [RHEL-22227]
- net/mlx5: Maintain fs_id xarray per MACsec device inside macsec steering (Amir Tzin) [RHEL-22227]
- net/mlx5: Remove netdevice from MACsec steering (Amir Tzin) [RHEL-22227]
- net/mlx5e: Move MACsec flow steering and statistics database from ethernet to core (Amir Tzin) [RHEL-22227]
- net/mlx5e: Rename MACsec flow steering functions/parameters to suit core naming style (Amir Tzin) [RHEL-22227]
- net/mlx5: Remove dependency of macsec flow steering on ethernet (Amir Tzin) [RHEL-22227]
- net/mlx5e: Move MACsec flow steering operations to be used as core library (Amir Tzin) [RHEL-22227]
- RDMA/mlx5: Return the firmware result upon destroying QP/RQ (Amir Tzin) [RHEL-22227]
- RDMA/mlx5: Handle DCT QP logic separately from low level QP interface (Amir Tzin) [RHEL-22227]
- RDMA/mlx5: Reduce QP table exposure (Amir Tzin) [RHEL-22227]
- net/mlx5: Nullify qp->dbg pointer post destruction (Amir Tzin) [RHEL-22227]
Resolves: RHEL-22227, RHEL-22439, RHEL-24409, RHEL-24417, RHEL-24451, RHEL-24453, RHEL-24458, RHEL-24465, RHEL-25184, RHEL-31058, RHEL-31321, RHEL-32446, RHEL-32940, RHEL-33168, RHEL-35090, RHEL-35091, RHEL-3534, RHEL-35828, RHEL-35858, RHEL-36283, RHEL-36317, RHEL-36573, RHEL-36996, RHEL-37368, RHEL-37467, RHEL-38315, RHEL-6311

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-06-12 14:33:51 +00:00
Lucas Zampieri
7d60d71361
kernel-5.14.0-462.el9
* Mon Jun 10 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-462.el9]
- nvmet: fix ns enable/disable possible hang (Maurizio Lombardi) [RHEL-37676]
- nvme: fix reconnection fail due to reserved tag allocation (Maurizio Lombardi) [RHEL-36896] {CVE-2024-27435}
- Revert "xen/x2apic: enable x2apic mode when supported for HVM" (Vitaly Kuznetsov) [RHEL-34602]
- ext4: dax - remove tech preview tag (Bill O'Donnell) [RHEL-39889]
- redhat: remove the merge subtrees script (Derek Barbosa)
- redhat: rhdocs: delete .get_maintainer.conf (Derek Barbosa)
- redhat: rhdocs: Remove the rhdocs directory (Derek Barbosa)
- redhat: redhat/Makefile: remove dist-maint-update target (Derek Barbosa)
- nfp: flower: handle acti_netdevs allocation failure (Ken Cox) [RHEL-35158] {CVE-2024-27046}
- crypto: qat - Fix ADF_DEV_RESET_SYNC memory leak (Vladis Dronov) [RHEL-35816] {CVE-2024-26974}
- crypto: qat - specify firmware files for 402xx (Vladis Dronov) [RHEL-35816]
- crypto: qat - validate slices count returned by FW (Vladis Dronov) [RHEL-35816]
- crypto: qat - improve error logging to be consistent across features (Vladis Dronov) [RHEL-35816]
- crypto: qat - improve error message in adf_get_arbiter_mapping() (Vladis Dronov) [RHEL-35816]
- crypto: qat - implement dh fallback for primes > 4K (Vladis Dronov) [RHEL-24063]
- crypto: qat - Fix spelling mistake "Invalide" -> "Invalid" (Vladis Dronov) [RHEL-35816]
- crypto: qat - Avoid -Wflex-array-member-not-at-end warnings (Vladis Dronov) [RHEL-35816]
- crypto: qat - implement interface for live migration (Vladis Dronov) [RHEL-35816]
- crypto: qat - add interface for live migration (Vladis Dronov) [RHEL-35816]
- crypto: qat - add bank save and restore flows (Vladis Dronov) [RHEL-35816]
- crypto: qat - expand CSR operations for QAT GEN4 devices (Vladis Dronov) [RHEL-35816]
- crypto: qat - rename get_sla_arr_of_type() (Vladis Dronov) [RHEL-35816]
- crypto: qat - relocate CSR access code (Vladis Dronov) [RHEL-35816]
- crypto: qat - move PFVF compat checker to a function (Vladis Dronov) [RHEL-35816]
- crypto: qat - relocate and rename 4xxx PF2VM definitions (Vladis Dronov) [RHEL-35816]
- crypto: qat - adf_get_etr_base() helper (Vladis Dronov) [RHEL-35816]
- powerpc/eeh: Permanently disable the removed device (Mamatha Inamdar) [RHEL-35642]
- powerpc/eeh: Use a goto for recovery failures (Mamatha Inamdar) [RHEL-35642]
- powerpc/eeh: Small refactor of eeh_handle_normal_event() (Mamatha Inamdar) [RHEL-35642]
- REDHAT: Fix values in Makefile being redefined (Lucas Zampieri)
- selftests/net/lib: no need to record ns name if it already exist (Ivan Vecera) [RHEL-36219]
- netlink: specs: Add missing bridge linkinfo attrs (Ivan Vecera) [RHEL-36219]
- net: bridge: switchdev: Ensure deferred event delivery on unoffload (Ivan Vecera) [RHEL-36219]
- net: bridge: switchdev: Skip MDB replays of deferred events on offload (Ivan Vecera) [RHEL-36219]
- selftests: forwarding: Fix bridge locked port test flakiness (Ivan Vecera) [RHEL-36219]
- selftests: forwarding: Suppress grep warnings (Ivan Vecera) [RHEL-36219]
- selftests: forwarding: Fix bridge MDB test flakiness (Ivan Vecera) [RHEL-36219]
- selftests: forwarding: Fix layer 2 miss test flakiness (Ivan Vecera) [RHEL-36219]
- selftests: net: Fix bridge backup port test flakiness (Ivan Vecera) [RHEL-36219]
- selftests: forwarding: List helper scripts in TEST_FILES Makefile variable (Ivan Vecera) [RHEL-36219]
- selftests: net: Add missing matchall classifier (Ivan Vecera) [RHEL-36219]
- bridge: mcast: fix disabled snooping after long uptime (Ivan Vecera) [RHEL-36219]
- selftests/net/lib: update busywait timeout value (Ivan Vecera) [RHEL-36219]
- selftests: forwarding: Remove executable bits from lib.sh (Ivan Vecera) [RHEL-36219]
- selftests: forwarding: Avoid failures to source net/lib.sh (Ivan Vecera) [RHEL-36219]
- bridge: cfm: fix enum typo in br_cc_ccm_tx_parse (Ivan Vecera) [RHEL-36219]
- selftests: vxlan_mdb: Add MDB bulk deletion test (Ivan Vecera) [RHEL-36219]
- selftests: bridge_mdb: Add MDB bulk deletion test (Ivan Vecera) [RHEL-36219]
- vxlan: mdb: Add MDB bulk deletion support (Ivan Vecera) [RHEL-36219]
- bridge: mdb: Add MDB bulk deletion support (Ivan Vecera) [RHEL-36219]
- bridge: add MDB state mask uAPI attribute (Ivan Vecera) [RHEL-36219]
- selftests/net: convert test_bridge_neigh_suppress.sh to run it in unique namespace (Ivan Vecera) [RHEL-36219]
- selftests/net: convert test_bridge_backup_port.sh to run it in unique namespace (Ivan Vecera) [RHEL-36219]
- selftests/net: add lib.sh (Ivan Vecera) [RHEL-36219]
- docs: bridge: add other features (Ivan Vecera) [RHEL-36219]
- docs: bridge: add netfilter doc (Ivan Vecera) [RHEL-36219]
- docs: bridge: add switchdev doc (Ivan Vecera) [RHEL-36219]
- docs: bridge: add multicast doc (Ivan Vecera) [RHEL-36219]
- docs: bridge: add VLAN doc (Ivan Vecera) [RHEL-36219]
- docs: bridge: add STP doc (Ivan Vecera) [RHEL-36219]
- docs: bridge: Add kAPI/uAPI fields (Ivan Vecera) [RHEL-36219]
- net: bridge: add document for IFLA_BRPORT enum (Ivan Vecera) [RHEL-36219]
- net: bridge: add document for IFLA_BR enum (Ivan Vecera) [RHEL-36219]
- docs: bridge: update doc format to rst (Ivan Vecera) [RHEL-36219]
- net: bridge: fill in MODULE_DESCRIPTION() (Ivan Vecera) [RHEL-36219]
- selftests: vxlan_mdb: Use MDB get instead of dump (Ivan Vecera) [RHEL-36219]
- selftests: bridge_mdb: Use MDB get instead of dump (Ivan Vecera) [RHEL-36219]
- rtnetlink: Add MDB get support (Ivan Vecera) [RHEL-36219]
- vxlan: mdb: Add MDB get support (Ivan Vecera) [RHEL-36219]
- bridge: mcast: Add MDB get support (Ivan Vecera) [RHEL-36219]
- vxlan: mdb: Factor out a helper for remote entry size calculation (Ivan Vecera) [RHEL-36219]
- vxlan: mdb: Adjust function arguments (Ivan Vecera) [RHEL-36219]
- bridge: mcast: Rename MDB entry get function (Ivan Vecera) [RHEL-36219]
- bridge: mcast: Factor out a helper for PG entry size calculation (Ivan Vecera) [RHEL-36219]
- bridge: mcast: Account for missing attributes (Ivan Vecera) [RHEL-36219]
- bridge: mcast: Dump MDB entries even when snooping is disabled (Ivan Vecera) [RHEL-36219]
- br_netfilter: use single forward hook for ip and arp (Ivan Vecera) [RHEL-36219]
- selftests: forwarding: bridge_fdb_learning_limit: Add a new selftest (Ivan Vecera) [RHEL-36219]
- net: bridge: Set strict_start_type for br_policy (Ivan Vecera) [RHEL-36219]
- net: bridge: Add netlink knobs for number / max learned FDB entries (Ivan Vecera) [RHEL-36219]
- net: bridge: Track and limit dynamically learned FDB entries (Ivan Vecera) [RHEL-36219]
- net: bridge: Set BR_FDB_ADDED_BY_USER early in fdb_add_entry (Ivan Vecera) [RHEL-36219]
- selftests: net: Add bridge backup port and backup nexthop ID test (Ivan Vecera) [RHEL-36219]
- bridge: Add backup nexthop ID support (Ivan Vecera) [RHEL-36219]
- RDMA/mlx5: Fix fortify source warning while accessing Eth segment (Kamal Heib) [RHEL-33164] {CVE-2024-26907}
- powerpc/pseries: Rework lppaca_shared_proc() to avoid DEBUG_PREEMPT (Mark Langsdorf) [RHEL-32447]
- powerpc: Don't include lppaca.h in paca.h (Mark Langsdorf) [RHEL-32447]
- cpuidle: dt: Replace deprecated strncpy() with strscpy() (Mark Langsdorf) [RHEL-32447]
- cpuidle: teo: Avoid unnecessary variable assignments (Mark Langsdorf) [RHEL-32447]
- cpuidle: menu: Skip tick_nohz_get_sleep_length() call in some cases (Mark Langsdorf) [RHEL-32447]
- cpuidle: teo: Gather statistics regarding whether or not to stop the tick (Mark Langsdorf) [RHEL-32447]
- cpuidle: teo: Skip tick_nohz_get_sleep_length() call in some cases (Mark Langsdorf) [RHEL-32447]
- cpuidle: teo: Do not call tick_nohz_get_sleep_length() upfront (Mark Langsdorf) [RHEL-32447]
- cpuidle: psci: Move enabling OSI mode after power domains creation (Mark Langsdorf) [RHEL-32447]
- cpuidle: dt_idle_genpd: Add helper function to remove genpd topology (Mark Langsdorf) [RHEL-32447]
- cpuidle: teo: Drop utilized from struct teo_cpu (Mark Langsdorf) [RHEL-32447]
- cpuidle: teo: Avoid stopping the tick unnecessarily when bailing out (Mark Langsdorf) [RHEL-32447]
- cpuidle: teo: Update idle duration estimate when choosing shallower state (Mark Langsdorf) [RHEL-32447]
- cpuidle: Adjust includes to remove of_device.h (Mark Langsdorf) [RHEL-32447]
- cpuidle: Use of_property_present() for testing DT property presence (Mark Langsdorf) [RHEL-32447]
- nvme-fabrics: prevent overriding of existing host (John Meneghini) [RHEL-30850]
- tee: amdtee: fix use-after-free vulnerability in amdtee_close_session (David Arcari) [RHEL-24997]
- tee: amdtee: Add return_origin to 'struct tee_cmd_load_ta' (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Update sps power thermals according to the platform-profiles (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Add support to get sps default APTS index values (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Add support to get APTS index numbers for static slider (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Add support to notify sbios heart beat event (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Add support to get sbios requests in PMF driver (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Disable debugfs support for querying power thermals (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Differentiate PMF ACPI versions (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Add debugging message for missing policy data (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Remove smart_pc_status enum (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Fix possible out-of-bound memory accesses (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Use struct for cookie header (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Do not use readl() for policy buffer access (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Fix return value of amd_pmf_start_policy_engine() (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Add missing __iomem attribute to policy_base (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Fix missing error code in amd_pmf_init_smart_pc() (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Fix a potential race with policy binary sideload (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Fixup error handling for amd_pmf_init_smart_pc() (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Fix a suspend hang on Framework 13 (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Fix TEE enact command failure after suspend and resume (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Fix memory leak in amd_pmf_get_pb_data() (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Get ambient light information from AMD SFH driver (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Get Human presence information from AMD SFH driver (David Arcari) [RHEL-24997]
- HID: amd_sfh: Add a new interface for exporting ALS data (David Arcari) [RHEL-24997]
- HID: amd_sfh: Add a new interface for exporting HPD data (David Arcari) [RHEL-24997]
- HID: amd_sfh: rename float_to_int() to amd_sfh_float_to_int() (David Arcari) [RHEL-24997]
- HID: amd_sfh: Add light chromaticity for SFH1.1 (David Arcari) [RHEL-24997]
- HID: amd_sfh: Add light chromaticity support (David Arcari) [RHEL-24997]
- HID: amd_sfh: Add support for SFH1.1 light color temperature (David Arcari) [RHEL-24997]
- HID: amd_sfh: Add support for light color temperature (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Return a status code only as a constant in two functions (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Return directly after a failed apmf_if_call() in apmf_sbios_heartbeat_notify() (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: dump policy binary data (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Add capability to sideload of policy binary (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Add facility to dump TA inputs (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Make source_as_str() as non-static (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Add support to update system state (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Add support update p3t limit (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Add support to get inputs from other subsystems (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: change amd_pmf_init_features() call sequence (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Add support for PMF Policy Binary (David Arcari) [RHEL-24997]
- redhat/configs: enable AMDTEE (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Change return type of amd_pmf_set_dram_addr() (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Add support for PMF-TA interaction (David Arcari) [RHEL-24997]
- platform/x86/amd/pmf: Add PMF TEE interface (David Arcari) [RHEL-24997]
Resolves: RHEL-24063, RHEL-24997, RHEL-30850, RHEL-32447, RHEL-33164, RHEL-34602, RHEL-35158, RHEL-35642, RHEL-35816, RHEL-36219, RHEL-36896, RHEL-37676, RHEL-39889

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-06-10 22:19:45 +00:00
Lucas Zampieri
3d6259c09c
kernel-5.14.0-461.el9
* Thu Jun 06 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-461.el9]
- powerpc/pseries/iommu: LPAR panics during boot up with a frozen PE (Mamatha Inamdar) [RHEL-39788] {CVE-2024-36926}
- thermal/debugfs: Fix two locking issues with thermal zone debug (David Arcari) [RHEL-40008] {CVE-2024-36961}
- thermal/debugfs: Free all thermal zone debug memory on zone removal (David Arcari) [RHEL-39875] {CVE-2024-36956}
- thermal/debugfs: Prevent use-after-free from occurring after cdev removal (David Arcari) [RHEL-39774] {CVE-2024-36932}
- s390/qeth: Fix typo 'weed' in comment (Tobias Huschle) [RHEL-23681]
- s390/qeth: replace deprecated strncpy with strscpy (Tobias Huschle) [RHEL-23681]
- s390/ctcm: replace deprecated strncpy with strscpy (Tobias Huschle) [RHEL-23681]
- s390/qeth: Fix kernel panic after setting hsuid (Tobias Huschle) [RHEL-23681]
- s390/ism: Properly fix receive message buffer allocation (Tobias Huschle) [RHEL-23681]
- s390/qeth: handle deferred cc1 (Tobias Huschle) [RHEL-23681]
- s390/qeth: Fix potential loss of L3-IP@ in case of network issues (Tobias Huschle) [RHEL-23681]
- s390/ism: ism driver implies smc protocol (Tobias Huschle) [RHEL-23681]
- net/smc: fix neighbour and rtable leak in smc_ib_find_route() (Tobias Huschle) [RHEL-23682]
- s390/qdio: handle deferred cc1 (Tobias Huschle) [RHEL-23680]
- scsi: zfcp: Replace strlcpy() with strscpy() (Tobias Huschle) [RHEL-23680]
- scsi: zfcp: Fix a double put in zfcp_port_enqueue() (Tobias Huschle) [RHEL-23680]
- net/mlx5: Properly link new fs rules into the tree (Kamal Heib) [RHEL-37422] {CVE-2024-35960}
- net/mlx5e: fix a double-free in arfs_create_groups (Kamal Heib) [RHEL-36922] {CVE-2024-35835}
- net/mlx5e: fix a potential double-free in fs_any_create_groups (Kamal Heib) [RHEL-37093] {CVE-2023-52667}
- can: dev: can_put_echo_skb(): don't crash kernel if can_priv::echo_skb is accessed out of bounds (Jose Ignacio Tornos Martinez) [RHEL-38226] {CVE-2023-52878}
- blk-mq: add helper for checking if one CPU is mapped to specified hctx (Ming Lei) [RHEL-36684]
- net: hns3: fix kernel crash when 1588 is received on HIP08 devices (Ken Cox) [RHEL-33213] {CVE-2024-26881}
- fsverity: use register_sysctl_init() to avoid kmemleak warning (Andrey Albershteyn) [RHEL-36258]
- ethtool: netlink: Add missing ethnl_ops_begin/complete (Ivan Vecera) [RHEL-36217]
- ethtool: reformat kerneldoc for struct ethtool_fec_stats (Ivan Vecera) [RHEL-36217]
- ethtool: reformat kerneldoc for struct ethtool_link_settings (Ivan Vecera) [RHEL-36217]
- Documentation: networking: add missing PLCA messages from the message list (Ivan Vecera) [RHEL-36217]
- net: partial revert of the "Make timestamping selectable: series (Ivan Vecera) [RHEL-36217]
- net: ethtool: ts: Let the active time stamping layer be selectable (Ivan Vecera) [RHEL-36217]
- net: ethtool: ts: Update GET_TS to reply the current selected timestamp (Ivan Vecera) [RHEL-36217]
- net: Change the API of PHY default timestamp to MAC (Ivan Vecera) [RHEL-36217]
- net: Replace hwtstamp_source by timestamping layer (Ivan Vecera) [RHEL-36217]
- net: ethtool: Add a command to list available time stamping layers (Ivan Vecera) [RHEL-36217]
- net: ethtool: Add a command to expose current time stamping layer (Ivan Vecera) [RHEL-36217]
- net_tstamp: Add TIMESTAMPING SOFTWARE and HARDWARE mask (Ivan Vecera) [RHEL-36217]
- net: phy: micrel: fix ts_info value in case of no phc (Ivan Vecera) [RHEL-36217]
- net: Make dev_set_hwtstamp_phylib accessible (Ivan Vecera) [RHEL-36217]
- net: macb: Convert to ndo_hwtstamp_get() and ndo_hwtstamp_set() (Ivan Vecera) [RHEL-36217]
- net: ethtool: Refactor identical get_ts_info implementations. (Ivan Vecera) [RHEL-36217]
- net: phy: Remove the call to phy_mii_ioctl in phy_hwstamp_get/set (Ivan Vecera) [RHEL-36217]
- net: Convert PHYs hwtstamp callback to use kernel_hwtstamp_config (Ivan Vecera) [RHEL-36217]
- net: omit ndo_hwtstamp_get() call when possible in dev_set_hwtstamp_phylib() (Ivan Vecera) [RHEL-36217]
- net: remove phy_has_hwtstamp() -> phy_mii_ioctl() decision from converted drivers (Ivan Vecera) [RHEL-36217]
- net: fec: delete fec_ptp_disable_hwts() (Ivan Vecera) [RHEL-36217]
- net: fec: convert to ndo_hwtstamp_get() and ndo_hwtstamp_set() (Ivan Vecera) [RHEL-36217]
- net: bonding: convert to ndo_hwtstamp_get() / ndo_hwtstamp_set() (Ivan Vecera) [RHEL-36217]
- net: macvlan: convert to ndo_hwtstamp_get() / ndo_hwtstamp_set() (Ivan Vecera) [RHEL-36217]
- net: vlan: convert to ndo_hwtstamp_get() / ndo_hwtstamp_set() (Ivan Vecera) [RHEL-36217]
- net: ethtool: Fix documentation of ethtool_sprintf() (Ivan Vecera) [RHEL-36217]
- Revert "ethtool: Fix mod state of verbose no_mask bitset" (Ivan Vecera) [RHEL-36217]
- ethtool: Fix mod state of verbose no_mask bitset (Ivan Vecera) [RHEL-36217]
- ipv6: also use netdev_hold() in ip6_route_check_nh() (Ivan Vecera) [RHEL-36217]
- net: create device lookup API with reference tracking (Ivan Vecera) [RHEL-36217]
- net_tstamp: add SOF_TIMESTAMPING_OPT_ID_TCP (Ivan Vecera) [RHEL-36217]
- fbcon: always restore the old font data in fbcon_do_set_font() (Jocelyn Falempe) [RHEL-23295]
- fbcon: Fix incorrect printed function name in fbcon_prepare_logo() (Jocelyn Falempe) [RHEL-23295]
- hid/picolcd_fb: Set FBINFO_VIRTFB flag (Jocelyn Falempe) [RHEL-23295]
- fbdev: remove I2C_CLASS_DDC support (Jocelyn Falempe) [RHEL-23295]
- fbdev/intelfb: Remove driver (Jocelyn Falempe) [RHEL-23295]
- video/logo: use %%u format specifier for unsigned int values (Jocelyn Falempe) [RHEL-23295]
- video/sticore: Store ROM device in STI struct (Jocelyn Falempe) [RHEL-23295]
- fbdev: flush deferred IO before closing (Jocelyn Falempe) [RHEL-23295]
- fbdev: flush deferred work in fb_deferred_io_fsync() (Jocelyn Falempe) [RHEL-23295]
- fbdev: amba-clcd: Delete the old CLCD driver (Jocelyn Falempe) [RHEL-23295]
- fbdev: Remove support for Carillo Ranch driver (Jocelyn Falempe) [RHEL-23295]
- fbdev: Remove default file-I/O implementations (Jocelyn Falempe) [RHEL-23295]
- fbdev: Warn on incorrect framebuffer access (Jocelyn Falempe) [RHEL-23295]
- fbdev: Move default fb_mmap code into helper function (Jocelyn Falempe) [RHEL-23295]
- fbdev: Push pgprot_decrypted() into mmap implementations (Jocelyn Falempe) [RHEL-23295]
- fbdev: Rename FB_SYS_FOPS token to FB_SYSMEM_FOPS (Jocelyn Falempe) [RHEL-23295]
- fbdev/cyber2000fb: Initialize fb_ops with fbdev macros (Jocelyn Falempe) [RHEL-23295]
- fbdev/wm8505fb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/vt8500lcdfb: Initialize fb_ops with fbdev macros (Jocelyn Falempe) [RHEL-23295]
- fbdev/clps711x-fb: Initialize fb_ops with fbdev macros (Jocelyn Falempe) [RHEL-23295]
- fbdev/ps3fb: Initialize fb_ops with fbdev macros (Jocelyn Falempe) [RHEL-23295]
- fbdev/au1200fb: Initialize fb_ops with fbdev macros (Jocelyn Falempe) [RHEL-23295]
- fbdev/udlfb: Select correct helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/smscufx: Select correct helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/sh_mobile_lcdcfb: Initialize fb_ops with fbdev macros (Jocelyn Falempe) [RHEL-23295]
- fbdev/arcfb: Use generator macros for deferred I/O (Jocelyn Falempe) [RHEL-23295]
- fbdev/vfb: Initialize fb_ops with fbdev macros (Jocelyn Falempe) [RHEL-23295]
- backlight: pwm_bl: Use dev_err_probe (Jocelyn Falempe) [RHEL-23295]
- fbdev: offb: Simplify offb_init_fb() (Jocelyn Falempe) [RHEL-23295]
- fbdev: stifb: Make the STI next font pointer a 32-bit signed offset (Jocelyn Falempe) [RHEL-23295]
- fbdev/vt8623fb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/viafb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/vga16fb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/vermilionfb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/tridentfb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/tgafb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/tdfxfb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/sunxvr500: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/stifb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/sm712fb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/sm501fb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/sisfb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/savagefb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/sa1100fb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/s3fb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/s1d13xxxfb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/rivafb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/radeon: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/pvr2fb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/pm3fb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/pm2fb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/nvidiafb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/neofb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/matroxfb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/intelfb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/imsttfb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/i810fb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/hpfb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/hitfb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/hgafb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/gbefb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/ep93xx-fb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/dnfb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/cyber2000fb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/controlfb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/cobalt-lcd: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/cirrusfb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/au1100fb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/atyfb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/atafb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/arkfb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/amifb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/amba-clcd: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/68328fb: Initialize fb_ops to fbdev I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev: Provide I/O-memory helpers as module (Jocelyn Falempe) [RHEL-23295]
- hid/picolcd: Use fb_ops helpers for deferred I/O (Jocelyn Falempe) [RHEL-23295]
- console: fix up ARM screen_info reference (Jocelyn Falempe) [RHEL-23295]
- vga16fb: drop powerpc support (Jocelyn Falempe) [RHEL-23295]
- dummycon: limit Arm console size hack to footbridge (Jocelyn Falempe) [RHEL-23295]
- fbdev: core: syscopyarea: fix sloppy typing (Jocelyn Falempe) [RHEL-23295]
- fbdev: core: cfbcopyarea: fix sloppy typing (Jocelyn Falempe) [RHEL-23295]
- fbdev: uvesafb: Remove uvesafb_exec() prototype from include/video/uvesafb.h (Jocelyn Falempe) [RHEL-23295]
- backlight: pwm_bl: Disable PWM on shutdown, suspend and remove (Jocelyn Falempe) [RHEL-23295]
- fbdev: Replace fb_pgprotect() with pgprot_framebuffer() (Jocelyn Falempe) [RHEL-23295]
- fbdev: Avoid file argument in fb_pgprotect() (Jocelyn Falempe) [RHEL-23295]
- fbdev: mmp: Annotate struct mmp_path with __counted_by (Jocelyn Falempe) [RHEL-23295]
- drm: fix up fbdev Kconfig defaults (Jocelyn Falempe) [RHEL-23295]
- fbdev: Update fbdev source file paths (Jocelyn Falempe) [RHEL-23295]
- fbdev/core: Clean up include statements in fbmem.c (Jocelyn Falempe) [RHEL-23295]
- fbdev/core: Remove empty internal helpers from fb_logo.c (Jocelyn Falempe) [RHEL-23295]
- fbdev/core: Move logo functions into separate source file (Jocelyn Falempe) [RHEL-23295]
- fbdev/core: Unexport logo helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/core: Fix style of code for boot-up logo (Jocelyn Falempe) [RHEL-23295]
- fbdev/hyperv_fb: Use fb_ops helpers for deferred I/O (Jocelyn Falempe) [RHEL-23295]
- fbdev: Add Kconfig macro FB_IOMEM_HELPERS_DEFERRED (Jocelyn Falempe) [RHEL-23295]
- fbdev: ssd1307fb: Use bool for ssd1307fb_deviceinfo flags (Jocelyn Falempe) [RHEL-23295]
- fbdev: mx3fb: Remove the driver (Jocelyn Falempe) [RHEL-23295]
- fbdev/core: Use list_for_each_entry() helper (Jocelyn Falempe) [RHEL-23295]
- fbdev/tcx: Use initializer macro for struct fb_ops (Jocelyn Falempe) [RHEL-23295]
- fbdev/p9100: Use initializer macro for struct fb_ops (Jocelyn Falempe) [RHEL-23295]
- fbdev/leo: Use initializer macro for struct fb_ops (Jocelyn Falempe) [RHEL-23295]
- fbdev/cg3: Use initializer macro for struct fb_ops (Jocelyn Falempe) [RHEL-23295]
- fbdev/cg14: Use initializer macro for struct fb_ops (Jocelyn Falempe) [RHEL-23295]
- fbdev/bw2: Use initializer macro for struct fb_ops (Jocelyn Falempe) [RHEL-23295]
- fbdev/sbus: Add initializer macros and Kconfig tokens for SBUS support (Jocelyn Falempe) [RHEL-23295]
- fbdev/sbus: Build sbuslib.o if CONFIG_FB_SBUS has been selected (Jocelyn Falempe) [RHEL-23295]
- hid/picolcd: Remove flag FBINFO_FLAG_DEFAULT from fbdev driver (Jocelyn Falempe) [RHEL-23295]
- backlight: led_bl: Remove redundant of_match_ptr() (Jocelyn Falempe) [RHEL-23295]
- backlight: lp855x: Drop ret variable in brightness change function (Jocelyn Falempe) [RHEL-23295]
- fbdev: kyro: Remove unused declarations (Jocelyn Falempe) [RHEL-23295]
- fbdev/xilinxfb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/vesafb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/valkyriefb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/uvesafb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/sunxvr2500: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/sunxvr1000: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/sstfb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/simplefb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/sh7760fb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/s3cfb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/q40fb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/pxafb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/pxa168fb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/pmagb-b-fb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/pmag-ba-fb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/pmag-aa-fb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/platinumfb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/offb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/ocfb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/mx3fb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/mb862xxfb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/maxinefb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/macfb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/kyro: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/imxfb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/i740fb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/gxt4500: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/grvga: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/goldfishfb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/g364fb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/fsl-diu-fb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/fm2fb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/efifb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/da8xx-fb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/chipsfb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/carminefb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/aty128fb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/atmel_lcdfb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/asiliantfb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev/acornfb: Use fbdev I/O helpers (Jocelyn Falempe) [RHEL-23295]
- fbcon: Make fbcon_registered_fb and fbcon_num_registered_fb static (Jocelyn Falempe) [RHEL-23295]
- fbdev: Align deferred I/O with naming of helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev: Use _DMAMEM_ infix for DMA-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev: Use _SYSMEM_ infix for system-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev: Use _IOMEM_ infix for I/O-memory helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev: Harmonize some comments in <linux/fb.h> (Jocelyn Falempe) [RHEL-23295]
- fbdev: Remove FB_DEFAULT_SYS_OPS (Jocelyn Falempe) [RHEL-23295]
- fbdev: Remove FBINFO_DEFAULT and FBINFO_FLAG_DEFAULT (Jocelyn Falempe) [RHEL-23295]
- fbdev: ssd1307fb: Print the PWM's label instead of its number (Jocelyn Falempe) [RHEL-23295]
- backlight: gpio_backlight: Drop output GPIO direction check for initial power state (Jocelyn Falempe) [RHEL-23295]
- video: logo: LOGO should depend on FB_CORE i.s.o. FB (Jocelyn Falempe) [RHEL-23295]
- Revert "fbcon: Use kzalloc() in fbcon_prepare_logo()" (Jocelyn Falempe) [RHEL-23295]
- backlight: lp855x: Catch errors when changing brightness (Jocelyn Falempe) [RHEL-23295]
- backlight: lp855x: Initialize PWM state on first brightness change (Jocelyn Falempe) [RHEL-23295]
- fbdev: Add fb_ops init macros for framebuffers in DMA-able memory (Jocelyn Falempe) [RHEL-23295]
- fbdev: Document that framebuffer_alloc() returns zero'ed data (Jocelyn Falempe) [RHEL-23295]
- fbdev: Remove FBINFO_FLAG_DEFAULT from framebuffer_alloc()'ed structs (Jocelyn Falempe) [RHEL-23295]
- fbdev: Remove FBINFO_FLAG_DEFAULT from kzalloc()'ed structs (Jocelyn Falempe) [RHEL-23295]
- fbdev: Remove FBINFO_DEFAULT from framebuffer_alloc()'ed structs (Jocelyn Falempe) [RHEL-23295]
- fbdev: Split frame buffer support in FB and FB_CORE symbols (Jocelyn Falempe) [RHEL-23295]
- fbdev: Move core fbdev symbols to a separate Kconfig file (Jocelyn Falempe) [RHEL-23295]
- video: Add auxiliary display drivers to Graphics support menu (Jocelyn Falempe) [RHEL-23295]
- fbcon: remove unused display (p) from fbcon_redraw() (Jocelyn Falempe) [RHEL-23295]
- vgacon: cache vc_cell_height in vgacon_cursor() (Jocelyn Falempe) [RHEL-23295]
- vgacon: let vgacon_doresize() return void (Jocelyn Falempe) [RHEL-23295]
- vgacon: remove unused xpos from vgacon_set_cursor_size() (Jocelyn Falempe) [RHEL-23295]
- vgacon: remove unneeded forward declarations (Jocelyn Falempe) [RHEL-23295]
- vgacon: switch vgacon_scrolldelta() and vgacon_restore_screen() (Jocelyn Falempe) [RHEL-23295]
- fbdev/xen-fbfront: Select FB_SYS_HELPERS_DEFERRED (Jocelyn Falempe) [RHEL-23295]
- fbdev/ssd1307fb: Generate deferred I/O ops (Jocelyn Falempe) [RHEL-23295]
- fbdev/ssd1307fb: Select FB_SYS_HELPERS_DEFERRED (Jocelyn Falempe) [RHEL-23295]
- fbdev/metronomefb: Select FB_SYS_HELPERS_DEFERRED (Jocelyn Falempe) [RHEL-23295]
- fbdev/hecubafb: Select FB_SYS_HELPERS_DEFERRED (Jocelyn Falempe) [RHEL-23295]
- fbdev/broadsheetfb: Select FB_SYS_HELPERS_DEFERRED (Jocelyn Falempe) [RHEL-23295]
- redhat/configs: Enable FB_DEVICE (Jocelyn Falempe) [RHEL-23295]
- fbdev: Make support for userspace interfaces configurable (Jocelyn Falempe) [RHEL-23295]
- fbdev/core: Rework fb init code (Jocelyn Falempe) [RHEL-23295]
- fbdev/core: Move file-I/O code into separate file (Jocelyn Falempe) [RHEL-23295]
- fbdev/core: Move procfs code to separate file (Jocelyn Falempe) [RHEL-23295]
- fbdev/core: Add fb_device_{create,destroy}() (Jocelyn Falempe) [RHEL-23295]
- fbdev/core: Move framebuffer and backlight helpers into separate files (Jocelyn Falempe) [RHEL-23295]
- fbdev/core: Pass Linux device to pm_vt_switch_*() functions (Jocelyn Falempe) [RHEL-23295]
- backlight/gpio_backlight: Rename field 'fbdev' to 'dev' (Jocelyn Falempe) [RHEL-23295]
- backlight/gpio_backlight: Compare against struct fb_info.device (Jocelyn Falempe) [RHEL-23295]
- fbdev: Rename fb_mem*() helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev: Move framebuffer I/O helpers into <asm/fb.h> (Jocelyn Falempe) [RHEL-23295]
- arch/x86: Include <asm/fb.h> in fbdev source file (Jocelyn Falempe) [RHEL-23295]
- arch/x86: Implement <asm/fb.h> with generic helpers (Jocelyn Falempe) [RHEL-23295]
- arch/powerpc: Implement <asm/fb.h> with generic helpers (Jocelyn Falempe) [RHEL-23295]
- arch/arm64: Implement <asm/fb.h> with generic helpers (Jocelyn Falempe) [RHEL-23295]
- fbdev: Prepare generic architecture helpers (Jocelyn Falempe) [RHEL-23295]
- backlight: led_bl: Take led_access lock when required (Jocelyn Falempe) [RHEL-23295]
- fbdev: fix potential OOB read in fast_imageblit() (Jocelyn Falempe) [RHEL-23295]
- video: backlight: lp855x: Get PWM for PWM mode during probe (Jocelyn Falempe) [RHEL-23295]
- fbdev: Add Kconfig options to select different fb_ops helpers (Jocelyn Falempe) [RHEL-23295]
- fbcon: Fix null-ptr-deref in soft_cursor (Jocelyn Falempe) [RHEL-23295]
- backlight: pwm_bl: Remove unneeded checks for valid GPIOs (Jocelyn Falempe) [RHEL-23295]
- fbdev: fbmem: mark get_fb_unmapped_area() static (Jocelyn Falempe) [RHEL-23295]
- fbdev: Include <linux/fb.h> instead of <asm/fb.h> (Jocelyn Falempe) [RHEL-23295]
- fbdev/matrox: Remove trailing whitespaces (Jocelyn Falempe) [RHEL-23295]
- fbdev: modedb: Add 1920x1080 at 60 Hz video mode (Jocelyn Falempe) [RHEL-23295]
- fbdev: Validate info->screen_{base, buffer} in fb_ops implementations (Jocelyn Falempe) [RHEL-23295]
- fbdev: Don't re-validate info->state in fb_ops implementations (Jocelyn Falempe) [RHEL-23295]
- fbdev: Use screen_buffer in fb_sys_{read,write}() (Jocelyn Falempe) [RHEL-23295]
- fbdev: vga16fb: Convert to platform remove callback returning void (Jocelyn Falempe) [RHEL-23295]
- fbdev: vesafb: Convert to platform remove callback returning void (Jocelyn Falempe) [RHEL-23295]
- fbdev: simplefb: Convert to platform remove callback returning void (Jocelyn Falempe) [RHEL-23295]
- fbdev: offb: Convert to platform remove callback returning void (Jocelyn Falempe) [RHEL-23295]
- fbdev: efifb: Convert to platform remove callback returning void (Jocelyn Falempe) [RHEL-23295]
- video: Move HP PARISC STI core code to shared location (Jocelyn Falempe) [RHEL-23295]
- video/aperture: Provide a VGA helper for gma500 and internal use (Jocelyn Falempe) [RHEL-23295]
- fbmem: Reject FB_ACTIVATE_KD_TEXT from userspace (Jocelyn Falempe) [RHEL-23295]
- video/aperture: Fix typos in comments (Jocelyn Falempe) [RHEL-23295]
- backlight: lp855x: Mark OF related data as maybe unused (Jocelyn Falempe) [RHEL-23295]
- backlight: pwm_bl: Convert to platform remove callback returning void (Jocelyn Falempe) [RHEL-23295]
- backlight: led_bl: Convert to platform remove callback returning void (Jocelyn Falempe) [RHEL-23295]
- fbdev: Use of_property_read_bool() for boolean properties (Jocelyn Falempe) [RHEL-23295]
- drivers: video: logo: add SPDX comment, remove GPL notice in pnmtologo.c (Jocelyn Falempe) [RHEL-23295]
- drivers: video: logo: fix code style issues in pnmtologo.c (Jocelyn Falempe) [RHEL-23295]
- fbdev: Make fb_modesetting_disabled() static inline (Jocelyn Falempe) [RHEL-23295]
- fbdev: Fix incorrect page mapping clearance at fb_deferred_io_release() (Jocelyn Falempe) [RHEL-23295]
- backlight: pwm_bl: Don't rely on a disabled PWM emiting inactive state (Jocelyn Falempe) [RHEL-23295]
- backlight: pwm_bl: Configure pwm only once per backlight toggle (Jocelyn Falempe) [RHEL-23295]
- backlight: pwm_bl: Drop support for legacy PWM probing (Jocelyn Falempe) [RHEL-23295]
- backlight: backlight: Fix doc for backlight_device_get_by_name (Jocelyn Falempe) [RHEL-23295]
- fbdev: Fix invalid page access after closing deferred I/O devices (Jocelyn Falempe) [RHEL-23295]
- fbdev: remove w100fb driver (Jocelyn Falempe) [RHEL-23295]
- fbdev: remove tmiofb driver (Jocelyn Falempe) [RHEL-23295]
- fbdev: Remove unused struct fb_deferred_io .first_io field (Jocelyn Falempe) [RHEL-23295]
- fbdev: fbmon: fix function name in kernel-doc (Jocelyn Falempe) [RHEL-23295]
- fbdev: remove s3c2410 framebuffer (Jocelyn Falempe) [RHEL-23295]
- drm/fbdev: Remove aperture handling and FBINFO_MISC_FIRMWARE (Jocelyn Falempe) [RHEL-23295]
- fbdev/vga16fb: Do not use struct fb_info.apertures (Jocelyn Falempe) [RHEL-23295]
- fbdev/vesafb: Do not use struct fb_info.apertures (Jocelyn Falempe) [RHEL-23295]
- fbdev/vesafb: Remove trailing whitespaces (Jocelyn Falempe) [RHEL-23295]
- fbdev/simplefb: Do not use struct fb_info.apertures (Jocelyn Falempe) [RHEL-23295]
- fbdev/offb: Do not use struct fb_info.apertures (Jocelyn Falempe) [RHEL-23295]
- fbdev/offb: Allocate struct offb_par with framebuffer_alloc() (Jocelyn Falempe) [RHEL-23295]
- fbdev/efifb: Do not use struct fb_info.apertures (Jocelyn Falempe) [RHEL-23295]
- fbdev/efifb: Add struct efifb_par for driver data (Jocelyn Falempe) [RHEL-23295]
- Revert "fbcon: don't lose the console font across generic->chip driver switch" (Jocelyn Falempe) [RHEL-23295]
- fbcon: Remove trailing whitespaces (Jocelyn Falempe) [RHEL-23295]
- fbdev: matroxfb: G200eW: Increase max memory from 1 MB to 16 MB (Jocelyn Falempe) [RHEL-23295]
- fbdev: make offb driver tristate (Jocelyn Falempe) [RHEL-23295]
- fbdev: fbcon: release buffer when fbcon_do_set_font() failed (Jocelyn Falempe) [RHEL-23295]
- fbdev: uvesafb: don't build on UML (Jocelyn Falempe) [RHEL-23295]
- fbdev: matroxfb: Convert to i2c's .probe_new() (Jocelyn Falempe) [RHEL-23295]
- fbdev: omapfb: connector-analog-tv: remove support for platform data (Jocelyn Falempe) [RHEL-23295]
- fbdev: omapfb: panel-dpi: remove support for platform data (Jocelyn Falempe) [RHEL-23295]
- fbdev: omapfb: panel-sony-acx565akm: remove support for platform data (Jocelyn Falempe) [RHEL-23295]
- fbdev: ssd1307fb: Drop duplicate NULL checks for PWM APIs (Jocelyn Falempe) [RHEL-23295]
- fbdev: ssd1307fb: Drop optional dependency (Jocelyn Falempe) [RHEL-23295]
- backlight: lp855x: Convert to i2c's .probe_new() (Jocelyn Falempe) [RHEL-23295]
- fbcon: Use kzalloc() in fbcon_prepare_logo() (Jocelyn Falempe) [RHEL-23295]
- Revert "drm/fb-helper: Schedule deferred-I/O worker after writing to framebuffer" (Jocelyn Falempe) [RHEL-23295]
- fbdev: Add support for the nomodeset kernel parameter (Jocelyn Falempe) [RHEL-23295]
- drm/fb-helper: Schedule deferred-I/O worker after writing to framebuffer (Jocelyn Falempe) [RHEL-23295]
- fbdev: MIPS supports iomem addresses (Jocelyn Falempe) [RHEL-23295]
- fbdev: vga16fb: Add missing MODULE_DEVICE_TABLE() entry (Jocelyn Falempe) [RHEL-23295]
- fbdev: fbcon: Properly revert changes when vc_resize() failed (Jocelyn Falempe) [RHEL-23295]
- fbdev: Move fbdev drivers from strlcpy to strscpy (Jocelyn Falempe) [RHEL-23295]
- fbdev: fbcon: Destroy mutex on freeing struct fb_info (Jocelyn Falempe) [RHEL-23295]
- fbdev: ssd1307fb: Fix repeated words in comments (Jocelyn Falempe) [RHEL-23295]
- video: fbdev: offb: Include missing linux/platform_device.h (Jocelyn Falempe) [RHEL-23295]
- fbdev: Make registered_fb[] private to fbmem.c (Jocelyn Falempe) [RHEL-23295]
- fbdev/vga16fb: Auto-generate module init/exit code (Jocelyn Falempe) [RHEL-23295]
- fbdev/vga16fb: Create EGA/VGA devices in sysfb code (Jocelyn Falempe) [RHEL-23295]
- fbdev: Remove trailing whitespaces (Jocelyn Falempe) [RHEL-23295]
- backlight: lp855x: Switch to atomic PWM API (Jocelyn Falempe) [RHEL-23295]
- Revert "drivers/video/backlight/platform_lcd.c: add support for device tree based probe" (Jocelyn Falempe) [RHEL-23295]
- fbcon: Use fbcon_info_from_console() in fbcon_modechange_possible() (Jocelyn Falempe) [RHEL-23295]
- video: fbdev: simplefb: Check before clk_put() not needed (Jocelyn Falempe) [RHEL-23295]
- fbcon: Fix boundary checks for fbcon=vc:n1-n2 parameters (Jocelyn Falempe) [RHEL-23295]
- fbcon: Fix accelerated fbdev scrolling while logo is still shown (Jocelyn Falempe) [RHEL-23295]
- fbcon: Remove obsolete reference to initmem_freed (Jocelyn Falempe) [RHEL-23295]
- video: fbdev: radeon: Fix spelling typo in comment (Jocelyn Falempe) [RHEL-23295]
- fbdev: Prevent possible use-after-free in fb_release() (Jocelyn Falempe) [RHEL-23295]
- Revert "fbdev: Prevent probing generic drivers if a FB is already registered" (Jocelyn Falempe) [RHEL-23295]
- fbdev: Rename pagelist to pagereflist for deferred I/O (Jocelyn Falempe) [RHEL-23295]
- fbdev: Refactor implementation of page_mkwrite (Jocelyn Falempe) [RHEL-23295]
- fbcon: replace snprintf in show functions with sysfs_emit (Jocelyn Falempe) [RHEL-23295]
- video: fbdev: aty/matrox/...: Prepare cleanup of powerpc's asm/prom.h (Jocelyn Falempe) [RHEL-23295]
- fbdev: Fix cfb_imageblit() for arbitrary image widths (Jocelyn Falempe) [RHEL-23295]
- fbdev: Fix sys_imageblit() for arbitrary image widths (Jocelyn Falempe) [RHEL-23295]
- video: fbdev: offb: fix warning comparing pointer to 0 (Jocelyn Falempe) [RHEL-23295]
- backlight: backlight: Slighly simplify devm_of_find_backlight() (Jocelyn Falempe) [RHEL-23295]
- drm/exynos: fimd: add BGR support for exynos4/5 (Jocelyn Falempe) [RHEL-23295]
- fbdev: Improve performance of cfb_imageblit() (Jocelyn Falempe) [RHEL-23295]
- fbdev: Remove trailing whitespaces from cfbimgblt.c (Jocelyn Falempe) [RHEL-23295]
- fbdev: Improve performance of sys_imageblit() (Jocelyn Falempe) [RHEL-23295]
- fbdev: Improve performance of sys_fillrect() (Jocelyn Falempe) [RHEL-23295]
- fbcon: Avoid 'cap' set but not used warning (Jocelyn Falempe) [RHEL-23295]
- backlight: pwm_bl: Avoid open coded arithmetic in memory allocation (Jocelyn Falempe) [RHEL-23295]
- fbdev: fbmem: Fix the implicit type casting (Jocelyn Falempe) [RHEL-23295]
- redhat/configs: disable FRAMEBUFFER_CONSOLE_LEGACY_ACCELERATION (Jocelyn Falempe) [RHEL-23295]
- fbcon: Add option to enable legacy hardware acceleration (Jocelyn Falempe) [RHEL-23295]
- Revert "fbcon: Disable accelerated scrolling" (Jocelyn Falempe) [RHEL-23295]
- video: fbdev: fbcvt.c: fix printing in fb_cvt_print_name() (Jocelyn Falempe) [RHEL-23295]
- video: fbmem: use swap() to make code cleaner in fb_rotate_logo() (Jocelyn Falempe) [RHEL-23295]
- video: fbdev: ssd1307fb: Make use of the helper function dev_err_probe() (Jocelyn Falempe) [RHEL-23295]
- video: fbdev: matroxfb: set maxvram of vbG200eW to the same as vbG200 to avoid black screen (Jocelyn Falempe) [RHEL-23295]
- video: vga16fb: Fix logic that checks for the display standard (Jocelyn Falempe) [RHEL-23295]
- backlight: lp855x: Add support ACPI enumeration (Jocelyn Falempe) [RHEL-23295]
- backlight: lp855x: Add dev helper variable to lp855x_probe() (Jocelyn Falempe) [RHEL-23295]
- backlight: lp855x: Move device_config setting out of lp855x_configure() (Jocelyn Falempe) [RHEL-23295]
- video: fbdev: replace snprintf in show functions with sysfs_emit (Jocelyn Falempe) [RHEL-23295]
- fbdev: fbmem: Fix double free of 'fb_info->pixmap.addr' (Jocelyn Falempe) [RHEL-23295]
- backlight: Propagate errors from get_brightness() (Jocelyn Falempe) [RHEL-23295]
- video: backlight: Drop maximum brightness override for brightness zero (Jocelyn Falempe) [RHEL-23295]
- fbmem: don't allow too huge resolutions (Jocelyn Falempe) [RHEL-23295]
- backlight: pwm_bl: Improve bootloader/kernel device handover (Jocelyn Falempe) [RHEL-23295]
- video: fbdev: ssd1307fb: Cache address ranges (Jocelyn Falempe) [RHEL-23295]
- video: fbdev: ssd1307fb: Optimize screen updates (Jocelyn Falempe) [RHEL-23295]
- video: fbdev: ssd1307fb: Extract ssd1307fb_set_{col,page}_range() (Jocelyn Falempe) [RHEL-23295]
- video: fbdev: ssd1307fb: Simplify ssd1307fb_update_display() (Jocelyn Falempe) [RHEL-23295]
- video: fbdev: ssd1307fb: Propagate errors via ssd1307fb_update_display() (Jocelyn Falempe) [RHEL-23295]
- fbmem: Convert from atomic_t to refcount_t on fb_info->count (Jocelyn Falempe) [RHEL-23295]
- scsi: lpfc: Copyright updates for 14.4.0.1 patches (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Update lpfc version to 14.4.0.1 (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Define types in a union for generic void *context3 ptr (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Define lpfc_dmabuf type for ctx_buf ptr (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Define lpfc_nodelist type for ctx_ndlp ptr (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Use a dedicated lock for ras_fwlog state (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Avoid -Wstringop-overflow warning (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Release hbalock before calling lpfc_worker_wake_up() (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Replace hbalock with ndlp lock in lpfc_nvme_unregister_port() (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Update lpfc_ramp_down_queue_handler() logic (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Remove IRQF_ONESHOT flag from threaded IRQ handling (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Move NPIV's transport unregistration to after resource clean up (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Remove unnecessary log message in queuecommand path (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Correct size for cmdwqe/rspwqe for memset() (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Correct size for wqe for memset() (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Replace deprecated strncpy() with strscpy() (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Copyright updates for 14.4.0.0 patches (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Update lpfc version to 14.4.0.0 (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Update lpfc version to 14.2.0.17 (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Move determination of vmid_flag after VMID reinitialization completes (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Reinitialize an NPIV's VMID data structures after FDISC (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Change VMID driver load time parameters to read only (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Change lpfc_vport load_flag member into a bitmask (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Change lpfc_vport fc_flag member into a bitmask (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Protect vport fc_nodes list with an explicit spin lock (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Change nlp state statistic counters into atomic_t (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Move handling of reset congestion statistics events (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Save FPIN frequency statistics upon receipt of peer cgn notifications (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Add condition to delete ndlp object after sending BLS_RJT to an ABTS (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Fix failure to delete vports when discovery is in progress (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Remove NLP_RCV_PLOGI early return during RSCN processing for ndlps (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Allow lpfc_plogi_confirm_nport() logic to execute for Fabric nodes (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Remove D_ID swap log message from trace event logger (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Use sg_dma_len() API to get struct scatterlist's length (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Remove reftag check in DIF paths (Dick Kennedy) [RHEL-24508]
- lpfc: Use the proper SCSI midlayer interfaces for PI (Dick Kennedy) [RHEL-24508]
- lpfc: Use scsi_cmd_to_rq() instead of scsi_cmnd.request (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Fix reftag generation sizing errors (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Fix possible memory leak in lpfc_rcv_padisc() (Dick Kennedy) [RHEL-24508]
- scsi: lpfc: Initialize status local variable in lpfc_sli4_repost_sgl_list() (Dick Kennedy) [RHEL-24508]
- x86/coco: Use CC_VENDOR_INTEL for Hyper-V/TDX (Vitaly Kuznetsov) [RHEL-38910]
Resolves: RHEL-23295, RHEL-23680, RHEL-23681, RHEL-23682, RHEL-24508, RHEL-33213, RHEL-36217, RHEL-36258, RHEL-36684, RHEL-36922, RHEL-37093, RHEL-37422, RHEL-38226, RHEL-38910, RHEL-39774, RHEL-39788, RHEL-39875, RHEL-40008

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-06-06 16:43:08 -03:00
Lucas Zampieri
332a3ea565
kernel-5.14.0-460.el9
* Wed Jun 05 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-460.el9]
- powerpc/64s: Fix unrecoverable MCE calling async handler from NMI (Mamatha Inamdar) [RHEL-37688] {CVE-2021-47429}
- misc: rtsx: do clear express reg every SD_INT (David Arcari) [RHEL-33706]
- misc: rtsx: Fix rts5264 driver status incorrect when card removed (David Arcari) [RHEL-33706]
- net/mlx5e: Fix mlx5e_priv_init() cleanup flow (Kamal Heib) [RHEL-37426] {CVE-2024-35959}
- can: isotp: isotp_sendmsg(): add result check for wait_event_interruptible() (Jose Ignacio Tornos Martinez) [RHEL-38417] {CVE-2021-47457}
- net/usb: kalmia: Don't pass act_len in usb_bulk_msg error path (Jose Ignacio Tornos Martinez) [RHEL-38115] {CVE-2023-52703}
- net: hns3: do not allow call hns3_nic_net_open repeatedly (Jose Ignacio Tornos Martinez) [RHEL-37707] {CVE-2021-47400}
- ice: use irq_update_affinity_hint() (Michal Schmidt) [RHEL-35293]
- arm64: mm: Don't remap pgtables for allocate vs populate (Eric Chanudet) [RHEL-32699]
- arm64: mm: Batch dsb and isb when populating pgtables (Eric Chanudet) [RHEL-32699]
- arm64: mm: Don't remap pgtables per-cont(pte|pmd) block (Eric Chanudet) [RHEL-32699]
- cgroup: Remove duplicated cgroup_local_stat_show() and cpu_local_stat_show() functions (Waiman Long) [RHEL-36683]
- Revert "Revert "Merge: cgroup: Backport upstream cgroup commits up to v6.8"" (Waiman Long) [RHEL-36683]
- x86/tdx: Fix unexpected end of section warning around __tdx_hypercall_failed() (Joe Lawrence) [RHEL-36224]
- tipc: fix a possible memleak in tipc_buf_append (Xin Long) [RHEL-36209]
- net: tipc: remove redundant 'bool' from CONFIG_TIPC_{MEDIA_UDP,CRYPTO} (Xin Long) [RHEL-36209]
- tipc: node: remove Excess struct member kernel-doc warnings (Xin Long) [RHEL-36209]
- tipc: socket: remove Excess struct member kernel-doc warning (Xin Long) [RHEL-36209]
- tipc: Remove some excess struct member documentation (Xin Long) [RHEL-36209]
- tipc: Remove redundant call to TLV_SPACE() (Xin Long) [RHEL-36209]
- redhat/configs: Update for ARM_SMMU_V3_KUNIT_TEST (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-v3: Make the kunit into a module (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-v3: Add unit tests for arm_smmu_write_entry (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu/arm-smmu-v3: Build the whole CD in arm_smmu_make_s1_cd() (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu/arm-smmu-v3: Move the CD generation for SVA into a function (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu/arm-smmu-v3: Allocate the CD table entry in advance (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu/arm-smmu-v3: Make arm_smmu_alloc_cd_ptr() (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu/arm-smmu-v3: Consolidate clearing a CD table entry (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu/arm-smmu-v3: Move the CD generation for S1 domains into a function (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu/arm-smmu-v3: Make CD programming use arm_smmu_write_entry() (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu/arm-smmu-v3: Add an ops indirection to the STE code (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu/arm-smmu-v3: Free MSIs in case of ENOMEM (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu/arm-smmu: Convert to domain_alloc_paging() (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-v3: Add a type for the CD entry (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu/arm-smmu-v3: Do not ATC invalidate the entire domain (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu/arm-smmu-v3: Do not allow a SVA domain to be set on the wrong PASID (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu/arm-smmu-v3: Retire disable_bypass parameter (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Decouple igfx_off from graphic identity mapping (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Fix compilation error (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Enable Guest Translation after reading IOMMU feature register (Jerry Snitselaar) [RHEL-28780]
- iommufd: Add config needed for iommufd_fail_nth (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- selftests/iommu: fix the config fragment (Jerry Snitselaar) [RHEL-28780]
- iommufd/selftest: Add mock IO hugepages tests (Jerry Snitselaar) [RHEL-28780]
- iommufd/selftest: Refactor dirty bitmap tests (Jerry Snitselaar) [RHEL-28780]
- iommufd/selftest: Test u64 unaligned bitmaps (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu: Use the correct type in nvidia_smmu_context_fault() (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Remove caching mode check before device TLB flush (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Remove private data use in fault message (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Remove debugfs use of private data field (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Allocate DMAR fault interrupts locally (Jerry Snitselaar) [RHEL-28780]
- iommu: Pass domain to remove_dev_pasid() op (Jerry Snitselaar) [RHEL-28780]
- iommu: Undo pasid attachment only for the devices that have succeeded (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Use try_cmpxchg64{,_local}() in iommu.c (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Remove redundant assignment to variable err (Jerry Snitselaar) [RHEL-28780]
- swiotlb: initialise restricted pool list_head when SWIOTLB_DYNAMIC=y (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Add SVA domain support (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Initial SVA support for AMD IOMMU (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Add support for enable/disable IOPF (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Add IO page fault notifier handler (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Add support for page response (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Define per-IOMMU iopf_queue (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Enable PCI features based on attached domain capability (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Setup GCR3 table in advance if domain is SVA capable (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Introduce iommu_dev_data.max_pasids (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Fix PPR interrupt processing logic (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Move PPR-related functions into ppr.c (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Add support for enabling/disabling IOMMU features (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Introduce per device DTE update function (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Rename amd_iommu_v2_supported() as amd_iommu_pasid_supported() (Jerry Snitselaar) [RHEL-28780]
- iommu: Add ops->domain_alloc_sva() (Jerry Snitselaar) [RHEL-28780]
- redhat/configs: Clean up CONFIG_AMD_IOMMU_V2 (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Enhance def_domain_type to handle untrusted device (Jerry Snitselaar) [RHEL-28780]
- iommufd: Add missing IOMMUFD_DRIVER kconfig for the selftest (Jerry Snitselaar) [RHEL-28780]
- swiotlb: do not set total_used to 0 in swiotlb_create_debugfs_files() (Jerry Snitselaar) [RHEL-28780]
- swiotlb: fix swiotlb_bounce() to do partial sync's correctly (Jerry Snitselaar) [RHEL-28780]
- swiotlb: extend buffer pre-padding to alloc_align_mask if necessary (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Fix WARN_ON in iommu probe path (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Allocate local memory for page request queue (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Fix wrong use of pasid config (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Fix possible irq lock inversion dependency issue (Jerry Snitselaar) [RHEL-28780]
- iommu: Validate the PASID in iommu_attach_device_pasid() (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-v3: Fix access for STE.SHCFG (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-v3: Add cpu_to_le64() around STRTAB_STE_0_V (Jerry Snitselaar) [RHEL-28780]
- swiotlb: Reinstate page-alignment for mappings >= PAGE_SIZE (Jerry Snitselaar) [RHEL-28780]
- iommu/dma: Force swiotlb_max_mapping_size on an untrusted device (Jerry Snitselaar) [RHEL-28780]
- swiotlb: Fix alignment checks when both allocation and DMA masks are present (Jerry Snitselaar) [RHEL-28780]
- swiotlb: Honour dma_alloc_coherent() alignment in swiotlb_alloc() (Jerry Snitselaar) [RHEL-28780]
- swiotlb: Enforce page alignment in swiotlb_alloc() (Jerry Snitselaar) [RHEL-28780]
- swiotlb: Fix double-allocation of slots due to broken alignment handling (Jerry Snitselaar) [RHEL-28780]
- dma-direct: Leak pages on dma_set_decrypted() failure (Jerry Snitselaar) [RHEL-28780]
- swiotlb: add debugfs to track swiotlb transient pool usage (Jerry Snitselaar) [RHEL-28780]
- iommu/dma: Document min_align_mask assumption (Jerry Snitselaar) [RHEL-28780]
- iommu: re-use local fwnode variable in iommu_ops_from_fwnode() (Jerry Snitselaar) [RHEL-28780]
- iommu: constify fwnode in iommu_ops_from_fwnode() (Jerry Snitselaar) [RHEL-28780]
- iommu: constify of_phandle_args in xlate (Jerry Snitselaar) [RHEL-28780]
- iommu: constify pointer to bus_type (Jerry Snitselaar) [RHEL-28780]
- iommu: Make iommu_report_device_fault() return void (Jerry Snitselaar) [RHEL-28780]
- iommu: Make iopf_group_response() return void (Jerry Snitselaar) [RHEL-28780]
- iommu: Track iopf group instead of last fault (Jerry Snitselaar) [RHEL-28780]
- iommu: Improve iopf_queue_remove_device() (Jerry Snitselaar) [RHEL-28780]
- iommu: Use refcount for fault data access (Jerry Snitselaar) [RHEL-28780]
- iommu: Refine locking for per-device fault data management (Jerry Snitselaar) [RHEL-28780]
- iommu: Separate SVA and IOPF (Jerry Snitselaar) [RHEL-28780]
- iommu: Make iommu_queue_iopf() more generic (Jerry Snitselaar) [RHEL-28780]
- iommu: Prepare for separating SVA and IOPF (Jerry Snitselaar) [RHEL-28780]
- iommu: Merge iommu_fault_event and iopf_fault (Jerry Snitselaar) [RHEL-28780]
- iommu: Remove iommu_[un]register_device_fault_handler() (Jerry Snitselaar) [RHEL-28780]
- iommu: Merge iopf_device_param into iommu_fault_param (Jerry Snitselaar) [RHEL-28780]
- iommu: Cleanup iopf data structure definitions (Jerry Snitselaar) [RHEL-28780]
- iommu: Remove unrecoverable fault data (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-v3: Remove unrecoverable faults reporting (Jerry Snitselaar) [RHEL-28780]
- iommu: Move iommu fault data to linux/iommu.h (Jerry Snitselaar) [RHEL-28780]
- iommu/iova: use named kmem_cache for iova magazines (Jerry Snitselaar) [RHEL-28780]
- iommu/iova: Reorganise some code (Jerry Snitselaar) [RHEL-28780]
- iommu/iova: Tidy up iova_cache_get() failure (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Fix sleeping in atomic context (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Mark interrupt as managed (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Introduce per-device domain ID to fix potential TLB aliasing issue (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Remove unused GCR3 table parameters from struct protection_domain (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Rearrange device flush code (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Remove unused flush pasid functions (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Refactor GCR3 table helper functions (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Refactor protection_domain helper functions (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Refactor attaching / detaching device functions (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Refactor helper function for setting / clearing GCR3 (Jerry Snitselaar) [RHEL-28780]
- iommu: Introduce iommu_group_mutex_assert() (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Rearrange GCR3 table setup code (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Add support for device based TLB invalidation (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Use protection_domain.flags to check page table mode (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Introduce per-device GCR3 table (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Introduce struct protection_domain.pd_mode (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Introduce get_amd_iommu_from_dev() (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Enable Guest Translation before registering devices (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Pass struct iommu_dev_data to set_dte_entry() (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Remove EXPORT_SYMBOL for perf counter related functions (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Remove redundant error check in amd_iommu_probe_device() (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Remove duplicate function declarations from amd_iommu.h (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Remove unused APERTURE_* macros (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Remove unused IOVA_* macro (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Remove unused PPR_* macros (Jerry Snitselaar) [RHEL-28780]
- iommu: Fix compilation without CONFIG_IOMMU_INTEL (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Remove scalabe mode in domain_context_clear_one() (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Remove scalable mode context entry setup from attach_dev (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Setup scalable mode context entry in probe path (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Fix NULL domain on device release (Jerry Snitselaar) [RHEL-28780 RHEL-29357]
- iommu: Add static iommu_ops->release_domain (Jerry Snitselaar) [RHEL-28780 RHEL-29357]
- iommu/vt-d: Improve ITE fault handling if target device isn't present (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Don't issue ATS Invalidation request when device is disconnected (Jerry Snitselaar) [RHEL-28780]
- PCI: Make pci_dev_is_disconnected() helper public for other drivers (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Use device rbtree in iopf reporting path (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Use rbtree to track iommu probed devices (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Merge intel_svm_bind_mm() into its caller (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Remove initialization for dynamically heap-allocated rcu_head (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Remove treatment for revoking PASIDs with pending page faults (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Use kcalloc() instead of kzalloc() (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Remove INTEL_IOMMU_BROKEN_GFX_WA (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-v3: Convert to domain_alloc_paging() (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Pass arm_smmu_domain and arm_smmu_device to finalize (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Use the identity/blocked domain during release (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Add a global static BLOCKED domain (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Add a global static IDENTITY domain (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Check that the RID domain is S1 in SVA (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Remove arm_smmu_master->domain (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Pass smmu_domain to arm_enable/disable_ats() (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Put writing the context descriptor in the right order (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Do not change the STE twice during arm_smmu_attach_dev() (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Compute the STE only once for each master (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Hold arm_smmu_asid_lock during all of attach_dev (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Build the whole STE in arm_smmu_make_s2_domain_ste() (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Move the STE generation for S1 and S2 domains into functions (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Consolidate the STE generation for abort/bypass (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Make STE programming independent of the callers (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-qcom: Add X1E80100 MDSS compatible (Jerry Snitselaar) [RHEL-28780]
- iommu/ipmmu-vmsa: Minor cleanups (Jerry Snitselaar) [RHEL-28780]
- iommu/msm-iommu: don't limit the driver too much (Jerry Snitselaar) [RHEL-28780]
- iommufd/selftest: Don't check map/unmap pairing with HUGE_PAGES (Jerry Snitselaar) [RHEL-28780]
- iommufd: Fix protection fault in iommufd_test_syz_conv_iova (Jerry Snitselaar) [RHEL-28780]
- iommufd/selftest: Fix mock_dev_num bug (Jerry Snitselaar) [RHEL-28780]
- iommufd: Fix iopt_access_list_id overwrite bug (Jerry Snitselaar) [RHEL-28780]
- iommu/sva: Fix SVA handle sharing in multi device case (Jerry Snitselaar) [RHEL-28780]
- iommu/sva: Restore SVA handle sharing (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-v3: Do not use GFP_KERNEL under as spinlock (Jerry Snitselaar) [RHEL-28780]
- Revert "iommu/arm-smmu: Convert to domain_alloc_paging()" (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Fix constant-out-of-range warning (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Set SSADE when attaching to a parent with dirty tracking (Jerry Snitselaar) [RHEL-28780 RHEL-29359]
- iommu/vt-d: Add missing dirty tracking set for parent domain (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Wrap the dirty tracking loop to be a helper (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Remove domain parameter for intel_pasid_setup_dirty_tracking() (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Add missing device iotlb flush for parent domain (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Update iotlb in nested domain attach (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Add missing iotlb flush for parent domain (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Add __iommu_flush_iotlb_psi() (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Track nested domains in parent (Jerry Snitselaar) [RHEL-28780]
- iommufd: Reject non-zero data_type if no data_len is provided (Jerry Snitselaar) [RHEL-28780]
- iommufd/iova_bitmap: Consider page offset for the pages to be pinned (Jerry Snitselaar) [RHEL-28780]
- iommufd/selftest: Hugepage mock domain support (Jerry Snitselaar) [RHEL-28780]
- iommufd/selftest: Refactor mock_domain_read_and_clear_dirty() (Jerry Snitselaar) [RHEL-28780]
- iommufd/iova_bitmap: Handle recording beyond the mapped pages (Jerry Snitselaar) [RHEL-28780]
- iommufd/iova_bitmap: Switch iova_bitmap::bitmap to an u8 array (Jerry Snitselaar) [RHEL-28780]
- iommufd/iova_bitmap: Bounds check mapped::pages access (Jerry Snitselaar) [RHEL-28780]
- drm/tegra: Do not assume that a NULL domain means no DMA IOMMU (Jerry Snitselaar) [RHEL-28780]
- iommu: Allow ops->default_domain to work when !CONFIG_IOMMU_DMA (Jerry Snitselaar) [RHEL-28780]
- dma-debug: fix kernel-doc warnings (Jerry Snitselaar) [RHEL-28780]
- swiotlb: check alloc_size before the allocation of a new memory pool (Jerry Snitselaar) [RHEL-28780]
- iommufd/selftest: Check the bus type during probe (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Add iotlb flush for nested domain (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd: Add data structure for Intel VT-d stage-1 cache invalidation (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd/selftest: Add coverage for IOMMU_HWPT_INVALIDATE ioctl (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd/selftest: Add IOMMU_TEST_OP_MD_CHECK_IOTLB test op (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd/selftest: Add mock_domain_cache_invalidate_user support (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu: Add iommu_copy_struct_from_user_array helper (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd: Add IOMMU_HWPT_INVALIDATE (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu: Add cache_invalidate_user op (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu/sva: Fix memory leak in iommu_sva_bind_device() (Jerry Snitselaar) [RHEL-28780]
- iommu/dma: Trace bounce buffer usage when mapping buffers (Jerry Snitselaar) [RHEL-28780]
- iommu/tegra: Use tegra_dev_iommu_get_stream_id() in the remaining places (Jerry Snitselaar) [RHEL-28780]
- acpi: Do not return struct iommu_ops from acpi_iommu_configure_id() (Jerry Snitselaar) [RHEL-28780]
- iommu: Mark dev_iommu_priv_set() with a lockdep (Jerry Snitselaar) [RHEL-28780]
- iommu: Mark dev_iommu_get() with lockdep (Jerry Snitselaar) [RHEL-28780]
- iommu/of: Use -ENODEV consistently in of_iommu_configure() (Jerry Snitselaar) [RHEL-28780]
- iommmu/of: Do not return struct iommu_ops from of_iommu_configure() (Jerry Snitselaar) [RHEL-28780]
- iommu: Remove struct iommu_ops *iommu from arch_setup_dma_ops() (Jerry Snitselaar) [RHEL-28780]
- iommu: Set owner token to SVA domain (Jerry Snitselaar) [RHEL-28780]
- iommu: Support mm PASID 1:n with sva domains (Jerry Snitselaar) [RHEL-28780 RHEL-29105]
- mm: Deprecate pasid field (Jerry Snitselaar) [RHEL-28780 RHEL-29105]
- mm: Add structure to keep sva information (Jerry Snitselaar) [RHEL-28780 RHEL-29105]
- iommu: Add mm_get_enqcmd_pasid() helper function (Jerry Snitselaar) [RHEL-28780 RHEL-29105]
- iommu/vt-d: Remove mm->pasid in intel_sva_bind_mm() (Jerry Snitselaar) [RHEL-28780 RHEL-29105]
- iommu: Change kconfig around IOMMU_SVA (Jerry Snitselaar) [RHEL-28780 RHEL-29105]
- iommu: Extend LPAE page table format to support custom allocators (Jerry Snitselaar) [RHEL-28780]
- iommu/io-pgtable: Move Apple DART support to its own file (Jerry Snitselaar) [RHEL-28780]
- iommu: Allow passing custom allocators to pgtable drivers (Jerry Snitselaar) [RHEL-28780]
- iommu: Clean up open-coded ownership checks (Jerry Snitselaar) [RHEL-28780]
- iommu: Retire bus ops (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu: Don't register fwnode for legacy binding (Jerry Snitselaar) [RHEL-28780]
- iommu: Decouple iommu_domain_alloc() from bus ops (Jerry Snitselaar) [RHEL-28780]
- iommu: Validate that devices match domains (Jerry Snitselaar) [RHEL-28780]
- iommu: Decouple iommu_present() from bus ops (Jerry Snitselaar) [RHEL-28780]
- iommu: Factor out some helpers (Jerry Snitselaar) [RHEL-28780]
- iommu: Map reserved memory as cacheable if device is coherent (Jerry Snitselaar) [RHEL-28780]
- iommu/amd/pgtbl_v2: Invalidate updated page ranges only (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Make domain_flush_pages as global function (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Consolidate amd_iommu_domain_flush_complete() call (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Refactor device iotlb invalidation code (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Refactor IOMMU tlb invalidation code (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Add support to invalidate multiple guest pages (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Remove redundant passing of PDE bit (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Remove redundant domain flush from attach_device() (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Rename iommu_flush_all_caches() -> amd_iommu_flush_all_caches() (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Do not flush IRTE when only updating isRun and destination fields (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Set variable amd_dirty_ops to static (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Move inline helpers to header files (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Remove unused vcmd interfaces (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Remove unused parameter of intel_pasid_setup_pass_through() (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Refactor device_to_iommu() to retrieve iommu directly (Jerry Snitselaar) [RHEL-28780]
- iommu/virtio: Add ops->flush_iotlb_all and enable deferred flush (Jerry Snitselaar) [RHEL-28780]
- iommu/virtio: Make use of ops->iotlb_sync_map (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu: Convert to domain_alloc_paging() (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu: Pass arm_smmu_domain to internal functions (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu: Implement IOMMU_DOMAIN_BLOCKED (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu: Convert to a global static identity domain (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu: Reorganize arm_smmu_domain_add_master() (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-v3: Remove ARM_SMMU_DOMAIN_NESTED (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-v3: Master cannot be NULL in arm_smmu_write_strtab_ent() (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-v3: Add a type for the STE (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-v3: disable stall for quiet_cd (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-qcom: Add QCM2290 MDSS compatible (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-qcom: Add missing GMU entry to match table (Jerry Snitselaar) [RHEL-28780]
- dma-debug: make dma_debug_add_bus take a const pointer (Jerry Snitselaar) [RHEL-28780]
- dma-mapping: clear dev->dma_mem to NULL after freeing it (Jerry Snitselaar) [RHEL-28780]
- swiotlb: reduce area lock contention for non-primary IO TLB pools (Jerry Snitselaar) [RHEL-28780]
- dma-mapping: don't store redundant offsets (Jerry Snitselaar) [RHEL-28780]
- iommufd: Do not UAF during iommufd_put_object() (Jerry Snitselaar) [RHEL-28780]
- iommufd: Add iommufd_ctx to iommufd_put_object() (Jerry Snitselaar) [RHEL-28780]
- iommufd/selftest: Fix _test_mock_dirty_bitmaps() (Jerry Snitselaar) [RHEL-28780]
- iommu: Fix printk arg in of_iommu_get_resv_regions() (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Set variable intel_dirty_ops to static (Jerry Snitselaar) [RHEL-28780]
- iommu: Flow ERR_PTR out from __iommu_domain_alloc() (Jerry Snitselaar) [RHEL-28780]
- swiotlb: fix out-of-bounds TLB allocations with CONFIG_SWIOTLB_DYNAMIC (Jerry Snitselaar) [RHEL-28780]
- dma-mapping: fix dma_addressing_limited() if dma_range_map can't cover all system RAM (Jerry Snitselaar) [RHEL-28780]
- dma-mapping: move dma_addressing_limited() out of line (Jerry Snitselaar) [RHEL-28780]
- swiotlb: do not free decrypted pages if dynamic (Jerry Snitselaar) [RHEL-28780]
- s390/pci: prepare is_passed_through() for dma-iommu (Jerry Snitselaar) [RHEL-28780]
- iommu/dma: Use a large flush queue and timeout for shadow_on_flush (Jerry Snitselaar) [RHEL-28780]
- iommu/dma: Allow a single FQ in addition to per-CPU FQs (Jerry Snitselaar) [RHEL-28780]
- iommu/s390: Disable deferred flush for ISM devices (Jerry Snitselaar) [RHEL-28780]
- s390/pci: Fix reset of IOMMU software counters (Jerry Snitselaar) [RHEL-28780]
- s390/pci: Use dma-iommu layer (Jerry Snitselaar) [RHEL-28780]
- s390/pci: convert high_memory to physical address (Jerry Snitselaar) [RHEL-28780]
- iommu: Allow .iotlb_sync_map to fail and handle s390's -ENOMEM return (Jerry Snitselaar) [RHEL-28780]
- iommufd: Convert to alloc_domain_paging() (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Use ops->blocked_domain (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Update the definition of the blocking domain (Jerry Snitselaar) [RHEL-28780]
- powerpc/iommu: Refactor spapr_tce_platform_iommu_attach_dev() (Jerry Snitselaar) [RHEL-28780]
- powerpc/pseries/iommu: DLPAR add doesn't completely initialize pci_controller (Jerry Snitselaar) [RHEL-28780]
- powerpc/iommu: Fix the missing iommu_group_put() during platform domain attach (Jerry Snitselaar) [RHEL-28780]
- powerpc: iommu: Bring back table group release_ownership() call (Jerry Snitselaar) [RHEL-28780]
- iommu: Move IOMMU_DOMAIN_BLOCKED global statics to ops->blocked_domain (Jerry Snitselaar) [RHEL-28780]
- powerpc/iommu: Do not do platform domain attach atctions after probe (Jerry Snitselaar) [RHEL-28780]
- powerpc/iommu: Setup a default domain and remove set_platform_dma_ops (Jerry Snitselaar) [RHEL-28780]
- powerpc/iommu: Fix notifiers being shared by PCI and VIO buses (Jerry Snitselaar) [RHEL-28780]
- powerpc/iommu: Only build sPAPR access functions on pSeries (Jerry Snitselaar) [RHEL-28780]
- powerpc/iommu: Remove iommu_del_device() (Jerry Snitselaar) [RHEL-28780]
- powerpc/iommu: Add iommu_ops to report capabilities and allow blocking domains (Jerry Snitselaar) [RHEL-28780]
- powerpc/iommu: Add "borrowing" iommu_table_group_ops (Jerry Snitselaar) [RHEL-28780]
- powerpc/pci_64: Init pcibios subsys a bit later (Jerry Snitselaar) [RHEL-28780]
- iommu: change iommu_map_sgtable to return signed values (Jerry Snitselaar) [RHEL-28780]
- iommu: Fix return code in iommu_group_alloc_default_domain() (Jerry Snitselaar) [RHEL-28780]
- iommu: Do not use IOMMU_DOMAIN_DMA if CONFIG_IOMMU_DMA is not enabled (Jerry Snitselaar) [RHEL-28780]
- iommu: Improve map/unmap sanity checks (Jerry Snitselaar) [RHEL-28780]
- iommu: Retire map/unmap ops (Jerry Snitselaar) [RHEL-28780]
- iommu/tegra-smmu: Update to {map,unmap}_pages (Jerry Snitselaar) [RHEL-28780]
- iommu/ipmmu-vmsa: Convert to generic_single_device_group() (Jerry Snitselaar) [RHEL-28780]
- iommu: Add generic_single_device_group() (Jerry Snitselaar) [RHEL-28780]
- iommu: Remove useless group refcounting (Jerry Snitselaar) [RHEL-28780]
- iommu: Convert remaining simple drivers to domain_alloc_paging() (Jerry Snitselaar) [RHEL-28780]
- iommu: Convert simple drivers with DOMAIN_DMA to domain_alloc_paging() (Jerry Snitselaar) [RHEL-28780]
- iommu: Add ops->domain_alloc_paging() (Jerry Snitselaar) [RHEL-28780]
- iommu: Add __iommu_group_domain_alloc() (Jerry Snitselaar) [RHEL-28780]
- iommu: Require a default_domain for all iommu drivers (Jerry Snitselaar) [RHEL-28780]
- iommu/ipmmu: Add an IOMMU_IDENTITIY_DOMAIN (Jerry Snitselaar) [RHEL-28780]
- iommu: Remove ops->set_platform_dma_ops() (Jerry Snitselaar) [RHEL-28780]
- iommu/tegra-smmu: Support DMA domains in tegra (Jerry Snitselaar) [RHEL-28780]
- iommu/tegra-smmu: Implement an IDENTITY domain (Jerry Snitselaar) [RHEL-28780]
- iommu: Allow an IDENTITY domain as the default_domain in ARM32 (Jerry Snitselaar) [RHEL-28780]
- iommu: Reorganize iommu_get_default_domain_type() to respect def_domain_type() (Jerry Snitselaar) [RHEL-28780]
- iommu/tegra-gart: Remove tegra-gart (Jerry Snitselaar) [RHEL-28780]
- iommu: Add IOMMU_DOMAIN_PLATFORM for S390 (Jerry Snitselaar) [RHEL-28780]
- iommu: Add IOMMU_DOMAIN_PLATFORM (Jerry Snitselaar) [RHEL-28780]
- iommu: Add iommu_ops->identity_domain (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Remove DMA_FQ type from domain allocation path (Jerry Snitselaar) [RHEL-28780]
- Revert "iommu: Fix false ownership failure on AMD systems with PASID activated" (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Remove unused EXPORT_SYMBOLS (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Remove amd_iommu_device_info() (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Remove PPR support (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Remove iommu_v2 module (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Initialize iommu_device->max_pasids (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Enable device ATS/PASID/PRI capabilities independently (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Introduce iommu_dev_data.flags to track device capabilities (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Introduce iommu_dev_data.ppr (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Rename ats related variables (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Modify logic for checking GT and PPR features (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Consolidate feature detection and reporting logic (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Miscellaneous clean up when free domain (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Do not set amd_iommu_pgtable in pass-through mode (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Introduce helper functions for managing GCR3 table (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Refactor protection domain allocation code (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Consolidate logic to allocate protection domain (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Consolidate timeout pre-define to amd_iommu_type.h (Jerry Snitselaar) [RHEL-28780]
- iommu/amd: Remove unused amd_io_pgtable.pt_root variable (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: debugfs: Support dumping a specified page table (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: debugfs: Create/remove debugfs file per {device, pasid} (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: debugfs: Dump entry pointing to huge page (Jerry Snitselaar) [RHEL-28780]
- iommu/virtio: Add __counted_by for struct viommu_request and use struct_size() (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-v3-sva: Remove bond refcount (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-v3-sva: Remove unused iommu_sva handle (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-v3: Rename cdcfg to cd_table (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Update comment about STE liveness (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Cleanup arm_smmu_domain_finalise (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Move CD table to arm_smmu_master (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Refactor write_ctx_desc (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: move stall_enabled to the cd table (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Encapsulate ctx_desc_cfg init in alloc_cd_tables (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Replace s1_cfg with cdtab_cfg (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-v3: Move ctx_desc out of s1_cfg (Jerry Snitselaar) [RHEL-12322 RHEL-28780]
- iommu/arm-smmu-qcom: Add SM7150 SMMUv2 (Jerry Snitselaar) [RHEL-28780]
- iommu/arm-smmu-qcom: Add SDM670 MDSS compatible (Jerry Snitselaar) [RHEL-28780]
- iommu/tegra-smmu: Drop unnecessary error check for for debugfs_create_dir() (Jerry Snitselaar) [RHEL-28780]
- iommufd: Organize the mock domain alloc functions closer to Joerg's tree (Jerry Snitselaar) [RHEL-28780]
- iommufd/selftest: Fix page-size check in iommufd_test_dirty() (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd: Add iopt_area_alloc() (Jerry Snitselaar) [RHEL-28780]
- iommufd: Fix missing update of domains_itree after splitting iopt_area (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Disallow read-only mappings to nest parent domain (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Add nested domain allocation (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Set the nested domain to a device (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Make domain attach helpers to be extern (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Add helper to setup pasid nested translation (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Add helper for nested domain allocation (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Extend dmar_domain to support nested domain (Jerry Snitselaar) [RHEL-28780]
- iommufd: Add data structure for Intel VT-d stage-1 domain allocation (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Enhance capability check for nested parent domain allocation (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd/selftest: Add coverage for IOMMU_HWPT_ALLOC with nested HWPTs (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd/selftest: Add nested domain allocation for mock domain (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu: Add iommu_copy_struct_from_user helper (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd: Add a nested HW pagetable object (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu: Pass in parent domain with user_data to domain_alloc_user op (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd: Share iommufd_hwpt_alloc with IOMMUFD_OBJ_HWPT_NESTED (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd: Derive iommufd_hwpt_paging from iommufd_hw_pagetable (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd/device: Wrap IOMMUFD_OBJ_HWPT_PAGING-only configurations (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd: Rename IOMMUFD_OBJ_HW_PAGETABLE to IOMMUFD_OBJ_HWPT_PAGING (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu: Add IOMMU_DOMAIN_NESTED (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd: Only enforce cache coherency in iommufd_hw_pagetable_alloc (Jerry Snitselaar) [RHEL-28780]
- iommufd/selftest: Test IOMMU_HWPT_GET_DIRTY_BITMAP_NO_CLEAR flag (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd/selftest: Test out_capabilities in IOMMU_GET_HW_INFO (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd/selftest: Test IOMMU_HWPT_GET_DIRTY_BITMAP (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd/selftest: Test IOMMU_HWPT_SET_DIRTY_TRACKING (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd/selftest: Test IOMMU_HWPT_ALLOC_DIRTY_TRACKING (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd/selftest: Expand mock_domain with dev_flags (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu/vt-d: Access/Dirty bit support for SS domains (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu/amd: Access/Dirty bit support in IOPTEs (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu/amd: Add domain_alloc_user based domain allocation (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd: Add a flag to skip clearing of IOPTE dirty (Jerry Snitselaar) [RHEL-28780]
- iommufd: Add capabilities to IOMMU_GET_HW_INFO (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd: Add IOMMU_HWPT_GET_DIRTY_BITMAP (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd: Add IOMMU_HWPT_SET_DIRTY_TRACKING (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd: Add a flag to enforce dirty tracking on attach (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu: Add iommu_domain ops for dirty tracking (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd: Correct IOMMU_HWPT_ALLOC_NEST_PARENT description (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd/selftest: Rework TEST_LENGTH to test min_size explicitly (Jerry Snitselaar) [RHEL-28780]
- iommu/vt-d: Add domain_alloc_user op (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd/selftest: Add domain_alloc_user() support in iommu mock (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd: Support allocating nested parent domain (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd: Flow user flags for domain allocation to domain_alloc_user() (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd: Use the domain_alloc_user() op for domain allocation (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommu: Add new iommu op to create domains owned by userspace (Jerry Snitselaar) [RHEL-12083 RHEL-28780]
- iommufd/selftest: Iterate idev_ids in mock_domain's alloc_hwpt test (Jerry Snitselaar) [RHEL-28780]
- iommufd: Fix spelling errors in comments (Jerry Snitselaar) [RHEL-28780]
- dma-debug: Fix a typo in a debugging eye-catcher (Jerry Snitselaar) [RHEL-28780]
- swiotlb: rewrite comment explaining why the source is preserved on DMA_FROM_DEVICE (Jerry Snitselaar) [RHEL-28780]
- net: fec: use dma_alloc_noncoherent for data cache enabled coldfire (Jerry Snitselaar) [RHEL-28780]
- dma-direct: warn when coherent allocations aren't supported (Jerry Snitselaar) [RHEL-28780]
- dma-direct: simplify the use atomic pool logic in dma_direct_alloc (Jerry Snitselaar) [RHEL-28780]
- dma-direct: add a CONFIG_ARCH_HAS_DMA_ALLOC symbol (Jerry Snitselaar) [RHEL-28780]
- dma-direct: add dependencies to CONFIG_DMA_GLOBAL_POOL (Jerry Snitselaar) [RHEL-28780]
- swiotlb: do not try to allocate a TLB bigger than MAX_ORDER pages (Jerry Snitselaar) [RHEL-28780]
- swiotlb: fix the check whether a device has used software IO TLB (Jerry Snitselaar) [RHEL-28780]
- dma-contiguous: fix the Kconfig entry for CONFIG_DMA_NUMA_CMA (Jerry Snitselaar) [RHEL-28780]
- iommu: Explicitly include correct DT includes (Jerry Snitselaar) [RHEL-28780]
- swiotlb: move slot allocation explanation comment where it belongs (Jerry Snitselaar) [RHEL-28780]
- swiotlb: search the software IO TLB only if the device makes use of it (Jerry Snitselaar) [RHEL-28780]
- swiotlb: allocate a new memory pool when existing pools are full (Jerry Snitselaar) [RHEL-28780]
- swiotlb: determine potential physical address limit (Jerry Snitselaar) [RHEL-28780]
- swiotlb: if swiotlb is full, fall back to a transient memory pool (Jerry Snitselaar) [RHEL-28780]
- redhat/configs: add file for SWIOTLB_DYNAMIC (Jerry Snitselaar) [RHEL-28780]
- swiotlb: add a flag whether SWIOTLB is allowed to grow (Jerry Snitselaar) [RHEL-28780]
- swiotlb: separate memory pool data from other allocator data (Jerry Snitselaar) [RHEL-28780]
- swiotlb: add documentation and rename swiotlb_do_find_slots() (Jerry Snitselaar) [RHEL-28780]
- redhat/configs: Add CONFIG_DMA_NUMA_CMA and remove CONFIG_DMA_PERNUMA_CMA (Jerry Snitselaar) [RHEL-28780]
- dma-contiguous: support numa CMA for specified node (Jerry Snitselaar) [RHEL-28780]
- dma-contiguous: support per-numa CMA for all architectures (Jerry Snitselaar) [RHEL-28780]
- of: Fix "dma-ranges" handling for bus controllers (Jerry Snitselaar) [RHEL-28780]
- net/mlx5e: Fix operation precedence bug in port timestamping napi_poll context (Kamal Heib) [RHEL-30492] {CVE-2023-52626}
- sched/numa: Fix mm numa_scan_seq based unconditional scan (Phil Auld) [RHEL-10049]
- sched/numa: Complete scanning of inactive VMAs when there is no alternative (Phil Auld) [RHEL-10049]
- sched/numa: Complete scanning of partial VMAs regardless of PID activity (Phil Auld) [RHEL-10049]
- sched/numa: Move up the access pid reset logic (Phil Auld) [RHEL-10049]
- sched/numa: Trace decisions related to skipping VMAs (Phil Auld) [RHEL-10049]
- sched/numa: Rename vma_numab_state::access_pids[] => ::pids_active[], ::next_pid_reset => ::pids_active_reset (Phil Auld) [RHEL-10049]
- sched/numa: Document vma_numab_state fields (Phil Auld) [RHEL-10049]
- sched/numa: use hash_32 to mix up PIDs accessing VMA (Phil Auld) [RHEL-10049]
- sched/numa: implement access PID reset logic (Phil Auld) [RHEL-10049]
- selftests/livepatch: fix and refactor new dmesg message code (Ryan Sullivan) [RHEL-31518]
- docs: automarkup: linkify git revs (Ryan Sullivan) [RHEL-31518]
- livepatch: Fix missing newline character in klp_resolve_symbols() (Ryan Sullivan) [RHEL-31518]
Resolves: RHEL-10049, RHEL-12083, RHEL-12322, RHEL-28780, RHEL-29105, RHEL-29357, RHEL-29359, RHEL-30492, RHEL-31518, RHEL-32699, RHEL-33706, RHEL-35293, RHEL-36209, RHEL-36224, RHEL-36683, RHEL-37426, RHEL-37688, RHEL-37707, RHEL-38115, RHEL-38417

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-06-05 17:16:16 -03:00
Lucas Zampieri
d228213f36
kernel-5.14.0-458.el9
* Mon Jun 03 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-458.el9]
- memblock: fix crash when reserved memory is not added to memory (Eric Chanudet) [RHEL-36126]
- mm: pass nid to reserve_bootmem_region() (Eric Chanudet) [RHEL-36126]
- x86/tsc: Trust initial offset in architectural TSC-adjust MSRs (Prarit Bhargava) [RHEL-29437]
- net/mlx5e: Advertise mlx5 ethernet driver updates sk_buff md_dst for MACsec (Sabrina Dubroca) [RHEL-31748]
- macsec: Detect if Rx skb is macsec-related for offloading devices that update md_dst (Sabrina Dubroca) [RHEL-31748]
- ethernet: Add helper for assigning packet type when dest address does not match device address (Sabrina Dubroca) [RHEL-31748]
- macsec: Enable devices to advertise whether they update sk_buff md_dst during offloads (Sabrina Dubroca) [RHEL-31748]
- net: macsec: revert the MAC address if mdo_upd_secy fails (Sabrina Dubroca) [RHEL-31748]
- net: macsec: documentation for macsec_context and macsec_ops (Sabrina Dubroca) [RHEL-31748]
- net: macsec: move sci_to_cpu to macsec header (Sabrina Dubroca) [RHEL-31748]
- net: add DEV_STATS_READ() helper (Sabrina Dubroca) [RHEL-31748]
- net: macsec: Use helper functions to update stats (Sabrina Dubroca) [RHEL-31748]
- macsec: use DEV_STATS_INC() (Sabrina Dubroca) [RHEL-31748]
- net: macsec: remove the prepare flag from the MACsec offloading context (Sabrina Dubroca) [RHEL-31748]
- ethtool: add linkmode bitmap support to struct ethtool_keee (Ivan Vecera) [RHEL-32101]
- ethtool: add suffix _u32 to legacy bitmap members of struct ethtool_keee (Ivan Vecera) [RHEL-32101]
- ethtool: adjust struct ethtool_keee to kernel needs (Ivan Vecera) [RHEL-32101]
- ethtool: switch back from ethtool_keee to ethtool_eee for ioctl (Ivan Vecera) [RHEL-32101]
- ethtool: replace struct ethtool_eee with a new struct ethtool_keee on kernel side (Ivan Vecera) [RHEL-32101]
- treewide: use get_random_u32_below() instead of deprecated function (Bill O'Donnell) [RHEL-36333]
- powerpc/64s: fix program check interrupt emergency stack path (Mamatha Inamdar) [RHEL-37690] {CVE-2021-47428}
- ext4: regenerate buddy after block freeing failed if under fc replay (Carlos Maiolino) [RHEL-26678] {CVE-2024-26601}
- ext4: change the type of blocksize in ext4_mb_init_cache() (Carlos Maiolino) [RHEL-26678] {CVE-2024-26601}
- ext4: correct grp validation in ext4_mb_good_group (Carlos Maiolino) [RHEL-26678] {CVE-2024-26601}
- ext4: drop the call to ext4_error() from ext4_get_group_info() (Carlos Maiolino) [RHEL-26678] {CVE-2024-26601}
- ext4: allow ext4_get_group_info() to fail (Carlos Maiolino) [RHEL-26678] {CVE-2024-26601}
- blk-cgroup: fix list corruption from reorder of WRITE ->lqueued (Ming Lei) [RHEL-38348]
- blk-cgroup: fix list corruption from resetting io stat (Ming Lei) [RHEL-38348]
- net: dst: fix missing initialization of rt_uncached (Felix Maurer) [RHEL-15695]
- net: dst: Switch to rcuref_t reference counting (Felix Maurer) [RHEL-15695]
- net: Use call_rcu_hurry() for dst_release() (Felix Maurer) [RHEL-15695]
- net: dst: Prevent false sharing vs. dst_entry:: __refcnt (Felix Maurer) [RHEL-15695]
- rh_messages.h: mark mlx5 on Bluefield-3 as unmaintained (Scott Weaver) [RHEL-33061]
- rh_messages.h: update driver and device lists (Scott Weaver) [RHEL-35575]
- i2c: dev: copy userspace array safely (Steve Best) [RHEL-38295] {CVE-2023-52758}
- crypto: pcrypt - Fix hungtask for PADATA_RESET (Herbert Xu) [RHEL-38173] {CVE-2023-52813}
- platform/x86/amd/pmc: Modify SMU message port for latest AMD platform (David Arcari) [RHEL-24991]
- platform/x86/amd/pmc: Add 1Ah family series to STB support list (David Arcari) [RHEL-24991]
- platform/x86/amd/pmc: Add idlemask support for 1Ah family (David Arcari) [RHEL-24991]
- platform/x86/amd/pmc: call amd_pmc_get_ip_info() during driver probe (David Arcari) [RHEL-24991]
- platform/x86/amd/pmc: Add VPE information for AMDI000A platform (David Arcari) [RHEL-24991]
- platform/x86/amd/pmc: Send OS_HINT command for AMDI000A platform (David Arcari) [RHEL-24991]
- platform/x86/amd/pmc: Disable keyboard wakeup on AMD Framework 13 (David Arcari) [RHEL-24991]
- platform/x86/amd/pmc: Move keyboard wakeup disablement detection to pmc-quirks (David Arcari) [RHEL-24991]
- platform/x86/amd/pmc: Only run IRQ1 firmware version check on Cezanne (David Arcari) [RHEL-24991]
- platform/x86/amd/pmc: Move platform defines to header (David Arcari) [RHEL-24991]
- platform/x86/amd/pmc: adjust getting DRAM size behavior (David Arcari) [RHEL-24991]
- platform/x86: Add s2idle quirk for more Lenovo laptops (David Arcari) [RHEL-24991]
- platform/x86/amd/pmc: Add dump_custom_stb module parameter (David Arcari) [RHEL-24991]
- platform/x86/amd/pmc: Handle overflow cases where the num_samples range is higher (David Arcari) [RHEL-24991]
- platform/x86/amd/pmc: Use flex array when calling amd_pmc_stb_debugfs_open_v2() (David Arcari) [RHEL-24991]
- platform/x86/amd/pmc: Add PMFW command id to support S2D force flush (David Arcari) [RHEL-24991]
- platform/x86/amd/pmc: Fix build error with randconfig (David Arcari) [RHEL-24991]
- platform/x86/amd/pmc: Move PMC driver to separate directory (David Arcari) [RHEL-24991]
- platform/x86/amd: pmc: Use release_mem_region() to undo request_mem_region_muxed() (David Arcari) [RHEL-24991]
- platform/x86/amd: pmc: Apply nvme quirk to HP 15s-eq2xxx (David Arcari) [RHEL-24991]
- platform/x86/amd: pmc: Add new ACPI ID AMDI000A (David Arcari) [RHEL-24991]
- platform/x86: Move s2idle quirk from thinkpad-acpi to amd-pmc (David Arcari) [RHEL-24991]
- platform/x86/amd: pmc: Use pm_pr_dbg() for suspend related messages (David Arcari) [RHEL-24991]
- include/linux/suspend.h: Only show pm_pr_dbg messages at suspend/resume (David Arcari) [RHEL-24991]
- PM: sleep: enable dynamic debug support within pm_pr_dbg() (David Arcari) [RHEL-24991]
- platform/x86/amd: pmc: Update metrics table info for Pink Sardine (David Arcari) [RHEL-24991]
- platform/x86/amd: pmc: Add helper function to check the cpu id (David Arcari) [RHEL-24991]
- platform/x86/amd: pmc: Get STB DRAM size from PMFW (David Arcari) [RHEL-24991]
- platform/x86/amd: pmc: Pass true/false to bool argument (David Arcari) [RHEL-24991]
- redhat/configs: Enable INTEL_IOMMU_SCALABLE_MODE_DEFAULT_ON (Jerry Snitselaar) [RHEL-28672]
- idpf: don't skip over ethtool tcp-data-split setting (Michal Schmidt) [RHEL-36182]
- mmc: sdio: fix possible resource leaks in some error paths (Steve Best) [RHEL-38151] {CVE-2023-52730}
- redhat/configs: Adding CONFIG_TYPEC_MUX_WCD939X_USBSS (Desnes Nunes) [RHEL-34114]
- usb: xhci: use array_size() when allocating and freeing memory (Desnes Nunes) [RHEL-34114]
- usb: xhci: check if 'requested segments' exceeds ERST capacity (Desnes Nunes) [RHEL-34114]
- usb: typec: ucsi: limit the UCSI_NO_PARTNER_PDOS even further (Desnes Nunes) [RHEL-34114]
- usb: typec: ucsi: allow non-partner GET_PDOS for Qualcomm devices (Desnes Nunes) [RHEL-34114]
- usb: typec: ucsi: Fix connector check on init (Desnes Nunes) [RHEL-34114]
- usb: typec: ucsi: Clear UCSI_CCI_RESET_COMPLETE before reset (Desnes Nunes) [RHEL-34114]
- usb: typec: ucsi_acpi: Refactor and fix DELL quirk (Desnes Nunes) [RHEL-34114]
- usb: typec: ucsi: Ack unsupported commands (Desnes Nunes) [RHEL-34114]
- usb: typec: ucsi: Check for notifications after init (Desnes Nunes) [RHEL-34114]
- usb: typec: ucsi: Clear EVENT_PENDING under PPM lock (Desnes Nunes) [RHEL-34114]
- usb: typec: tcpm: Correct the PDO counting in pd_set (Desnes Nunes) [RHEL-34114]
- usb: typec: tcpm: Update PD of Type-C port upon pd_set (Desnes Nunes) [RHEL-34114]
- usb: typec: tcpm: fix double-free issue in tcpm_port_unregister_pd() (Desnes Nunes) [RHEL-34114]
- usb: typec: tcpm: Correct port source pdo array in pd_set callback (Desnes Nunes) [RHEL-34114]
- xhci: Fix failure to detect ring expansion need. (Desnes Nunes) [RHEL-34114]
- usb: port: Don't try to peer unused USB ports based on location (Desnes Nunes) [RHEL-34114]
- usb: typec: altmodes/displayport: create sysfs nodes as driver's default device attribute group (Desnes Nunes) [RHEL-34114]
- usb: typec: tpcm: Fix PORT_RESET behavior for self powered devices (Desnes Nunes) [RHEL-34114]
- USB: usb-storage: Prevent divide-by-0 error in isd200_ata_command (Desnes Nunes) [RHEL-34114]
- usb: typec: tpcm: Fix issues with power being removed during reset (Desnes Nunes) [RHEL-34114]
- usb: dwc3: gadget: Don't disconnect if not started (Desnes Nunes) [RHEL-34114]
- usb: roles: don't get/set_role() when usb_role_switch is unregistered (Desnes Nunes) [RHEL-34114]
- usb: roles: fix NULL pointer issue when put module's reference (Desnes Nunes) [RHEL-34114]
- USB: roles: make role_class a static const structure (Desnes Nunes) [RHEL-34114]
- usb: uhci-grlib: Explicitly include linux/platform_device.h (Desnes Nunes) [RHEL-34114]
- usb: uhci-grlib: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-34114]
- scsi: sd: usb_storage: uas: Access media prior to querying device properties (Desnes Nunes) [RHEL-34114]
- Revert "usb: dwc3: Support EBC feature of DWC_usb31" (Desnes Nunes) [RHEL-34114]
- dt-bindings: xilinx: replace Piyush Mehta maintainership (Desnes Nunes) [RHEL-34114]
- USB: serial: option: add Fibocom FM101-GL variant (Desnes Nunes) [RHEL-34114]
- USB: serial: qcserial: add new usb-id for Dell Wireless DW5826e (Desnes Nunes) [RHEL-34114]
- USB: serial: cp210x: add ID for IMST iM871A-USB (Desnes Nunes) [RHEL-34114]
- thunderbolt: Fix setting the CNS bit in ROUTER_CS_5 (Desnes Nunes) [RHEL-34114]
- usb: typec: tcpm: fix the PD disabled case (Desnes Nunes) [RHEL-34114]
- usb: ucsi_acpi: Quirk to ack a connector change ack cmd (Desnes Nunes) [RHEL-34114]
- usb: ucsi_acpi: Fix command completion handling (Desnes Nunes) [RHEL-34114]
- usb: ucsi: Add missing ppm_lock (Desnes Nunes) [RHEL-34114]
- usb: ulpi: Fix debugfs directory leak (Desnes Nunes) [RHEL-34114]
- Revert "usb: typec: tcpm: fix cc role at port reset" (Desnes Nunes) [RHEL-34114]
- USB: hub: check for alternate port before enabling A_ALT_HNP_SUPPORT (Desnes Nunes) [RHEL-34114]
- usb: chipidea: core: handle power lost in workqueue (Desnes Nunes) [RHEL-34114]
- usb: dwc3: gadget: Fix NULL pointer dereference in dwc3_gadget_suspend (Desnes Nunes) [RHEL-34114]
- usb: core: Prevent null pointer dereference in update_port_device_state (Desnes Nunes) [RHEL-34114]
- xhci: handle isoc Babble and Buffer Overrun events properly (Desnes Nunes) [RHEL-34114]
- xhci: process isoc TD properly when there was a transaction error mid TD. (Desnes Nunes) [RHEL-34114]
- xhci: fix off by one check when adding a secondary interrupter. (Desnes Nunes) [RHEL-34114]
- xhci: fix possible null pointer dereference at secondary interrupter removal (Desnes Nunes) [RHEL-34114]
- usb: host: xhci-plat: Add support for XHCI_SG_TRB_CACHE_SIZE_QUIRK (Desnes Nunes) [RHEL-34114]
- usb: dwc3: host: Set XHCI_SG_TRB_CACHE_SIZE_QUIRK (Desnes Nunes) [RHEL-34114]
- usb: gadget: ncm: Fix indentations in documentation of NCM section (Desnes Nunes) [RHEL-34114]
- usb: typec: tipd: fix use of device-specific init function (Desnes Nunes) [RHEL-34114]
- usb: typec: tipd: Separate reset for TPS6598x (Desnes Nunes) [RHEL-34114]
- usb: mon: Fix atomicity violation in mon_bin_vma_fault (Desnes Nunes) [RHEL-34114]
- usb: typec: class: fix typec_altmode_put_partner to put plugs (Desnes Nunes) [RHEL-34114]
- dt-bindings: usb: dwc3: Limit num-hc-interrupters definition (Desnes Nunes) [RHEL-34114]
- dt-bindings: usb: xhci: Add num-hc-interrupters definition (Desnes Nunes) [RHEL-34114]
- xhci: add support to allocate several interrupters (Desnes Nunes) [RHEL-34114]
- USB: core: Use device_driver directly in struct usb_driver and usb_device_driver (Desnes Nunes) [RHEL-34114]
- usb: dwc3: gadget: Queue PM runtime idle on disconnect event (Desnes Nunes) [RHEL-34114]
- usb: chipidea: wait controller resume finished for wakeup irq (Desnes Nunes) [RHEL-34114]
- usb: chipidea: ci_hdrc_imx: add wakeup clock and keep it always on (Desnes Nunes) [RHEL-34114]
- usb: gadget: ncm: Add support to update wMaxSegmentSize via configfs (Desnes Nunes) [RHEL-34114]
- usb: gadget: ncm: Handle decoding of multiple NTB's in unwrap call (Desnes Nunes) [RHEL-34114]
- usb: gadget: f_ncm: Always set current gadget in ncm_bind() (Desnes Nunes) [RHEL-34114]
- usb: dwc3: set pm runtime active before resume common (Desnes Nunes) [RHEL-34114]
- usb: dwc3: Support EBC feature of DWC_usb31 (Desnes Nunes) [RHEL-34114]
- usb: typec: tcpm: Support multiple capabilities (Desnes Nunes) [RHEL-34114]
- dt-bindings: connector: Add child nodes for multiple PD capabilities (Desnes Nunes) [RHEL-34114]
- usb: xhci-plat: fix usb disconnect issue after s4 (Desnes Nunes) [RHEL-34114]
- usb: dwc3: core: set force_gen1 bit in USB31 devices if max speed is SS (Desnes Nunes) [RHEL-34114]
- usb: dwc: ep0: Update request status in dwc3_ep0_stall_restart (Desnes Nunes) [RHEL-34114]
- usb: dwc3: gadget: Handle EP0 request dequeuing properly (Desnes Nunes) [RHEL-34114]
- usb: dwc3: Properly handle processing of pending events (Desnes Nunes) [RHEL-34114]
- usb: dwc3: gadget: Propagate core init errors to UDC during pullup (Desnes Nunes) [RHEL-34114]
- USB: fix up merge of 6.4-rc4 into usb-next (Desnes Nunes) [RHEL-34114]
- usb: dwc3: Add error logs for unknown endpoint events (Desnes Nunes) [RHEL-34114]
- usb: ueagle-atm: Use wait_event_freezable_timeout() in uea_wait() (Desnes Nunes) [RHEL-34114]
- usb: typec: tipd: add patch update support for tps6598x (Desnes Nunes) [RHEL-34114]
- usb: typec: tipd: declare in_data in as const in exec_cmd functions (Desnes Nunes) [RHEL-34114]
- usb: typec: tipd: add function to request firmware (Desnes Nunes) [RHEL-34114]
- usb: typec: tipd: add init and reset functions to tipd_data (Desnes Nunes) [RHEL-34114]
- Revert "usb: dwc3: don't reset device side if dwc3 was configured as host-only" (Desnes Nunes) [RHEL-34114]
- Revert "usb: dwc3: Soft reset phy on probe for host" (Desnes Nunes) [RHEL-34114]
- usb: linux/usb.h: fix Excess kernel-doc description warning (Desnes Nunes) [RHEL-34114]
- thunderbolt: Reduce retry timeout to speed up boot for some devices (Desnes Nunes) [RHEL-34114]
- thunderbolt: Keep link as asymmetric if preferred by hardware (Desnes Nunes) [RHEL-34114]
- thunderbolt: make tb_bus_type const (Desnes Nunes) [RHEL-34114]
- usb: typec: tcpm: Parse Accessory Mode information (Desnes Nunes) [RHEL-34114]
- dt-bindings: connector: usb: add accessory mode description (Desnes Nunes) [RHEL-34114]
- xhci: Fix null pointer dereference during S4 resume when resetting ep0 (Desnes Nunes) [RHEL-34114]
- usb: dwc3: imx8mp: Fix smatch warning (Desnes Nunes) [RHEL-34114]
- usb: typec: fixed a typo (Desnes Nunes) [RHEL-34114]
- usb: gadget: f_fs: fix fortify warning (Desnes Nunes) [RHEL-34114]
- usb: storage: Remove snprintf() from sysfs call-backs and replace with sysfs_emit() (Desnes Nunes) [RHEL-34114]
- usb: mon_text: Replace snprintf() with the safer scnprintf() variant (Desnes Nunes) [RHEL-34114]
- usb: mon_stat: Replace snprintf() with the safer scnprintf() variant (Desnes Nunes) [RHEL-34114]
- usb: typec: mux: add Qualcomm WCD939X USB SubSystem Altmode Mux driver (Desnes Nunes) [RHEL-34114]
- dt-bindings: usb: Document WCD939x USB SubSystem Altmode/Analog Audio Switch (Desnes Nunes) [RHEL-34114]
- usb: core: Fix crash w/ usb_choose_configuration() if no driver (Desnes Nunes) [RHEL-34114]
- usb: typec: tcpm: Query Source partner for FRS capability only if it is DRP (Desnes Nunes) [RHEL-34114]
- usb: typec: change altmode SVID to u16 entry (Desnes Nunes) [RHEL-34114]
- dt-bindings: connector: usb: add altmodes description (Desnes Nunes) [RHEL-34114]
- usb: typec: Remove usage of the deprecated ida_simple_xx() API (Desnes Nunes) [RHEL-34114]
- usb: chipidea: Remove usage of the deprecated ida_simple_xx() API (Desnes Nunes) [RHEL-34114]
- dt-bindings: usb: nxp,ptn5110: Fix typos in the title (Desnes Nunes) [RHEL-34114]
- dt-bindings: usb: genesys,gl850g: Document 'peer-hub' (Desnes Nunes) [RHEL-34114]
- usb: cdc-acm: return correct error code on unsupported break (Desnes Nunes) [RHEL-34114]
- thunderbolt: Add support for Intel Lunar Lake (Desnes Nunes) [RHEL-34114]
- thunderbolt: Disable PCIe extended encapsulation upon teardown properly (Desnes Nunes) [RHEL-34114]
- thunderbolt: Make PCIe tunnel setup and teardown follow CM guide (Desnes Nunes) [RHEL-34114]
- thunderbolt: Improve logging when DisplayPort resource is added due to hotplug (Desnes Nunes) [RHEL-34114]
- thunderbolt: Use tb_dp_read_cap() to read DP_COMMON_CAP as well (Desnes Nunes) [RHEL-34114]
- thunderbolt: Disable CL states only when actually needed (Desnes Nunes) [RHEL-34114]
- thunderbolt: Transition link to asymmetric only when both sides support it (Desnes Nunes) [RHEL-34114]
- thunderbolt: Log XDomain link speed and width (Desnes Nunes) [RHEL-34114]
- thunderbolt: Move width_name() helper to tb.h (Desnes Nunes) [RHEL-34114]
- thunderbolt: Handle lane bonding of Gen 4 XDomain links properly (Desnes Nunes) [RHEL-34114]
- thunderbolt: Unwind TMU configuration if tb_switch_set_tmu_mode_params() fails (Desnes Nunes) [RHEL-34114]
- media: uvcvideo: Fix power line control for SunplusIT camera (Desnes Nunes) [RHEL-34114]
- media: uvcvideo: Pick first best alternate setting insteed of last (Desnes Nunes) [RHEL-34114]
- media: uvcvideo: Fix power line control for a Chicony camera (Desnes Nunes) [RHEL-34114]
- usb: typec: ucsi: fix UCSI on buggy Qualcomm devices (Desnes Nunes) [RHEL-34114]
- media: cx231xx: fix a memleak in cx231xx_init_isoc (Desnes Nunes) [RHEL-34114]
- usb: xhci: xhci-plat: Add support for BCM2711 (Desnes Nunes) [RHEL-34114]
- dt-bindings: usb: xhci: Add support for BCM2711 (Desnes Nunes) [RHEL-34114]
- usb: hub: Add quirk to decrease IN-ep poll interval for Microchip USB491x hub (Desnes Nunes) [RHEL-34114]
- usb: hub: Replace hardcoded quirk value with BIT() macro (Desnes Nunes) [RHEL-34114]
- usb: typec: tcpci: add vconn over current fault handling to maxim_core (Desnes Nunes) [RHEL-34114]
- usb: typec: tcpm: add tcpm_port_error_recovery symbol (Desnes Nunes) [RHEL-34114]
- dt-bindings: usb: tps6598x: add reset-gpios property (Desnes Nunes) [RHEL-34114]
- usb: typec: tps6598x: add reset gpio support (Desnes Nunes) [RHEL-34114]
- r8152: fix unknown device for choose_configuration (Desnes Nunes) [RHEL-34114]
- r8152: Choose our USB config with choose_configuration() rather than probe() (Desnes Nunes) [RHEL-34114]
- usb: core: Allow subclassed USB drivers to override usb_choose_configuration() (Desnes Nunes) [RHEL-34114]
- usb: core: Don't force USB generic_subclass drivers to define probe() (Desnes Nunes) [RHEL-34114]
- usb: atm: Remove snprintf() from sysfs call-backs and replace with sysfs_emit() (Desnes Nunes) [RHEL-34114]
- xhci: fix possible null pointer deref during xhci urb enqueue (Desnes Nunes) [RHEL-34114]
- xhci: Reconfigure endpoint 0 max packet size only during endpoint reset (Desnes Nunes) [RHEL-34114]
- xhci: minor coding style cleanup in 'xhci_try_enable_msi()' (Desnes Nunes) [RHEL-34114]
- xhci: rework 'xhci_try_enable_msi()' MSI and MSI-X setup code (Desnes Nunes) [RHEL-34114]
- xhci: change 'msix_count' to encompass MSI or MSI-X vectors (Desnes Nunes) [RHEL-34114]
- xhci: refactor static MSI function (Desnes Nunes) [RHEL-34114]
- xhci: refactor static MSI-X function (Desnes Nunes) [RHEL-34114]
- xhci: add handler for only one interrupt line (Desnes Nunes) [RHEL-34114]
- xhci: check if legacy irq is available before using it as fallback (Desnes Nunes) [RHEL-34114]
- xhci: dbc: Add missing headers (Desnes Nunes) [RHEL-34114]
- xhci: dbc: Use sizeof(*pointer) instead of sizeof(type) (Desnes Nunes) [RHEL-34114]
- xhci: dbc: Use sizeof_field() where it makes sense (Desnes Nunes) [RHEL-34114]
- xhci: dbc: Replace custom return value with proper Linux error code (Desnes Nunes) [RHEL-34114]
- xhci: dbc: Don't shadow error codes in store() functions (Desnes Nunes) [RHEL-34114]
- xhci: dbc: Check for errors first in xhci_dbc_stop() (Desnes Nunes) [RHEL-34114]
- xhci: dbc: Use ATTRIBUTE_GROUPS() (Desnes Nunes) [RHEL-34114]
- xhci: dbc: Use sysfs_emit() to instead of scnprintf() (Desnes Nunes) [RHEL-34114]
- xhci: dbc: Convert to use sysfs_streq() (Desnes Nunes) [RHEL-34114]
- xhci: dbc: Drop duplicate checks for dma_free_coherent() (Desnes Nunes) [RHEL-34114]
- usb: new quirk to reduce the SET_ADDRESS request timeout (Desnes Nunes) [RHEL-34114]
- usb: xhci: Add timeout argument in address_device USB HCD callback (Desnes Nunes) [RHEL-34114]
- media: usb: cx231xx: Stop direct calls to queue num_buffers field (Desnes Nunes) [RHEL-34114]
- USB: misc: iowarrior: remove redundant assignment to variable io_res (Desnes Nunes) [RHEL-34114]
- thunderbolt: Remove duplicated re-assignment of pointer 'out' (Desnes Nunes) [RHEL-34114]
- dt-bindings: phy: imx8mq-usb: add phy tuning properties (Desnes Nunes) [RHEL-34114]
- dt-bindings: phy: imx8mq-usb: add power-domains property (Desnes Nunes) [RHEL-34114]
- dt-bindings: phy: imx8mq-usb-phy: convert to json schema (Desnes Nunes) [RHEL-34114]
- memstick: jmb38x_ms: Annotate struct jmb38x_ms with __counted_by (Desnes Nunes) [RHEL-34114]
- memstick r592: make memstick_debug_get_tpc_name() static (Desnes Nunes) [RHEL-34114]
- memstick: fix memory leak if card device is never registered (Desnes Nunes) [RHEL-34114]
- memstick: core: Imply IOSCHED_BFQ (Desnes Nunes) [RHEL-34114]
- mmc: core: Imply IOSCHED_BFQ (Desnes Nunes) [RHEL-34114]
- memstick/mspro_block: Convert to use sysfs_emit()/sysfs_emit_at() APIs (Desnes Nunes) [RHEL-34114]
- memstick: r592: Fix a UAF bug when removing the driver (Desnes Nunes) [RHEL-34114]
- memstick: jmb38x_ms: use appropriate free function in jmb38x_ms_alloc_host() (Desnes Nunes) [RHEL-34114]
- memstick: jmb38x_ms: Prefer struct_size over open coded arithmetic (Desnes Nunes) [RHEL-34114]
- memstick: switch from 'pci_' to 'dma_' API (Desnes Nunes) [RHEL-34114]
- memstick: r592: Change the name of the 'pci_driver' structure to be consistent (Desnes Nunes) [RHEL-34114]
- misc: rtsx: clean up one inconsistent indenting (Desnes Nunes) [RHEL-34114]
- phy: broadcom: sata: fix Wvoid-pointer-to-enum-cast warning (Desnes Nunes) [RHEL-34114]
- phy: broadcom: Kconfig: Add configuration menu for Broadcom phy drivers (Desnes Nunes) [RHEL-34114]
- phy: qcom: qmp-ufs: add missing offsets to sm8150 configuration (Desnes Nunes) [RHEL-34114]
- phy: core: Remove usage of the deprecated ida_simple_xx() API (Desnes Nunes) [RHEL-34114]
- phy: core: add debugfs files (Desnes Nunes) [RHEL-34114]
- PCI: tegra: Convert to devm_of_phy_optional_get() (Desnes Nunes) [RHEL-34114]
- phy: Add devm_of_phy_optional_get() helper (Desnes Nunes) [RHEL-34114]
- doc: phy: Document devm_of_phy_get() (Desnes Nunes) [RHEL-34114]
- phy: Remove unused phy_optional_get() (Desnes Nunes) [RHEL-34114]
- net: hsr: hsr_slave: Fix the promiscuous mode in offload mode (Felix Maurer) [RHEL-30017]
- hsr: Handle failures in module init (Felix Maurer) [RHEL-30017]
- hsr: Fix uninit-value access in hsr_get_node() (Felix Maurer) [RHEL-30017 RHEL-33236] {CVE-2024-26863}
- net: hsr: Use correct offset for HSR TLV values in supervisory HSR frames (Felix Maurer) [RHEL-30017]
- net: hsr: remove WARN_ONCE() in send_hsr_supervision_frame() (Felix Maurer) [RHEL-30017 RHEL-31606] {CVE-2024-26707}
- net: hsr: Add support for MC filtering at the slave device (Felix Maurer) [RHEL-30017]
- net: hsr: Disable promiscuous mode in offload mode (Felix Maurer) [RHEL-30017]
Resolves: RHEL-15695, RHEL-24991, RHEL-26678, RHEL-28672, RHEL-29437, RHEL-30017, RHEL-31606, RHEL-31748, RHEL-32101, RHEL-33061, RHEL-33236, RHEL-34114, RHEL-35575, RHEL-36126, RHEL-36182, RHEL-36333, RHEL-37690, RHEL-38151, RHEL-38173, RHEL-38295, RHEL-38348

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-06-03 17:39:28 -03:00
Scott Weaver
f6d360ce9a kernel-5.14.0-457.el9
* Thu May 30 2024 Scott Weaver <scweaver@redhat.com> [5.14.0-457.el9]
- net: add netdev_lockdep_set_classes() to virtual drivers (Davide Caratti) [RHEL-6066]
- net: bridge: use netdev_lockdep_set_classes() (Davide Caratti) [RHEL-6066]
- vlan: use netdev_lockdep_set_classes() (Davide Caratti) [RHEL-6066]
- net/sched: unregister lockdep keys in qdisc_create/qdisc_alloc error path (Davide Caratti) [RHEL-6066]
- net/sched: fix false lockdep warning on qdisc root lock (Davide Caratti) [RHEL-6066]
- selftests: net: more pmtu.sh fixes (Hangbin Liu) [RHEL-31077]
- selftests: net: cope with slow env in so_txtime.sh test (Hangbin Liu) [RHEL-31077]
- selftests: net: cope with slow env in gro.sh test (Hangbin Liu) [RHEL-31077]
- selftests: forwarding: Fix bridge locked port test flakiness (Hangbin Liu) [RHEL-31077]
- selftests: forwarding: Fix bridge MDB test flakiness (Hangbin Liu) [RHEL-31077]
- selftests: forwarding: Fix layer 2 miss test flakiness (Hangbin Liu) [RHEL-31077]
- selftests: net: let big_tcp test cope with slow env (Hangbin Liu) [RHEL-31077]
- selftests: net: avoid just another constant wait (Hangbin Liu) [RHEL-31077]
- selftests: net: fix tcp listener handling in pmtu.sh (Hangbin Liu) [RHEL-31077]
- selftests: net: don't access /dev/stdout in pmtu.sh (Hangbin Liu) [RHEL-31077]
- selftests: net: test vxlan pmtu exceptions with tcp (Hangbin Liu) [RHEL-31077]
- selftests: net: cut more slack for gro fwd tests. (Hangbin Liu) [RHEL-31077]
- selftests: forwarding: List helper scripts in TEST_FILES Makefile variable (Hangbin Liu) [RHEL-31077]
- selftests: bonding: Check initial state (Hangbin Liu) [RHEL-31077]
- selftests: net: fix available tunnels detection (Hangbin Liu) [RHEL-31077]
- selftests: net: give more time for GRO aggregation (Hangbin Liu) [RHEL-31077]
- selftests: net: fix rps_default_mask with >32 CPUs (Hangbin Liu) [RHEL-31077]
- selftests: forwarding: Remove executable bits from lib.sh (Hangbin Liu) [RHEL-31077]
- selftests: bonding: Change script interpreter (Hangbin Liu) [RHEL-31077]
- selftests/net: fix grep checking for fib_nexthop_multiprefix (Hangbin Liu) [RHEL-31077]
- selftests/net: ipsec: fix constant out of range (Hangbin Liu) [RHEL-31077]
- selftests: net: fcnal-test: check if FIPS mode is enabled (Hangbin Liu) [RHEL-31077]
- selftests: net: vrf-xfrm-tests: change authentication and encryption algos (Hangbin Liu) [RHEL-31077]
- selftests: add few test cases for tap driver (Hangbin Liu) [RHEL-31077]
- net: tap: NULL pointer derefence in dev_parse_header_protocol when skb->dev is null (Hangbin Liu) [RHEL-31077]
- idpf: disable local BH when scheduling napi for marker packets (Michal Schmidt) [RHEL-29553]
- idpf: remove dealloc vector msg err in idpf_intr_rel (Michal Schmidt) [RHEL-29553]
- idpf: fix minor controlq issues (Michal Schmidt) [RHEL-29553]
- idpf: prevent deinit uninitialized virtchnl core (Michal Schmidt) [RHEL-29553]
- idpf: cleanup virtchnl cruft (Michal Schmidt) [RHEL-29553]
- idpf: refactor idpf_recv_mb_msg (Michal Schmidt) [RHEL-29553]
- idpf: add async_handler for MAC filter messages (Michal Schmidt) [RHEL-29553]
- idpf: refactor remaining virtchnl messages (Michal Schmidt) [RHEL-29553]
- idpf: refactor queue related virtchnl messages (Michal Schmidt) [RHEL-29553]
- idpf: refactor vport virtchnl messages (Michal Schmidt) [RHEL-29553]
- idpf: implement virtchnl transaction manager (Michal Schmidt) [RHEL-29553]
- idpf: add idpf_virtchnl.h (Michal Schmidt) [RHEL-29553]
- idpf: avoid compiler padding in virtchnl2_ptype struct (Michal Schmidt) [RHEL-29553]
- idpf: add get/set for Ethtool's header split ringparam (Michal Schmidt) [RHEL-29553]
- powerpc/smp: do not decrement idle task preempt count in CPU offline (Mamatha Inamdar) [RHEL-38427] {CVE-2021-47454}
- Squashfs: check the inode number is not the invalid value of zero (Abhi Das) [RHEL-35098] {CVE-2024-26982}
- locktorture: Increase Hamming distance between call_rcu_chain and rcu_call_chains (Waiman Long) [RHEL-35759]
- torture: add missing dependency on hrtimer.h (Waiman Long) [RHEL-35759]
- locking/mutex: Document that mutex_unlock() is non-atomic (Waiman Long) [RHEL-35759]
- cleanup: Add conditional guard support (Waiman Long) [RHEL-35759]
- locking/osq_lock: Clarify osq_wait_next() (Waiman Long) [RHEL-35759]
- locking/osq_lock: Clarify osq_wait_next() calling convention (Waiman Long) [RHEL-35759]
- locking/osq_lock: Move the definition of optimistic_spin_node into osq_lock.c (Waiman Long) [RHEL-35759]
- locktorture: Check the correct variable for allocation failure (Waiman Long) [RHEL-35759]
- locktorture: Rename readers_bind/writers_bind to bind_readers/bind_writers (Waiman Long) [RHEL-35759]
- doc: Catch-up update for locktorture module parameters (Waiman Long) [RHEL-35759]
- locktorture: Add call_rcu_chains module parameter (Waiman Long) [RHEL-35759]
- locktorture: Add new module parameters to lock_torture_print_module_parms() (Waiman Long) [RHEL-35759]
- torture: Print out torture module parameters (Waiman Long) [RHEL-35759]
- locktorture: Add acq_writer_lim to complain about long acquistion times (Waiman Long) [RHEL-35759]
- locktorture: Consolidate "if" statements in lock_torture_writer() (Waiman Long) [RHEL-35759]
- locktorture: Alphabetize torture_param() entries (Waiman Long) [RHEL-35759]
- rcutorture: Fix stuttering races and other issues (Waiman Long) [RHEL-35759]
- locktorture: Add readers_bind and writers_bind module parameters (Waiman Long) [RHEL-35759]
- torture: Move rcutorture_sched_setaffinity() out of rcutorture (Waiman Long) [RHEL-35759]
- torture: Make torture_hrtimeout_ns() take an hrtimer mode parameter (Waiman Long) [RHEL-35759]
- torture: Share torture_random_state with torture_shuffle_tasks() (Waiman Long) [RHEL-35759]
- locking/seqlock: Fix grammar in comment (Waiman Long) [RHEL-35759]
- locking/seqlock: Propagate 'const' pointers within read-only methods, remove forced type casts (Waiman Long) [RHEL-35759]
- locking/lockdep: Fix string sizing bug that triggers a format-truncation compiler-warning (Waiman Long) [RHEL-35759]
- locking/seqlock: Change __seqprop() to return the function pointer (Waiman Long) [RHEL-35759]
- locking/seqlock: Simplify SEQCOUNT_LOCKNAME() (Waiman Long) [RHEL-35759]
- locking/seqlock: Fix typo in comment (Waiman Long) [RHEL-35759]
- locking/debug: Fix debugfs API return value checks to use IS_ERR() (Waiman Long) [RHEL-35759]
- locking/ww_mutex/test: Make sure we bail out instead of livelock (Waiman Long) [RHEL-35759]
- locking/ww_mutex/test: Fix potential workqueue corruption (Waiman Long) [RHEL-35759]
- locking/ww_mutex/test: Use prng instead of rng to avoid hangs at bootup (Waiman Long) [RHEL-35759]
- locking: export contention tracepoints for bcachefs six locks (Waiman Long) [RHEL-35759]
- locking/seqlock: Do the lockdep annotation before locking in do_write_seqcount_begin_nested() (Waiman Long) [RHEL-35759]
- lockdep: fix static memory detection even more (Waiman Long) [RHEL-35759]
- torture: Stop right-shifting torture_random() return values (Waiman Long) [RHEL-35759]
- torture: Move stutter_wait() timeouts to hrtimers (Waiman Long) [RHEL-35759]
- torture: Move torture_shuffle() timeouts to hrtimers (Waiman Long) [RHEL-35759]
- torture: Move torture_onoff() timeouts to hrtimers (Waiman Long) [RHEL-35759]
- torture: Make torture_hrtimeout_*() use TASK_IDLE (Waiman Long) [RHEL-35759]
- torture: Add lock_torture writer_fifo module parameter (Waiman Long) [RHEL-35759]
- torture: Add a kthread-creation callback to _torture_create_kthread() (Waiman Long) [RHEL-35759]
- torture: Support randomized shuffling for proxy exec testing (Waiman Long) [RHEL-35759]
- locking: add lockevent_read() prototype (Waiman Long) [RHEL-35759]
- lockdep: Add lock_set_cmp_fn() annotation (Waiman Long) [RHEL-35759]
- locktorture: Add long_hold to adjust lock-hold delays (Waiman Long) [RHEL-35759]
- torture: Fix hang during kthread shutdown phase (Waiman Long) [RHEL-35759]
- torture: Seed torture_random_state on CPU (Waiman Long) [RHEL-35759]
- seqlock: drop seqcount_ww_mutex_t (Waiman Long) [RHEL-35759]
- sections: global data can be in .bss (Waiman Long) [RHEL-35759]
- bnxt_en: do not map packet buffers twice (Petr Oros) [RHEL-31941]
- bnxt_en: Fix skb recycling logic in bnxt_deliver_skb() (Petr Oros) [RHEL-31941]
- bpf, test_run: fix crashes due to XDP frame overwriting/corruption (Petr Oros) [RHEL-31941]
- bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Petr Oros) [RHEL-31941]
- Revert "bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES" (Petr Oros) [RHEL-31941]
- bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Petr Oros) [RHEL-31941]
- page_pool: fix netlink dump stop/resume (Petr Oros) [RHEL-31941]
- page_pool: fix typos and punctuation (Petr Oros) [RHEL-31941]
- page_pool: transition to reference count management after page draining (Petr Oros) [RHEL-31941]
- net: page_pool: factor out releasing DMA from releasing the page (Petr Oros) [RHEL-31941]
- net: page_pool: fix general protection fault in page_pool_unlist (Petr Oros) [RHEL-31941]
- tools: ynl: don't skip regeneration from make targets (Petr Oros) [RHEL-31941]
- tools: ynl: order building samples after generated code (Petr Oros) [RHEL-31941]
- tools: ynl: make sure we use local headers for page-pool (Petr Oros) [RHEL-31941]
- tools: ynl: fix build of the page-pool sample (Petr Oros) [RHEL-31941]
- tools: ynl: add sample for getting page-pool information (Petr Oros) [RHEL-31941]
- net: page_pool: mute the periodic warning for visible page pools (Petr Oros) [RHEL-31941]
- net: page_pool: expose page pool stats via netlink (Petr Oros) [RHEL-31941]
- net: page_pool: report when page pool was destroyed (Petr Oros) [RHEL-31941]
- net: page_pool: report amount of memory held by page pools (Petr Oros) [RHEL-31941]
- net: page_pool: add netlink notifications for state changes (Petr Oros) [RHEL-31941]
- net: page_pool: implement GET in the netlink API (Petr Oros) [RHEL-31941]
- net: page_pool: add nlspec for basic access to page pools (Petr Oros) [RHEL-31941]
- eth: link netdev to page_pools in drivers (Petr Oros) [RHEL-31941]
- net: page_pool: stash the NAPI ID for easier access (Petr Oros) [RHEL-31941]
- net: page_pool: record pools per netdev (Petr Oros) [RHEL-31941]
- net: page_pool: id the page pools (Petr Oros) [RHEL-31941]
- net: page_pool: factor out uninit (Petr Oros) [RHEL-31941]
- net: page_pool: avoid touching slow on the fastpath (Petr Oros) [RHEL-31941]
- net: page_pool: split the page_pool_params into fast and slow (Petr Oros) [RHEL-31941]
- page_pool: update document about fragment API (Petr Oros) [RHEL-31941]
- page_pool: introduce page_pool_alloc() API (Petr Oros) [RHEL-31941]
- page_pool: remove PP_FLAG_PAGE_FRAG (Petr Oros) [RHEL-31941]
- bnxt_en: Let the page pool manage the DMA mapping (Petr Oros) [RHEL-31941]
- bnxt_en: Use the unified RX page pool buffers for XDP and non-XDP (Petr Oros) [RHEL-31941]
- page_pool: unify frag_count handling in page_pool_is_last_frag() (Petr Oros) [RHEL-31941]
- page_pool: fragment API support for 32-bit arch with 64-bit DMA (Petr Oros) [RHEL-31941]
- page_pool: fix documentation typos (Petr Oros) [RHEL-31941]
- docs: net: page_pool: de-duplicate the intro comment (Petr Oros) [RHEL-31941]
- net: skbuff: always try to recycle PP pages directly when in softirq (Petr Oros) [RHEL-31941]
- page_pool: add a lockdep check for recycling in hardirq (Petr Oros) [RHEL-31941]
- net: skbuff: avoid accessing page_pool if !napi_safe when returning page (Petr Oros) [RHEL-31941]
- page_pool: place frag_* fields in one cacheline (Petr Oros) [RHEL-31941]
- net: page_pool: merge page_pool_release_page() with page_pool_return_page() (Petr Oros) [RHEL-31941]
- net: page_pool: hide page_pool_release_page() (Petr Oros) [RHEL-31941]
- eth: stmmac: let page recycling happen with skbs (Petr Oros) [RHEL-31941]
- page_pool: add DMA_ATTR_WEAK_ORDERING on all mappings (Petr Oros) [RHEL-31941]
- xdp: remove unused {__,}xdp_release_frame() (Petr Oros) [RHEL-31941]
- xdp: recycle Page Pool backed skbs built from XDP frames (Petr Oros) [RHEL-31941]
- net: page_pool, skbuff: make skb_mark_for_recycle() always available (Petr Oros) [RHEL-31941]
- selftests/bpf: robustify test_xdp_do_redirect with more payload magics (Petr Oros) [RHEL-31941]
- Documentation: networking: correct spelling (Petr Oros) [RHEL-31941]
- erspan: make sure erspan_base_hdr is present in skb->head (Xin Long) [RHEL-37232] {CVE-2024-35888}
- tcp: Add memory barrier to tcp_push() (Antoine Tenart) [RHEL-22708]
- tcp: tcp_wfree() refactoring (Antoine Tenart) [RHEL-22708]
- powerpc/powernv: Add a null pointer check to scom_debug_init_one() (Mamatha Inamdar) [RHEL-37052] {CVE-2023-52690}
- KVM: Drop unused @may_block param from gfn_to_pfn_cache_invalidate_start() (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add coverage of EPT-disabled to vmx_dirty_log_test (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Fix and clarify comments about clearing D-bit vs. write-protecting (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Remove function comments above clear_dirty_{gfn_range,pt_masked}() (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Write-protect L2 SPTEs in TDP MMU when clearing dirty status (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Precisely invalidate MMU root_role during CPUID update (Maxim Levitsky) [RHEL-32430]
- KVM: VMX: Disable LBR virtualization if the CPU doesn't support LBR callstacks (Maxim Levitsky) [RHEL-32430]
- perf/x86/intel: Expose existence of callback support to KVM (Maxim Levitsky) [RHEL-32430]
- KVM: VMX: Snapshot LBR capabilities during module initialization (Maxim Levitsky) [RHEL-32430]
- tools/include: Sync uapi/linux/kvm.h and asm/kvm.h with the kernel sources (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Do not mask LVTPC when handling a PMI on AMD platforms (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Snapshot if a vCPU's vendor model is AMD vs. Intel compatible (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Stop compiling vmenter.S with OBJECT_FILES_NON_STANDARD (Maxim Levitsky) [RHEL-32430]
- KVM: SVM: Create a stack frame in __svm_sev_es_vcpu_run() (Maxim Levitsky) [RHEL-32430]
- KVM: SVM: Save/restore args across SEV-ES VMRUN via host save area (Maxim Levitsky) [RHEL-32430]
- KVM: SVM: Save/restore non-volatile GPRs in SEV-ES VMRUN via host save area (Maxim Levitsky) [RHEL-32430]
- KVM: SVM: Wrap __svm_sev_es_vcpu_run() with #ifdef CONFIG_KVM_AMD_SEV (Maxim Levitsky) [RHEL-32430]
- KVM: SVM: Create a stack frame in __svm_vcpu_run() for unwinding (Maxim Levitsky) [RHEL-32430]
- KVM: SVM: Clobber RAX instead of RBX when discarding spec_ctrl_intercepted (Maxim Levitsky) [RHEL-32430]
- KVM: SVM: Drop 32-bit "support" from __svm_sev_es_vcpu_run() (Maxim Levitsky) [RHEL-32430]
- KVM: SVM: Remove a useless zeroing of allocated memory (Maxim Levitsky) [RHEL-32430]
- KVM: VMX: Ignore MKTME KeyID bits when intercepting #PF for allow_smaller_maxphyaddr (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: fix max_guest_memory_test with more that 256 vCPUs (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Verify post-RESET value of PERF_GLOBAL_CTRL in PMCs test (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Set enable bits for GP counters in PERF_GLOBAL_CTRL at "RESET" (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: x86: Don't overflow lpage_info when checking attributes (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Disable support for adaptive PEBS (Maxim Levitsky) [RHEL-32430]
- KVM: Explicitly disallow activatating a gfn_to_pfn_cache with INVALID_GPA (Maxim Levitsky) [RHEL-32430]
- KVM: Check validity of offset+length of gfn_to_pfn_cache prior to activation (Maxim Levitsky) [RHEL-32430]
- KVM: Add helpers to consolidate gfn_to_pfn_cache's page split check (Maxim Levitsky) [RHEL-32430]
- KVM: SEV: fix compat ABI for KVM_MEMORY_ENCRYPT_OP (Maxim Levitsky) [RHEL-32430]
- selftests: kvm: remove meaningless assignments in Makefiles (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Check that PV_UNHALT is cleared when HLT exiting is disabled (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Use actual kvm_cpuid.base for clearing KVM_FEATURE_PV_UNHALT (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Introduce __kvm_get_hypervisor_cpuid() helper (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Explicitly close guest_memfd files in some gmem tests (Maxim Levitsky) [RHEL-32430]
- KVM: x86/xen: fix recursive deadlock in timer injection (Maxim Levitsky) [RHEL-32430]
- KVM: pfncache: simplify locking and make more self-contained (Maxim Levitsky) [RHEL-32430]
- KVM: x86/xen: remove WARN_ON_ONCE() with false positives in evtchn delivery (Maxim Levitsky) [RHEL-32430]
- KVM: x86/xen: inject vCPU upcall vector when local APIC is enabled (Maxim Levitsky) [RHEL-32430]
- KVM: x86/xen: improve accuracy of Xen timers (Maxim Levitsky) [RHEL-32430]
- hyperv-tlfs: Change prefix of generic HV_REGISTER_* MSRs to HV_MSR_* (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add a basic SEV-ES smoke test (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add a basic SEV smoke test (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Use the SEV library APIs in the intra-host migration test (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add library for creating and interacting with SEV guests (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Allow tagging protected memory in guest page tables (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Explicitly ucall pool from shared memory (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add support for protected vm_vaddr_* allocations (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add support for allocating/managing protected guest memory (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add a macro to iterate over a sparsebit range (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Make sparsebit structs const where appropriate (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Extend VM creation's @shape to allow control of VM subtype (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: x86: Use TAP interface in the userspace_msr_exit test (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: x86: Use TAP interface in the vmx_pmu_caps test (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: x86: Use TAP interface in the fix_hypercall test (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: x86: Use TAP interface in the sync_regs test (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add a macro to define a test with one vcpu (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Move setting a vCPU's entry point to a dedicated API (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: x86: sync_regs_test: Get regs structure before modifying it (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: x86: sync_regs_test: Use vcpu_run() where appropriate (Maxim Levitsky) [RHEL-32430]
- KVM: VMX: Combine "check" and "get" APIs for passthrough MSR lookups (Maxim Levitsky) [RHEL-32430]
- KVM: SVM: Rename vmplX_ssp -> plX_ssp (Maxim Levitsky) [RHEL-32430]
- kvm/x86: allocate the write-tracking metadata on-demand (Maxim Levitsky) [RHEL-32430]
- KVM: VMX: return early if msr_bitmap is not supported (Maxim Levitsky) [RHEL-32430]
- KVM: VMX: fix comment to add LBR to passthrough MSRs (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Explicitly check NMI from guest to reducee false positives (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add CONFIG_64BIT definition for the build (Maxim Levitsky) [RHEL-32430]
- selftests/kvm: Fix issues with $(SPLIT_TESTS) (Maxim Levitsky) [RHEL-32430]
- KVM: Get rid of return value from kvm_arch_create_vm_debugfs() (Maxim Levitsky) [RHEL-32430]
- tools/include: Sync x86 asm/irq_vectors.h with the kernel sources (Maxim Levitsky) [RHEL-32430]
- x86: irq: unconditionally define KVM interrupt vectors (Maxim Levitsky) [RHEL-32430]
- KVM: fix kvm_mmu_memory_cache allocation warning (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Free TDP MMU roots while holding mmy_lock for read (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Alloc TDP MMU roots while holding mmu_lock for read (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Check for usable TDP MMU root while holding mmu_lock for read (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Skip invalid TDP MMU roots when write-protecting SPTEs (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Skip invalid roots when zapping leaf SPTEs for GFN range (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Allow passing '-1' for "all" as_id for TDP MMU iterators (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Don't do TLB flush when zappings SPTEs in invalid roots (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Zap invalidated TDP MMU roots at 4KiB granularity (Maxim Levitsky) [RHEL-32430]
- KVM: Add a comment explaining the directed yield pending interrupt logic (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Clean up directed yield API for "has pending interrupt" (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Rely solely on preempted_in_kernel flag for directed yield (Maxim Levitsky) [RHEL-32430]
- KVM: Add dedicated arch hook for querying if vCPU was preempted in-kernel (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Sanity check that kvm_has_noapic_vcpu is zero at module_exit() (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Move "KVM no-APIC vCPU" key management into local APIC code (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Fully defer to vendor code to decide how to force immediate exit (Maxim Levitsky) [RHEL-32430]
- KVM: VMX: Handle KVM-induced preemption timer exits in fastpath for L2 (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Move handling of is_guest_mode() into fastpath exit handlers (Maxim Levitsky) [RHEL-32430]
- KVM: VMX: Handle forced exit due to preemption timer in fastpath (Maxim Levitsky) [RHEL-32430]
- KVM: VMX: Re-enter guest in fastpath for "spurious" preemption timer exits (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Plumb "force_immediate_exit" into kvm_entry() tracepoint (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Drop superfluous check on direct MMU vs. WRITE_PF_TO_SP flag (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Drop dedicated logic for direct MMUs in reexecute_instruction() (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Don't acquire mmu_lock when using indirect_shadow_pages as a heuristic (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Open code all direct reads to guest DR6 and DR7 (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Make kvm_get_dr() return a value, not use an out parameter (Maxim Levitsky) [RHEL-32430]
- x86/cpu: Add a VMX flag to enumerate 5-level EPT support to userspace (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Test top-down slots event in x86's pmu_counters_test (Maxim Levitsky) [RHEL-32430]
- KVM: x86/xen: allow vcpu_info content to be 'safely' copied (Maxim Levitsky) [RHEL-32430]
- KVM: pfncache: check the need for invalidation under read lock first (Maxim Levitsky) [RHEL-32430]
- KVM: x86/xen: advertize the KVM_XEN_HVM_CONFIG_SHARED_INFO_HVA capability (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: re-map Xen's vcpu_info using HVA rather than GPA (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: map Xen's shared_info page using HVA rather than GFN (Maxim Levitsky) [RHEL-32430]
- KVM: x86/xen: allow vcpu_info to be mapped by fixed HVA (Maxim Levitsky) [RHEL-32430]
- KVM: x86/xen: allow shared_info to be mapped by fixed HVA (Maxim Levitsky) [RHEL-32430]
- KVM: x86/xen: re-initialize shared_info if guest (32/64-bit) mode is set (Maxim Levitsky) [RHEL-32430]
- KVM: x86/xen: separate initialization of shared_info cache and content (Maxim Levitsky) [RHEL-32430]
- KVM: pfncache: allow a cache to be activated with a fixed (userspace) HVA (Maxim Levitsky) [RHEL-32430]
- KVM: pfncache: include page offset in uhva and use it consistently (Maxim Levitsky) [RHEL-32430]
- KVM: pfncache: stop open-coding offset_in_page() (Maxim Levitsky) [RHEL-32430]
- KVM: pfncache: remove KVM_GUEST_USES_PFN usage (Maxim Levitsky) [RHEL-32430]
- KVM: pfncache: add a mark-dirty helper (Maxim Levitsky) [RHEL-32430]
- KVM: x86/xen: mark guest pages dirty with the pfncache lock held (Maxim Levitsky) [RHEL-32430]
- KVM: pfncache: remove unnecessary exports (Maxim Levitsky) [RHEL-32430]
- KVM: pfncache: Add a map helper function (Maxim Levitsky) [RHEL-32430]
- treewide: remove CONFIG_HAVE_KVM (Maxim Levitsky) [RHEL-32430]
- vfio: replace CONFIG_HAVE_KVM with IS_ENABLED(CONFIG_KVM) (Maxim Levitsky) [RHEL-32430]
- x86: replace CONFIG_HAVE_KVM with IS_ENABLED(CONFIG_KVM) (Maxim Levitsky) [RHEL-32430]
- MIPS: introduce Kconfig for MIPS VZ (Maxim Levitsky) [RHEL-32430]
- kvm: move "select IRQ_BYPASS_MANAGER" to common code (Maxim Levitsky) [RHEL-32430]
- KVM: remove unnecessary #ifdef (Maxim Levitsky) [RHEL-32430]
- KVM: define __KVM_HAVE_GUEST_DEBUG unconditionally (Maxim Levitsky) [RHEL-32430]
- kvm: replace __KVM_HAVE_READONLY_MEM with Kconfig symbol (Maxim Levitsky) [RHEL-32430]
- KVM: arm64: move ARM-specific defines to uapi/asm/kvm.h (Maxim Levitsky) [RHEL-32430]
- KVM: powerpc: move powerpc-specific structs to uapi/asm/kvm.h (Maxim Levitsky) [RHEL-32430]
- KVM: x86: move x86-specific structs to uapi/asm/kvm.h (Maxim Levitsky) [RHEL-32430]
- KVM: remove more traces of device assignment UAPI (Maxim Levitsky) [RHEL-32430]
- kvm: x86: use a uapi-friendly macro for GENMASK (Maxim Levitsky) [RHEL-32430]
- kvm: x86: use a uapi-friendly macro for BIT (Maxim Levitsky) [RHEL-32430]
- uapi: introduce uapi-friendly macros for GENMASK (Maxim Levitsky) [RHEL-32430]
- KVM: x86: rename push to emulate_push for consistency (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Clean up partially uninitialized integer in emulate_pop() (Maxim Levitsky) [RHEL-32430]
- KVM: x86/emulator: emulate movbe with operand-size prefix (Maxim Levitsky) [RHEL-32430]
- KVM: VMX: Report up-to-date exit qualification to userspace (Maxim Levitsky) [RHEL-32430]
- KVM: SVM: Return -EINVAL instead of -EBUSY on attempt to re-init SEV/SEV-ES (Maxim Levitsky) [RHEL-32430]
- KVM: SVM: Add support for allowing zero SEV ASIDs (Maxim Levitsky) [RHEL-32430]
- KVM: SVM: Use unsigned integers when dealing with ASIDs (Maxim Levitsky) [RHEL-32430]
- KVM: SVM: Set sev->asid in sev_asid_new() instead of overloading the return (Maxim Levitsky) [RHEL-32430]
- KVM: Nullify async #PF worker's "apf" pointer as soon as it might be freed (Maxim Levitsky) [RHEL-32430]
- KVM: Get reference to VM's address space in the async #PF worker (Maxim Levitsky) [RHEL-32430]
- KVM: Put mm immediately after async #PF worker completes remote gup() (Maxim Levitsky) [RHEL-32430]
- KVM: Always flush async #PF workqueue when vCPU is being destroyed (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Improve documentation of MSR_KVM_ASYNC_PF_EN (Maxim Levitsky) [RHEL-32430]
- x86/kvm: Use separate percpu variable to track the enabling of asyncpf (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Fix broken debugregs ABI for 32 bit kernels (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Avoid CPL lookup if PMC enabline for USER and KERNEL is the same (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Check eventsel first when emulating (branch) insns retired (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Expand the comment about what bits are check emulating events (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Snapshot event selectors that KVM emulates in software (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Process only enabled PMCs when emulating events in software (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Add macros to iterate over all PMCs given a bitmap (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Snapshot and clear reprogramming bitmap before reprogramming (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Move pmc_idx => pmc translation helper to common code (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Add common define to capture fixed counters offset (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Zero out PMU metadata on AMD if PMU is disabled (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Use KMEM_CACHE instead of kmem_cache_create() (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Extend PMU counters test to validate RDPMC after WRMSR (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add helpers for safe and safe+forced RDMSR, RDPMC, and XGETBV (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add a forced emulation variation of KVM_ASM_SAFE() (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Test PMC virtualization with forced emulation (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Move KVM_FEP macro into common library header (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Query module param to detect FEP in MSR filtering test (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add helpers to read integer module params (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add a helper to query if the PMU module param is enabled (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Expand PMU counters test to verify LLC events (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add functional test for Intel's fixed PMU counters (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Test consistency of CPUID with num of fixed counters (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Test consistency of CPUID with num of gp counters (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Test Intel PMU architectural events on fixed counters (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Test Intel PMU architectural events on gp counters (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add pmu.h and lib/pmu.c for common PMU assets (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Extend {kvm,this}_pmu_has() to support fixed counters (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Drop the "name" param from KVM_X86_PMU_FEATURE() (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add vcpu_set_cpuid_property() to set properties (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Explicitly check for RDPMC of unsupported Intel PMC types (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Treat "fixed" PMU type in RDPMC as index as a value, not flag (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Disallow "fast" RDPMC for architectural Intel PMUs (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Apply "fast" RDPMC only to Intel PMUs (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Prioritize VMX interception over #GP on RDPMC due to bad index (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Don't ignore bits 31:30 for RDPMC index on AMD (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Get eventsel for fixed counters from perf (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Setup fixed counters' eventsel during PMU initialization (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Remove KVM's enumeration of Intel's architectural encodings (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Allow programming events that match unsupported arch events (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Always treat Fixed counters as available when supported (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Use mutex guards to eliminate __kvm_x86_vendor_init() (Maxim Levitsky) [RHEL-32430]
- KVM: Harden against unpaired kvm_mmu_notifier_invalidate_range_end() calls (Maxim Levitsky) [RHEL-32430]
- KVM: SVM: Flush pages under kvm->lock to fix UAF in svm_register_enc_region() (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add a testcase to verify GUEST_MEMFD and READONLY are exclusive (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Create GUEST_MEMFD for relevant invalid flags testcases (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Restrict KVM_SW_PROTECTED_VM to the TDP MMU (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Update KVM_SW_PROTECTED_VM docs to make it clear they're a WIP (Maxim Levitsky) [RHEL-32430]
- KVM: Make KVM_MEM_GUEST_MEMFD mutually exclusive with KVM_MEM_READONLY (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Mark target gfn of emulated atomic instruction as dirty (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Don't assert on exact number of 4KiB in dirty log split test (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Fix KVM_GET_MSRS stack info leak (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Fix type length error when reading pmu->fixed_ctr_ctrl (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Make gtod_is_based_on_tsc() return 'bool' (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Make hyperv_clock require TSC based system clocksource (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Run clocksource dependent tests with hyperv_clocksource_tsc_page too (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Use generic sys_clocksource_is_tsc() in vmx_nested_tsc_scaling_test (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Generalize check_clocksource() from kvm_clock_test (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Check irqchip mode before create PIT (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Fail tests when open() fails with !ENOENT (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Avoid infinite loop in hyperv_features when invtsc is missing (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Delete superfluous, unused "stage" variable in AMX test (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: x86_64: Remove redundant newlines (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Remove redundant newlines (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Reword the NX hugepage test's skip message to be more helpful (Maxim Levitsky) [RHEL-32430]
- tools headers UAPI: Sync kvm headers with the kernel sources (Maxim Levitsky) [RHEL-32430]
- KVM: x86: add missing "depends on KVM" (Maxim Levitsky) [RHEL-32430]
- KVM: fix direction of dependency on MMU notifiers (Maxim Levitsky) [RHEL-32430]
- KVM: introduce CONFIG_KVM_COMMON (Maxim Levitsky) [RHEL-32430]
- KVM: clean up directives to compile out irqfds (Maxim Levitsky) [RHEL-32430]
- KVM: remove deprecated UAPIs (Maxim Levitsky) [RHEL-32430]
- KVM: remove CONFIG_HAVE_KVM_IRQFD (Maxim Levitsky) [RHEL-32430]
- KVM: remove CONFIG_HAVE_KVM_EVENTFD (Maxim Levitsky) [RHEL-32430]
- KVM: guest-memfd: fix unused-function warning (Maxim Levitsky) [RHEL-32430]
- KVM x86/xen: add an override for PVCLOCK_TSC_STABLE_BIT (Maxim Levitsky) [RHEL-32430]
- KVM: nSVM: Hide more stuff under CONFIG_KVM_HYPERV/CONFIG_HYPERV (Maxim Levitsky) [RHEL-32430]
- KVM: nVMX: Hide more stuff under CONFIG_KVM_HYPERV (Maxim Levitsky) [RHEL-32430]
- KVM: nVMX: Introduce accessor to get Hyper-V eVMCS pointer (Maxim Levitsky) [RHEL-32430]
- KVM: nVMX: Introduce helpers to check if Hyper-V evmptr12 is valid/set (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Make Hyper-V emulation optional (Maxim Levitsky) [RHEL-32430]
- KVM: nVMX: Move guest_cpuid_has_evmcs() to hyperv.h (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Fix vmxon_pa == vmcs12_pa == -1ull nVMX testcase for !eVMCS (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Make Hyper-V tests explicitly require KVM Hyper-V support (Maxim Levitsky) [RHEL-32430]
- KVM: nVMX: Split off helper for emulating VMCLEAR on Hyper-V eVMCS (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Introduce helper to handle Hyper-V paravirt TLB flush requests (Maxim Levitsky) [RHEL-32430]
- KVM: VMX: Split off hyperv_evmcs.{ch} (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Introduce helper to check if vector is set in Hyper-V SynIC (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Introduce helper to check if auto-EOI is set in Hyper-V SynIC (Maxim Levitsky) [RHEL-32430]
- KVM: VMX: Split off vmx_onhyperv.{ch} from hyperv.{ch} (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Move Hyper-V partition assist page out of Hyper-V emulation context (Maxim Levitsky) [RHEL-32430]
- KVM: x86/xen: Remove unneeded xen context from kvm_arch when !CONFIG_KVM_XEN (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Fix broken assert messages in Hyper-V features test (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Fix benign %%llx vs. %%lx issues in guest asserts (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Fix MWAIT error message when guest assertion fails (Maxim Levitsky) [RHEL-32430]
- KVM: Harden copying of userspace-array against overflow (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Harden copying of userspace-array against overflow (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: fix comment about mmu_unsync_pages_lock (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: always take tdp_mmu_pages_lock (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: remove unnecessary "bool shared" argument from iterators (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: remove unnecessary "bool shared" argument from functions (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Check for leaf SPTE when clearing dirty bit in the TDP MMU (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Fix off-by-1 when splitting huge pages during CLEAR (Maxim Levitsky) [RHEL-32430]
- KVM: move KVM_CAP_DEVICE_CTRL to the generic check (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Track emulated counter events instead of previous counter (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Update sample period in pmc_write_counter() (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Remove manual clearing of fields in kvm_pmu_init() (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Stop calling kvm_pmu_reset() at RESET (it's redundant) (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Reset the PMU, i.e. stop counters, before refreshing (Maxim Levitsky) [RHEL-32430]
- KVM: x86/pmu: Move PMU reset logic to common x86 code (Maxim Levitsky) [RHEL-32430]
- KVM: SVM,VMX: Use %%rip-relative addressing to access kvm_rebooting (Maxim Levitsky) [RHEL-32430]
- KVM: SVM: Don't intercept IRET when injecting NMI and vNMI is enabled (Maxim Levitsky) [RHEL-32430]
- KVM: SVM: Explicitly require FLUSHBYASID to enable SEV support (Maxim Levitsky) [RHEL-32430]
- KVM: nSVM: Advertise support for flush-by-ASID (Maxim Levitsky) [RHEL-32430]
- Revert "nSVM: Check for reserved encodings of TLB_CONTROL in nested VMCB" (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Don't unnecessarily force masterclock update on vCPU hotplug (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Use a switch statement and macros in __feature_translate() (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Advertise CPUID.(EAX=7,ECX=2):EDX[5:0] to userspace (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Turn off KVM_WERROR by default for all configs (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Remove x86's so called "MMIO warning" test (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Add logic to detect if ioctl() failed because VM was killed (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Drop the single-underscore ioctl() helpers (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Declare flush_remote_tlbs{_range}() hooks iff HYPERV!=n (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Use KVM-governed feature framework to track "LAM enabled" (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Advertise and enable LAM (user and supervisor) (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Virtualize LAM for user pointer (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Virtualize LAM for supervisor pointer (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Untag addresses for LAM emulation where applicable (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Introduce get_untagged_addr() in kvm_x86_ops and call it in emulator (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Remove kvm_vcpu_is_illegal_gpa() (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Add & use kvm_vcpu_is_legal_cr3() to check CR3's legality (Maxim Levitsky) [RHEL-32430]
- KVM: x86/mmu: Drop non-PA bits when getting GFN for guest's PGD (Maxim Levitsky) [RHEL-32430]
- KVM: SEV: Do not intercept accesses to MSR_IA32_XSS for SEV-ES guests (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Fix dynamic generation of configuration names (Maxim Levitsky) [RHEL-32430]
- KVM: Convert comment into an assertion in kvm_io_bus_register_dev() (Maxim Levitsky) [RHEL-32430]
- KVM: SVM: Update EFER software model on CR0 trap for SEV-ES (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: add -MP to CFLAGS (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Actually print out magic token in NX hugepages skip message (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Remove 'return void' expression for 'void function' (Maxim Levitsky) [RHEL-32430]
- Revert "KVM: Prevent module exit until all VMs are freed" (Maxim Levitsky) [RHEL-32430]
- KVM: Set file_operations.owner appropriately for all such structures (Maxim Levitsky) [RHEL-32430]
- KVM: x86: Get CPL directly when checking if loaded vCPU is in kernel mode (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Avoid using forced target for generating arm64 headers (Maxim Levitsky) [RHEL-32430]
- tools headers arm64: Update sysreg.h with kernel sources (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Generate sysreg-defs.h and add to include path (Maxim Levitsky) [RHEL-32430]
- perf build: Generate arm64's sysreg-defs.h and add to include path (Maxim Levitsky) [RHEL-32430]
- tools: arm64: Add a Makefile for generating sysreg-defs.h (Maxim Levitsky) [RHEL-32430]
- KVM: selftests: Test behavior of HWCR, a.k.a. MSR_K7_HWCR (Maxim Levitsky) [RHEL-32430]
- KVM: Annotate struct kvm_irq_routing_table with __counted_by (Maxim Levitsky) [RHEL-32430]
- powerpc/powernv: Add a null pointer check in opal_event_init() (Mamatha Inamdar) [RHEL-37060] {CVE-2023-52686}
- crypto: qcom-rng - Convert to platform remove callback returning void (Lucas Karpinski) [RHEL-36682]
- crypto: qcom-rng - Add missing dependency on hw_random (Lucas Karpinski) [RHEL-36682]
- crypto: qcom-rng - Add hw_random interface support (Lucas Karpinski) [RHEL-36682]
- crypto: qcom-rng: Make the core clock optional regardless of ACPI presence (Lucas Karpinski) [RHEL-36682]
- crypto: qcom-rng - Fix qcom_rng_of_match unused warning (Lucas Karpinski) [RHEL-36682]
- bpf: fix check for attempt to corrupt spilled pointer (Viktor Malik) [RHEL-26569] {CVE-2023-52462}
- vxlan: Add missing VNI filter counter update in arp_reduce(). (Ivan Vecera) [RHEL-36610]
- vxlan: Fix racy device stats updates. (Ivan Vecera) [RHEL-36610]
- selftests: vxlan_mdb: Fix failures with old libnet (Ivan Vecera) [RHEL-36610]
- selftests: fill in some missing configs for net (Ivan Vecera) [RHEL-36610]
- vxlan: Cleanup IFLA_VXLAN_PORT_RANGE entry in vxlan_get_size() (Ivan Vecera) [RHEL-36610]
- mlxsw: spectrum_nve_vxlan: Fix unsupported flag regression (Ivan Vecera) [RHEL-36610]
- net-next: gro: Fix use of skb_gro_header_slow (Ivan Vecera) [RHEL-36610]
- vxlan: Add missing entries to vxlan_get_size() (Ivan Vecera) [RHEL-36610]
- vxlan: vnifilter: Use GFP_KERNEL instead of GFP_ATOMIC (Ivan Vecera) [RHEL-36610]
- vxlan: Use helper functions to update stats (Ivan Vecera) [RHEL-36610]
- drivers: vxlan: vnifilter: free percpu vni stats on error path (Ivan Vecera) [RHEL-36610]
- vxlan: Add support for nexthop ID metadata (Ivan Vecera) [RHEL-36610]
- ip_tunnels: Add nexthop ID field to ip_tunnel_key (Ivan Vecera) [RHEL-36610]
- selftests: net: vxlan: Fix selftest regression after changes in iproute2. (Ivan Vecera) [RHEL-36610]
- selftests: net: vxlan: Add tests for vxlan nolocalbypass option. (Ivan Vecera) [RHEL-36610]
- net: vxlan: Add nolocalbypass option to vxlan. (Ivan Vecera) [RHEL-36610]
- selftests: net: Add VXLAN MDB test (Ivan Vecera) [RHEL-36610]
- vxlan: Enable MDB support (Ivan Vecera) [RHEL-36610]
- vxlan: Add MDB data path support (Ivan Vecera) [RHEL-36610]
- vxlan: mdb: Add an internal flag to indicate MDB usage (Ivan Vecera) [RHEL-36610]
- vxlan: mdb: Add MDB control path support (Ivan Vecera) [RHEL-36610]
- vxlan: Expose vxlan_xmit_one() (Ivan Vecera) [RHEL-36610]
- vxlan: Move address helpers to private headers (Ivan Vecera) [RHEL-36610]
- selftests: Fix failing VXLAN VNI filtering test (Ivan Vecera) [RHEL-36610]
- vxlan: Fix memory leaks in error path (Ivan Vecera) [RHEL-36610]
- net: gro: skb_gro_header helper function (Ivan Vecera) [RHEL-36610]
- net: vxlan: Fix kernel coding style (Ivan Vecera) [RHEL-36610]
- net: vxlan: Add extack support to vxlan_fdb_delete (Ivan Vecera) [RHEL-36610]
- selftests/net: add missing tests to Makefile (Ivan Vecera) [RHEL-36610]
- vxlan: do not feed vxlan_vnifilter_dump_dev with non vxlan devices (Ivan Vecera) [RHEL-36610]
- drivers: vxlan: fix returnvar.cocci warning (Ivan Vecera) [RHEL-36610]
- vxlan_core: delete unnecessary condition (Ivan Vecera) [RHEL-36610]
- drivers: vxlan: vnifilter: add support for stats dumping (Ivan Vecera) [RHEL-36610]
- drivers: vxlan: vnifilter: per vni stats (Ivan Vecera) [RHEL-36610]
- selftests: add new tests for vxlan vnifiltering (Ivan Vecera) [RHEL-36610]
- vxlan: vni filtering support on collect metadata device (Ivan Vecera) [RHEL-36610]
- ipv6: sr: fix memleak in seg6_hmac_init_algo (Hangbin Liu) [RHEL-37511]
- ipv6: sr: fix missing sk_buff release in seg6_input_core (Hangbin Liu) [RHEL-37511]
- ipv6: sr: fix invalid unregister error path (Hangbin Liu) [RHEL-37511]
- ipv6: sr: fix incorrect unregister order (Hangbin Liu) [RHEL-37511]
- ipv6: sr: add missing seg6_local_exit (Hangbin Liu) [RHEL-37511]
- tracing/net_sched: Fix tracepoints that save qdisc_dev() as a string (Ivan Vecera) [RHEL-36218]
- net: bql: fix building with BQL disabled (Ivan Vecera) [RHEL-36218]
- net: sched: Remove NET_ACT_IPT from Kconfig (Ivan Vecera) [RHEL-36218]
- net: fill in MODULE_DESCRIPTION()s for net/sched (Ivan Vecera) [RHEL-36218]
- net/sched: act_mirred: Don't zero blockid when net device is being deleted (Ivan Vecera) [RHEL-36218]
- selftests: forwarding: Fix layer 2 miss test flakiness (Ivan Vecera) [RHEL-36218]
- net: netdevsim: don't try to destroy PHC on VFs (Ivan Vecera) [RHEL-36218]
- net: sched: track device in tcf_block_get/put_ext() only for clsact binder types (Ivan Vecera) [RHEL-36218]
- net/sched: Remove ipt action tests (Ivan Vecera) [RHEL-36218]
- net/sched: simplify tc_action_load_ops parameters (Ivan Vecera) [RHEL-36218]
- net: sched: move block device tracking into tcf_block_get/put_ext() (Ivan Vecera) [RHEL-36218]
- net/sched: sch_api: conditional netlink notifications (Ivan Vecera) [RHEL-36218]
- net/sched: introduce ACT_P_BOUND return code (Ivan Vecera) [RHEL-36218]
- net/sched: cls_api: complement tcf_tfilter_dump_policy (Ivan Vecera) [RHEL-36218]
- net/sched: Remove uapi support for CBQ qdisc (Ivan Vecera) [RHEL-36218]
- net/sched: Remove uapi support for ATM qdisc (Ivan Vecera) [RHEL-36218]
- net/sched: Remove uapi support for dsmark qdisc (Ivan Vecera) [RHEL-36218]
- net/sched: Remove uapi support for tcindex classifier (Ivan Vecera) [RHEL-36218]
- net/sched: Remove uapi support for rsvp classifier (Ivan Vecera) [RHEL-36218]
- net/sched: Remove CONFIG_NET_ACT_IPT from default configs (Ivan Vecera) [RHEL-36218]
- net/sched: Retire ipt action (Ivan Vecera) [RHEL-36218]
- net: sched: em_text: fix possible memory leak in em_text_destroy() (Ivan Vecera) [RHEL-36218]
- net/sched: act_mirred: Allow mirred to block (Ivan Vecera) [RHEL-36218]
- net/sched: act_mirred: Add helper function tcf_mirred_replace_dev (Ivan Vecera) [RHEL-36218]
- net/sched: cls_api: Expose tc block to the datapath (Ivan Vecera) [RHEL-36218]
- net/sched: Introduce tc block netdev tracking infra (Ivan Vecera) [RHEL-36218]
- net: sched: Add initial TC error skb drop reasons (Ivan Vecera) [RHEL-36218]
- packet: add a generic drop reason for receive (Ivan Vecera) [RHEL-36218]
- net: sched: Make tc-related drop reason more flexible for remaining qdiscs (Ivan Vecera) [RHEL-36218]
- net: sched: Move drop_reason to struct tc_skb_cb (Ivan Vecera) [RHEL-36218]
- net/sched: act_api: skip idr replace on bound actions (Ivan Vecera) [RHEL-36218]
- net/sched: act_api: rely on rcu in tcf_idr_check_alloc (Ivan Vecera) [RHEL-36218]
- net/sched: cls_api: conditional notification of events (Ivan Vecera) [RHEL-36218]
- net/sched: cls_api: remove 'unicast' argument from delete notification (Ivan Vecera) [RHEL-36218]
- net/sched: act_api: conditional notification of events (Ivan Vecera) [RHEL-36218]
- net/sched: act_api: don't open code max() (Ivan Vecera) [RHEL-36218]
- rtnl: add helper to send if skb is not null (Ivan Vecera) [RHEL-36218]
- rtnl: add helper to check if a notification is needed (Ivan Vecera) [RHEL-36218]
- rtnl: add helper to check if rtnl group has listeners (Ivan Vecera) [RHEL-36218]
- net/sched: act_api: use tcf_act_for_each_action in tcf_idr_insert_many (Ivan Vecera) [RHEL-36218]
- net/sched: act_api: stop loop over ops array on NULL in tcf_action_init (Ivan Vecera) [RHEL-36218]
- net/sched: act_api: avoid non-contiguous action array (Ivan Vecera) [RHEL-36218]
- net/sched: act_api: use tcf_act_for_each_action (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: remove filters/tests.json (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: rename concurrency.json to flower.json (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: remove spurious './' from Makefile (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: remove spurious nsPlugin usage (Ivan Vecera) [RHEL-36218]
- net/sched: cbs: Use units.h instead of the copy of a definition (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: remove unused import (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: cleanup on Ctrl-C (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: prefix iproute2 functions with "ipr2" (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: remove unnecessary time.sleep (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: remove buildebpf plugin (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: report number of workers in use (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: timeout on unbounded loops (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: leverage -all in suite ns teardown (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: use netns delete from pyroute2 (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: move back to per test ns setup (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: cap parallel tdc to 4 cores (Ivan Vecera) [RHEL-36218]
- selftests/tc-testing: add hashtable tests for u32 (Ivan Vecera) [RHEL-36218]
- net/sched: cls_u32: replace int refcounts with proper refcounts (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: use parallel tdc in kselftests (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: preload all modules in kselftests (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: rework namespaces and devices setup (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: drop '-N' argument from nsPlugin (Ivan Vecera) [RHEL-36218]
- net: don't dump stack on queue timeout (Ivan Vecera) [RHEL-36218]
- net_sched: sch_fq: better validate TCA_FQ_WEIGHTS and TCA_FQ_PRIOMAP (Ivan Vecera) [RHEL-36218]
- net, sched: Fix SKB_NOT_DROPPED_YET splat under debug config (Ivan Vecera) [RHEL-36218]
- net: sched: Fill in missing MODULE_DESCRIPTION for qdiscs (Ivan Vecera) [RHEL-36218]
- net: sched: Fill in missing MODULE_DESCRIPTION for classifiers (Ivan Vecera) [RHEL-36218]
- net: sched: Fill in MODULE_DESCRIPTION for act_gate (Ivan Vecera) [RHEL-36218]
- sched: act_ct: switch to per-action label counting (Ivan Vecera) [RHEL-36218]
- net: sched: sch_qfq: Use non-work-conserving warning handler (Ivan Vecera) [RHEL-36218]
- net_sched: sch_fq: fastpath needs to take care of sk->sk_pacing_status (Ivan Vecera) [RHEL-36218]
- net_sched: sch_fq: fix off-by-one error in fq_dequeue() (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: add test for 'rt' upgrade on hfsc (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: move auxiliary scripts to a dedicated folder (Ivan Vecera) [RHEL-36218]
- selftests: tc-testing: add missing Kconfig options to 'config' (Ivan Vecera) [RHEL-36218]
- net, sched: Add tcf_set_drop_reason for {__,}tcf_classify (Ivan Vecera) [RHEL-36218]
- net, sched: Make tc-related drop reason more flexible (Ivan Vecera) [RHEL-36218]
- net: sched: cls_u32: Fix allocation size in u32_init() (Ivan Vecera) [RHEL-36218]
- netem: Annotate struct disttable with __counted_by (Ivan Vecera) [RHEL-36218]
- net_sched: sch_fq: add TCA_FQ_WEIGHTS attribute (Ivan Vecera) [RHEL-36218]
- net_sched: sch_fq: add 3 bands and WRR scheduling (Ivan Vecera) [RHEL-36218]
- net_sched: export pfifo_fast prio2band[] (Ivan Vecera) [RHEL-36218]
- net_sched: sch_fq: remove q->ktime_cache (Ivan Vecera) [RHEL-36218]
- net_sched: sch_fq: always garbage collect (Ivan Vecera) [RHEL-36218]
- net_sched: sch_fq: add fast path for mostly idle qdisc (Ivan Vecera) [RHEL-36218]
- net_sched: sch_fq: change how @inactive is tracked (Ivan Vecera) [RHEL-36218]
- net_sched: sch_fq: struct sched_data reorg (Ivan Vecera) [RHEL-36218]
- net_sched: constify qdisc_priv() (Ivan Vecera) [RHEL-36218]
- selftests/tc-testing: update tdc documentation (Ivan Vecera) [RHEL-36218]
- selftests/tc-testing: implement tdc parallel test run (Ivan Vecera) [RHEL-36218]
- selftests/tc-testing: update test definitions for local resources (Ivan Vecera) [RHEL-36218]
- selftests/tc-testing: localize test resources (Ivan Vecera) [RHEL-36218]
- net/sched: cls_route: make netlink errors meaningful (Ivan Vecera) [RHEL-36218]
- selftests/tc-testing: cls_u32: add tests for classid (Ivan Vecera) [RHEL-36218]
- selftests/tc-testing: cls_route: add tests for classid (Ivan Vecera) [RHEL-36218]
- selftests/tc-testing: cls_fw: add tests for classid (Ivan Vecera) [RHEL-36218]
- net/ipv6: SKB symmetric hash should incorporate transport ports (Ivan Vecera) [RHEL-36218]
- net: sched: sch_qfq: Fix UAF in qfq_dequeue() (Ivan Vecera) [RHEL-36218]
- net/sched: fq_pie: avoid stalls in fq_pie_timer() (Ivan Vecera) [RHEL-36218]
- netem: use seeded PRNG for correlated loss events (Ivan Vecera) [RHEL-36218]
- netem: use a seeded PRNG for generating random losses (Ivan Vecera) [RHEL-36218]
- netem: add prng attribute to netem_sched_data (Ivan Vecera) [RHEL-36218]
- selftests/tc-testing: verify that a qdisc can be grafted onto a taprio class (Ivan Vecera) [RHEL-36218]
- selftests/tc-testing: test that taprio can only be attached as root (Ivan Vecera) [RHEL-36218]
- selftests/tc-testing: add ptp_mock Kconfig dependency (Ivan Vecera) [RHEL-36218]
- net: netdevsim: mimic tc-taprio offload (Ivan Vecera) [RHEL-36218]
- net: netdevsim: use mock PHC driver (Ivan Vecera) [RHEL-36218]
- redhat/configs: Add CONFIG_PTP_1588_CLOCK_MOCK (Ivan Vecera) [RHEL-36218]
- net: ptp: create a mock-up PTP Hardware Clock driver (Ivan Vecera) [RHEL-36218]
- net/sched: taprio: dump class stats for the actual q->qdiscs[] (Ivan Vecera) [RHEL-36218]
- net/sched: taprio: delete misleading comment about preallocating child qdiscs (Ivan Vecera) [RHEL-36218]
- net/sched: taprio: try again to report q->qdiscs[] to qdisc_leaf() (Ivan Vecera) [RHEL-36218]
- net/sched: taprio: keep child Qdisc refcount elevated at 2 in offload mode (Ivan Vecera) [RHEL-36218]
- net/sched: taprio: don't access q->qdiscs[] in unoffloaded mode during attach() (Ivan Vecera) [RHEL-36218]
- net: pkt_cls: Remove unused inline helpers (Ivan Vecera) [RHEL-36218]
- tc: flower: Enable offload support IPSEC SPI field. (Ivan Vecera) [RHEL-36218]
- tc: flower: support for SPI (Ivan Vecera) [RHEL-36218]
- net: flow_dissector: Add IPSEC dissector (Ivan Vecera) [RHEL-36218]
- net/sched: sch_qfq: warn about class in use while deleting (Ivan Vecera) [RHEL-36218]
- net/sched: sch_drr: warn about class in use while deleting (Ivan Vecera) [RHEL-36218]
- net/sched: wrap open coded Qdics class filter counter (Ivan Vecera) [RHEL-36218]
- overflow: Allow mixed type arguments (Ivan Vecera) [RHEL-36218]
- compiler.h: drop fallback overflow checkers (Ivan Vecera) [RHEL-36218]
- dpll: fix dpll_pin_on_pin_register() for multiple parent pins (Petr Oros) [RHEL-32098]
- dpll: indent DPLL option type by a tab (Petr Oros) [RHEL-32098]
- dpll: fix dpll_xa_ref_*_del() for multiple registrations (Petr Oros) [RHEL-32098]
- dpll: spec: use proper enum for pin capabilities attribute (Petr Oros) [RHEL-32098]
- dpll: move all dpll<>netdev helpers to dpll code (Petr Oros) [RHEL-32098]
- dpll: fix build failure due to rcu_dereference_check() on unknown type (Petr Oros) [RHEL-32098]
- dpll: rely on rcu for netdev_dpll_pin() (Petr Oros) [RHEL-32098]
- dpll: fix possible deadlock during netlink dump operation (Petr Oros) [RHEL-32098]
- dpll: check that pin is registered in __dpll_pin_unregister() (Petr Oros) [RHEL-32098]
- dpll: move xa_erase() call in to match dpll_pin_alloc() error path order (Petr Oros) [RHEL-32098]
- dpll: expose fractional frequency offset value to user (Petr Oros) [RHEL-32098]
- dpll: allocate pin ids in cycle (Petr Oros) [RHEL-32098]
- dpll: remove leftover mode_supported() op and use mode_get() instead (Petr Oros) [RHEL-32098]
- Documentation: dpll: wrap DPLL_CMD_PIN_GET output in a code block (Petr Oros) [RHEL-32098]
- Documentation: dpll: Fix code blocks (Petr Oros) [RHEL-32098]
- MAINTAINERS: adjust header file entry in DPLL SUBSYSTEM (Petr Oros) [RHEL-32098]
- netdev: Remove unneeded semicolon (Petr Oros) [RHEL-32098]
- ipvs: Fix checksumming on GSO of SCTP packets (Xin Long) [RHEL-36204]
- net: sctp: fix skb leak in sctp_inq_free() (Xin Long) [RHEL-36204]
- sctp: preserve const qualifier in sctp_sk() (Xin Long) [RHEL-36204]
- sctp: Simplify the allocation of slab caches (Xin Long) [RHEL-36204]
- sctp: fix busy polling (Xin Long) [RHEL-36204]
- sctp: support MSG_ERRQUEUE flag in recvmsg() (Xin Long) [RHEL-36204]
- arm64: dts: qcom: sa8775p: Make watchdog bark interrupt edge triggered (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sa8775p: enable safety IRQ (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sc8280xp: Make watchdog bark interrupt edge triggered (Steve Dunnagan) [RHEL-33072]
- dt-bindings: thermal: tsens: Add sa8775p compatible (Steve Dunnagan) [RHEL-33072]
- dt-bindings: thermal: tsens: Add compatible for MSM8226 (Steve Dunnagan) [RHEL-33072]
- dt-bindings: thermal: tsens: Add compatible for SM6375 (Steve Dunnagan) [RHEL-33072]
- dt-bindings: thermal: tsens: Add QCM2290 (Steve Dunnagan) [RHEL-33072]
- dt-bindings: thermal: qcom-tsens: Correct unit address (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sa8540p-ride: correct name of remoteproc_nsp0 firmware (Steve Dunnagan) [RHEL-33072]
- dt-bindings: thermal: tsens: add per-sensor cells for msm8974 (Steve Dunnagan) [RHEL-33072]
- dt-bindings: thermal: tsens: support per-sensor calibration cells (Steve Dunnagan) [RHEL-33072]
- dt-bindings: thermal: tsens: add msm8956 compat (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sa8540p-ride: Document i2c busses (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sa8540p-ride: Fix some i2c pinctrl settings (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sa8540p-ride: add i2c nodes (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sc8280xp: add missing spi nodes (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sc8280xp: add missing i2c nodes (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sc8280xp: rename qup0_i2c4 to i2c4 (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sc8280xp: rename qup2_i2c5 to i2c21 (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sc8280xp: rename qup2_uart17 to uart17 (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sa8540p-ride: disable pcie2a node (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sa8540p-ride: enable pcie2a node (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sa8540p-ride: enable rtc (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sc8280xp: Pad addresses to 8 hex digits (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sc8280xp: drop bogus clock-controller property (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sc8280xp: correct SPMI bus address cells (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sc8280xp: align PSCI domain names with DT schema (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sc8280xp: add gpr node (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sc8280xp: fix USB-DP PHY nodes (Steve Dunnagan) [RHEL-33072]
- arm64: dts: qcom: sc8280xp: fix primary USB-DP PHY reset (Steve Dunnagan) [RHEL-33072]
- dt-bindings: thermal: qcom-tsens: narrow interrupts for SC8280XP, SM6350 and SM8450 (Steve Dunnagan) [RHEL-33072]
- dt-bindings: thermal: tsens: Add sc8280xp compatible (Steve Dunnagan) [RHEL-33072]
Resolves: RHEL-22708, RHEL-26569, RHEL-29553, RHEL-31077, RHEL-31941, RHEL-32098, RHEL-32430, RHEL-33072, RHEL-35098, RHEL-35759, RHEL-36204, RHEL-36218, RHEL-36610, RHEL-36682, RHEL-37052, RHEL-37060, RHEL-37232, RHEL-37511, RHEL-38427, RHEL-6066, RHEL-35099, RHEL-26574, RHEL-37233

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2024-05-30 11:34:46 -04:00
Lucas Zampieri
3a0ff176be
kernel-5.14.0-456.el9
* Tue May 28 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-456.el9]
- powerpc: Export mmu_feature_keys[] as non-GPL (Mamatha Inamdar) [RHEL-26102]
- powerpc/64s/interrupt: Fix interrupt exit race with security mitigation switch (Mamatha Inamdar) [RHEL-38276] {CVE-2023-52740}
- platform/x86/intel/pmc/lnl: Remove SSRAM support (David Arcari) [RHEL-27144]
- platform/x86/intel/vsec: Remove nuisance message (David Arcari) [RHEL-27144]
- powerpc/qspinlock: Fix stale propagated yield_cpu (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: add compile-time tuning adjustments (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: provide accounting and options for sleepy locks (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: allow indefinite spinning on a preempted owner (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: reduce remote node steal spins (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: use spin_begin/end API (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: allow lock stealing in trylock and lock fastpath (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: add ability to prod new queue head CPU (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: allow propagation of yield CPU down the queue (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: allow stealing when head of queue yields (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: implement option to yield to previous node (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: paravirt yield to lock owner (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: store owner CPU in lock word (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: theft prevention to control latency (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: allow new waiters to steal the lock before queueing (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: convert atomic operations to assembly (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: use a half-word store to unlock to avoid larx/stcx. (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: add mcs queueing for contended waiters (Artem Savkov) [RHEL-36278]
- powerpc/qspinlock: powerpc qspinlock implementation (Artem Savkov) [RHEL-36278]
- thermal/drivers/tsens: Add suspend to RAM support for tsens (Lucas Karpinski) [RHEL-35736]
- thermal/qcom/tsens: Drop ops_v0_1 (Lucas Karpinski) [RHEL-35736]
- thermal: tsens: Convert to platform remove callback returning void (Lucas Karpinski) [RHEL-35736]
- drivers: thermal: tsens: Annotate struct tsens_priv with __counted_by (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/tsens: Make tsens_xxxx_nvmem static (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom/temp-alarm: Use dev_err_probe (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom/tsens-v0_1: Add MSM8909 data (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom/tsens-v0_1: Add mdm9607 correction offsets (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom/tsens-v0_1: Fix mdm9607 slope values (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom/tsens: Drop unused legacy structs (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom/tsens-v0_1: Add support for MSM8226 (Lucas Karpinski) [RHEL-35736]
- thermal/core: Use the thermal zone 'devdata' accessor in thermal located drivers (Lucas Karpinski) [RHEL-35736]
- thermal: Remove core header inclusion from drivers (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom-spmi-adc-tm5: Use asm intead of asm-generic (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/tsens: Drop single-cell code for msm8976/msm8956 (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/tsens: Drop single-cell code for msm8939 (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/tsens: Drop single-cell code for mdm9607 (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/tsens: Rework legacy calibration data parsers (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/tsens: Support using nvmem cells for msm8974 calibration (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/tsens: Support using nvmem cells for calibration data (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/tsens: limit num_sensors to 9 for msm8939 (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/tsens: fix slope values for msm8939 (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/tsens: Sort out msm8976 vs msm8956 data (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/tsens: Drop msm8976-specific defines (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/tsens: Drop unnecessary hw_ids (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom: Fix lock inversion (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom: Fix set_trip_temp() deadlock (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom: Use generic thermal_zone_get_trip() function (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom/lmh: Fix irq handler return value (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom: Demote error log of thermal zone register to debug (Lucas Karpinski) [RHEL-35736]
- thermal: qcom-spmi-adc-tm5: suppress probe-deferral error message (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/tsens: Add IPQ8074 support (Lucas Karpinski) [RHEL-35736]
- thermal: qcom: qcom-spmi-adc-tm5: convert to IIO fwnode API (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom/spmi-adc-tm5: Remove unnecessary print function dev_err() (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom/spmi-adc-tm5: Register thermal zones as hwmon sensors (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom/temp-alarm: Register thermal zones as hwmon sensors (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom/lmh: Add sc8180x compatible (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/tsens: Add compat string for the qcom,msm8960 (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom/lmh: Add support for sm8150 (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom/lmh: make QCOM_LMH depends on QCOM_SCM (Lucas Karpinski) [RHEL-35736]
- New configs in drivers/thermal (Lucas Karpinski) [RHEL-35736]
- thermal/drivers/qcom: Add support for LMh driver (Lucas Karpinski) [RHEL-35736]
- ext4: avoid allocating blocks from corrupted group in ext4_mb_try_best_found() (Pavel Reichl) [RHEL-31690] {CVE-2024-26773}
- ext4: avoid dividing by 0 in mb_update_avg_fragment_size() when block bitmap corrupt (Pavel Reichl) [RHEL-31686] {CVE-2024-26774}
- ext4: fix double-free of blocks due to wrong extents moved_len (Pavel Reichl) [RHEL-31614] {CVE-2024-26704}
- ext4: reduce unnecessary memory allocation in alloc_flex_gd() (Pavel Reichl) [RHEL-30509] {CVE-2023-52622}
- ext4: avoid online resizing failures due to oversized flex bg (Pavel Reichl) [RHEL-30509] {CVE-2023-52622}
- ext4: remove unnecessary check from alloc_flex_gd() (Pavel Reichl) [RHEL-30509] {CVE-2023-52622}
- ext4: unify the type of flexbg_size to unsigned int (Pavel Reichl) [RHEL-30509] {CVE-2023-52622}
- scsi: ufs: host: Fix kernel-doc warning (Joel Slebodnick) [RHEL-35861]
- scsi: ufs: qcom: Avoid re-init quirk when gears match (Joel Slebodnick) [RHEL-35861]
- scsi: ufs: ufs-qcom: Add support for UFS device version detection (Joel Slebodnick) [RHEL-35861]
- scsi: ufs: ufs-qcom: Check return value of phy_set_mode_ext() (Joel Slebodnick) [RHEL-35861]
- scsi: ufs: ufs-qcom: Set initial PHY gear to max HS gear for HW ver 4 and newer (Joel Slebodnick) [RHEL-35861]
- scsi: ufs: ufs-qcom: Limit HS-G5 Rate-A to hosts with HW version 5 (Joel Slebodnick) [RHEL-35861]
- scsi: ufs: ufs-qcom: Allow the first init start with the maximum supported gear (Joel Slebodnick) [RHEL-35861]
- scsi: ufs: ufs-qcom: Setup host power mode during init (Joel Slebodnick) [RHEL-35861]
- scsi: ufs: ufs-qcom: No need to set hs_rate after ufshcd_init_host_param() (Joel Slebodnick) [RHEL-35861]
- scsi: ufs: host: Rename structure ufs_dev_params to ufs_host_params (Joel Slebodnick) [RHEL-35861]
- mfd: intel-lpss: Introduce QUIRK_CLOCK_DIVIDER_UNITY for XPS 9530 (David Arcari) [RHEL-20053]
- mfd: intel-lpss: Switch to generalized quirk table (David Arcari) [RHEL-20053]
- mfd: intel-lpss: Fix the fractional clock divider flags (David Arcari) [RHEL-20053]
- mfd: intel-lpss: Don't fail probe on success of pci_alloc_irq_vectors() (David Arcari) [RHEL-20053]
- mfd: intel-lpss: Provide Intel LPSS PM ops structure (David Arcari) [RHEL-20053]
- mfd: intel-lpss: Move exported symbols to INTEL_LPSS namespace (David Arcari) [RHEL-20053]
- lib/vsprintf: declare no_hash_pointers in sprintf.h (David Arcari) [RHEL-20053]
- lib/vsprintf: split out sprintf() and friends (David Arcari) [RHEL-20053]
- mfd: intel-lpss: Adjust header inclusions (David Arcari) [RHEL-20053]
- mfd: intel-lpss: Use device_get_match_data() (David Arcari) [RHEL-20053]
- mfd: intel-lpss: Revert "Add missing check for platform_get_resource" (David Arcari) [RHEL-20053]
- mfd: intel-lpss-acpi: Convert to platform remove callback returning void (David Arcari) [RHEL-20053]
- mfd: intel-lpss: Return error code received from the IRQ API (David Arcari) [RHEL-20053]
- mfd: intel-lpss: Use PCI APIs instead of dereferencing (David Arcari) [RHEL-20053]
- mfd: intel-lpss: Remove usage of the deprecated ida_simple_xx() API (David Arcari) [RHEL-20053]
- mfd: intel-lpss: Add Intel Lunar Lake-M PCI IDs (David Arcari) [RHEL-20053]
- mfd: intel-lpss: Add missing check for platform_get_resource (David Arcari) [RHEL-20053]
- mfd: intel-lpss: Hide suspend/resume functions in #ifdef (David Arcari) [RHEL-20053]
Resolves: RHEL-20053, RHEL-26102, RHEL-27144, RHEL-30509, RHEL-31614, RHEL-31686, RHEL-31690, RHEL-35736, RHEL-35861, RHEL-36278, RHEL-38276

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-05-28 12:06:15 -03:00
Lucas Zampieri
0f3a37a161
kernel-5.14.0-454.el9
* Wed May 22 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-454.el9]
- RAS: enable CONFIG_RAS_FMPM (Aristeu Rozanski) [RHEL-17008]
- RAS: Avoid build errors when CONFIG_DEBUG_FS=n (Aristeu Rozanski) [RHEL-17008]
- RAS/AMD/FMPM: Safely handle saved records of various sizes (Aristeu Rozanski) [RHEL-17008]
- RAS/AMD/FMPM: Avoid NULL ptr deref in get_saved_records() (Aristeu Rozanski) [RHEL-17008]
- Merge tag 'edac_updates_for_v6.9' of git://git.kernel.org/pub/scm/linux/kernel/git/ras/ras (Aristeu Rozanski) [RHEL-17008]
- RAS/AMD/FMPM: Fix off by one when unwinding on error (Aristeu Rozanski) [RHEL-17008]
- RAS/AMD/FMPM: Add debugfs interface to print record entries (Aristeu Rozanski) [RHEL-17008]
- RAS/AMD/FMPM: Save SPA values (Aristeu Rozanski) [RHEL-17008]
- RAS: Export helper to get ras_debugfs_dir (Aristeu Rozanski) [RHEL-17008]
- RAS/AMD/ATL: Fix bit overflow in denorm_addr_df4_np2() (Aristeu Rozanski) [RHEL-17008]
- RAS: Introduce a FRU memory poison manager (Aristeu Rozanski) [RHEL-17008]
- x86/cpu/amd: Provide a separate accessor for Node ID (Aristeu Rozanski) [RHEL-17008]
- RAS/AMD/ATL: Add MI300 row retirement support (Aristeu Rozanski) [RHEL-17008]
- Documentation: Move RAS section to admin-guide (Aristeu Rozanski) [RHEL-17008]
- RAS/AMD/ATL: Add MI300 DRAM to normalized address translation support (Aristeu Rozanski) [RHEL-17008]
- RAS/AMD/ATL: Fix array overflow in get_logical_coh_st_fabric_id_mi300() (Aristeu Rozanski) [RHEL-17008]
- RAS/AMD/ATL: Add MI300 support (Aristeu Rozanski) [RHEL-17008]
- Documentation: RAS: Add index and address translation section (Aristeu Rozanski) [RHEL-17008]
- hwmon: (fam15h_power) Use topology_core_id() (Aristeu Rozanski) [RHEL-17008]
- scsi: lpfc: Use topology_core_id() (Aristeu Rozanski) [RHEL-17008]
- cpu/SMT: Make SMT control more robust against enumeration failures (Aristeu Rozanski) [RHEL-17008]
- dm integrity: fix out-of-range warning (Benjamin Marzinski) [RHEL-34599]
- dm snapshot: fix lockup in dm_exception_table_exit (Benjamin Marzinski) [RHEL-34599]
- dm: call the resume method on internal suspend (Benjamin Marzinski) [RHEL-33217] {CVE-2024-26880}
- dm raid: fix false positive for requeue needed during reshape (Benjamin Marzinski) [RHEL-34599]
- dm: replace fmode_t with a block-specific type for block open flags (Benjamin Marzinski) [RHEL-34599]
- dm flakey: use get_random_u8() in corrupt_bio_random (Benjamin Marzinski) [RHEL-34599]
- block: count 'ios' and 'sectors' when io is done for bio-based device (Benjamin Marzinski) [RHEL-34599]
- dm: verity-loadpin: Add NULL pointer check for 'bdev' parameter (Benjamin Marzinski) [RHEL-34599]
- dm integrity: Use alloc_ordered_workqueue() to create ordered workqueues (Benjamin Marzinski) [RHEL-34599]
- dm: Add support for block PR read keys/reservation (Benjamin Marzinski) [RHEL-34599]
- dm: Annotate struct dm_bio_prison with __counted_by (Benjamin Marzinski) [RHEL-34599]
- dm: Annotate struct dm_stat with __counted_by (Benjamin Marzinski) [RHEL-34599]
- dm: Annotate struct stripe_c with __counted_by (Benjamin Marzinski) [RHEL-34599]
- dm crypt: Annotate struct crypt_config with __counted_by (Benjamin Marzinski) [RHEL-34599]
- dm raid: Annotate struct raid_set with __counted_by (Benjamin Marzinski) [RHEL-34599]
- scsi: target: Fix SELinux error when systemd-modules loads the target module (Maurizio Lombardi) [RHEL-21327]
- x86/bugs: Reverse instruction order of CLEAR_CPU_BUFFERS (Waiman Long) [RHEL-31226]
- Revert "x86/bugs: Use fixed addressing for VERW operand" (Waiman Long) [RHEL-31226]
- KVM/x86: Export RFDS_NO and RFDS_CLEAR to guests (Waiman Long) [RHEL-31226]
- redhat/configs: Enable x86 CONFIG_MITIGATION_RFDS (Waiman Long) [RHEL-31226]
- x86/rfds: Mitigate Register File Data Sampling (RFDS) (Waiman Long) [RHEL-31226]
- Documentation/hw-vuln: Add documentation for RFDS (Waiman Long) [RHEL-31226]
- x86/mmio: Disable KVM mitigation when X86_FEATURE_CLEAR_CPU_BUF is set (Waiman Long) [RHEL-31226]
- x86/bugs: Use fixed addressing for VERW operand (Waiman Long) [RHEL-31226]
- KVM/VMX: Move VERW closer to VMentry for MDS mitigation (Waiman Long) [RHEL-31226]
- KVM/VMX: Use BT+JNC, i.e. EFLAGS.CF to select VMRESUME vs. VMLAUNCH (Waiman Long) [RHEL-31226]
- x86/bugs: Use ALTERNATIVE() instead of mds_user_clear static key (Waiman Long) [RHEL-31226]
- x86/entry_32: Add VERW just before userspace transition (Waiman Long) [RHEL-31226]
- x86/entry_64: Add VERW just before userspace transition (Waiman Long) [RHEL-31226]
- x86/bugs: Add asm helpers for executing VERW (Waiman Long) [RHEL-31226]
- PM: clk: make pm_clk_add_notifier() take a const pointer (Jerry Snitselaar) [RHEL-28792]
- driver core: bus: constantify subsys_register() calls (Jerry Snitselaar) [RHEL-28792]
- driver core: bus: make bus_sort_breadthfirst() take a const pointer (Jerry Snitselaar) [RHEL-28792]
- vfio/type1: constify bus_type use in vfio_bus_type (Jerry Snitselaar) [RHEL-28792]
- dmaengine: idxd: constify the struct device_type usage (Jerry Snitselaar) [RHEL-28792]
- dmaengine: idxd: make dsa_bus_type const (Jerry Snitselaar) [RHEL-28792]
- driver core: device.h: make struct bus_type a const * (Jerry Snitselaar) [RHEL-28792]
- driver core: make the bus_type in struct device_driver constant (Jerry Snitselaar) [RHEL-28792]
- dmaengine: of: constify of_phandle_args in of_dma_find_controller() (Jerry Snitselaar) [RHEL-28792]
- dmaengine: ptdma: use consistent DMA masks (Jerry Snitselaar) [RHEL-28792]
- dmaengine: fix is_slave_direction() return false when DMA_DEV_TO_DEV (Jerry Snitselaar) [RHEL-28792]
- dmaengine: usb-dmac: Avoid format-overflow warning (Jerry Snitselaar) [RHEL-28792]
- dmaengine: sh: rz-dmac: Avoid format-overflow warning (Jerry Snitselaar) [RHEL-28792]
- dmaengine: imx-sdma: fix Excess kernel-doc warnings (Jerry Snitselaar) [RHEL-28792]
- dmaengine: fix NULL pointer in channel unregistration function (Jerry Snitselaar) [RHEL-28792 RHEL-28869] {CVE-2023-52492}
- dmaengine: idxd: Remove usage of the deprecated ida_simple_xx() API (Jerry Snitselaar) [RHEL-28792]
- dmaengine: dmatest: prevent using swiotlb buffer with nobounce parameter (Jerry Snitselaar) [RHEL-28792]
- iommu/tegra: Use tegra_dev_iommu_get_stream_id() in the remaining places (Jerry Snitselaar) [RHEL-28792]
- dmaengine: idxd: Fix incorrect descriptions for GRPCFG register (Jerry Snitselaar) [RHEL-28792]
- dmaengine: idxd: Protect int_handle field in hw descriptor (Jerry Snitselaar) [RHEL-28792]
- dmaengine: Remove unused declaration dma_chan_cleanup() (Jerry Snitselaar) [RHEL-28792]
- dmaengine: qcom: fix Wvoid-pointer-to-enum-cast warning (Jerry Snitselaar) [RHEL-28792]
- dmaengine: usb-dmac: Annotate struct usb_dmac_desc with __counted_by (Jerry Snitselaar) [RHEL-28792]
- dmaengine: tegra: Annotate struct tegra_dma_desc with __counted_by (Jerry Snitselaar) [RHEL-28792]
- dmaengine: idxd: Register dsa_bus_type before registering idxd sub-drivers (Jerry Snitselaar) [RHEL-28792]
- dmaengine: idxd: rate limit printk in misc interrupt thread (Jerry Snitselaar) [RHEL-28792]
- dmaengine: tegra20-apb-dma: Convert to platform remove callback returning void (Jerry Snitselaar) [RHEL-28792]
- dmaengine: tegra186-gpc-dma: Convert to platform remove callback returning void (Jerry Snitselaar) [RHEL-28792]
- dmaengine: sh: usb-dmac: Convert to platform remove callback returning void (Jerry Snitselaar) [RHEL-28792]
- dmaengine: sh: rz-dmac: Convert to platform remove callback returning void (Jerry Snitselaar) [RHEL-28792]
- dmaengine: sh: rcar-dmac: Convert to platform remove callback returning void (Jerry Snitselaar) [RHEL-28792]
- dmaengine: qcom: hidma: Convert to platform remove callback returning void (Jerry Snitselaar) [RHEL-28792]
- dmaengine: imx-sdma: Convert to platform remove callback returning void (Jerry Snitselaar) [RHEL-28792]
- dmaengine: idma64: Convert to platform remove callback returning void (Jerry Snitselaar) [RHEL-28792]
- dmaengine: dw: platform: Convert to platform remove callback returning void (Jerry Snitselaar) [RHEL-28792]
- dmaengine:idxd: Use local64_try_cmpxchg in perfmon_pmu_event_update (Jerry Snitselaar) [RHEL-28792]
- dmaengine: Explicitly include correct DT includes (Jerry Snitselaar) [RHEL-28792]
- dmaengine: qcom: gpi: Use devm_platform_get_and_ioremap_resource() (Jerry Snitselaar) [RHEL-28792]
- PCI: switchtec: Fix an error handling path in switchtec_pci_probe() (Myron Stowe) [RHEL-29481] {CVE-2023-52617}
- PCI: switchtec: Fix stdev_release() crash after surprise hot remove (Myron Stowe) [RHEL-29481] {CVE-2023-52617}
- kbuild: factor out the common objtool arguments (Joe Lawrence) [RHEL-2773]
- kbuild: move vmlinux.o link to scripts/Makefile.vmlinux_o (Joe Lawrence) [RHEL-2773]
- kbuild: remove redundant cleanups in scripts/link-vmlinux.sh (Joe Lawrence) [RHEL-2773]
- kbuild: add cmd_and_savecmd macro (Joe Lawrence) [RHEL-2773]
- kbuild: warn if FORCE is missing for if_changed(_dep,_rule) and filechk (Joe Lawrence) [RHEL-2773]
- kbuild: macrofy the condition of if_changed and friends (Joe Lawrence) [RHEL-2773]
- mm/swap: fix race when skipping swapcache (Rafael Aquini) [RHEL-31646] {CVE-2024-26759}
- timers: Use already existing function for forwarding timer base (Phil Auld) [RHEL-33787]
- timers: Split out forward timer base functionality (Phil Auld) [RHEL-33787]
- timers: Clarify check in forward_timer_base() (Phil Auld) [RHEL-33787]
- timers: Move store of next event into __next_timer_interrupt() (Phil Auld) [RHEL-33787]
- timers: Do not IPI for deferrable timers (Phil Auld) [RHEL-33787]
- tracing/timers: Add tracepoint for tracking timer base is_idle flag (Phil Auld) [RHEL-33787]
- tracing/timers: Enhance timer_start tracepoint (Phil Auld) [RHEL-33787]
- tick-sched: Warn when next tick seems to be in the past (Phil Auld) [RHEL-33787]
- tick/sched: Cleanup confusing variables (Phil Auld) [RHEL-33787]
- tick-sched: Fix function names in comments (Phil Auld) [RHEL-33787]
- tick/nohz: Update comments some more (Phil Auld) [RHEL-33787]
- tick/nohz: Don't shutdown the lowres tick from itself (Phil Auld) [RHEL-33787]
- tick/nohz: Update obsolete comments (Phil Auld) [RHEL-33787]
- tick/nohz: Rename the tick handlers to more self-explanatory names (Phil Auld) [RHEL-33787]
- tick/rcu: Fix false positive "softirq work is pending" messages (Phil Auld) [RHEL-33787]
- tick/common: Align tick period during sched_timer setup (Phil Auld) [RHEL-33787]
- selftests/proc: Assert clock_gettime(CLOCK_BOOTTIME) VS /proc/uptime monotonicity (Phil Auld) [RHEL-33787]
- selftests/proc: Remove idle time monotonicity assertions (Phil Auld) [RHEL-33787]
- MAINTAINERS: Remove stale email address (Phil Auld) [RHEL-33787]
- timers/nohz: Remove middle-function __tick_nohz_idle_stop_tick() (Phil Auld) [RHEL-33787]
- timers/nohz: Add a comment about broken iowait counter update race (Phil Auld) [RHEL-33787]
- timers/nohz: Protect idle/iowait sleep time under seqcount (Phil Auld) [RHEL-33787]
- timers/nohz: Only ever update sleeptime from idle exit (Phil Auld) [RHEL-33787]
- timers/nohz: Restructure and reshuffle struct tick_sched (Phil Auld) [RHEL-33787]
- tick/common: Align tick period with the HZ tick. (Phil Auld) [RHEL-33787]
- tick/nohz: Fix cpu_is_hotpluggable() by checking with nohz subsystem (Phil Auld) [RHEL-33787]
- timers/nohz: Switch to ONESHOT_STOPPED in the low-res handler when the tick is stopped (Phil Auld) [RHEL-33787]
- tracing/timer: Add missing argument documentation of trace points (Phil Auld) [RHEL-33787]
- scsi: be2iscsi: Fix a memleak in beiscsi_init_wrb_handle() (Maurizio Lombardi) [RHEL-25590]
- fat: fix uninitialized field in nostale filehandles (Andrey Albershteyn) [RHEL-33188 RHEL-35110] {CVE-2024-26973}
- do_sys_name_to_handle(): use kzalloc() to fix kernel-infoleak (Andrey Albershteyn) [RHEL-33188] {CVE-2024-26901}
- md: fix resync softlockup when bitmap size is less than array size (Nigel Croxon) [RHEL-35393]
- md: don't account sync_io if iostats of the disk is disabled (Nigel Croxon) [RHEL-35393]
- md: Fix overflow in is_mddev_idle (Nigel Croxon) [RHEL-35393]
- md: add check for sleepers in md_wakeup_thread() (Nigel Croxon) [RHEL-35393]
- md/raid5: fix deadlock that raid5d() wait for itself to clear MD_SB_CHANGE_PENDING (Nigel Croxon) [RHEL-35393]
- Revert "md/raid5: fix deadlock that raid5d() wait for itself to clear MD_SB_CHANGE_PENDING" (Nigel Croxon) [RHEL-35393]
- raid1: fix use-after-free for original bio in raid1_write_request() (Nigel Croxon) [RHEL-35393]
- platform/x86: ISST: Add Grand Ridge to HPM CPU list (Steve Best) [RHEL-35956]
- platform/x86: ISST: Add Granite Rapids-D to HPM CPU list (Steve Best) [RHEL-35956]
- platform/x86: ISST: Allow reading core-power state on HWP disabled systems (Steve Best) [RHEL-35956]
- platform/x86: ISST: Process read/write blocked feature status (Steve Best) [RHEL-35956]
- platform/x86/intel/tpmi: Change vsec offset to u64 (Steve Best) [RHEL-35956]
- platform/x86/intel/tpmi: Move TPMI ID definition (Steve Best) [RHEL-35956]
- platform/x86/intel/tpmi: Modify external interface to get read/write state (Steve Best) [RHEL-35956]
- platform/x86/intel/tpmi: Don't create devices for disabled features (Steve Best) [RHEL-35956]
- platform/x86/intel/tpmi: Add debugfs support for read/write blocked (Steve Best) [RHEL-35956]
- of: fdt: fix off-by-one error in unflatten_dt_nodes() (Steve Best) [RHEL-35465] {CVE-2022-48672}
- s390/cpum_cf: make crypto counters upward compatible across machine types (Tobias Huschle) [RHEL-36047]
Resolves: RHEL-17008, RHEL-21327, RHEL-25590, RHEL-2773, RHEL-28792, RHEL-28869, RHEL-29481, RHEL-31226, RHEL-31646, RHEL-33188, RHEL-33217, RHEL-33787, RHEL-34599, RHEL-35110, RHEL-35393, RHEL-35465, RHEL-35956, RHEL-36047

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-05-22 18:30:05 -03:00
Lucas Zampieri
9515a94cd9
kernel-5.14.0-453.el9
* Tue May 21 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-453.el9]
- EDAC/thunderx: Fix possible out-of-bounds string access (Aristeu Rozanski) [RHEL-26577] {CVE-2023-52464}
- rtc: cmos: Use ACPI alarm for non-Intel x86 systems too (Čestmír Kalina) [RHEL-19606]
- redhat: configs: move CONFIG_BLK_SED_OPAL to configs/common/generic/ (Ming Lei) [RHEL-18186]
- platform/x86: p2sb: Allow p2sb_bar() calls during PCI device probe (Steve Best) [RHEL-30600] {CVE-2024-26650}
- x86/lib: Revert to _ASM_EXTABLE_UA() for {get,put}_user() fixups (Steve Best) [RHEL-31441] {CVE-2024-26674}
- mm/damon/vaddr-test: fix memory leak in damon_do_test_apply_three_regions() (Rafael Aquini) [RHEL-29296] {CVE-2023-52560}
- kprobes/x86: Use copy_from_kernel_nofault() to read from unsafe address (Steve Best) [RHEL-34985] {CVE-2024-26946}
- can: j1939: prevent deadlock by changing j1939_socks_lock to rwlock (Jose Ignacio Tornos Martinez) [RHEL-31530] {CVE-2023-52638}
- RDMA/efa: Add shutdown notifier (Kamal Heib) [RHEL-15968]
- mm: swap: fix race between free_swap_and_cache() and swapoff() (Waiman Long) [RHEL-34973 RHEL-34974] {CVE-2024-26960}
- swap: comments get_swap_device() with usage rule (Waiman Long) [RHEL-34973 RHEL-34974] {CVE-2024-26960}
- config: wifi: enable RTL 8822BU device (Jose Ignacio Tornos Martinez) [RHEL-33698]
- selftests: cgroup: fix unexpected failure on test_memcg_sock (Waiman Long) [RHEL-33709]
- selftests: cgroup: Add 'malloc' failures checks in test_memcontrol (Waiman Long) [RHEL-33709]
- selftests: memcg: fix compilation (Waiman Long) [RHEL-33709]
- x86/efistub: Use 1:1 file:memory mapping for PE/COFF .compat section (Lenny Szubowicz) [RHEL-31446] {CVE-2024-26678}
- can: j1939: Fix UAF in j1939_sk_match_filter during setsockopt(SO_J1939_FILTER) (Jose Ignacio Tornos Martinez) [RHEL-31532] {CVE-2023-52637}
- pstore: inode: Only d_invalidate() is needed (Steve Best) [RHEL-35207] {CVE-2024-27389}
- net/mlx5e: Prevent deadlock while disabling aRFS (Kamal Heib) [RHEL-35043] {CVE-2024-27014}
- iavf: Fix TC config comparison with existing adapter TC config (Michal Schmidt) [RHEL-17485]
- iavf: drop duplicate iavf_{add|del}_cloud_filter() calls (Michal Schmidt) [RHEL-17485]
- iavf: adopt skb_network_header_len() more broadly (Michal Schmidt) [RHEL-17485]
- iavf: adopt skb_network_offset() and similar helpers (Michal Schmidt) [RHEL-17485]
- iavf: field get conversion (Michal Schmidt) [RHEL-17485]
- iavf: field prep conversion (Michal Schmidt) [RHEL-17485]
- iavf: add bit macro includes where needed (Michal Schmidt) [RHEL-17485]
- iavf: use iavf_schedule_aq_request() helper (Michal Schmidt) [RHEL-17485]
- iavf: Remove queue tracking fields from iavf_adminq_ring (Michal Schmidt) [RHEL-17485]
- ubi: Check for too small LEB size in VTBL code (Steve Best) [RHEL-25094] {CVE-2024-25739}
- cxgb4: Properly lock TX queue for the selftest. (Michal Schmidt) [RHEL-31990 RHEL-9354]
- net: chelsio: remove unused function calc_tx_descs (Michal Schmidt) [RHEL-9354]
- net: chelsio: cxgb4: add an error code check in t4_load_phy_fw (Michal Schmidt) [RHEL-9354]
- cxgb4: Annotate struct smt_data with __counted_by (Michal Schmidt) [RHEL-9354]
- cxgb4: Annotate struct sched_table with __counted_by (Michal Schmidt) [RHEL-9354]
- cxgb4: Annotate struct cxgb4_tc_u32_table with __counted_by (Michal Schmidt) [RHEL-9354]
- cxgb4: Annotate struct clip_tbl with __counted_by (Michal Schmidt) [RHEL-9354]
- chelsio/l2t: Annotate struct l2t_data with __counted_by (Michal Schmidt) [RHEL-9354]
- net: cxgb4vf: Remove redundant pci_clear_master (Michal Schmidt) [RHEL-9354]
- cxgb4: fill IPsec state validation failure reason (Michal Schmidt) [RHEL-9354]
- cxgb4vf: shut down the adapter when t4vf_update_port_info() failed in cxgb4vf_open() (Michal Schmidt) [RHEL-9354]
- cxgb4: fix missing unlock on ETHOFLD desc collect fail path (Michal Schmidt) [RHEL-9354]
- PCI/ASPM: Restore parent state to parent, child state to child (Myron Stowe) [RHEL-33544]
- PCI/ASPM: Clarify that pcie_aspm=off means leave ASPM untouched (Myron Stowe) [RHEL-33544]
- MAINTAINERS: Drop Gustavo Pimentel as PCI DWC Maintainer (Myron Stowe) [RHEL-33544]
- PCI: qcom: Add X1E80100 PCIe support (Myron Stowe) [RHEL-33544]
- dt-bindings: PCI: qcom: Document the X1E80100 PCIe Controller (Myron Stowe) [RHEL-33544]
- PCI: qcom: Enable BDF to SID translation properly (Myron Stowe) [RHEL-33544]
- PCI: qcom: Disable ASPM L0s for sc8280xp, sa8540p and sa8295p (Myron Stowe) [RHEL-33544]
- dt-bindings: PCI: qcom: Do not require 'msi-map-mask' (Myron Stowe) [RHEL-33544]
- dt-bindings: PCI: qcom: Allow 'required-opps' (Myron Stowe) [RHEL-33544]
- dt-bindings: PCI: qcom,pcie-sa8775p: Move SA8775p to dedicated schema (Myron Stowe) [RHEL-33544]
- dt-bindings: PCI: qcom,pcie-sc7280: Move SC7280 to dedicated schema (Myron Stowe) [RHEL-33544]
- dt-bindings: PCI: qcom,pcie-sc8180x: Move SC8180X to dedicated schema (Myron Stowe) [RHEL-33544]
- dt-bindings: PCI: qcom,pcie-sc8280xp: Move SC8280XP to dedicated schema (Myron Stowe) [RHEL-33544]
- dt-bindings: PCI: qcom,pcie-sm8350: Move SM8350 to dedicated schema (Myron Stowe) [RHEL-33544]
- dt-bindings: PCI: qcom,pcie-sm8150: Move SM8150 to dedicated schema (Myron Stowe) [RHEL-33544]
- dt-bindings: PCI: qcom,pcie-sm8250: Move SM8250 to dedicated schema (Myron Stowe) [RHEL-33544]
- dt-bindings: PCI: qcom,pcie-sm8450: Move SM8450 to dedicated schema (Myron Stowe) [RHEL-33544]
- dt-bindings: PCI: qcom,pcie-sm8550: Move SM8550 to dedicated schema (Myron Stowe) [RHEL-33544]
- PCI: imx6: Add iMX95 Endpoint (EP) support (Myron Stowe) [RHEL-33544]
- dt-bindings: imx6q-pcie: Add iMX95 pcie endpoint compatible string (Myron Stowe) [RHEL-33544]
- PCI: imx6: Add epc_features in imx6_pcie_drvdata (Myron Stowe) [RHEL-33544]
- PCI: imx6: Clean up addr_space retrieval code (Myron Stowe) [RHEL-33544]
- PCI: imx6: Add iMX95 PCIe Root Complex support (Myron Stowe) [RHEL-33544]
- dt-bindings: imx6q-pcie: Add imx95 pcie compatible string (Myron Stowe) [RHEL-33544]
- dt-bindings: imx6q-pcie: Restruct reg and reg-name (Myron Stowe) [RHEL-33544]
- dt-bindings: imx6q-pcie: Clean up duplicate clocks check (Myron Stowe) [RHEL-33544]
- PCI: imx6: Simplify switch-case logic by introducing init_phy() callback (Myron Stowe) [RHEL-33544]
- PCI: imx6: Simplify configure_type() by using mode_off and mode_mask (Myron Stowe) [RHEL-33544]
- PCI: imx6: Simplify ltssm_enable() by using ltssm_off and ltssm_mask (Myron Stowe) [RHEL-33544]
- PCI: imx6: Simplify reset handling by using *_FLAG_HAS_*_RESET (Myron Stowe) [RHEL-33544]
- PCI: imx6: Simplify PHY handling by using IMX6_PCIE_FLAG_HAS_PHYDRV (Myron Stowe) [RHEL-33544]
- PCI: imx6: Simplify clock handling by using clk_bulk*() function (Myron Stowe) [RHEL-33544]
- PCI: dwc: endpoint: Fix advertised resizable BAR size (Myron Stowe) [RHEL-33544]
- PCI: dwc: Strengthen the MSI address allocation logic (Myron Stowe) [RHEL-33544]
- PCI: cadence: Clear the ARI Capability Next Function Number of the last function (Myron Stowe) [RHEL-33544]
- PCI: brcmstb: Fix broken brcm_pcie_mdio_write() polling (Myron Stowe) [RHEL-33544]
- PCI: Make pcie_port_bus_type const (Myron Stowe) [RHEL-33544]
- PCI: endpoint: Drop only_64bit on reserved BARs (Myron Stowe) [RHEL-33544]
- PCI: endpoint: Clean up hardware description for BARs (Myron Stowe) [RHEL-33544]
- PCI: epf-mhi: Add support for SA8775P SoC (Myron Stowe) [RHEL-33544]
- PCI: epf-mhi: Add "pci_epf_mhi_" prefix to the function names (Myron Stowe) [RHEL-33544]
- PCI: epf-vntb: Return actual error code during pci_vntb_probe() failure (Myron Stowe) [RHEL-33544]
- NTB: fix possible name leak in ntb_register_device() (Myron Stowe) [RHEL-33544]
- PCI: endpoint: pci-epf-vntb: Remove superfluous checks for pci_epf_alloc_space() API (Myron Stowe) [RHEL-33544]
- PCI: endpoint: pci-epf-test: Remove superfluous checks for pci_epf_alloc_space() API (Myron Stowe) [RHEL-33544]
- PCI: endpoint: Improve pci_epf_alloc_space() API (Myron Stowe) [RHEL-33544]
- PCI: endpoint: Refactor pci_epf_alloc_space() API (Myron Stowe) [RHEL-33544]
- PCI: endpoint: Make pci_epf_bus_type const (Myron Stowe) [RHEL-33544]
- PCI/sysfs: Demacrofy pci_dev_resource_resize_attr(n) functions (Myron Stowe) [RHEL-33544]
- PCI: Remove obsolete pci_cleanup_rom() declaration (Myron Stowe) [RHEL-33544]
- PCI/sysfs: Compile pci-sysfs.c only if CONFIG_SYSFS=y (Myron Stowe) [RHEL-33544]
- PCI: switchtec: Fix an error handling path in switchtec_pci_probe() (Myron Stowe) [RHEL-33544]
- PCI/PM: Drain runtime-idle callbacks before driver removal (Myron Stowe) [RHEL-33544]
- PCI/PM: Allow runtime PM with no PM callbacks at all (Myron Stowe) [RHEL-33544]
- Revert "ACPI: PM: Block ASUS B1400CEAE from suspend to idle by default" (Myron Stowe) [RHEL-33544]
- PCI: Disable D3cold on Asus B1400 PCI-NVMe bridge (Myron Stowe) [RHEL-33544]
- PCI/P2PDMA: Fix a sleeping issue in a RCU read section (Myron Stowe) [RHEL-33544]
- PCI: Mark 3ware-9650SE Root Port Extended Tags as broken (Myron Stowe) [RHEL-33544]
- PCI: Place interrupt related code into irq.c (Myron Stowe) [RHEL-33544]
- PCI/DPC: Quirk PIO log size for Intel Raptor Lake Root Ports (Myron Stowe) [RHEL-33544]
- PCI/DPC: Ignore Surprise Down error on hot removal (Myron Stowe) [RHEL-33544]
- PCI/DPC: Print all TLP Prefixes, not just the first (Myron Stowe) [RHEL-33544]
- PCI: Move devres code from pci.c to devres.c (Myron Stowe) [RHEL-33544]
- PCI: Move PCI-specific devres code to drivers/pci/ (Myron Stowe) [RHEL-33544]
- PCI: Move pci_iomap.c to drivers/pci/ (Myron Stowe) [RHEL-33544]
- pci_iounmap(): Fix MMIO mapping leak (Myron Stowe) [RHEL-33544]
- PCI/ASPM: Update save_state when configuration changes (Myron Stowe) [RHEL-33544]
- PCI/ASPM: Disable L1 before configuring L1 Substates (Myron Stowe) [RHEL-33544]
- PCI/ASPM: Call pci_save_ltr_state() from pci_save_pcie_state() (Myron Stowe) [RHEL-33544]
- PCI/ASPM: Save L1 PM Substates Capability for suspend/resume (Myron Stowe) [RHEL-33544]
- PCI/ASPM: Move pci_save_ltr_state() to aspm.c (Myron Stowe) [RHEL-33544]
- PCI/ASPM: Always build aspm.c (Myron Stowe) [RHEL-33544]
- PCI/ASPM: Move pci_configure_ltr() to aspm.c (Myron Stowe) [RHEL-33544]
- PCI/AER: Generalize TLP Header Log reading (Myron Stowe) [RHEL-33544]
- PCI/AER: Use explicit register size for PCI_ERR_CAP (Myron Stowe) [RHEL-33544]
- PCI/AER: Block runtime suspend when handling errors (Myron Stowe) [RHEL-33544]
- PCI/AER: Clean up version indentation in ABI docs (Myron Stowe) [RHEL-33544]
- PCI/AER: Fix rootport attribute paths in ABI docs (Myron Stowe) [RHEL-33544]
- PCI: Move pci_clear_and_set_dword() helper to PCI header (Myron Stowe) [RHEL-33544]
- PCI: Add debug print for device ready delay (Myron Stowe) [RHEL-33544]
- PCI/AER: Unmask RCEC internal errors to enable RCH downstream port error handling (Myron Stowe) [RHEL-33544]
- PCI/AER: Forward RCH downstream port-detected errors to the CXL.mem dev handler (Myron Stowe) [RHEL-33544]
- PCI/AER: Refactor cper_print_aer() for use by CXL driver module (Myron Stowe) [RHEL-33544]
- NTB: EPF: fix possible memory leak in pci_vntb_probe() (Myron Stowe) [RHEL-33544]
- devres: show which resource was invalid in __devm_ioremap_resource() (Myron Stowe) [RHEL-33544]
- devres: remove devm_ioremap_np (Myron Stowe) [RHEL-33544]
- lib: devres: use numa aware allocation (Myron Stowe) [RHEL-33544]
- PCI: Allow architecture-specific pci_remap_iospace() (Myron Stowe) [RHEL-33544]
- pci_iounmap'2: Electric Boogaloo: try to make sense of it all (Myron Stowe) [RHEL-33544]
- parisc: Declare pci_iounmap() parisc version only when CONFIG_PCI enabled (Myron Stowe) [RHEL-33544]
- stmmac: Clear variable when destroying workqueue (Izabela Bakollari) [RHEL-31824] {CVE-2024-26802}
- drm/i915/display: Increase number of fast wake precharge pulses (Mika Penttilä) [RHEL-20439]
- drm/i915/psr: Improve fast and IO wake lines calculation (Mika Penttilä) [RHEL-20439]
- drm/i915/display: Make intel_dp_aux_fw_sync_len available for PSR code (Mika Penttilä) [RHEL-20439]
Resolves: RHEL-15968, RHEL-17485, RHEL-18186, RHEL-19606, RHEL-20439, RHEL-25094, RHEL-26577, RHEL-29296, RHEL-30600, RHEL-31441, RHEL-31446, RHEL-31530, RHEL-31532, RHEL-31824, RHEL-31990, RHEL-33544, RHEL-33698, RHEL-33709, RHEL-34973, RHEL-34974, RHEL-34985, RHEL-35043, RHEL-35207, RHEL-9354

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-05-21 14:16:27 -03:00
Lucas Zampieri
c39b201ef9
kernel-5.14.0-451.el9
* Thu May 16 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-451.el9]
- Revert "Merge: cgroup: Backport upstream cgroup commits up to v6.8" (Lucas Zampieri)
- arm64: dts: qcom: sa8775p: fix USB wakeup interrupt types (Steve Dunnagan) [RHEL-31258]
- arm64: dts: qcom: sa8775p-ride: enable pmm8654au_0_pon_resin (Steve Dunnagan) [RHEL-31258]
- arm64: dts: qcom: sa8775p: Make watchdog bark interrupt edge triggered (Steve Dunnagan) [RHEL-31258]
- arm64: dts: qcom: Enable tsens and thermal for sa8775p SoC (Steve Dunnagan) [RHEL-31258]
- arm64: dts: qcom: sa8775p: Add RPMh sleep stats (Steve Dunnagan) [RHEL-31258]
- arm64: dts: qcom: sa8775p: add TRNG node (Steve Dunnagan) [RHEL-31258]
- arm64: dts: qcom: sa8775p: enable the inline crypto engine (Steve Dunnagan) [RHEL-31258]
- net: ethtool: reject unsupported RSS input xfrm values (Ivan Vecera) [RHEL-31889]
- net: ethtool: Fix symmetric-xor RSS RX flow hash check (Ivan Vecera) [RHEL-31889]
- net: ethtool: add a NO_CHANGE uAPI for new RXFH's input_xfrm (Ivan Vecera) [RHEL-31889]
- net: ethtool: copy input_xfrm to user-space in ethtool_get_rxfh (Ivan Vecera) [RHEL-31889]
- net: ethtool: add support for symmetric-xor RSS hash (Ivan Vecera) [RHEL-31889]
- net: ethtool: get rid of get/set_rxfh_context functions (Ivan Vecera) [RHEL-31889]
- net: ethtool: pass a pointer to parameters to get/set_rxfh ethtool ops (Ivan Vecera) [RHEL-31889]
- net/mlx5e: Make flow classification filters static (Ivan Vecera) [RHEL-31889]
- redhat: kernel.spec: add dependency for the python3-pyyaml package (Petr Oros) [RHEL-30145]
- Documentation: add pyyaml to requirements.txt (Petr Oros) [RHEL-30145]
- netlink: use kvmalloc() in netlink_alloc_large_skb() (Petr Oros) [RHEL-30145]
- devlink: Fix devlink parallel commands processing (Petr Oros) [RHEL-30145]
- Fix NULL pointer dereference in cn_filter() (Petr Oros) [RHEL-30145]
- devlink: Fix length of eswitch inline-mode (Petr Oros) [RHEL-30145]
- net/mlx5: Fix fw reporter diagnose output (Petr Oros) [RHEL-30145]
- net/mlx5: fix uninit value use (Petr Oros) [RHEL-30145]
- netdevsim: Block until all devices are released (Petr Oros) [RHEL-30145]
- netlink: Fix kernel-infoleak-after-free in __skb_datagram_iter (Petr Oros) [RHEL-30145]
- devlink: fix port dump cmd type (Petr Oros) [RHEL-30145]
- devlink: fix possible use-after-free and memory leaks in devlink_init() (Petr Oros) [RHEL-30145]
- net: add rcu safety to rtnl_prop_list_size() (Petr Oros) [RHEL-30145]
- devlink: Fix command annotation documentation (Petr Oros) [RHEL-30145]
- devlink: avoid potential loop in devlink_rel_nested_in_notify_work() (Petr Oros) [RHEL-30145]
- doc/netlink/specs: Add missing attr in rt_link spec (Petr Oros) [RHEL-30145]
- devlink: Fix referring to hw_addr attribute during state validation (Petr Oros) [RHEL-30145]
- netlink: fix potential sleeping issue in mqueue_flush_file (Petr Oros) [RHEL-30145]
- genetlink: Use internal flags for multicast groups (Petr Oros) [RHEL-30145]
- rtnetlink: bridge: Enable MDB bulk deletion (Petr Oros) [RHEL-30145]
- rtnetlink: bridge: Invoke MDB bulk deletion when needed (Petr Oros) [RHEL-30145]
- net: Add MDB bulk deletion device operation (Petr Oros) [RHEL-30145]
- rtnetlink: bridge: Use a different policy for MDB bulk delete (Petr Oros) [RHEL-30145]
- devlink: extend multicast filtering by port index (Petr Oros) [RHEL-30145]
- devlink: add a command to set notification filter and use it for multicasts (Petr Oros) [RHEL-30145]
- genetlink: introduce helpers to do filtered multicast (Petr Oros) [RHEL-30145]
- netlink: introduce typedef for filter function (Petr Oros) [RHEL-30145]
- genetlink: introduce per-sock family private storage (Petr Oros) [RHEL-30145]
- devlink: introduce a helper for netlink multicast send (Petr Oros) [RHEL-30145]
- devlink: send notifications only if there are listeners (Petr Oros) [RHEL-30145]
- devlink: introduce __devl_is_registered() helper and use it instead of xa_get_mark() (Petr Oros) [RHEL-30145]
- devlink: use devl_is_registered() helper instead xa_get_mark() (Petr Oros) [RHEL-30145]
- connector/cn_proc: Performance improvements (Petr Oros) [RHEL-30145]
- connector/cn_proc: Add filtering to fix some bugs (Petr Oros) [RHEL-30145]
- tools/net/ynl-gen-rst: Remove extra indentation from generated docs (Petr Oros) [RHEL-30145]
- tools/net/ynl-gen-rst: Remove bold from attribute-set headings (Petr Oros) [RHEL-30145]
- tools/net/ynl-gen-rst: Sort the index of generated netlink specs (Petr Oros) [RHEL-30145]
- tools/net/ynl-gen-rst: Add sub-messages to generated docs (Petr Oros) [RHEL-30145]
- doc/netlink: Regenerate netlink .rst files if ynl-gen-rst changes (Petr Oros) [RHEL-30145]
- doc/netlink/specs: Add a spec for tc (Petr Oros) [RHEL-30145]
- doc/netlink/specs: use pad in structs in rt_link (Petr Oros) [RHEL-30145]
- doc/netlink/specs: Add sub-message type to rt_link family (Petr Oros) [RHEL-30145]
- tools/net/ynl: Add binary and pad support to structs for tc (Petr Oros) [RHEL-30145]
- tools/net/ynl: Add 'sub-message' attribute decoding to ynl (Petr Oros) [RHEL-30145]
- doc/netlink: Document the sub-message format for netlink-raw (Petr Oros) [RHEL-30145]
- doc/netlink: Add sub-message support to netlink-raw (Petr Oros) [RHEL-30145]
- tools/net/ynl: Use consistent array index expression formatting (Petr Oros) [RHEL-30145]
- net: rtnl: use rcu_replace_pointer_rtnl in rtnl_unregister_* (Petr Oros) [RHEL-30145]
- net: rtnl: introduce rcu_replace_pointer_rtnl (Petr Oros) [RHEL-30145]
- netlink: specs: mptcp: rename the MPTCP path management spec (Petr Oros) [RHEL-30145]
- netlink: specs: ovs: correct enum names in specs (Petr Oros) [RHEL-30145]
- netlink: specs: ovs: remove fixed header fields from attrs (Petr Oros) [RHEL-30145]
- tools: ynl-gen: print prototypes for recursive stuff (Petr Oros) [RHEL-30145]
- tools: ynl-gen: store recursive nests by a pointer (Petr Oros) [RHEL-30145]
- tools: ynl-gen: re-sort ignoring recursive nests (Petr Oros) [RHEL-30145]
- tools: ynl-gen: record information about recursive nests (Petr Oros) [RHEL-30145]
- tools: ynl-gen: fill in implementations for TypeUnused (Petr Oros) [RHEL-30145]
- tools: ynl-gen: support fixed headers in genetlink (Petr Oros) [RHEL-30145]
- tools: ynl-gen: use enum user type for members and args (Petr Oros) [RHEL-30145]
- tools: ynl-gen: add missing request free helpers for dumps (Petr Oros) [RHEL-30145]
- netlink: specs: devlink: add some(not all) missing attributes in devlink.yaml (Petr Oros) [RHEL-30145]
- rtnl: add helper to send if skb is not null (Petr Oros) [RHEL-30145]
- rtnl: add helper to check if a notification is needed (Petr Oros) [RHEL-30145]
- rtnl: add helper to check if rtnl group has listeners (Petr Oros) [RHEL-30145]
- tools: ynl: use strerror() if no extack of note provided (Petr Oros) [RHEL-30145]
- tools: pynl: make flags argument optional for do() (Petr Oros) [RHEL-30145]
- docs: netlink: add NLMSG_DONE message format for doit actions (Petr Oros) [RHEL-30145]
- doc/netlink: Add bitfield32, s8, s16 to the netlink-raw schema (Petr Oros) [RHEL-30145]
- docs: netlink: link to family documentations from spec info (Petr Oros) [RHEL-30145]
- devlink: warn about existing entities during reload-reinit (Petr Oros) [RHEL-30145]
- Documentation: devlink: extend reload-reinit description (Petr Oros) [RHEL-30145]
- tools: ynl-gen: always construct struct ynl_req_state (Petr Oros) [RHEL-30145]
- ethtool: don't propagate EOPNOTSUPP from dumps (Petr Oros) [RHEL-30145]
- tools: ynl-gen: use enum name from the spec (Petr Oros) [RHEL-30145]
- tools: ynl-get: use family c-name (Petr Oros) [RHEL-30145]
- tools: ynl-gen: always append ULL/LL to range types (Petr Oros) [RHEL-30145]
- Documentation: Document each netlink family (Petr Oros) [RHEL-30145]
- tools: ynl: fix duplicate op name in devlink (Petr Oros) [RHEL-30145]
- tools: ynl: fix header path for nfsd (Petr Oros) [RHEL-30145]
- rtnetlink: introduce nlmsg_new_large and use it in rtnl_getlink (Petr Oros) [RHEL-30145]
- devlink: Add device lock assert in reload operation (Petr Oros) [RHEL-30145]
- devlink: Acquire device lock during reload command (Petr Oros) [RHEL-30145]
- devlink: Allow taking device lock in pre_doit operations (Petr Oros) [RHEL-30145]
- devlink: Enable the use of private flags in post_doit operations (Petr Oros) [RHEL-30145]
- devlink: Acquire device lock during netns dismantle (Petr Oros) [RHEL-30145]
- devlink: Move private netlink flags to C file (Petr Oros) [RHEL-30145]
- netlink: specs: Introduce time stamping set command (Petr Oros) [RHEL-30145]
- netlink: specs: Introduce new netlink command to list available time stamping layers (Petr Oros) [RHEL-30145]
- netlink: specs: Introduce new netlink command to get current timestamp (Petr Oros) [RHEL-30145]
- nfsd: regenerate user space parsers after ynl-gen changes (Petr Oros) [RHEL-30145]
- netlink: fill in missing MODULE_DESCRIPTION() (Petr Oros) [RHEL-30145]
- tools: ynl-gen: don't touch the output file if content is the same (Petr Oros) [RHEL-30145]
- netlink: specs: devlink: add forgotten port function caps enum values (Petr Oros) [RHEL-30145]
- doc/netlink: Update schema to support cmd-cnt-name and cmd-max-name (Petr Oros) [RHEL-30145]
- tools: ynl: introduce option to process unknown attributes or types (Petr Oros) [RHEL-30145]
- net: Add MDB get device operation (Petr Oros) [RHEL-30145]
- bridge: add MDB get uAPI attributes (Petr Oros) [RHEL-30145]
- tools: ynl-gen: respect attr-cnt-name at the attr set level (Petr Oros) [RHEL-30145]
- netlink: specs: support conditional operations (Petr Oros) [RHEL-30145]
- netlink: make range pointers in policies const (Petr Oros) [RHEL-30145]
- tools: ynl-gen: add support for exact-len validation (Petr Oros) [RHEL-30145]
- tools: ynl: add uns-admin-perm to genetlink legacy (Petr Oros) [RHEL-30145]
- devlink: remove netlink small_ops (Petr Oros) [RHEL-30145]
- devlink: remove duplicated netlink callback prototypes (Petr Oros) [RHEL-30145]
- netlink: specs: devlink: add the remaining command to generate complete split_ops (Petr Oros) [RHEL-30145]
- devlink: rename netlink callback to be aligned with the generated ones (Petr Oros) [RHEL-30145]
- devlink: make devlink_flash_overwrite enum named one (Petr Oros) [RHEL-30145]
- netlink: specs: devlink: make dont-validate single line (Petr Oros) [RHEL-30145]
- netlink: specs: devlink: remove reload-action from devlink-get cmd reply (Petr Oros) [RHEL-30145]
- tools: ynl-gen: render rsp_parse() helpers if cmd has only dump op (Petr Oros) [RHEL-30145]
- tools: ynl-gen: introduce support for bitfield32 attribute type (Petr Oros) [RHEL-30145]
- genetlink: don't merge dumpit split op for different cmds into single iter (Petr Oros) [RHEL-30145]
- tools: ynl-gen: change spacing around __attribute__ (Petr Oros) [RHEL-30145]
- tcp: add RTAX_FEATURE_TCP_USEC_TS (Petr Oros) [RHEL-30145]
- netlink: specs: add support for auto-sized scalars (Petr Oros) [RHEL-30145]
- netlink: add variable-length / auto integers (Petr Oros) [RHEL-30145]
- tools: ynl-gen: make the mnl_type() method public (Petr Oros) [RHEL-30145]
- devlink: convert most of devlink_fmsg_*() to return void (Petr Oros) [RHEL-30145]
- qed: devlink health: use retained error fmsg API (Petr Oros) [RHEL-30145]
- net/mlx5: devlink health: use retained error fmsg API (Petr Oros) [RHEL-30145]
- mlxsw: core: devlink health: use retained error fmsg API (Petr Oros) [RHEL-30145]
- octeontx2-af: devlink health: use retained error fmsg API (Petr Oros) [RHEL-30145]
- hinic: devlink health: use retained error fmsg API (Petr Oros) [RHEL-30145]
- bnxt_en: devlink health: use retained error fmsg API (Petr Oros) [RHEL-30145]
- netdevsim: devlink health: use retained error fmsg API (Petr Oros) [RHEL-30145]
- devlink: retain error in struct devlink_fmsg (Petr Oros) [RHEL-30145]
- tools: ynl-gen: support limit names (Petr Oros) [RHEL-30145]
- tools: ynl-gen: support full range of min/max checks for integer values (Petr Oros) [RHEL-30145]
- tools: ynl-gen: track attribute use (Petr Oros) [RHEL-30145]
- devlink: document devlink_rel_nested_in_notify() function (Petr Oros) [RHEL-30145]
- Documentation: devlink: add a note about RTNL lock into locking section (Petr Oros) [RHEL-30145]
- Documentation: devlink: add nested instance section (Petr Oros) [RHEL-30145]
- devlink: don't take instance lock for nested handle put (Petr Oros) [RHEL-30145]
- devlink: take device reference for devlink object (Petr Oros) [RHEL-30145]
- devlink: call peernet2id_alloc() with net pointer under RCU read lock (Petr Oros) [RHEL-30145]
- net: treat possible_net_t net pointer as an RCU one and add read_pnet_rcu() (Petr Oros) [RHEL-30145]
- docs: netlink: clean up after deprecating version (Petr Oros) [RHEL-30145]
- tools: ynl: fix converting flags to names after recent cleanup (Petr Oros) [RHEL-30145]
- tools: ynl: Add source files for nfsd netlink protocol (Petr Oros) [RHEL-30145]
- NFSD: introduce netlink stubs (Petr Oros) [RHEL-30145]
- net: Handle bulk delete policy in bridge driver (Petr Oros) [RHEL-30145]
- tools: ynl: use ynl-gen -o instead of stdout in Makefile (Petr Oros) [RHEL-30145]
- netlink: specs: don't allow version to be specified for genetlink (Petr Oros) [RHEL-30145]
- tools: ynl-gen: handle do ops with no input attrs (Petr Oros) [RHEL-30145]
- netlink: specs: remove redundant type keys from attributes in subsets (Petr Oros) [RHEL-30145]
- tools: ynl-gen: lift type requirement for attribute subsets (Petr Oros) [RHEL-30145]
- tools: ynl-gen: use uapi header name for the header guard (Petr Oros) [RHEL-30145]
- netlink: Annotate struct netlink_policy_dump_state with __counted_by (Petr Oros) [RHEL-30145]
- tools: ynl: use uAPI include magic for samples (Petr Oros) [RHEL-30145]
- tools: ynl: don't regen on every make (Petr Oros) [RHEL-30145]
- handshake: Fix sign of key_serial_t fields (Petr Oros) [RHEL-30145]
- handshake: Fix sign of socket file descriptor fields (Petr Oros) [RHEL-30145]
- devlink: introduce possibility to expose info about nested devlinks (Petr Oros) [RHEL-30145]
- devlink: convert linecard nested devlink to new rel infrastructure (Petr Oros) [RHEL-30145]
- devlink: expose peer SF devlink instance (Petr Oros) [RHEL-30145]
- devlink: introduce object and nested devlink relationship infra (Petr Oros) [RHEL-30145]
- devlink: extend devlink_nl_put_nested_handle() with attrtype arg (Petr Oros) [RHEL-30145]
- devlink: move devlink_nl_put_nested_handle() into netlink.c (Petr Oros) [RHEL-30145]
- devlink: put netnsid to nested handle (Petr Oros) [RHEL-30145]
- devlink: move linecard struct into linecard.c (Petr Oros) [RHEL-30145]
- mm/vmscan: fix a bug calling wakeup_kswapd() with a wrong zone index (Rafael Aquini) [RHEL-31840] {CVE-2024-26783}
- ipv6: fix race condition between ipv6_get_ifaddr and ipv6_del_addr (Jiri Benc) [RHEL-32372]
- ASoC: SOF: ipc4-topology: Save the ALH DAI index during hw_params (Jaroslav Kysela) [RHEL-26198]
- ASoC: SOF: pcm: reset all PCM sources in case of xruns (Jaroslav Kysela) [RHEL-26198]
- ASoC: SOF: pcm: add pending_stop state variable (Jaroslav Kysela) [RHEL-26198]
- ASoC: SOF: pcm: simplify sof_pcm_hw_free() with helper (Jaroslav Kysela) [RHEL-26198]
- ASoC: SOF: sof-audio: revisit sof_pcm_stream_free() error handling and logs (Jaroslav Kysela) [RHEL-26198]
- ASoC: SOF: Intel: hda: Clear Soundwire node ID during BE DAI hw_free (Jaroslav Kysela) [RHEL-26198]
- ASoC: SOF: Intel: hda: move helper to static inline (Jaroslav Kysela) [RHEL-26198]
- ASoC: SOF: IPC4: extend dai_data with node_id (Jaroslav Kysela) [RHEL-26198]
- ASoC: SOF: Intel: hda: extend signature of sdw_hda_dai_hw_params() (Jaroslav Kysela) [RHEL-26198]
- ASoC: SOF: Intel: set the DMA TLV device as dai_index (Jaroslav Kysela) [RHEL-26198]
- ASoC: SOF: Intel: hda-dai: add helpers to set dai config (Jaroslav Kysela) [RHEL-26198]
- ASoC: SOF: Intel: hda-dai: set dma_stream_channel_map device (Jaroslav Kysela) [RHEL-26198]
- ASoC: SOF: Intel: hda-dai: set lowest N bits in ch_mask (Jaroslav Kysela) [RHEL-26198]
- ASoC: SOF: make dma_config_tlv be an array (Jaroslav Kysela) [RHEL-26198]
- Revert "ASoC: SOF: Intel: hda-dai-ops: only allocate/release streams for first CPU DAI" (Jaroslav Kysela) [RHEL-26198]
- Revert "ASoC: SOF: Intel: hda-dai-ops: reset device count for SoundWire DAIs" (Jaroslav Kysela) [RHEL-26198]
- ASoC: SOF: Intel: hda-dai: fix channel map configuration for aggregated dailink (Jaroslav Kysela) [RHEL-26198]
- ASoC: SOF: Introduce generic names for IPC types (Jaroslav Kysela) [RHEL-26198]
- arm64/arch_timer: Fix MMIO byteswap (Phil Auld) [RHEL-32862]
- cpuidle: Use local_clock_noinstr() (Phil Auld) [RHEL-32862]
- sched/clock: Provide local_clock_noinstr() (Phil Auld) [RHEL-32862]
- sched/clock: Fix local_clock() before sched_clock_init() (Phil Auld) [RHEL-32862]
- sched/clock: Make local_clock() noinstr (Phil Auld) [RHEL-32862]
- sched/clock: Use try_cmpxchg64 in sched_clock_{local,remote} (Phil Auld) [RHEL-32862]
- math64: Always inline u128 version of mul_u64_u64_shr() (Phil Auld) [RHEL-32862]
- s390/time: Provide sched_clock_noinstr() (Phil Auld) [RHEL-32862]
- arm64/arch_timer: Provide noinstr sched_clock_read() functions (Phil Auld) [RHEL-32862]
- arm64/io: Always inline all of __raw_{read,write}[bwlq]() (Phil Auld) [RHEL-32862]
- time/sched_clock: Provide sched_clock_noinstr() (Phil Auld) [RHEL-32862]
- seqlock/latch: Provide raw_read_seqcount_latch_retry() (Phil Auld) [RHEL-32862]
- timekeeping: Consolidate fast timekeeper (Phil Auld) [RHEL-32862]
- timekeeping: Annotate ktime_get_boot_fast_ns() with data_race() (Phil Auld) [RHEL-32862]
- KVM: s390: Check kvm pointer when testing KVM_CAP_S390_HPAGE_1M (Thomas Huth) [RHEL-29741]
- s390/mm: Fix storage key clearing for guest huge pages (Thomas Huth) [RHEL-29741]
- s390/vfio-ap: handle hardware checkstop state on queue reset operation (Thomas Huth) [RHEL-29741]
- KVM: s390: Refactor kvm_is_error_gpa() into kvm_is_gpa_in_memslot() (Thomas Huth) [RHEL-29741]
- KVM: s390: selftest: memop: Fix undefined behavior (Thomas Huth) [RHEL-29741]
- KVM: s390: only deliver the set service event bits (Thomas Huth) [RHEL-29741]
- KVM: s390: fix virtual vs physical address confusion (Thomas Huth) [RHEL-29741]
- KVM: s390: move s390-specific structs to uapi/asm/kvm.h (Thomas Huth) [RHEL-29741]
- KVM: s390: selftests: memop: add a simple AR test (Thomas Huth) [RHEL-29741]
- KVM: s390: fix access register usage in ioctls (Thomas Huth) [RHEL-29741]
- KVM: s390: introduce kvm_s390_fpu_(store|load) (Thomas Huth) [RHEL-29741]
- KVM: selftests: s390x: Remove redundant newlines (Thomas Huth) [RHEL-29741]
- s390/fpu: remove TIF_FPU (Thomas Huth) [RHEL-29741]
- s390/fpu: limit save and restore to used registers (Thomas Huth) [RHEL-29741]
- s390/fpu: decrease stack usage for some cases (Thomas Huth) [RHEL-29741]
- s390/fpu: let fpu_vlm() and fpu_vstm() return number of registers (Thomas Huth) [RHEL-29741]
- s390/fpu: remove anonymous union from struct fpu (Thomas Huth) [RHEL-29741]
- s390/fpu: remove regs member from struct fpu (Thomas Huth) [RHEL-29741]
- s390/kvm: convert to regular kernel fpu user (Thomas Huth) [RHEL-29741]
- s390/fpu: make kernel fpu context preemptible (Thomas Huth) [RHEL-29741]
- s390/fpu: change type of fpu mask from u32 to int (Thomas Huth) [RHEL-29741]
- s390/fpu: rename save_fpu_regs() to save_user_fpu_regs(), etc (Thomas Huth) [RHEL-29741]
- s390/fpu: convert FPU CIF flag to regular TIF flag (Thomas Huth) [RHEL-29741]
- s390/fpu: convert __kernel_fpu_begin()/__kernel_fpu_end() to C (Thomas Huth) [RHEL-29741]
- s390/fpu: provide and use vlm and vstm inline assemblies (Thomas Huth) [RHEL-29741]
- s390/fpu: provide and use lfpc, sfpc, and stfpc inline assemblies (Thomas Huth) [RHEL-29741]
- s390/fpu: provide and use ld and std inline assemblies (Thomas Huth) [RHEL-29741]
- s390/fpu: use lfpc instead of sfpc instruction (Thomas Huth) [RHEL-29741]
- s390/fpu: add documentation about fpu helper functions (Thomas Huth) [RHEL-29741]
- s390/fpu: move, rename, and merge header files (Thomas Huth) [RHEL-29741]
- s390/fpu: various coding style changes (Thomas Huth) [RHEL-29741]
- s390/fpu: use KERNEL_VXR_LOW instead of KERNEL_VXR_V0V7 (Thomas Huth) [RHEL-29741]
- s390/fpu: improve description of RXB macro (Thomas Huth) [RHEL-29741]
- s390/fpu: fix VLGV macro (Thomas Huth) [RHEL-29741]
- s390/switch_to: use generic header file (Thomas Huth) [RHEL-29741]
- s390/acrs: cleanup access register handling (Thomas Huth) [RHEL-29741]
- s390/fpu: make use of __uninitialized macro (Thomas Huth) [RHEL-29741]
- Compiler Attributes: Add __uninitialized macro (Thomas Huth) [RHEL-29741]
- s390/fpu: remove __load_fpu_regs() export (Thomas Huth) [RHEL-29741]
- KVM: s390: cpu model: Use proper define for facility mask size (Thomas Huth) [RHEL-29741]
- s390/vx: fix save/restore of fpu kernel context (Thomas Huth) [RHEL-29741]
- s390/mm: convert pgste locking functions to C (Thomas Huth) [RHEL-29741]
- s390/fpu: get rid of MACHINE_HAS_VX (Thomas Huth) [RHEL-29741]
- s390/als: add vector facility to z13 architecture level set (Thomas Huth) [RHEL-29741]
- s390/fpu: remove "novx" option (Thomas Huth) [RHEL-29741]
- s390/fpu: remove ARCH_WANTS_DYNAMIC_TASK_STRUCT support (Thomas Huth) [RHEL-29741]
- KVM: s390: remove superfluous save_fpu_regs() call (Thomas Huth) [RHEL-29741]
- s390/ptrace: remove leftover comment (Thomas Huth) [RHEL-29741]
- s390/fpu: get rid of test_fp_ctl() (Thomas Huth) [RHEL-29741]
- s390: remove .fixup section (Thomas Huth) [RHEL-29741]
- KVM: s390: use READ_ONCE() to read fpc register value (Thomas Huth) [RHEL-29741]
- s390/ptrace: handle setting of fpc register correctly (Thomas Huth) [RHEL-29741]
- KVM: s390: Harden copying of userspace-array against overflow (Thomas Huth) [RHEL-29741]
- s390/vfio-ap: improve reaction to response code 07 from PQAP(AQIC) command (Thomas Huth) [RHEL-29741]
- s390/vfio-ap: set status response code to 06 on gisc registration failure (Thomas Huth) [RHEL-29741]
- s390/ap: fix AP bus crash on early config change callback invocation (Thomas Huth) [RHEL-29741]
- s390/ap: re-enable interrupt for AP queues (Thomas Huth) [RHEL-29741]
- s390/ap: rework to use irq info from ap queue status (Thomas Huth) [RHEL-29741]
- s390/mm: move translation-exception identification structure to fault.h (Thomas Huth) [RHEL-29741]
- s390/ap: show APFS value on error reply 0x8B (Thomas Huth) [RHEL-29741]
- s390/zcrypt: introduce new internal AP queue se_bound attribute (Thomas Huth) [RHEL-29741]
- s390/ap: re-init AP queues on config on (Thomas Huth) [RHEL-29741]
- s390/setup: use strlcat() instead of strcat() (Thomas Huth) [RHEL-29741]
- s390/airq: remove lsi_mask from airq_struct (Thomas Huth) [RHEL-29741]
- s390/pfault: use consistent comment style (Thomas Huth) [RHEL-29741]
- s390/pfault: cleanup inline assemblies (Thomas Huth) [RHEL-29741]
- s390/pfault: use early_param() instead if __setup() (Thomas Huth) [RHEL-29741]
- s390/pfault: remove not needed packed and aligned attributes (Thomas Huth) [RHEL-29741]
- s390/pfault: use UL instead of ULL (Thomas Huth) [RHEL-29741]
- s390/mm: move pfault code to own C file (Thomas Huth) [RHEL-29741]
- s390/virtio_ccw: fix virtual vs physical address confusion (Thomas Huth) [RHEL-29741]
- s390/cio: use bitwise types to allow for type checking (Thomas Huth) [RHEL-29741]
- s390/cio: introduce bitwise dma types and helper functions (Thomas Huth) [RHEL-29741]
- s390/vfio_ccw: fix virtual vs physical address confusion (Thomas Huth) [RHEL-29741]
- s390/cio: fix virtual vs physical address confusion (Thomas Huth) [RHEL-29741]
- s390/zcrypt: fix reference counting on zcrypt card objects (Thomas Huth) [RHEL-29741]
- s390/vtime: fix average steal time calculation (Thomas Huth) [RHEL-29741]
- s390: include linux/io.h instead of asm/io.h (Thomas Huth) [RHEL-29741]
- s390: fix various typos (Thomas Huth) [RHEL-29741]
- lib/bitmap: drop optimization of bitmap_{from,to}_arr64 (Thomas Huth) [RHEL-29741]
- s390/crypto: use vector instructions only if available for ChaCha20 (Thomas Huth) [RHEL-29741]
- s390/relocate_kernel: use SYM* macros instead of ENTRY(), etc. (Thomas Huth) [RHEL-29741]
- s390/entry: use SYM* macros instead of ENTRY(), etc. (Thomas Huth) [RHEL-29741]
- s390/purgatory: use SYM* macros instead of ENTRY(), etc. (Thomas Huth) [RHEL-29741]
- s390/kprobes: use SYM* macros instead of ENTRY(), etc. (Thomas Huth) [RHEL-29741]
- s390/reipl: use SYM* macros instead of ENTRY(), etc. (Thomas Huth) [RHEL-29741]
- s390/head64: use SYM* macros instead of ENTRY(), etc. (Thomas Huth) [RHEL-29741]
- s390/earlypgm: use SYM* macros instead of ENTRY(), etc. (Thomas Huth) [RHEL-29741]
- s390/crc32le: use SYM* macros instead of ENTRY(), etc. (Thomas Huth) [RHEL-29741]
- s390/crc32be: use SYM* macros instead of ENTRY(), etc. (Thomas Huth) [RHEL-29741]
- s390/crypto,chacha: use SYM* macros instead of ENTRY(), etc. (Thomas Huth) [RHEL-29741]
- s390/lib: use SYM* macros instead of ENTRY(), etc. (Thomas Huth) [RHEL-29741]
- s390: enable HAVE_ARCH_STACKLEAK (Thomas Huth) [RHEL-29741]
- s390/stack: set lowcore kernel stack pointer early (Thomas Huth) [RHEL-29741]
- s390/stack: use STACK_INIT_OFFSET where possible (Thomas Huth) [RHEL-29741]
- s390/dumpstack: simplify in stack logic code (Thomas Huth) [RHEL-29741]
- s390/entry: rely on long-displacement facility (Thomas Huth) [RHEL-29741]
- s390/bp: remove __bpon() (Thomas Huth) [RHEL-29741]
- s390/bp: remove s390_isolate_bp_guest() (Thomas Huth) [RHEL-29741]
- s390/bp: remove TIF_ISOLATE_BP (Thomas Huth) [RHEL-29741]
- s390/bp: add missing BPENTER to program check handler (Thomas Huth) [RHEL-29741]
- s390/mcck: cleanup user process termination path (Thomas Huth) [RHEL-29741]
- s390/nmi: fix virtual-physical address confusion (Thomas Huth) [RHEL-29741]
- s390/entry: remove toolchain dependent micro-optimization (Thomas Huth) [RHEL-29741]
- s390/vx: remove __uint128_t type from __vector128 struct again (Thomas Huth) [RHEL-29741]
- s390/vx: use simple assignments to access __vector128 members (Thomas Huth) [RHEL-29741]
- s390/vx: add 64 and 128 bit members to __vector128 struct (Thomas Huth) [RHEL-29741]
- s390/virtio: sort out physical vs virtual pointers usage (Thomas Huth) [RHEL-29741]
- s390/early: fix sclp_early_sccb variable lifetime (Thomas Huth) [RHEL-29741]
- s390/nmi: get rid of private slab cache (Thomas Huth) [RHEL-29741]
- s390/nmi: move storage error checking back to C, enter with DAT on (Thomas Huth) [RHEL-29741]
- s390/nmi: print machine check interruption code before stopping system (Thomas Huth) [RHEL-29741]
- s390/sclp: introduce sclp_emergency_printk() (Thomas Huth) [RHEL-29741]
- s390/sclp: keep sclp_early_sccb (Thomas Huth) [RHEL-29741]
- s390/nmi: rework register validation handling (Thomas Huth) [RHEL-29741]
- s390/nmi: use vector instruction macros instead of byte patterns (Thomas Huth) [RHEL-29741]
- s390/vx: add vx-insn.h wrapper include file (Thomas Huth) [RHEL-29741]
- s390/appldata: remove power management callbacks (Thomas Huth) [RHEL-29741]
- s390/mm: use pmd_pgtable_page() helper in __gmap_segment_gaddr() (Thomas Huth) [RHEL-29741]
- mm/thp: rename pmd_to_page() as pmd_pgtable_page() (Thomas Huth) [RHEL-29741]
- s390/entry: sort out physical vs virtual pointers usage in sie64a (Thomas Huth) [RHEL-29741]
- s390/nmi: use irqentry_nmi_enter()/irqentry_nmi_exit() (Thomas Huth) [RHEL-29741]
- lib/test_bitmap: test the tail after bitmap_to_arr64() (Thomas Huth) [RHEL-29741]
- lib/bitmap: fix off-by-one in bitmap_to_arr64() (Thomas Huth) [RHEL-29741]
- lib: bitmap: fix the duplicated comments on bitmap_to_arr64() (Thomas Huth) [RHEL-29741]
- KVM: s390: replace bitmap_copy with bitmap_{from,to}_arr64 where appropriate (Thomas Huth) [RHEL-29741]
- lib/bitmap: add test for bitmap_{from,to}_arr64 (Thomas Huth) [RHEL-29741]
- lib: add bitmap_{from,to}_arr64 (Thomas Huth) [RHEL-29741]
- lib/bitmap: extend comment for bitmap_(from,to)_arr32() (Thomas Huth) [RHEL-29741]
- s390/stack: add union to reflect kvm stack slot usages (Thomas Huth) [RHEL-29741]
- s390/stack: merge empty stack frame slots (Thomas Huth) [RHEL-29741]
- s390/mcck: isolate SIE instruction when setting CIF_MCCK_GUEST flag (Thomas Huth) [RHEL-29741]
- s390: generate register offsets into pt_regs automatically (Thomas Huth) [RHEL-29741]
- s390: simplify early program check handler (Thomas Huth) [RHEL-29741]
- s390/head: get rid of 31 bit leftovers (Thomas Huth) [RHEL-29741]
- scripts/min-tool-version.sh: raise minimum clang version to 14.0.0 for s390 (Thomas Huth) [RHEL-29741]
- s390/boot: do not emit debug info for assembly with llvm's IAS (Thomas Huth) [RHEL-29741]
- s390/boot: workaround llvm IAS bug (Thomas Huth) [RHEL-29741]
- s390/purgatory: workaround llvm's IAS limitations (Thomas Huth) [RHEL-29741]
- s390/entry: workaround llvm's IAS limitations (Thomas Huth) [RHEL-29741]
- s390/alternatives: remove padding generation code (Thomas Huth) [RHEL-29741]
- s390/alternatives: provide identical sized orginal/alternative sequences (Thomas Huth) [RHEL-29741]
- s390/compat: cleanup compat_linux.h header file (Thomas Huth) [RHEL-29741]
- s390/entry: remove broken and not needed code (Thomas Huth) [RHEL-29741]
- s390/boot: convert parmarea to C (Thomas Huth) [RHEL-29741]
- s390/boot: convert initial lowcore to C (Thomas Huth) [RHEL-29741]
- s390/ptrace: move short psw definitions to ptrace header file (Thomas Huth) [RHEL-29741]
- s390/head: initialize all new psws (Thomas Huth) [RHEL-29741]
- s390/boot: change initial program check handler to disabled wait psw (Thomas Huth) [RHEL-29741]
- s390/head: adjust iplstart entry point (Thomas Huth) [RHEL-29741]
- s390/vx: remove comments from macros which break LLVM's IAS (Thomas Huth) [RHEL-29741]
- s390/nospec: prefer local labels in .set directives (Thomas Huth) [RHEL-29741]
- s390/alternatives: use insn format for new instructions (Thomas Huth) [RHEL-29741]
- s390/alternatives: avoid using jgnop mnemonic (Thomas Huth) [RHEL-29741]
- s390/alternatives: use instructions instead of byte patterns (Thomas Huth) [RHEL-29741]
- s390/traps: improve panic message for translation-specification exception (Thomas Huth) [RHEL-29741]
- s390: convert ".insn" encoding to instruction names (Thomas Huth) [RHEL-29741]
- s390/crypto: fix compile error for ChaCha20 module (Thomas Huth) [RHEL-29741]
- s390/nospec: move to single register thunks (Thomas Huth) [RHEL-29741]
- s390/ptrace: remove opencoded offsetof (Thomas Huth) [RHEL-29741]
- s390: always use the packed stack layout (Thomas Huth) [RHEL-29741]
- s390/ftrace: fix arch_ftrace_get_regs implementation (Thomas Huth) [RHEL-29741]
- s390/ftrace: fix ftrace_caller/ftrace_regs_caller generation (Thomas Huth) [RHEL-29741]
- s390/nmi: handle vector validity failures for KVM guests (Thomas Huth) [RHEL-29741]
- s390/nmi: handle guarded storage validity failures for KVM guests (Thomas Huth) [RHEL-29741]
- s390/sclp: sort out physical vs virtual pointers usage (Thomas Huth) [RHEL-29741]
- s390: make STACK_FRAME_OVERHEAD available via asm-offsets.h (Thomas Huth) [RHEL-29741]
- s390/ftrace: add HAVE_DYNAMIC_FTRACE_WITH_DIRECT_CALL support (Thomas Huth) [RHEL-29741]
- s390/ptrace: add function argument access API (Thomas Huth) [RHEL-29741]
- selftests/ftrace: add s390 support for kprobe args tests (Thomas Huth) [RHEL-29741]
- s390/ptrace: fix coding style (Thomas Huth) [RHEL-29741]
- s390/ftrace: provide separate ftrace_caller/ftrace_regs_caller implementations (Thomas Huth) [RHEL-29741]
- s390/ftrace: add ftrace_instruction_pointer_set() helper function (Thomas Huth) [RHEL-29741]
- s390/ftrace: add HAVE_DYNAMIC_FTRACE_WITH_ARGS support (Thomas Huth) [RHEL-29741]
- s390/jump_label: make use of HAVE_JUMP_LABEL_BATCH (Thomas Huth) [RHEL-29741]
- s390/ftrace: add missing serialization for graph caller patching (Thomas Huth) [RHEL-29741]
- s390/ftrace: use text_poke_sync_lock() (Thomas Huth) [RHEL-29741]
- s390/jump_label: use text_poke_sync() (Thomas Huth) [RHEL-29741]
- s390/ftrace: remove dead code (Thomas Huth) [RHEL-29741]
- s390/ftrace: remove incorrect __va usage (Thomas Huth) [RHEL-29741]
- s390/sclp: add __nonstring annotation (Thomas Huth) [RHEL-29741]
- s390/entry: make oklabel within CHKSTG macro local (Thomas Huth) [RHEL-29741]
- s390/sclp: add tracing of SCLP interactions (Thomas Huth) [RHEL-29741]
- s390/debug: fix kernel-doc warnings (Thomas Huth) [RHEL-29741]
- s390/debug: add early tracing support (Thomas Huth) [RHEL-29741]
- s390/debug: fix debug area life cycle (Thomas Huth) [RHEL-29741]
- s390/debug: keep debug data on resize (Thomas Huth) [RHEL-29741]
- s390/hwcaps: make sie capability regular hwcap (Thomas Huth) [RHEL-29741]
- s390/hwcaps: remove hwcap stfle check (Thomas Huth) [RHEL-29741]
- s390/hwcaps: remove z/Architecture mode active check (Thomas Huth) [RHEL-29741]
- s390/hwcaps: use consistent coding style / remove comments (Thomas Huth) [RHEL-29741]
- s390/hwcaps: open code initialization of first six hwcap bits (Thomas Huth) [RHEL-29741]
- s390/hwcaps: split setup_hwcaps() (Thomas Huth) [RHEL-29741]
- s390/hwcaps: move setup_hwcaps() (Thomas Huth) [RHEL-29741]
- s390/hwcaps: add sanity checks (Thomas Huth) [RHEL-29741]
- s390/hwcaps: use named initializers for hwcap string arrays (Thomas Huth) [RHEL-29741]
- s390/hwcaps: introduce HWCAP bit numbers (Thomas Huth) [RHEL-29741]
- s390/hwcaps: shorten HWCAP defines (Thomas Huth) [RHEL-29741]
- s390/cpacf: get rid of register asm (Thomas Huth) [RHEL-29741]
- s390/debug: remove unused print defines (Thomas Huth) [RHEL-29741]
- s390/dasd: remove debug printk (Thomas Huth) [RHEL-29741]
- ipc/msg.c: fix percpu_counter use after free (Rafael Aquini) [RHEL-15602]
- ipc/msg: mitigate the lock contention with percpu counter (Rafael Aquini) [RHEL-15602]
- percpu: add percpu_counter_add_local and percpu_counter_sub_local (Rafael Aquini) [RHEL-15602]
- redhat/configs: Add CONFIG_USB_PCI_AMD (Desnes Nunes) [RHEL-28810]
- redhat/configs: Add CONFIG_USB_LJCA (Desnes Nunes) [RHEL-28810]
- redhat/configs: Add CONFIG_USB_CHIPIDEA_NPCM (Desnes Nunes) [RHEL-28810]
- redhat/configs: Add CONFIG_TYPEC_MUX_PTN36502 (Desnes Nunes) [RHEL-28810]
- usb: xhci-mtk: set the dma max_seg_size (Desnes Nunes) [RHEL-28810]
- usb: xhci-mtk: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-28810]
- xhci: tracing: Use the new __vstring() helper (Desnes Nunes) [RHEL-28810]
- Revert "usb: typec: class: fix typec_altmode_put_partner to put plugs" (Desnes Nunes) [RHEL-28810]
- thunderbolt: Fix NULL pointer dereference in tb_port_update_credits() (Desnes Nunes) [RHEL-28810]
- thunderbolt: Do not create DisplayPort tunnels on adapters of the same router (Desnes Nunes) [RHEL-28810]
- usb: misc: onboard_hub: use pointer consistently in the probe function (Desnes Nunes) [RHEL-28810]
- usb: typec: ucsi: Fix race between typec_switch and role_switch (Desnes Nunes) [RHEL-28810]
- USB: serial: option: add Quectel EG912Y module support (Desnes Nunes) [RHEL-28810]
- USB: serial: ftdi_sio: update Actisense PIDs constant names (Desnes Nunes) [RHEL-28810]
- usb-storage: Add quirk for incorrect WP on Kingston DT Ultimate 3.0 G3 (Desnes Nunes) [RHEL-28810]
- usb: typec: ucsi: fix gpio-based orientation detection (Desnes Nunes) [RHEL-28810]
- USB: serial: option: add Quectel RM500Q R13 firmware support (Desnes Nunes) [RHEL-28810]
- USB: serial: option: add Foxconn T99W265 with new baseline (Desnes Nunes) [RHEL-28810]
- thunderbolt: Fix minimum allocated USB 3.x and PCIe bandwidth (Desnes Nunes) [RHEL-28810]
- r8152: add vendor/device ID pair for ASUS USB-C2500 (Desnes Nunes) [RHEL-28810]
- Revert "xhci: Loosen RPM as default policy to cover for AMD xHC 1.1" (Desnes Nunes) [RHEL-28810]
- usb: typec: class: fix typec_altmode_put_partner to put plugs (Desnes Nunes) [RHEL-28810]
- USB: gadget: core: adjust uevent timing on gadget unbind (Desnes Nunes) [RHEL-28810]
- thunderbolt: Fix memory leak in margining_port_remove() (Desnes Nunes) [RHEL-28810]
- USB: serial: option: add Luat Air72*U series products (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: qcom,dwc3: fix example wakeup interrupt types (Desnes Nunes) [RHEL-28810]
- USB: serial: option: add Fibocom L7xx modules (Desnes Nunes) [RHEL-28810]
- USB: xhci-plat: fix legacy PHY double init (Desnes Nunes) [RHEL-28810]
- usb: typec: tipd: Supply also I2C driver data (Desnes Nunes) [RHEL-28810]
- i2c: Add i2c_get_match_data() (Desnes Nunes) [RHEL-28810]
- usb: xhci-mtk: fix in-ep's start-split check failure (Desnes Nunes) [RHEL-28810]
- usb: dwc3: set the dma max_seg_size (Desnes Nunes) [RHEL-28810]
- usb: config: fix iteration issue in 'usb_get_bos_descriptor()' (Desnes Nunes) [RHEL-28810]
- usb: dwc3: add missing of_node_put and platform_device_put (Desnes Nunes) [RHEL-28810]
- usb: dwc3: Fix default mode initialization (Desnes Nunes) [RHEL-28810]
- USB: typec: tps6598x: Fix a memory leak in an error handling path (Desnes Nunes) [RHEL-28810]
- usb: typec: tcpm: Skip hard reset when in error recovery (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: hcd: add missing phy name to example (Desnes Nunes) [RHEL-28810]
- USB: serial: option: don't claim interface 4 for ZTE MF290 (Desnes Nunes) [RHEL-28810]
- thunderbolt: Only add device router DP IN to the head of the DP resource list (Desnes Nunes) [RHEL-28810]
- thunderbolt: Send uevent after asymmetric/symmetric switch (Desnes Nunes) [RHEL-28810]
- usb: storage: set 1.50 as the lower bcdDevice for older "Super Top" compatibility (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: fsa4480: Add compatible for OCP96011 (Desnes Nunes) [RHEL-28810]
- usb: typec: fsa4480: Add support to swap SBU orientation (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: fsa4480: Add data-lanes property to endpoint (Desnes Nunes) [RHEL-28810]
- usb: typec: tcpm: Fix NULL pointer dereference in tcpm_pd_svdm() (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: rockchip,dwc3: update inno usb2 phy binding name (Desnes Nunes) [RHEL-28810]
- Revert "dt-bindings: usb: Add bindings for multiport properties on DWC3 controller" (Desnes Nunes) [RHEL-28810]
- Revert "dt-bindings: usb: qcom,dwc3: Add bindings for SC8280 Multiport" (Desnes Nunes) [RHEL-28810]
- thunderbolt: Fix one kernel-doc comment (Desnes Nunes) [RHEL-28810]
- usb: core: Remove duplicated check in usb_hub_create_port_device (Desnes Nunes) [RHEL-28810]
- usb: typec: tcpm: Add additional checks for contaminant (Desnes Nunes) [RHEL-28810]
- usb: dwc3: add optional PHY interface clocks (Desnes Nunes) [RHEL-28810]
- usb: typec: add support for PTN36502 redriver (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: add NXP PTN36502 Type-C redriver bindings (Desnes Nunes) [RHEL-28810]
- usb: chipidea: Fix unused ci_hdrc_usb2_of_match warning for !CONFIG_OF (Desnes Nunes) [RHEL-28810]
- usb: chipidea: Add support for NPCM (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: ci-hdrc-usb2: add npcm750 and npcm845 compatible (Desnes Nunes) [RHEL-28810]
- usb: chipidea: add CI_HDRC_FORCE_VBUS_ACTIVE_ALWAYS flag (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: vialab,vl817: remove reset-gpios from required list (Desnes Nunes) [RHEL-28810]
- usb-storage,uas: make internal quirks flags 64bit (Desnes Nunes) [RHEL-28810]
- usb-storage: remove UNUSUAL_VENDOR_INTF macro (Desnes Nunes) [RHEL-28810]
- usb: host: xhci: Avoid XHCI resume delay if SSUSB device is not present (Desnes Nunes) [RHEL-28810]
- usb: host: xhci-plat: fix possible kernel oops while resuming (Desnes Nunes) [RHEL-28810]
- usb: xhci: Implement xhci_handshake_check_state() helper (Desnes Nunes) [RHEL-28810]
- xhci: split free interrupter into separate remove and free parts (Desnes Nunes) [RHEL-28810]
- xhci: Enable RPM on controllers that support low-power states (Desnes Nunes) [RHEL-28810]
- xhci: Loosen RPM as default policy to cover for AMD xHC 1.1 (Desnes Nunes) [RHEL-28810]
- xhci: Simplify event ring dequeue pointer update for port change events (Desnes Nunes) [RHEL-28810]
- xhci: simplify event ring dequeue tracking for transfer events (Desnes Nunes) [RHEL-28810]
- xhci: Clean up xhci_{alloc,free}_erst() declarations (Desnes Nunes) [RHEL-28810]
- usb: Annotate struct urb_priv with __counted_by (Desnes Nunes) [RHEL-28810]
- xhci: Clean up stale comment on ERST_SIZE macro (Desnes Nunes) [RHEL-28810]
- xhci: Clean up ERST_PTR_MASK inversion (Desnes Nunes) [RHEL-28810]
- xhci: Expose segment numbers in debugfs (Desnes Nunes) [RHEL-28810]
- xhci: Update last segment pointer after Event Ring expansion (Desnes Nunes) [RHEL-28810]
- xhci: Adjust segment numbers after ring expansion (Desnes Nunes) [RHEL-28810]
- xhci: Use more than one Event Ring segment (Desnes Nunes) [RHEL-28810]
- xhci: Set DESI bits in ERDP register correctly (Desnes Nunes) [RHEL-28810]
- xhci: expand next_trb() helper to support more ring types (Desnes Nunes) [RHEL-28810]
- xhci: Add busnumber to port tracing (Desnes Nunes) [RHEL-28810]
- xhci: pass port structure to tracing instead of port number (Desnes Nunes) [RHEL-28810]
- thunderbolt: Configure asymmetric link if needed and bandwidth allows (Desnes Nunes) [RHEL-28810]
- thunderbolt: Add support for asymmetric link (Desnes Nunes) [RHEL-28810]
- thunderbolt: Introduce tb_switch_depth() (Desnes Nunes) [RHEL-28810]
- thunderbolt: Introduce tb_for_each_upstream_port_on_path() (Desnes Nunes) [RHEL-28810]
- thunderbolt: Introduce tb_port_path_direction_downstream() (Desnes Nunes) [RHEL-28810]
- thunderbolt: Set path power management packet support bit for USB4 v2 routers (Desnes Nunes) [RHEL-28810]
- thunderbolt: Change bandwidth reservations to comply USB4 v2 (Desnes Nunes) [RHEL-28810]
- thunderbolt: Make is_gen4_link() available to the rest of the driver (Desnes Nunes) [RHEL-28810]
- thunderbolt: Use weight constants in tb_usb3_consumed_bandwidth() (Desnes Nunes) [RHEL-28810]
- thunderbolt: Use constants for path weight and priority (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: ti,tps6598x: Disallow undefined properties (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: gpio-sbu-mux: Make 'mode-switch' not required (Desnes Nunes) [RHEL-28810]
- usb: Inform the USB Type-C class about enumerated devices (Desnes Nunes) [RHEL-28810]
- usb: typec: Link enumerated USB devices with Type-C partner (Desnes Nunes) [RHEL-28810]
- media: cx231xx: Use EP5_BUF_SIZE macro (Desnes Nunes) [RHEL-28810]
- thunderbolt: Add DP IN added last in the head of the list of DP resources (Desnes Nunes) [RHEL-28810]
- thunderbolt: Create multiple DisplayPort tunnels if there are more DP IN/OUT pairs (Desnes Nunes) [RHEL-28810]
- thunderbolt: Log NVM version of routers and retimers (Desnes Nunes) [RHEL-28810]
- thunderbolt: Use tb_tunnel_xxx() log macros in tb.c (Desnes Nunes) [RHEL-28810]
- thunderbolt: Expose tb_tunnel_xxx() log macros to the rest of the driver (Desnes Nunes) [RHEL-28810]
- thunderbolt: Use tb_tunnel_dbg() where possible to make logging more consistent (Desnes Nunes) [RHEL-28810]
- thunderbolt: Fix typo of HPD bit for Hot Plug Detect (Desnes Nunes) [RHEL-28810]
- thunderbolt: Fix typo in enum tb_link_width kernel-doc (Desnes Nunes) [RHEL-28810]
- thunderbolt: Fix debug log when DisplayPort adapter not available for pairing (Desnes Nunes) [RHEL-28810]
- thunderbolt: Check for unplugged router in tb_switch_clx_disable() (Desnes Nunes) [RHEL-28810]
- thunderbolt: Make tb_switch_clx_is_supported() static (Desnes Nunes) [RHEL-28810]
- thunderbolt: Get rid of usb4_usb3_port_actual_link_rate() (Desnes Nunes) [RHEL-28810]
- thunderbolt: dma_test: Use enum tb_link_width (Desnes Nunes) [RHEL-28810]
- usb: typec: altmodes/displayport: fixup drm internal api change vs new user. (Desnes Nunes) [RHEL-28810]
- drm: Add HPD state to drm_connector_oob_hotplug_event() (Desnes Nunes) [RHEL-28810]
- usb: Add support for Intel LJCA device (Desnes Nunes) [RHEL-28810]
- USB: typec: tps6598x: Add status trace for tps25750 (Desnes Nunes) [RHEL-28810]
- USB: typec: tps6598x: Add power status trace for tps25750 (Desnes Nunes) [RHEL-28810]
- USB: typec: tps6598x: Add trace for tps25750 irq (Desnes Nunes) [RHEL-28810]
- USB: typec: tps6598x: Add TPS25750 support (Desnes Nunes) [RHEL-28810]
- USB: typec: tps6598x: Add device data to of_device_id (Desnes Nunes) [RHEL-28810]
- USB: typec: tps6598x: Refactor tps6598x port registration (Desnes Nunes) [RHEL-28810]
- USB: typec: tps6598x: Add patch mode to tps6598x (Desnes Nunes) [RHEL-28810]
- USB: typec: tsp6598x: Add cmd timeout and response delay (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: tps6598x: Add tps25750 (Desnes Nunes) [RHEL-28810]
- usb: Use device_get_match_data() (Desnes Nunes) [RHEL-28810]
- usb: dwc2: add pci_device_id driver_data parse support (Desnes Nunes) [RHEL-28810]
- usb: usbtest: fix a type promotion bug (Desnes Nunes) [RHEL-28810]
- usb: ucsi: glink: use the connector orientation GPIO to provide switch events (Desnes Nunes) [RHEL-28810]
- usb: dwc3: document gfladj_refclk_lpm_sel field (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: gpio-sbu-mux: Add an entry for CBDTU02043 (Desnes Nunes) [RHEL-28810]
- docs: driver-api: usb: update dma info (Desnes Nunes) [RHEL-28810]
- USB: dma: remove unused function prototype (Desnes Nunes) [RHEL-28810]
- usb: atm: Use size_add() in call to struct_size() (Desnes Nunes) [RHEL-28810]
- usb: gadget: clarify usage of USB_GADGET_DELAYED_STATUS (Desnes Nunes) [RHEL-28810]
- usb: pd: Exposing the Peak Current value of Fixed Supplies to user space (Desnes Nunes) [RHEL-28810]
- usb:typec:tcpm:support double Rp to Vbus cable as sink (Desnes Nunes) [RHEL-28810]
- usb: xhci-mtk: improve split scheduling by separate IN/OUT budget (Desnes Nunes) [RHEL-28810]
- usb: xhci-mtk: add a bandwidth budget table (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: ci-hdrc-usb2: Allow "fsl,imx27-usb" to be passed alone (Desnes Nunes) [RHEL-28810]
- usb: pci-quirks: handle HAS_IOPORT dependency for UHCI handoff (Desnes Nunes) [RHEL-28810]
- usb: pci-quirks: handle HAS_IOPORT dependency for AMD quirk (Desnes Nunes) [RHEL-28810]
- usb: pci-quirks: group AMD specific quirk code together (Desnes Nunes) [RHEL-28810]
- usbmon: Use list_for_each_entry() helper (Desnes Nunes) [RHEL-28810]
- usb: chipidea: tegra: Consistently use dev_err_probe() (Desnes Nunes) [RHEL-28810]
- usb: chipidea: Simplify Tegra DMA alignment code (Desnes Nunes) [RHEL-28810]
- usb: chipidea: Fix DMA overwrite for Tegra (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: dwc3: Add SDX75 compatible (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: qcom,dwc3: Fix SDX65 clocks (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: dwc3: Add DWC_usb3 TX/RX threshold configurable (Desnes Nunes) [RHEL-28810]
- usb: dwc3: core: configure TX/RX threshold for DWC3_IP (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: dwc3: Add Realtek DHC RTD SoC DWC3 USB (Desnes Nunes) [RHEL-28810]
- usb: dwc3: add Realtek DHC RTD SoC dwc3 glue layer driver (Desnes Nunes) [RHEL-28810]
- usb: typec: intel_pmc_mux: Configure Displayport Alternate mode 2.1 (Desnes Nunes) [RHEL-28810]
- usb: pd: Add helper macro to get Type C cable speed (Desnes Nunes) [RHEL-28810]
- usb: typec: Add Active or Passive cable defination to cable discover mode VDO (Desnes Nunes) [RHEL-28810]
- usb: typec: Add Displayport Alternate Mode 2.1 Support (Desnes Nunes) [RHEL-28810]
- usb: xhci: Move extcaps related macros to respective header file (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: Add bindings for multiport properties on DWC3 controller (Desnes Nunes) [RHEL-28810]
- dt-bindings: usb: qcom,dwc3: Add bindings for SC8280 Multiport (Desnes Nunes) [RHEL-28810]
- usb: typec: intel_pmc_mux: enable sysfs usb role access (Desnes Nunes) [RHEL-28810]
- usb: typec: intel_pmc_mux: Add new ACPI ID for Lunar Lake IOM device (Desnes Nunes) [RHEL-28810]
- usb: typec: intel_pmc_mux: Expose IOM port status to debugfs (Desnes Nunes) [RHEL-28810]
- usb: typec: intel_pmc_mux: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-28810]
- media: cx231xx: Add EP5_BUF_SIZE and EP5_TIMEOUT_MS macros (Desnes Nunes) [RHEL-28810]
- media: cx231xx: Switch to use kmemdup() helper (Desnes Nunes) [RHEL-28810]
- media: gspca: cpia1: shift-out-of-bounds in set_flicker (Desnes Nunes) [RHEL-28810]
- media: usb: siano: Use kmemdup to simplify kmalloc and memcpy logic (Desnes Nunes) [RHEL-28810]
- thunderbolt: Apply USB 3.x bandwidth quirk only in software connection manager (Desnes Nunes) [RHEL-28810]
- phy: broadcom: sr-usb: fix Wvoid-pointer-to-enum-cast warning (Desnes Nunes) [RHEL-28810]
- phy: fsl-imx8mq-usb: add dev_err_probe if getting vbus failed (Desnes Nunes) [RHEL-28810]
- phy: fsl-imx8mp-usb: add support for phy tuning (Desnes Nunes) [RHEL-28810]
- phy: tegra: xusb: check return value of devm_kzalloc() (Desnes Nunes) [RHEL-28810]
- phy: tegra: xusb: Clear the driver reference in usb-phy dev (Desnes Nunes) [RHEL-28810]
- phy: tegra: xusb: Add missing tegra_xusb_port_unregister for usb2_port and ulpi_port (Desnes Nunes) [RHEL-28810]
- phy: tegra: xusb: Support sleepwalk for Tegra234 (Desnes Nunes) [RHEL-28810]
- phy: tegra: xusb: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-28810]
- mmc: rtsx_pci: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-28810]
- mmc: rtsx_pci: Drop if block with always false condition (Desnes Nunes) [RHEL-28810]
- mmc: rtsx_pci: fix return value check of mmc_add_host() (Desnes Nunes) [RHEL-28810]
- mmc: rtsx: add 74 Clocks in power on flow (Desnes Nunes) [RHEL-28810]
- mmc: rtsx: Fix build errors/warnings for unused variable (Desnes Nunes) [RHEL-28810]
- mmc: rtsx: Let MMC core handle runtime PM (Desnes Nunes) [RHEL-28810]
- mmc: rtsx: Use pm_runtime_{get,put}() to handle runtime PM (Desnes Nunes) [RHEL-28810]
- mmc: rtsx_pci: Fix long reads when clock is prescaled (Desnes Nunes) [RHEL-28810]
- misc: rtsx: Fix clang -Wsometimes-uninitialized in rts5261_init_from_hw() (Desnes Nunes) [RHEL-28810]
- misc: rtsx: Fix some platforms can not boot and move the l1ss judgment to probe (Desnes Nunes) [RHEL-28810]
- misc: rtsx: add rts5261 efuse function (Desnes Nunes) [RHEL-28810]
- misc: rtsx: judge ASPM Mode to set PETXCFG Reg (Desnes Nunes) [RHEL-28810]
- misc: rtsx_pcr: Fix a typo (Desnes Nunes) [RHEL-28810]
- misc: rtsx: Fix an error handling path in rtsx_pci_probe() (Desnes Nunes) [RHEL-28810]
- misc: rtsx: Avoid mangling IRQ during runtime PM (Desnes Nunes) [RHEL-28810]
- misc: rtsx: Remove usage of the deprecated "pci-dma-compat.h" API (Desnes Nunes) [RHEL-28810]
- mlxsw: core_env: Fix driver initialization with old firmware (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Register netdevice notifier before nexthop (Ivan Vecera) [RHEL-34673]
- mlxsw: pci: Allocate skbs using GFP_KERNEL during initialization (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_ethtool: Fix -Wformat-truncation warning (Ivan Vecera) [RHEL-34673]
- mlxsw: core_thermal: Fix -Wformat-truncation warning (Ivan Vecera) [RHEL-34673]
- mlxsw: fix mlxsw_sp2_nve_vxlan_learning_set() return type (Ivan Vecera) [RHEL-34673]
- mlxsw: core_acl_flex_keys: Fill blocks with high entropy first (Ivan Vecera) [RHEL-34673]
- mlxsw: core_acl_flex_keys: Save chosen elements in all blocks per search (Ivan Vecera) [RHEL-34673]
- mlxsw: core_acl_flex_keys: Save chosen elements per block (Ivan Vecera) [RHEL-34673]
- mlxsw: core_acl_flex_keys: Add a bitmap to save which blocks are chosen (Ivan Vecera) [RHEL-34673]
- mlxsw: Mark high entropy key blocks (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_span: Annotate struct mlxsw_sp_span with __counted_by (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Annotate struct mlxsw_sp_nexthop_group_info with __counted_by (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum: Annotate struct mlxsw_sp_counter_pool with __counted_by (Ivan Vecera) [RHEL-34673]
- mlxsw: core: Annotate struct mlxsw_env with __counted_by (Ivan Vecera) [RHEL-34673]
- mlxsw: Annotate struct mlxsw_linecards with __counted_by (Ivan Vecera) [RHEL-34673]
- mlxsw: i2c: Utilize standard macros for dividing buffer into chunks (Ivan Vecera) [RHEL-34673]
- mlxsw: core: Extend allowed list of external cooling devices for thermal zone binding (Ivan Vecera) [RHEL-34673]
- mlxsw: reg: Limit MTBR register payload to a single data record (Ivan Vecera) [RHEL-34673]
- mlxsw: Edit IPv6 key blocks to use one less block for multicast forwarding (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_acl_flex_keys: Add 'ipv4_5b' flex key (Ivan Vecera) [RHEL-34673]
- mlxsw: Add 'ipv4_5' flex key (Ivan Vecera) [RHEL-34673]
- mlxsw: Use size_mul() in call to struct_size() (Ivan Vecera) [RHEL-34673]
- mlxsw: core_hwmon: Adjust module label names based on MTCAP sensor counter (Ivan Vecera) [RHEL-34673]
- mlxsw: i2c: Limit single transaction buffer size (Ivan Vecera) [RHEL-34673]
- mlxsw: i2c: Fix chunk size setting in output mailbox buffer (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: Fix test failure on Spectrum-4 (Ivan Vecera) [RHEL-34673]
- mlxsw: Fix the size of 'VIRT_ROUTER_MSB' (Ivan Vecera) [RHEL-34673]
- mlxsw: reg: Fix SSPR register layout (Ivan Vecera) [RHEL-34673]
- mlxsw: pci: Set time stamp fields also when its type is MIRROR_UTC (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum: Stop ignoring learning notifications from redirected traffic (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_flower: Disable learning and security lookup when redirecting (Ivan Vecera) [RHEL-34673]
- mlxsw: core_acl_flex_actions: Add IGNORE_ACTION (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_switchdev: Use is_zero_ether_addr() instead of ether_addr_equal() (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: router_bridge_lag: Add a new selftest (Ivan Vecera) [RHEL-34673]
- mlxsw: Set port STP state on bridge enslavement (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum: Remove unused function declarations (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: rif_bridge: Add a new selftest (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: rif_lag_vlan: Add a new selftest (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: rif_lag: Add a new selftest (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: IPv6 events: Use tracker helpers to hold & put netdevices (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: RIF: Use tracker helpers to hold & put netdevices (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: hw_stats: Use tracker helpers to hold & put netdevices (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: FIB: Use tracker helpers to hold & put netdevices (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_switchdev: Use tracker helpers to hold & put netdevices (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_nve: Do not take reference when looking up netdevice (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum: Drop unused functions mlxsw_sp_port_lower_dev_hold/_put() (Ivan Vecera) [RHEL-34673]
- mlxsw: core_env: Read transceiver module EEPROM in 128 bytes chunks (Ivan Vecera) [RHEL-34673]
- mlxsw: reg: Increase Management Cable Info Access Register length (Ivan Vecera) [RHEL-34673]
- mlxsw: reg: Remove unused function argument (Ivan Vecera) [RHEL-34673]
- mlxsw: reg: Add Management Capabilities Mask Register (Ivan Vecera) [RHEL-34673]
- mlxsw: reg: Move 'mpsc' definition in 'mlxsw_reg_infos' (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum: Permit enslavement to netdevices with uppers (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Replay IP NETDEV_UP on device deslavement (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Replay IP NETDEV_UP on device enslavement (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Replay neighbours when RIF is made (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Replay MACVLANs when RIF is made (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Offload ethernet nexthops when RIF is made (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Join RIFs of LAG upper VLANs (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_switchdev: Replay switchdev objects on port join (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum: On port enslavement to a LAG, join upper's bridges (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum: Add a replay_deslavement argument to event handlers (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum: Allow event handlers to check unowned bridges (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum: Split a helper out of mlxsw_sp_netdevice_event() (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Extract a helper to schedule neighbour work (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Allow address handlers to run on bridge ports (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: rtnetlink: Drop obsolete tests (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_switchdev: Manage RIFs on PVID change (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: mlxsw_sp_inetaddr_bridge_event: Add an argument (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Adjust mlxsw_sp_inetaddr_vlan_event() coding style (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Take VID for VLAN FIDs from RIF params (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Pass struct mlxsw_sp_rif_params to fid_get (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_switchdev: Pass extack to mlxsw_sp_br_ban_rif_pvid_change() (Ivan Vecera) [RHEL-34673]
- selftests: forwarding: Add test cases for flower port range matching (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: Test port range registers' occupancy (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: Add scale test for port ranges (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_flower: Add ability to match on port ranges (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_acl: Pass main driver structure to mlxsw_sp_acl_rulei_destroy() (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_acl: Add port range key element (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_port_range: Add devlink resource support (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_port_range: Add port range core (Ivan Vecera) [RHEL-34673]
- mlxsw: resource: Add resource identifier for port range registers (Ivan Vecera) [RHEL-34673]
- mlxsw: reg: Add Policy-Engine Port Range Register (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Fix an IS_ERR() vs NULL check (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Track next hops at CRIFs (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Split nexthop finalization to two stages (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Use router.lb_crif instead of .lb_rif_index (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Link CRIFs to RIFs (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Maintain CRIF for fallback loopback RIF (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Maintain a hash table of CRIFs (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Use mlxsw_sp_ul_rif_get() to get main VRF LB RIF (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Add extack argument to mlxsw_sp_lb_rif_init() (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: one_armed_router: Use port MAC for bridge address (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: vxlan: Disable IPv6 autogen on bridges (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: spectrum: q_in_vni_veto: Disable IPv6 autogen on a bridge (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: qos_mc_aware: Disable IPv6 autogen on bridges (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: qos_ets_strict: Disable IPv6 autogen on bridges (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: qos_dscp_bridge: Disable IPv6 autogen on a bridge (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: mirror_gre_scale: Disable IPv6 autogen on a bridge (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: extack: Disable IPv6 autogen on bridges (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: q_in_q_veto: Disable IPv6 autogen on bridges (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Move IPIP init up (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Extract a helper for RIF migration (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Add a helper to check if netdev has addresses (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Extract a helper to free a RIF (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Access nhgi->rif through a helper (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Access nh->rif->dev through a helper (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Access rif->dev from params in mlxsw_sp_rif_create() (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Access rif->dev through a helper (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Add a helper specifically for joining a LAG (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Extract a helper from mlxsw_sp_port_vlan_router_join() (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Privatize mlxsw_sp_rif_dev() (Ivan Vecera) [RHEL-34673]
- mlxsw: Convert does-RIF-have-this-netdev queries to a dedicated helper (Ivan Vecera) [RHEL-34673]
- mlxsw: Convert RIF-has-netdevice queries to a dedicated helper (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Reuse work neighbor initialization in work scheduler (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Use the available router pointer for netevent handling (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Pass router to mlxsw_sp_router_schedule_work() directly (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Move here inetaddr validator notifiers (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: mlxsw_sp_router_fini(): Extract a helper variable (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: egress_vid_classification: Fix the diagram (Ivan Vecera) [RHEL-34673]
- selftests: mlxsw: ingress_rif_conf_1d: Fix the diagram (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Do not query MAX_VRS on each iteration (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Do not query MAX_RIFS on each iteration (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Use extack in mlxsw_sp~_rif_ipip_lb_configure() (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_router: Clarify a comment (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_flower: Add ability to match on layer 2 miss (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_flower: Do not force matching on iif (Ivan Vecera) [RHEL-34673]
- mlxsw: spectrum_flower: Split iif parsing to a separate function (Ivan Vecera) [RHEL-34673]
- mlxfw: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Ivan Vecera) [RHEL-34673]
- selftests: forwarding: introduce helper for standard ethtool counters (Ivan Vecera) [RHEL-34673]
- selftests: forwarding: generalize bail_on_lldpad from mlxsw (Ivan Vecera) [RHEL-34673]
- mlxsw: core_thermal: Simplify transceiver module get_temp() callback (Ivan Vecera) [RHEL-34673]
- mlxsw: core_thermal: Make mlxsw_thermal_module_init() void (Ivan Vecera) [RHEL-34673]
- mlxsw: core_thermal: Use static trip points for transceiver modules (Ivan Vecera) [RHEL-34673]
- net/mlxsw: Convert to i2c's .probe_new() (Ivan Vecera) [RHEL-34673]
- i40e: Do not use WQ_MEM_RECLAIM flag for workqueue (Ivan Vecera) [RHEL-32141]
- cgroup/cpuset: Fix retval in update_cpumask() (Waiman Long) [RHEL-34600]
- cgroup/cpuset: Fix a memory leak in update_exclusive_cpumask() (Waiman Long) [RHEL-34600]
- kernel/cgroup: use kernfs_create_dir_ns() (Waiman Long) [RHEL-34600]
- PM: sleep: Remove obsolete comment from unlock_system_sleep() (Waiman Long) [RHEL-34600]
- Documentation: PM: Adjust freezing-of-tasks.rst to the freezer changes (Waiman Long) [RHEL-34600]
- cgroup: Move rcu_head up near the top of cgroup_root (Waiman Long) [RHEL-34600]
- cgroup: Add a new helper for cgroup1 hierarchy (Waiman Long) [RHEL-34600]
- cgroup: Add annotation for holding namespace_sem in current_cgns_cgroup_from_root() (Waiman Long) [RHEL-34600]
- cgroup: Eliminate the need for cgroup_mutex in proc_cgroup_show() (Waiman Long) [RHEL-34600]
- cgroup: Make operations on the cgroup root_list RCU safe (Waiman Long) [RHEL-34600]
- cgroup: Remove unnecessary list_empty() (Waiman Long) [RHEL-34600]
- cgroup_freezer: cgroup_freezing: Check if not frozen (Waiman Long) [RHEL-34600]
- sched: psi: fix unprivileged polling against cgroups (Waiman Long) [RHEL-34600]
- cgroup: use legacy_name for cgroup v1 disable info (Waiman Long) [RHEL-34600]
- cgroup: Avoid extra dereference in css_populate_dir() (Waiman Long) [RHEL-34600]
- cgroup: Check for ret during cgroup1_base_files cft addition (Waiman Long) [RHEL-34600]
- sched/core: Update stale comment in try_to_wake_up() (Waiman Long) [RHEL-34600]
- cgroup: Remove duplicates in cgroup v1 tasks file (Waiman Long) [RHEL-34600]
- cgroup: fix build when CGROUP_SCHED is not enabled (Waiman Long) [RHEL-34600]
- cgroup: Avoid -Wstringop-overflow warnings (Waiman Long) [RHEL-34600]
- cgroup:namespace: Remove unused cgroup_namespaces_init() (Waiman Long) [RHEL-34600]
- cgroup/rstat: Record the cumulative per-cpu time of cgroup and its descendants (Waiman Long) [RHEL-34600]
- cgroup: clean up if condition in cgroup_pidlist_start() (Waiman Long) [RHEL-34600]
- cgroup: fix obsolete function name in cgroup_destroy_locked() (Waiman Long) [RHEL-34600]
- cgroup: fix obsolete function name above css_free_rwork_fn() (Waiman Long) [RHEL-34600]
- cgroup/cpuset: fix kernel-doc (Waiman Long) [RHEL-34600]
- cgroup: clean up printk() (Waiman Long) [RHEL-34600]
- cgroup: fix obsolete comment above cgroup_create() (Waiman Long) [RHEL-34600]
- cgroup/misc: Store atomic64_t reads to u64 (Waiman Long) [RHEL-34600]
- cgroup/misc: Change counters to be explicit 64bit types (Waiman Long) [RHEL-34600]
- cgroup/misc: update struct members descriptions (Waiman Long) [RHEL-34600]
- cgroup: remove cgrp->kn check in css_populate_dir() (Waiman Long) [RHEL-34600]
- cgroup: fix obsolete function name (Waiman Long) [RHEL-34600]
- cgroup: use cached local variable parent in for loop (Waiman Long) [RHEL-34600]
- cgroup: put cgroup_tryget_css() inside CONFIG_CGROUP_SCHED (Waiman Long) [RHEL-34600]
- cgroup: fix obsolete comment above for_each_css() (Waiman Long) [RHEL-34600]
- cgroup/cpuset: avoid unneeded cpuset_mutex re-lock (Waiman Long) [RHEL-34600]
- cgroup: minor cleanup for cgroup_extra_stat_show() (Waiman Long) [RHEL-34600]
- cgroup: remove unneeded return value of cgroup_rm_cftypes_locked() (Waiman Long) [RHEL-34600]
- kernfs: add stub helper for kernfs_generic_poll() (Waiman Long) [RHEL-34600]
- sched/psi: Select KERNFS as needed (Waiman Long) [RHEL-34600]
- sched: add throttled time stat for throttled children (Waiman Long) [RHEL-34600]
- sched: don't account throttle time for empty groups (Waiman Long) [RHEL-34600]
- sched/psi: use kernfs polling functions for PSI trigger polling (Waiman Long) [RHEL-34600]
- psi: remove 500ms min window size limitation for triggers (Waiman Long) [RHEL-34600]
- cgroup,freezer: hold cpu_hotplug_lock before freezer_mutex in freezer_css_{online,offline}() (Waiman Long) [RHEL-34600]
- cgroup,freezer: hold cpu_hotplug_lock before freezer_mutex (Waiman Long) [RHEL-34600]
- freezer,umh: Fix call_usermode_helper_exec() vs SIGKILL (Waiman Long) [RHEL-34600]
- sched: Fix race in task_call_func() (Waiman Long) [RHEL-34600]
- sched/psi: Per-cgroup PSI accounting disable/re-enable interface (Waiman Long) [RHEL-34600]
- sched: Fix more TASK_state comparisons (Waiman Long) [RHEL-34600]
- sched: Fix TASK_state comparisons (Waiman Long) [RHEL-34600]
- freezer,sched: Rewrite core freezer logic (Waiman Long) [RHEL-34600]
- cgroup.c: remove redundant check for mixable cgroup in cgroup_migrate_vet_dst (Waiman Long) [RHEL-34600]
- ethtool: add SET for TCP_DATA_SPLIT ringparam (Ivan Vecera) [RHEL-32112]
- i40e: Report MFS in decimal base instead of hex (Kamal Heib) [RHEL-17460 RHEL-25596]
- i40e: fix vf may be used uninitialized in this function warning (Kamal Heib) [RHEL-17460 RHEL-25596]
- i40e: fix i40e_count_filters() to count only active/new filters (Kamal Heib) [RHEL-17460 RHEL-25596]
- i40e: Enforce software interrupt during busy-poll exit (Kamal Heib) [RHEL-17460 RHEL-25596]
- i40e: remove unnecessary qv_info ptr NULL checks (Kamal Heib) [RHEL-17460 RHEL-25596]
- i40e: Remove VEB recursion (Kamal Heib) [RHEL-17460 RHEL-25596]
- i40e: Fix broken support for floating VEBs (Kamal Heib) [RHEL-17460 RHEL-25596]
- i40e: Add helpers to find VSI and VEB by SEID and use them (Kamal Heib) [RHEL-17460 RHEL-25596]
- i40e: Introduce and use macros for iterating VSIs and VEBs (Kamal Heib) [RHEL-17460 RHEL-25596]
- i40e: Use existing helper to find flow director VSI (Kamal Heib) [RHEL-17460 RHEL-25596]
- cpufreq: intel_pstate: Update default EPPs for Meteor Lake (Steve Best) [RHEL-34665]
- cpufreq: intel_pstate: Allow model specific EPPs (Steve Best) [RHEL-34665]
- cpufreq: intel_pstate: fix pstate limits enforcement for adjust_perf call back (Steve Best) [RHEL-34665]
- cpufreq: intel_pstate: remove cpudata::prev_cummulative_iowait (Steve Best) [RHEL-34665]
- cpufreq: intel_pstate: Refine computation of P-state for given frequency (Steve Best) [RHEL-34665]
- cpufreq: intel_pstate: Update hybrid scaling factor for Meteor Lake (Steve Best) [RHEL-34665]
- cpufreq: intel_pstate: Prioritize firmware-provided balance performance EPP (Steve Best) [RHEL-34665]
- cpufreq: intel_pstate: Revise global turbo disable check (Steve Best) [RHEL-34665]
- cpufreq: intel_pstate: set stale CPU frequency to minimum (Steve Best) [RHEL-34665]
- bitfield: add FIELD_PREP_CONST() (Steve Best) [RHEL-34665]
- NFSv4.1/pnfs: fix NFS with TLS in pnfs (Benjamin Coddington) [RHEL-34576]
- octeontx2-af: Use separate handlers for interrupts (Kamal Heib) [RHEL-35170] {CVE-2024-27030}
- fs: sysfs: Fix reference leak in sysfs_break_active_protection() (Ewan D. Milne) [RHEL-35078] {CVE-2024-26993}
- init/main.c: Fix potential static_command_line memory overflow (Steve Best) [RHEL-35088] {CVE-2024-26988}
- tls: remove redundant assignment to variable decrypted (Sabrina Dubroca) [RHEL-29306]
- net: skbuff: generalize the skb->decrypted bit (Sabrina Dubroca) [RHEL-29306]
- tls: fix lockless read of strp->msg_ready in ->poll (Sabrina Dubroca) [RHEL-29306]
- tls: get psock ref after taking rxlock to avoid leak (Sabrina Dubroca) [RHEL-29306]
- selftests: tls: add test with a partially invalid iov (Sabrina Dubroca) [RHEL-29306]
- tls: adjust recv return with async crypto and failed copy to userspace (Sabrina Dubroca) [RHEL-29306]
- tls: recv: process_rx_list shouldn't use an offset with kvec (Sabrina Dubroca) [RHEL-29306]
- tls: fix peeking with sync+async decryption (Sabrina Dubroca) [RHEL-29306]
- selftests: tls: add test for peeking past a record of a different type (Sabrina Dubroca) [RHEL-29306]
- selftests: tls: add test for merging of same-type control messages (Sabrina Dubroca) [RHEL-29306]
- tls: don't skip over different type records from the rx_list (Sabrina Dubroca) [RHEL-29306]
- tls: stop recv() if initial process_rx_list gave us non-DATA (Sabrina Dubroca) [RHEL-29306]
- tls: break out of main loop when PEEK gets a non-data record (Sabrina Dubroca) [RHEL-29306]
- selftests: tls: increase the wait in poll_partial_rec_async (Sabrina Dubroca) [RHEL-29306]
- net: tls: fix returned read length with async decrypt (Sabrina Dubroca) [RHEL-29306]
- selftests: tls: use exact comparison in recv_partial (Sabrina Dubroca) [RHEL-29306]
- net: tls, add test to capture error on large splice (Sabrina Dubroca) [RHEL-29306]
- tls: Use size_add() in call to struct_size() (Sabrina Dubroca) [RHEL-29306]
- net/tls: avoid TCP window full during ->read_sock() (Sabrina Dubroca) [RHEL-29306]
- PCI: Fix pci_rh_check_status() call semantics (Luiz Capitulino) [RHEL-35032]
- ionic: keep stats struct local to error handling (Michal Schmidt) [RHEL-36065]
- ionic: better dma-map error handling (Michal Schmidt) [RHEL-36065]
- ionic: remove unnecessary NULL test (Michal Schmidt) [RHEL-36065]
- ionic: rearrange ionic_queue for better layout (Michal Schmidt) [RHEL-36065]
- ionic: rearrange ionic_qcq (Michal Schmidt) [RHEL-36065]
- ionic: carry idev in ionic_cq struct (Michal Schmidt) [RHEL-36065]
- ionic: refactor skb building (Michal Schmidt) [RHEL-36065]
- ionic: fold adminq clean into service routine (Michal Schmidt) [RHEL-36065]
- ionic: use specialized desc info structs (Michal Schmidt) [RHEL-36065]
- ionic: remove the cq_info to save more memory (Michal Schmidt) [RHEL-36065]
- ionic: remove callback pointer from desc_info (Michal Schmidt) [RHEL-36065]
- ionic: move adminq-notifyq handling to main file (Michal Schmidt) [RHEL-36065]
- ionic: drop q mapping (Michal Schmidt) [RHEL-36065]
- ionic: remove desc, sg_desc and cmb_desc from desc_info (Michal Schmidt) [RHEL-36065]
- ionic: change MODULE_AUTHOR to person name (Michal Schmidt) [RHEL-36065]
- ionic: Clean RCT ordering issues (Michal Schmidt) [RHEL-36065]
- ionic: Use CQE profile for dim (Michal Schmidt) [RHEL-36065]
- ionic: change the hwstamp likely check (Michal Schmidt) [RHEL-36065]
- ionic: reduce the use of netdev (Michal Schmidt) [RHEL-36065]
- ionic: Pass local netdev instead of referencing struct (Michal Schmidt) [RHEL-36065]
- ionic: Check stop no restart (Michal Schmidt) [RHEL-36065]
- ionic: Clean up BQL logic (Michal Schmidt) [RHEL-36065]
- ionic: Make use napi_consume_skb (Michal Schmidt) [RHEL-36065]
- ionic: Shorten a Tx hotpath (Michal Schmidt) [RHEL-36065]
- ionic: Change default number of descriptors for Tx and Rx (Michal Schmidt) [RHEL-36065]
- ionic: Rework Tx start/stop flow (Michal Schmidt) [RHEL-36065]
- ionic: restore netdev feature bits after reset (Michal Schmidt) [RHEL-36065]
- ionic: check cmd_regs before copying in or out (Michal Schmidt) [RHEL-36065]
- ionic: check before releasing pci regions (Michal Schmidt) [RHEL-36065]
- ionic: use pci_is_enabled not open code (Michal Schmidt) [RHEL-36065]
- ionic: implement xdp frags support (Michal Schmidt) [RHEL-36065]
- ionic: add ndo_xdp_xmit (Michal Schmidt) [RHEL-36065]
- ionic: Add XDP_REDIRECT support (Michal Schmidt) [RHEL-36065]
- ionic: Add XDP_TX support (Michal Schmidt) [RHEL-36065]
- ionic: Add XDP packet headroom (Michal Schmidt) [RHEL-36065]
- ionic: add initial framework for XDP support (Michal Schmidt) [RHEL-36065]
- ionic: use dma range APIs (Michal Schmidt) [RHEL-36065]
- ionic: add helpers for accessing buffer info (Michal Schmidt) [RHEL-36065]
- ionic: set adminq irq affinity (Michal Schmidt) [RHEL-36065]
- ionic: minimal work with 0 budget (Michal Schmidt) [RHEL-36065]
- ionic: fill out pci error handlers (Michal Schmidt) [RHEL-36065]
- ionic: lif debugfs refresh on reset (Michal Schmidt) [RHEL-36065]
- ionic: use timer_shutdown_sync (Michal Schmidt) [RHEL-36065]
- ionic: no fw read when PCI reset failed (Michal Schmidt) [RHEL-36065]
- ionic: prevent pci disable of already disabled device (Michal Schmidt) [RHEL-36065]
- ionic: bypass firmware cmds when stuck in reset (Michal Schmidt) [RHEL-36065]
- ionic: keep filters across FLR (Michal Schmidt) [RHEL-36065]
- ionic: pass opcode to devcmd_wait (Michal Schmidt) [RHEL-36065]
- ionic: Re-arrange ionic_intr_info struct for cache perf (Michal Schmidt) [RHEL-36065]
- ionic: Make the check for Tx HW timestamping more obvious (Michal Schmidt) [RHEL-36065]
- ionic: Don't check null when calling vfree() (Michal Schmidt) [RHEL-36065]
- ionic: set ionic ptr before setting up ethtool ops (Michal Schmidt) [RHEL-36065]
- ionic: Use cached VF attributes (Michal Schmidt) [RHEL-36065]
- ionic: Fix dim work handling in split interrupt mode (Michal Schmidt) [RHEL-36065]
- ionic: fix snprintf format length warning (Michal Schmidt) [RHEL-36065]
- ionic: replace deprecated strncpy with strscpy (Michal Schmidt) [RHEL-36065]
- ionic: expand the descriptor bufs array (Michal Schmidt) [RHEL-36065]
- ionic: add a check for max SGs and SKB frags (Michal Schmidt) [RHEL-36065]
- ionic: count SGs in packet to minimize linearize (Michal Schmidt) [RHEL-36065]
- ionic: fix 16bit math issue when PAGE_SIZE >= 64KB (Michal Schmidt) [RHEL-36065]
- ionic: Remove unused declarations (Michal Schmidt) [RHEL-36065]
- ionic: Add missing err handling for queue reconfig (Michal Schmidt) [RHEL-36065]
- ionic: add FLR recovery support (Michal Schmidt) [RHEL-36065]
- ionic: pull out common bits from fw_up (Michal Schmidt) [RHEL-36065]
- ionic: extract common bits from ionic_probe (Michal Schmidt) [RHEL-36065]
- ionic: extract common bits from ionic_remove (Michal Schmidt) [RHEL-36065]
- ionic: remove dead device fail path (Michal Schmidt) [RHEL-36065]
- ionic: remove WARN_ON to prevent panic_on_warn (Michal Schmidt) [RHEL-36065]
- ionic: use vmalloc_array and vcalloc (Michal Schmidt) [RHEL-36065]
- ionic: add support for ethtool extended stat link_down_count (Michal Schmidt) [RHEL-36065]
- ionic: catch failure from devlink_alloc (Michal Schmidt) [RHEL-36065]
- ionic: remove noise from ethtool rxnfc error msg (Michal Schmidt) [RHEL-36065]
- ionic: Don't overwrite the cyclecounter bitmask (Michal Schmidt) [RHEL-36065]
- ionic: Remove redundant pci_clear_master (Michal Schmidt) [RHEL-36065]
- ionic: add tx/rx-push support with device Component Memory Buffers (Michal Schmidt) [RHEL-36065]
- ionic: remove unnecessary void casts (Michal Schmidt) [RHEL-36065]
- ionic: remove unnecessary indirection (Michal Schmidt) [RHEL-36065]
- ionic: missed doorbell workaround (Michal Schmidt) [RHEL-36065]
- ionic: clear up notifyq alloc commentary (Michal Schmidt) [RHEL-36065]
- ionic: clean interrupt before enabling queue to avoid credit race (Michal Schmidt) [RHEL-36065]
- net: ionic: Fix error handling in ionic_init_module() (Michal Schmidt) [RHEL-36065]
- ionic: refactor use of ionic_rx_fill() (Michal Schmidt) [RHEL-36065]
- ionic: enable tunnel offloads (Michal Schmidt) [RHEL-36065]
- ionic: new ionic device identity level and VF start control (Michal Schmidt) [RHEL-36065]
- ionic: only save the user set VF attributes (Michal Schmidt) [RHEL-36065]
- ionic: replay VF attributes after fw crash recovery (Michal Schmidt) [RHEL-36065]
- ionic: catch NULL pointer issue on reconfig (Michal Schmidt) [RHEL-36065]
- ionic: VF initial random MAC address if no assigned mac (Michal Schmidt) [RHEL-36065]
- ionic: fix up issues with handling EAGAIN on FW cmds (Michal Schmidt) [RHEL-36065]
- ionic: clear broken state on generation change (Michal Schmidt) [RHEL-36065]
- net: ionic: fix error check for vlan flags in ionic_set_nic_features() (Michal Schmidt) [RHEL-36065]
- ionic: convert users of bitmap_foo() to linkmode_foo() (Michal Schmidt) [RHEL-36065]
- arm64: add dependency between vmlinuz.efi and Image (Lenny Szubowicz) [RHEL-25537]
- aarch64: enable zboot (Lenny Szubowicz) [RHEL-25537]
- arm64: efi: enable generic EFI compressed boot (Lenny Szubowicz) [RHEL-25537]
- kbuild: factor out the common installation code into scripts/install.sh (Lenny Szubowicz) [RHEL-25537]
- ipv6: fib6_rules: flush route cache when rule is changed (Hangbin Liu) [RHEL-31050]
- net/ipv6: avoid possible UAF in ip6_route_mpath_notify() (Hangbin Liu) [RHEL-31050 RHEL-33271] {CVE-2024-26852}
- ipv6: fix potential "struct net" leak in inet6_rtm_getaddr() (Hangbin Liu) [RHEL-31050]
- ipv6: properly combine dev_base_seq and ipv6.dev_addr_genid (Hangbin Liu) [RHEL-31050]
- ipv6: mcast: remove one synchronize_net() barrier in ipv6_mc_down() (Hangbin Liu) [RHEL-31050]
- ipv6: Ensure natural alignment of const ipv6 loopback and router addresses (Hangbin Liu) [RHEL-31050]
- ipv6: mcast: fix data-race in ipv6_mc_down / mld_ifc_work (Hangbin Liu) [RHEL-29532 RHEL-31050] {CVE-2024-26631}
- net: ipv6: support reporting otherwise unknown prefix flags in RTM_NEWPREFIX (Hangbin Liu) [RHEL-31050]
- ipv6: remove hard coded limitation on ipv6_pinfo (Hangbin Liu) [RHEL-31050]
- tools headers UAPI: Sync the linux/in.h with the kernel sources (Hangbin Liu) [RHEL-31050]
- ipv{4,6}/raw: fix output xfrm lookup wrt protocol (Hangbin Liu) [RHEL-31050]
- net: openvswitch: limit the number of recursions from action sets (Aaron Conole) [RHEL-23575] {CVE-2024-1151}
- RHEL: enable CONFIG_AMD_ATL (Aristeu Rozanski) [RHEL-26704]
- EDAC/amd64: Use new AMD Address Translation Library (Aristeu Rozanski) [RHEL-26704]
- RAS: Introduce AMD Address Translation Library (Aristeu Rozanski) [RHEL-26704]
- vhost: use kzalloc() instead of kmalloc() followed by memset() (Jon Maloy) [RHEL-21507] {CVE-2024-0340}
- serial: 8250: Toggle IER bits on only after irq has been set up (Prarit Bhargava) [RHEL-30355]
- HID: intel-ish-hid: ipc: Add Arrow Lake PCI device ID (Tony Camuso) [RHEL-31212]
- HID: Intel-ish-hid: Ishtp: Fix sensor reads after ACPI S3 suspend (Tony Camuso) [RHEL-31212]
- HID: intel-ish-hid: ipc: Rework EHL OOB wakeup (Tony Camuso) [RHEL-31212]
- HID: intel-ish-hid: ishtp-fw-loader: use helper functions for connection (Tony Camuso) [RHEL-31212]
- HID: intel-ish-hid: ishtp-hid-client: use helper functions for connection (Tony Camuso) [RHEL-31212]
- HID: Intel-ish-hid: Ishtp: Add helper functions for client connection (Tony Camuso) [RHEL-31212]
- maple_tree: fix node allocation testing on 32 bit (Nico Pache) [RHEL-5619]
- bdi: remove enum wb_congested_state (Nico Pache) [RHEL-5619]
- mm/page_alloc: fix min_free_kbytes calculation regarding ZONE_MOVABLE (Nico Pache) [RHEL-5619]
- fuse: remove unneeded lock which protecting update of congestion_threshold (Nico Pache) [RHEL-5619]
- mm: memcg: use larger batches for proactive reclaim (Nico Pache) [RHEL-5619]
- hugetlb: fix null-ptr-deref in hugetlb_vma_lock_write (Nico Pache) [RHEL-5619]
- Revert "page cache: fix page_cache_next/prev_miss off by one" (Nico Pache) [RHEL-5619]
- tmpfs: fix Documentation of noswap and huge mount options (Nico Pache) [RHEL-5619]
- selftests/memfd: sysctl: fix MEMFD_NOEXEC_SCOPE_NOEXEC_ENFORCED (Nico Pache) [RHEL-5619]
- mm/memfd: sysctl: fix MEMFD_NOEXEC_SCOPE_NOEXEC_ENFORCED (Nico Pache) [RHEL-5619]
- kasan: remove hwasan-kernel-mem-intrinsic-prefix=1 for clang-14 (Nico Pache) [RHEL-5619]
- mm/memory-failure: fix crash in split_huge_page_to_list from soft_offline_page (Nico Pache) [RHEL-5619]
- objtool: mem*() are not uaccess safe (Nico Pache) [RHEL-5619]
- mm: page_alloc: unreserve highatomic page blocks before oom (Nico Pache) [RHEL-5619]
- mm/hugetlb: fix nodes huge page allocation when there are surplus pages (Nico Pache) [RHEL-5619]
- mm: fix unaccount of memory on vma_link() failure (Nico Pache) [RHEL-5619]
- vfs: fix readahead(2) on block devices (Nico Pache) [RHEL-5619]
- kasan: print the original fault addr when access invalid shadow (Nico Pache) [RHEL-5619]
- hugetlbfs: close race between MADV_DONTNEED and page fault (Nico Pache) [RHEL-5619]
- hugetlbfs: extend hugetlb_vma_lock to private VMAs (Nico Pache) [RHEL-5619]
- hugetlbfs: clear resv_map pointer if mmap fails (Nico Pache) [RHEL-5619]
- mm/page_alloc: use write_seqlock_irqsave() instead write_seqlock() + local_irq_save(). (Nico Pache) [RHEL-5619]
- writeback: account the number of pages written back (Nico Pache) [RHEL-5619]
- mm/hugetlb.c: fix a bug within a BUG(): inconsistent pte comparison (Nico Pache) [RHEL-5619]
- mm: memcontrol: don't throttle dying tasks on memory.high (Nico Pache) [RHEL-5619]
- mm: memcg: use READ_ONCE()/WRITE_ONCE() to access stock->cached (Nico Pache) [RHEL-5619]
- mm: kmem: fix a NULL pointer dereference in obj_stock_flush_required() (Nico Pache) [RHEL-5619]
- mm/memcontrol: do not tweak node in mem_cgroup_init() (Nico Pache) [RHEL-5619]
- mm:vmscan: fix inaccurate reclaim during proactive reclaim (Nico Pache) [RHEL-5619]
- fuse: remove reliance on bdi congestion (Nico Pache) [RHEL-5619]
- minmax: clamp more efficiently by avoiding extra comparison (Nico Pache) [RHEL-5619]
- minmax: sanity check constant bounds when clamping (Nico Pache) [RHEL-5619]
- FAT: use io_schedule_timeout() instead of congestion_wait() (Nico Pache) [RHEL-5619]
- mm, mmap: fix vma_merge() case 7 with vma_ops->close (Nico Pache) [RHEL-5619]
- mmap: fix error paths with dup_anon_vma() (Nico Pache) [RHEL-5619]
- mm: move vma locking out of vma_prepare and dup_anon_vma (Nico Pache) [RHEL-5619]
- mm: always lock new vma before inserting into vma tree (Nico Pache) [RHEL-5619]
- mm: lock vma explicitly before doing vm_flags_reset and vm_flags_reset_once (Nico Pache) [RHEL-5619]
- mm: mark VMA as being written when changing vm_flags (Nico Pache) [RHEL-5619]
- mm: replace mmap with vma write lock assertions when operating on a vma (Nico Pache) [RHEL-5619]
- mm: for !CONFIG_PER_VMA_LOCK equate write lock assertion for vma and mmap (Nico Pache) [RHEL-5619]
- mmap: fix vma_iterator in error path of vma_merge() (Nico Pache) [RHEL-5619]
- kunit: kasan_test: disable fortify string checker on kmalloc_oob_memset (Nico Pache) [RHEL-5619]
- x86/mm/pat: fix VM_PAT handling in COW mappings (Nico Pache) [RHEL-5619]
- mm: cachestat: fix two shmem bugs (Nico Pache) [RHEL-5619]
- memtest: use {READ,WRITE}_ONCE in memory scanning (Nico Pache) [RHEL-5619]
- mm: cachestat: fix folio read-after-free in cache walk (Nico Pache) [RHEL-5619]
- kasan/test: avoid gcc warning for intentional overflow (Nico Pache) [RHEL-5619]
- mm: memcontrol: clarify swapaccount=0 deprecation warning (Nico Pache) [RHEL-5619]
- userfaultfd: fix mmap_changing checking in mfill_atomic_hugetlb (Nico Pache) [RHEL-5619]
- mm/writeback: fix possible divide-by-zero in wb_dirty_limits(), again (Nico Pache) [RHEL-5619]
- readahead: avoid multiple marked readahead pages (Nico Pache) [RHEL-5619]
- mm: fix unmap_mapping_range high bits shift bug (Nico Pache) [RHEL-5619]
- mm/mglru: respect min_ttl_ms with memcgs (Nico Pache) [RHEL-5619]
- mm/shmem: fix race in shmem_undo_range w/THP (Nico Pache) [RHEL-5619]
- mm/rmap: fix misplaced parenthesis of a likely() (Nico Pache) [RHEL-5619]
- mm: fix oops when filemap_map_pmd() without prealloc_pte (Nico Pache) [RHEL-5619]
- mm: kmem: drop __GFP_NOFAIL when allocating objcg vectors (Nico Pache) [RHEL-5619]
- mm: fix for negative counter: nr_file_hugepages (Nico Pache) [RHEL-5619]
- mm: zswap: fix pool refcount bug around shrink_worker() (Nico Pache) [RHEL-5619]
- mm/migrate: fix do_pages_move for compat pointers (Nico Pache) [RHEL-5619]
- mm/page_alloc: correct start page when guard page debug is enabled (Nico Pache) [RHEL-5619]
- mm/memory_hotplug: use pfn math in place of direct struct page manipulation (Nico Pache) [RHEL-5619]
- mm/cma: use nth_page() in place of direct struct page manipulation (Nico Pache) [RHEL-5619]
- mm: mempolicy: keep VMA walk if both MPOL_MF_STRICT and MPOL_MF_MOVE are specified (Nico Pache) [RHEL-5619]
- mm, memcg: reconsider kmem.limit_in_bytes deprecation (Nico Pache) [RHEL-5619]
- mm: memcontrol: fix GFP_NOFS recursion in memory.high enforcement (Nico Pache) [RHEL-5619]
- mm: page_alloc: fix CMA and HIGHATOMIC landing on the wrong buddy list (Nico Pache) [RHEL-5619]
- rcu: dump vmalloc memory info safely (Nico Pache) [RHEL-5619]
- mm/vmalloc: add a safer version of find_vm_area() for debug (Nico Pache) [RHEL-5619]
- mm: memory-failure: fix unexpected return value in soft_offline_page() (Nico Pache) [RHEL-5619]
- mm: add a call to flush_cache_vmap() in vmap_pfn() (Nico Pache) [RHEL-5619]
- mm/gup: handle cont-PTE hugetlb pages correctly in gup_must_unshare() via GUP-fast (Nico Pache) [RHEL-5619]
- mm: enable page walking API to lock vmas during the walk (Nico Pache) [RHEL-5619]
- smaps: use vm_normal_page_pmd() instead of follow_trans_huge_pmd() (Nico Pache) [RHEL-5619]
- mm/gup: reintroduce FOLL_NUMA as FOLL_HONOR_NUMA_FAULT (Nico Pache) [RHEL-5619]
- mm: hugetlb_vmemmap: fix a race between vmemmap pmd split (Nico Pache) [RHEL-5619]
- memcg: drop kmem.limit_in_bytes (Nico Pache) [RHEL-5619]
- hugetlb: do not clear hugetlb dtor until allocating vmemmap (Nico Pache) [RHEL-5619]
- zsmalloc: fix races between modifications of fullness and isolated (Nico Pache) [RHEL-5619]
- kasan, slub: fix HW_TAGS zeroing with slub_debug (Nico Pache) [RHEL-5619]
- kasan: fix type cast in memory_is_poisoned_n (Nico Pache) [RHEL-5619]
- mm: call arch_swap_restore() from do_swap_page() (Nico Pache) [RHEL-5619]
- shmem: use ramfs_kill_sb() for kill_sb method of ramfs-based tmpfs (Nico Pache) [RHEL-5619]
- kasan: use internal prototypes matching gcc-13 builtins (Nico Pache) [RHEL-5619]
- kasan: add kasan_tag_mismatch prototype (Nico Pache) [RHEL-5619]
- radix tree: remove unused variable (Nico Pache) [RHEL-5619]
- lib/test_meminit: allocate pages up to order MAX_ORDER (Nico Pache) [RHEL-5619]
- maple_tree: fix 32 bit mas_next testing (Nico Pache) [RHEL-5619]
- lz4: fix LZ4_decompress_safe_partial read out of bound (Nico Pache) [RHEL-5619]
- lib/raid6/test: fix multiple definition linking error (Nico Pache) [RHEL-5619]
- mm/mmap: Fix error return in do_vmi_align_munmap() (Nico Pache) [RHEL-5619]
- mm/ksm: support fork/exec for prctl (Nico Pache) [RHEL-5619]
- mm/uffd: allow vma to merge as much as possible (Nico Pache) [RHEL-5619]
- mm/uffd: fix vma operation where start addr cuts part of vma (Nico Pache) [RHEL-5619]
- mm/khugepaged: fix regression in collapse_file() (Nico Pache) [RHEL-5619]
- mm: remove unused vma_init_lock() (Nico Pache) [RHEL-5619]
- fs/proc/kcore: reinstate bounce buffer for KCORE_TEXT regions (Nico Pache) [RHEL-5619]
- mm/mempolicy: Take VMA lock before replacing policy (Nico Pache) [RHEL-5619]
- mm: lock_vma_under_rcu() must check vma->anon_vma under vma lock (Nico Pache) [RHEL-5619]
- tcp: Use per-vma locking for receive zerocopy (Nico Pache) [RHEL-5619]
- mm: fix memory ordering for mm_lock_seq and vm_lock_seq (Nico Pache) [RHEL-5619]
- mm: lock VMA in dup_anon_vma() before setting ->anon_vma (Nico Pache) [RHEL-5619]
- mm: don't drop VMA locks in mm_drop_all_locks() (Nico Pache) [RHEL-5619]
- userfaultfd: fix regression in userfaultfd_unmap_prep() (Nico Pache) [RHEL-5619]
- mm/gup: disallow FOLL_LONGTERM GUP-fast writing to file-backed mappings (Nico Pache) [RHEL-5619]
- mm/gup: disallow FOLL_LONGTERM GUP-nonfast writing to file-backed mappings (Nico Pache) [RHEL-5619]
- mm/mmap: separate writenotify and dirty tracking logic (Nico Pache) [RHEL-5619]
- mm/mlock: rename mlock_future_check() to mlock_future_ok() (Nico Pache) [RHEL-5619]
- mm/mmap: refactor mlock_future_check() (Nico Pache) [RHEL-5619]
- mm: avoid rewalk in mmap_region (Nico Pache) [RHEL-5619]
- mm: add vma_iter_{next,prev}_range() to vma iterator (Nico Pache) [RHEL-5619]
- mm: update vma_iter_store() to use MAS_WARN_ON() (Nico Pache) [RHEL-5619]
- mm: update validate_mm() to use vma iterator (Nico Pache) [RHEL-5619]
- dmapool: create/destroy cleanup (Nico Pache) [RHEL-5619]
- mm/mempolicy: fix set_mempolicy_home_node() previous VMA pointer (Nico Pache) [RHEL-5619]
- mm/vmalloc: fix the unchecked dereference warning in vread_iter() (Nico Pache) [RHEL-5619]
- selftests/mm: FOLL_LONGTERM need to be updated to 0x100 (Nico Pache) [RHEL-5619]
- hugetlb: revert use of page_cache_next_miss() (Nico Pache) [RHEL-5619]
- mm/mlock: fix vma iterator conversion of apply_vma_lock_flags() (Nico Pache) [RHEL-5619]
- mm: add a NO_INHERIT flag to the PR_SET_MDWE prctl (Nico Pache) [RHEL-5619]
- mm: make PR_MDWE_REFUSE_EXEC_GAIN an unsigned long (Nico Pache) [RHEL-5619]
- tools headers UAPI: Sync linux/prctl.h with the kernel sources (Nico Pache) [RHEL-5619]
- mm: fix memcpy_from_file_folio() integer underflow (Nico Pache) [RHEL-5619]
- mm: page_alloc: remove stale CMA guard code (Nico Pache) [RHEL-5619]
- mm/memory-failure: fix hardware poison check in unpoison_memory() (Nico Pache) [RHEL-5619]
- tools/Makefile: do missed s/vm/mm/ (Nico Pache) [RHEL-5619]
- mm: memory-failure: avoid false hwpoison page mapped error info (Nico Pache) [RHEL-5619]
- mm: memory-failure: fix potential unexpected return value from unpoison_memory() (Nico Pache) [RHEL-5619]
- mm/swapfile: fix wrong swap entry type for hwpoisoned swapcache page (Nico Pache) [RHEL-5619]
- Docs/admin-guide/mm/zswap: remove zsmalloc's lack of writeback warning (Nico Pache) [RHEL-5619]
- mm: fix arithmetic for max_prop_frac when setting max_ratio (Nico Pache) [RHEL-5619]
- mm: fix arithmetic for bdi min_ratio (Nico Pache) [RHEL-5619]
- mm/gup: add missing gup_must_unshare() check to gup_huge_pgd() (Nico Pache) [RHEL-5619]
- docs: Fix path paste-o for /sys/kernel/warn_count (Nico Pache) [RHEL-5619]
- mm: remove unused inline functions from include/linux/mm_inline.h (Nico Pache) [RHEL-5619]
- mm: add more BUILD_BUG_ONs to gfp_migratetype() (Nico Pache) [RHEL-5619]
- mm: cleanup is_highmem() (Nico Pache) [RHEL-5619]
- mm/huge_memory: check pmd_present first in is_huge_zero_pmd (Nico Pache) [RHEL-5619]
- mm/mmap: drop ARCH_HAS_VM_GET_PAGE_PROT (Nico Pache) [RHEL-5619]
- s390/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Nico Pache) [RHEL-5619]
- memregion: Fix memregion_free() fallback definition (Nico Pache) [RHEL-5619]
- mm: Add kernel-doc for folio->mlock_count (Nico Pache) [RHEL-5619]
- nodemask.h: fix compilation error with GCC12 (Nico Pache) [RHEL-5619]
- mm/swap: fix the obsolete comment for SWP_TYPE_SHIFT (Nico Pache) [RHEL-5619]
- filemap: Update the folio_lock documentation (Nico Pache) [RHEL-5619]
- filemap: Remove obsolete comment in lock_page (Nico Pache) [RHEL-5619]
- kasan: mark KASAN_VMALLOC flags as kasan_vmalloc_flags_t (Nico Pache) [RHEL-5619]
- mm/vmalloc: fix comments about vmap_area struct (Nico Pache) [RHEL-5619]
- mm/memcg: retrieve parent memcg from css.parent (Nico Pache) [RHEL-5619]
- remove congestion tracking framework (Nico Pache) [RHEL-5619]
- mm: slab: Delete unused SLAB_DEACTIVATED flag (Nico Pache) [RHEL-5619]
- mm: fix boolreturn.cocci warning (Nico Pache) [RHEL-5619]
- memblock: Remove #ifdef __KERNEL__ from memblock.h (Nico Pache) [RHEL-5619]
- mm/slab: Finish struct page to struct slab conversion (Nico Pache) [RHEL-5619]
- memblock: fix memblock_phys_alloc() section mismatch error (Nico Pache) [RHEL-5619]
- mm, slab: Remove compiler check in __kmalloc_index (Nico Pache) [RHEL-5619]
- memblock: improve MEMBLOCK_HOTPLUG documentation (Nico Pache) [RHEL-5619]
- mm/memory_hotplug: remove stale function declarations (Nico Pache) [RHEL-5619]
- mm/vmstat: annotate data race for zone->free_area[order].nr_free (Nico Pache) [RHEL-5619]
- mm/page_alloc.c: avoid allocating highmem pages via alloc_pages_exact[_nid] (Nico Pache) [RHEL-5619]
- mm: fix data race in PagePoisoned() (Nico Pache) [RHEL-5619]
Resolves: RHEL-15602, RHEL-17460, RHEL-21507, RHEL-23575, RHEL-25537, RHEL-25596, RHEL-26198, RHEL-26704, RHEL-28810, RHEL-29306, RHEL-29532, RHEL-29741, RHEL-30145, RHEL-30355, RHEL-31050, RHEL-31212, RHEL-31258, RHEL-31840, RHEL-31889, RHEL-32112, RHEL-32141, RHEL-32372, RHEL-32862, RHEL-33271, RHEL-34576, RHEL-34600, RHEL-34665, RHEL-34673, RHEL-35032, RHEL-35078, RHEL-35088, RHEL-35170, RHEL-36065, RHEL-5619

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-05-16 12:34:22 -03:00
Lucas Zampieri
b6216ec08f
kernel-5.14.0-450.el9
* Tue May 14 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-450.el9]
- printk: nbcon: move locked_port flag to struct uart_port (Andrew Halaney) [RHEL-3987]
- tty/serial/pl011: Make the locking work on RT (Andrew Halaney) [RHEL-3987]
- tty/serial/omap: Make the locking RT aware (Andrew Halaney) [RHEL-3987]
- printk: Avoid false positive lockdep report for legacy driver. (Andrew Halaney) [RHEL-3987]
- serial: 8250: revert "drop lockdep annotation from serial8250_clear_IER()" (Andrew Halaney) [RHEL-3987]
- printk: Add kthread for all legacy consoles (Andrew Halaney) [RHEL-3987]
- serial: 8250: Switch to nbcon console (Andrew Halaney) [RHEL-3987]
- serial/8250: Use fifo in 8250 console driver (Andrew Halaney) [RHEL-3987]
- serial: core: Provide low-level functions to port lock (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Provide function to reacquire ownership (Andrew Halaney) [RHEL-3987]
- tty: sysfs: Add nbcon support for 'active' (Andrew Halaney) [RHEL-3987]
- proc: Add nbcon support for /proc/consoles (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Start printing threads (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Stop threads on shutdown/reboot (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Add printer thread wakeups (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Add context to console_is_usable() (Andrew Halaney) [RHEL-3987]
- printk: Atomic print in printk context on shutdown (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Introduce printing kthreads (Andrew Halaney) [RHEL-3987]
- lockdep: Mark emergency section in lockdep splats (Andrew Halaney) [RHEL-3987]
- rcu: Mark emergency section in rcu stalls (Andrew Halaney) [RHEL-3987]
- panic: Mark emergency section in oops (Andrew Halaney) [RHEL-3987]
- panic: Mark emergency section in warn (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Implement emergency sections (Andrew Halaney) [RHEL-3987]
- printk: Coordinate direct printing in panic (Andrew Halaney) [RHEL-3987]
- printk: Track nbcon consoles (Andrew Halaney) [RHEL-3987]
- printk: Avoid console_lock dance if no legacy or boot consoles (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Add unsafe flushing on panic (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Assign priority based on CPU state (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Use nbcon consoles in console_flush_all() (Andrew Halaney) [RHEL-3987]
- printk: Update @console_may_schedule in console_trylock_spinning() (Derek Barbosa) [RHEL-3987]
- serial: Lock console when calling into driver before registration (Derek Barbosa) [RHEL-3987]
- printk: Disable passing console lock owner completely during panic() (Derek Barbosa) [RHEL-3987]
- printk: Track registered boot consoles (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Provide function to flush using write_atomic() (Andrew Halaney) [RHEL-3987]
- printk: Add @flags argument for console_is_usable() (Andrew Halaney) [RHEL-3987]
- printk: Let console_is_usable() handle nbcon (Andrew Halaney) [RHEL-3987]
- printk: Make console_is_usable() available to nbcon (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Add driver_enter/driver_exit console callbacks (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Implement processing in port->lock wrapper (Andrew Halaney) [RHEL-3987]
- printk: Check printk_deferred_enter()/_exit() usage (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Ensure ownership release on failed emit (Andrew Halaney) [RHEL-3987]
- printk: Add sparse notation to console_srcu locking (Andrew Halaney) [RHEL-3987]
- printk: Consider nbcon boot consoles on seq init (Andrew Halaney) [RHEL-3987]
- panic: Flush kernel log buffer at the end (Andrew Halaney) [RHEL-3987]
- printk: Avoid non-panic CPUs writing to ringbuffer (Andrew Halaney) [RHEL-3987]
- printk: ringbuffer: Consider committed as finalized in panic (Andrew Halaney) [RHEL-3987]
- printk: ringbuffer: Skip non-finalized records in panic (Andrew Halaney) [RHEL-3987]
- printk: Wait for all reserved records with pr_flush() (Andrew Halaney) [RHEL-3987]
- printk: ringbuffer: Cleanup reader terminology (Andrew Halaney) [RHEL-3987]
- printk: Add this_cpu_in_panic() (Andrew Halaney) [RHEL-3987]
- printk: For @suppress_panic_printk check for other CPU in panic (Andrew Halaney) [RHEL-3987]
- printk: ringbuffer: Clarify special lpos values (Andrew Halaney) [RHEL-3987]
- printk: ringbuffer: Do not skip non-finalized records with prb_next_seq() (Andrew Halaney) [RHEL-3987]
- printk: Use prb_first_seq() as base for 32bit seq macros (Andrew Halaney) [RHEL-3987]
- printk: Adjust mapping for 32bit seq macros (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Relocate 32bit seq macros (Andrew Halaney) [RHEL-3987]
- printk: Reduce pr_flush() pooling time (Andrew Halaney) [RHEL-3987]
- printk: fix illegal pbufs access for !CONFIG_PRINTK (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Allow drivers to mark unsafe regions and check state (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Add emit function and callback function for atomic printing (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Add sequence handling (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Add ownership state functions (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Add buffer management (Andrew Halaney) [RHEL-3987]
- printk: Make static printk buffers available to nbcon (Andrew Halaney) [RHEL-3987]
- printk: nbcon: Add acquire/release logic (Andrew Halaney) [RHEL-3987]
- printk: Add non-BKL (nbcon) console basic infrastructure (Andrew Halaney) [RHEL-3987]
- printk: flush consoles before checking progress (Andrew Halaney) [RHEL-3987]
- panic: Reenable preemption in WARN slowpath (Andrew Halaney) [RHEL-3987]
- Revert "printk: export symbols for debug modules" (Andrew Halaney) [RHEL-3987]
- printk: export symbols for debug modules (Andrew Halaney) [RHEL-3987]
- printk: ringbuffer: Fix truncating buffer size min_t cast (Andrew Halaney) [RHEL-3987]
- printk: Rename abandon_console_lock_in_panic() to other_cpu_in_panic() (Andrew Halaney) [RHEL-3987]
- printk: Add per-console suspended state (Andrew Halaney) [RHEL-3987]
- console: Document struct console (Derek Barbosa) [RHEL-3987]
- console: Use BIT() macros for @flags values (Derek Barbosa) [RHEL-3987]
- printk: Consolidate console deferred printing (Andrew Halaney) [RHEL-3987]
- printk: Do not take console lock for console_flush_on_panic() (Andrew Halaney) [RHEL-3987]
- printk: Keep non-panic-CPUs out of console lock (Andrew Halaney) [RHEL-3987]
- printk: Reduce console_unblank() usage in unsafe scenarios (Andrew Halaney) [RHEL-3987]
- panic: hide unused global functions (Andrew Halaney) [RHEL-3987]
- seqlock/latch: Provide raw_read_seqcount_latch_retry() (Andrew Halaney) [RHEL-3987]
- timekeeping: Consolidate fast timekeeper (Andrew Halaney) [RHEL-3987]
- printk: export console trace point for kcsan/kasan/kfence/kmsan (Andrew Halaney) [RHEL-3987]
- kcsan: test: use new suite_{init,exit} support (Andrew Halaney) [RHEL-3987]
- printk: Remove obsoleted check for non-existent "user" object (Andrew Halaney) [RHEL-3987]
- panic: fix the panic_print NMI backtrace setting (Andrew Halaney) [RHEL-3987]
- kernel/printk/index.c: fix memory leak with using debugfs_lookup() (Andrew Halaney) [RHEL-3987]
- printk: Use scnprintf() to print the message about the dropped messages on a console (Andrew Halaney) [RHEL-3987]
- kernel/printk/printk.c: Fix W=1 kernel-doc warning (Andrew Halaney) [RHEL-3987]
- printk: Remove trace_.*_rcuidle() usage (Andrew Halaney) [RHEL-3987]
- printk: adjust string limit macros (Andrew Halaney) [RHEL-3987]
- printk: use printk_buffers for devkmsg (Andrew Halaney) [RHEL-3987]
- printk: introduce console_prepend_dropped() for dropped messages (Andrew Halaney) [RHEL-3987]
- printk: introduce printk_get_next_message() and printk_message (Andrew Halaney) [RHEL-3987]
- printk: introduce struct printk_buffers (Andrew Halaney) [RHEL-3987]
- printk: move size limit macros into internal.h (Andrew Halaney) [RHEL-3987]
- exit: Use READ_ONCE() for all oops/warn limit reads (Andrew Halaney) [RHEL-3987]
- exit: Allow oops_limit to be disabled (Andrew Halaney) [RHEL-3987]
- exit: Put an upper limit on how often we can oops (Andrew Halaney) [RHEL-3987]
- printk: htmldocs: add missing description (Andrew Halaney) [RHEL-3987]
- panic: use str_enabled_disabled() helper (Andrew Halaney) [RHEL-3987]
- printk: cpu sync always disable interrupts (Andrew Halaney) [RHEL-3987]
- panic: move panic_print before kmsg dumpers (Andrew Halaney) [RHEL-3987]
- panic: add option to dump all CPUs backtraces in panic_print (Andrew Halaney) [RHEL-3987]
- docs: sysctl/kernel: add missing bit to panic_print (Andrew Halaney) [RHEL-3987]
- panic: remove oops_id (Andrew Halaney) [RHEL-3987]
- panic: use error_report_end tracepoint on warnings (Andrew Halaney) [RHEL-3987]
- tools/bootconfig: Print all error message in stderr (Andrew Halaney) [RHEL-3987]
- printk: Remove console_silent() (Andrew Halaney) [RHEL-3987]
- redhat/configs: Disable CONFIG_RPMSG_TTY (Andrew Halaney) [RHEL-24205]
- redhat/configs: Align new TTY configs with ELN (Andrew Halaney) [RHEL-24205]
- serial: 8250_dw: Add ACPI ID for Granite Rapids-D UART (Andrew Halaney) [RHEL-24205]
- tty: serial: fsl_lpuart: Check the return value of dmaengine_tx_status (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: fix unconditional activation of THRI interrupt (Andrew Halaney) [RHEL-24205]
- serial: amba-pl011: Fix DMA transmission in RS485 mode (Andrew Halaney) [RHEL-24205]
- Revert "tty: serial: simplify qcom_geni_serial_send_chunk_fifo()" (Andrew Halaney) [RHEL-24205]
- vt: fix unicode buffer corruption when deleting characters (Andrew Halaney) [RHEL-24205]
- tty: serial: imx: Fix broken RS485 (Andrew Halaney) [RHEL-24205]
- serial: stm32: do not always set SER_RS485_RX_DURING_TX if RS485 is enabled (Andrew Halaney) [RHEL-24205]
- ARM: PL011: Fix DMA support (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: address RX timeout interrupt errata (Andrew Halaney) [RHEL-24205]
- serial: 8250: 8250_omap: Clear UART_HAS_RHR_IT_DIS bit (Andrew Halaney) [RHEL-24205]
- serdev: Replace custom code with device_match_acpi_handle() (Andrew Halaney) [RHEL-24205]
- serdev: Simplify devm_serdev_device_open() function (Andrew Halaney) [RHEL-24205]
- serdev: Make use of device_set_node() (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: add copyright Siemens Mobility GmbH (Andrew Halaney) [RHEL-24205]
- tty: 8250: Add note for PX-835 (Andrew Halaney) [RHEL-24205]
- tty: 8250: Add support for Intashield IX cards (Andrew Halaney) [RHEL-24205]
- tty: 8250: Fix IS-200 PCI ID comment (Andrew Halaney) [RHEL-24205]
- tty: 8250: Add support for additional Brainboxes PX cards (Andrew Halaney) [RHEL-24205]
- tty: 8250: Add support for Intashield IS-100 (Andrew Halaney) [RHEL-24205]
- tty: 8250: Add support for Brainboxes UP cards (Andrew Halaney) [RHEL-24205]
- tty: 8250: Add support for additional Brainboxes UC cards (Andrew Halaney) [RHEL-24205]
- serial: 8250_omap: Set the console genpd always on if no console suspend (Andrew Halaney) [RHEL-24205]
- hvc/xen: fix console unplug (Andrew Halaney) [RHEL-24205]
- hvc/xen: fix error path in xen_hvc_init() to always register frontend driver (Andrew Halaney) [RHEL-24205]
- tty: serial: 8250: Add support for MOXA Mini PCIe boards (Andrew Halaney) [RHEL-24205]
- tty: serial: 8250: Relocate macros within 8250_pci.c (Andrew Halaney) [RHEL-24205]
- tty: serial: 8250: Cleanup MOXA configurations (Andrew Halaney) [RHEL-24205]
- tty: serial: 8250: Modify MOXA enum name within 8250_pci.c (Andrew Halaney) [RHEL-24205]
- tty: whitespaces in descriptions corrected by replacing tabs with spaces (Andrew Halaney) [RHEL-24205]
- serial/esp32_uart: use prescaler when available (Andrew Halaney) [RHEL-24205]
- serial: 8250: remove AR7 support (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: fix hard LOCKUP on crtscts mode (Andrew Halaney) [RHEL-24205]
- drivers/tty/serial: add ESP32S3 ACM gadget driver (Andrew Halaney) [RHEL-24205]
- dt-bindings: serial: document esp32s3-acm (Andrew Halaney) [RHEL-24205]
- drivers/tty/serial: add driver for the ESP32 UART (Andrew Halaney) [RHEL-24205]
- dt-bindings: serial: document esp32-uart (Andrew Halaney) [RHEL-24205]
- serial: core: tidy invalid baudrate handling in uart_get_baud_rate (Andrew Halaney) [RHEL-24205]
- serial: xilinx_uartps: unset STOPBRK when setting STARTBRK (Andrew Halaney) [RHEL-24205]
- serial: max310x: remove trailing whitespaces (Andrew Halaney) [RHEL-24205]
- tty/sysrq: replace smp_processor_id() with get_cpu() (Andrew Halaney) [RHEL-24205]
- serial: add PORT_GENERIC definition (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: Add a earlycon for the S4 SoC (Andrew Halaney) [RHEL-24205]
- serial: initialize retinfo in uart_get_info() (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm7271: Use devm_clk_get_optional_enabled() (Andrew Halaney) [RHEL-24205]
- tty: vc_screen: make vc_class constant (Andrew Halaney) [RHEL-24205]
- tty: vt: make vtconsole_class constant (Andrew Halaney) [RHEL-24205]
- tty: Restrict access to TIOCLINUX' copy-and-paste subcommands (Andrew Halaney) [RHEL-24205]
- serial: core: Simplify uart_get_rs485_mode() (Andrew Halaney) [RHEL-24205]
- serial: 8250: Check for valid console index (Andrew Halaney) [RHEL-24205]
- dt-bindings: sc16is7xx: convert to YAML (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: use device_property APIs when configuring irda mode (Andrew Halaney) [RHEL-24205]
- serial: amba-pl011: Do not complain when DMA is absent (Andrew Halaney) [RHEL-24205]
- serial: imx: Put DMA enabled UART in separate lock subclass (Andrew Halaney) [RHEL-24205]
- serial: core: add comment about definitely used port types (Andrew Halaney) [RHEL-24205]
- serial: core: remove cruft from uapi header (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci1xxxx: Annotate struct pci1xxxx_8250 with __counted_by (Andrew Halaney) [RHEL-24205]
- serial: exar: Add RS-485 support for Sealevel XR17V35X based cards (Andrew Halaney) [RHEL-24205]
- vt: Replace strlcpy with strscpy (Andrew Halaney) [RHEL-24205]
- serial: core: fix kernel-doc for uart_port_unlock_irqrestore() (Andrew Halaney) [RHEL-24205]
- mxser: Annotate struct mxser_board with __counted_by (Andrew Halaney) [RHEL-24205]
- serial: 8250_dw: Use devm_clk_get_optional_enabled() (Andrew Halaney) [RHEL-24205]
- serial: 8250_of: Use devm_clk_get_enabled() (Andrew Halaney) [RHEL-24205]
- serial: 8250_aspeed_vuart: Use devm_clk_get_enabled() (Andrew Halaney) [RHEL-24205]
- tty: use 'if' in send_break() instead of 'goto' (Andrew Halaney) [RHEL-24205]
- tty: don't check for signal_pending() in send_break() (Andrew Halaney) [RHEL-24205]
- tty: early return from send_break() on TTY_DRIVER_HARDWARE_BREAK (Andrew Halaney) [RHEL-24205]
- tty: convert THROTTLE constants into enum (Andrew Halaney) [RHEL-24205]
- tty: tty_buffer: use bool for 'restart' in tty_buffer_unlock_exclusive() (Andrew Halaney) [RHEL-24205]
- tty: stop using ndash in kernel-doc (Andrew Halaney) [RHEL-24205]
- tty: invert return values of tty_{,un}throttle_safe() (Andrew Halaney) [RHEL-24205]
- tty: switch tty_{,un}throttle_safe() to return a bool (Andrew Halaney) [RHEL-24205]
- tty: n_tty: use do-while in n_tty_check_{,un}throttle() (Andrew Halaney) [RHEL-24205]
- tty: n_tty: invert the condition in copy_from_read_buf() (Andrew Halaney) [RHEL-24205]
- tty: n_tty: use min3() in copy_from_read_buf() (Andrew Halaney) [RHEL-24205]
- tty: n_tty: rename and retype 'retval' in n_tty_ioctl() (Andrew Halaney) [RHEL-24205]
- tty: n_tty: use 'retval' instead of 'c' (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm7271: Use dev_err_probe() instead of dev_err() (Andrew Halaney) [RHEL-24205]
- serial: xilinx_uartps: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: vt8500: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: ucc_uart: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: uartlite: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: timbuart: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: sunzilog: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: sunsu: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: sunsab: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: sunplus-uart: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: sunhv: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: stm32: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: st-asc: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: sprd: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: sifive: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: sh-sci: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: txx9: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: mctrl_gpio: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: core: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: tegra: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: sb1250-duart: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: samsung_tty: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: sa1100: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: rp2: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: rda: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: qcom-geni: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: pxa: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: pmac_zilog: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: pic32: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: pch: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: owl: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: omap: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: mvebu-uart: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: msm: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: mps2-uart: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: mpc52xx: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: milbeaut_usio: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: meson: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: men_z135_uart: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: mcf: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: ma35d1: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: lpc32xx_hs: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: liteuart: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: jsm: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: ip22zilog: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: imx: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: icom: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: fsl_lpuart: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: linflexuart: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: dz: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: digicolor: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: bcm63xx-uart: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: atmel: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: arc_uart: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: ar933x: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: apb: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: amba-pl011: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: amba-pl010: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: altera_uart: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: altera_jtaguart: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci1xxxx: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: 8250_omap: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: 8250_mtk: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: 8250_fsl: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: 8250_exar: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: 8250_dw: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: 8250_dma: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: 8250: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm7271: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: 8250_aspeed_vuart: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: 21285: Use port lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: core: Use lock wrappers (Andrew Halaney) [RHEL-24205]
- serial: core: Provide port lock wrappers (Andrew Halaney) [RHEL-24205]
- tty: serial: 8250_exar: Does not use anything from 8250_pci (Andrew Halaney) [RHEL-24205]
- serial: 8250_mid: Remove 8250_pci usage (Andrew Halaney) [RHEL-24205]
- tty/serial: 8250: Sort drivers in Makefile (Andrew Halaney) [RHEL-24205]
- tty/serial: Sort drivers in makefile (Andrew Halaney) [RHEL-24205]
- serial: imx: Simplify compatibility handling (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: improve comments about variants (Andrew Halaney) [RHEL-24205]
- tty: tty_jobctrl: fix pid memleak in disassociate_ctty() (Andrew Halaney) [RHEL-24205]
- tty: serial: linflexuart: Fix to check return value of platform_get_irq() in linflex_probe() (Andrew Halaney) [RHEL-24205]
- tty: serial: ma35d1_serial: Add missing check for ioremap (Andrew Halaney) [RHEL-24205]
- serial: 8250_of: Use dev_err_probe() instead of dev_warn() (Andrew Halaney) [RHEL-24205]
- serial: 8250_aspeed_vuart: Use dev_err_probe() instead of dev_err() (Andrew Halaney) [RHEL-24205]
- serial: 8250_port: Introduce UART_IIR_FIFO_ENABLED_16750 (Andrew Halaney) [RHEL-24205]
- tty: hvc: remove set but unused variable (Andrew Halaney) [RHEL-24205]
- serial: stm32: add support for break control (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: remove unused to_sc16is7xx_port macro (Andrew Halaney) [RHEL-24205]
- tty: vcc: Add check for kstrdup() in vcc_probe() (Andrew Halaney) [RHEL-24205]
- net: hso: drop unused function argument (Andrew Halaney) [RHEL-24205]
- serial: mxs-auart: fix tx (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: reorder code to remove prototype declarations (Andrew Halaney) [RHEL-24205]
- serial: omap: do not override settings for RS485 support (Andrew Halaney) [RHEL-24205]
- serial: core, imx: do not set RS485 enabled if it is not supported (Andrew Halaney) [RHEL-24205]
- serial: core: make sure RS485 cannot be enabled when it is not supported (Andrew Halaney) [RHEL-24205]
- serial: core: fix sanitizing check for RTS settings (Andrew Halaney) [RHEL-24205]
- serial: core: set missing supported flag for RX during TX GPIO (Andrew Halaney) [RHEL-24205]
- serial: Do not hold the port lock when setting rx-during-tx GPIO (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm2835aux: Restore clock error handling (Andrew Halaney) [RHEL-24205]
- serial: imx: Ensure that imx_uart_rs485_config() is called with enabled clock (Andrew Halaney) [RHEL-24205]
- serial: apbuart: fix console prompt on qemu (Andrew Halaney) [RHEL-24205]
- serial: ma35d1: Validate console index before assignment (Andrew Halaney) [RHEL-24205]
- arm64: defconfig: Increase SERIAL_8250_NR_UARTS (Andrew Halaney) [RHEL-24205]
- tty: fix tty_operations types in documentation (Andrew Halaney) [RHEL-24205]
- serial: core: Revert checks for tx runtime PM state (Andrew Halaney) [RHEL-24205]
- serial: 8250: 8250_omap: Do not start RX DMA on THRI interrupt (Andrew Halaney) [RHEL-24205]
- serial: 8250: omap: Don't skip resource freeing if pm_runtime_resume_and_get() failed (Andrew Halaney) [RHEL-24205]
- serial: core: Fix runtime PM handling for pending tx (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: drop earlycon support for unsupported platforms (Andrew Halaney) [RHEL-24205]
- tty: 8250: Add Brainboxes Oxford Semiconductor-based quirks (Andrew Halaney) [RHEL-24205]
- tty: 8250: Fix up PX-803/PX-857 (Andrew Halaney) [RHEL-24205]
- tty: 8250: Fix port count of PX-257 (Andrew Halaney) [RHEL-24205]
- tty: 8250: Remove UC-257 and UC-431 (Andrew Halaney) [RHEL-24205]
- hvc/xen: fix event channel handling for secondary consoles (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung_tty: remove dead code (Andrew Halaney) [RHEL-24205]
- ASoC: ti: ams-delta: Fix cx81801_receive() argument types (Andrew Halaney) [RHEL-24205]
- serial: core: Fix checks for tx runtime PM state (Andrew Halaney) [RHEL-24205]
- serial: 8250_omap: Drop pm_runtime_irq_safe() (Andrew Halaney) [RHEL-24205]
- serial: 8250_omap: Fix errors with no_console_suspend (Andrew Halaney) [RHEL-24205]
- serial: Reduce spinlocked portion of uart_rs485_config() (Andrew Halaney) [RHEL-24205]
- serial: exar: Revert "serial: exar: Add support for Sealevel 7xxxC serial cards" (Andrew Halaney) [RHEL-24205]
- Revert "tty: n_gsm: fix UAF in gsm_cleanup_mux" (Andrew Halaney) [RHEL-24205]
- serial: 8250_port: Check IRQ data before use (Andrew Halaney) [RHEL-24205]
- tty: shrink the size of struct tty_struct by 40 bytes (Andrew Halaney) [RHEL-24205]
- tty: n_tty: deduplicate copy code in n_tty_receive_buf_real_raw() (Andrew Halaney) [RHEL-24205]
- tty: n_tty: extract ECHO_OP processing to a separate function (Andrew Halaney) [RHEL-24205]
- tty: n_tty: unify counts to size_t (Andrew Halaney) [RHEL-24205]
- tty: n_tty: use u8 for chars and flags (Andrew Halaney) [RHEL-24205]
- tty: n_tty: simplify chars_in_buffer() (Andrew Halaney) [RHEL-24205]
- tty: n_tty: remove unsigned char casts from character constants (Andrew Halaney) [RHEL-24205]
- tty: n_tty: move newline handling to a separate function (Andrew Halaney) [RHEL-24205]
- tty: n_tty: move canon handling to a separate function (Andrew Halaney) [RHEL-24205]
- tty: n_tty: use MASK() for masking out size bits (Andrew Halaney) [RHEL-24205]
- tty: n_tty: make n_tty_data::num_overrun unsigned (Andrew Halaney) [RHEL-24205]
- tty: n_tty: use time_is_before_jiffies() in n_tty_receive_overrun() (Andrew Halaney) [RHEL-24205]
- tty: n_tty: use 'num' for writes' counts (Andrew Halaney) [RHEL-24205]
- tty: n_tty: use output character directly (Andrew Halaney) [RHEL-24205]
- tty: n_tty: make flow of n_tty_receive_buf_common() a bool (Andrew Halaney) [RHEL-24205]
- Revert "tty: serial: meson: Add a earlycon for the T7 SoC" (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm7271: improve bcm7271 8250 port (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: add missing support for rs485 devicetree properties (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: fix bug when first setting GPIO direction (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: fix regression with GPIO configuration (Andrew Halaney) [RHEL-24205]
- dt-bindings: sc16is7xx: Add property to change GPIO function (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: remove obsolete out_thread label (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: fix broken port 0 uart init (Andrew Halaney) [RHEL-24205]
- serial: 8250_dw: fall back to poll if there's no interrupt (Andrew Halaney) [RHEL-24205]
- dt-bindings: serial: snps-dw-apb-uart: make interrupt optional (Andrew Halaney) [RHEL-24205]
- serial: tegra: handle clk prepare error in tegra_uart_hw_init() (Andrew Halaney) [RHEL-24205]
- serial: sifive: Add suspend and resume operations (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: Add a earlycon for the T7 SoC (Andrew Halaney) [RHEL-24205]
- serial: stm32: synchronize RX DMA channel in shutdown (Andrew Halaney) [RHEL-24205]
- serial: stm32: replace access to DMAR bit by dmaengine_pause/resume (Andrew Halaney) [RHEL-24205]
- serial: stm32: group dma pause/resume error handling into single function (Andrew Halaney) [RHEL-24205]
- serial: stm32: modify parameter and rename stm32_usart_rx_dma_enabled (Andrew Halaney) [RHEL-24205]
- serial: stm32: use DMAT as a configuration bit (Andrew Halaney) [RHEL-24205]
- serial: stm32: avoid clearing DMAT bit during transfer (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: add restart flag to extended ioctl config (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: cleanup gsm_control_command and gsm_control_reply (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: increase gsm_mux unsupported counted where appropriate (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: increase malformed counter for malformed control frames (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: add open_error counter to gsm_mux (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: remove unneeded initialization of ret in gsm_dlci_config (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: add missing description to structs in gsmmux.h (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: add restart flag to DLC specific ioctl config (Andrew Halaney) [RHEL-24205]
- serial: core: Remove unused PORT_* definitions (Andrew Halaney) [RHEL-24205]
- tty: tty_buffer: invert conditions in __tty_buffer_request_room() (Andrew Halaney) [RHEL-24205]
- tty: tty_buffer: initialize variables in initializers already (Andrew Halaney) [RHEL-24205]
- tty: tty_buffer: better types in __tty_buffer_request_room() (Andrew Halaney) [RHEL-24205]
- tty: tty_buffer: use __tty_insert_flip_string_flags() in tty_insert_flip_char() (Andrew Halaney) [RHEL-24205]
- tty: tty_buffer: let tty_prepare_flip_string() return size_t (Andrew Halaney) [RHEL-24205]
- tty: tty_buffer: switch insert functions to size_t (Andrew Halaney) [RHEL-24205]
- tty: tty_buffer: warn if losing flags in __tty_insert_flip_string_flags() (Andrew Halaney) [RHEL-24205]
- tty: tty_buffer: unify tty_insert_flip_string_{fixed_flag,flags}() (Andrew Halaney) [RHEL-24205]
- tty: tty_buffer: use struct_size() in tty_buffer_alloc() (Andrew Halaney) [RHEL-24205]
- tty: tty_buffer: switch data type to u8 (Andrew Halaney) [RHEL-24205]
- tty: gdm724x: use min_t() for size_t varable and a constant (Andrew Halaney) [RHEL-24205]
- serial: core: Fix serial core port id, including multiport devices (Andrew Halaney) [RHEL-24205]
- serial: 8250: drop lockdep annotation from serial8250_clear_IER() (Andrew Halaney) [RHEL-24205]
- tty: rfcomm: convert counts to size_t (Andrew Halaney) [RHEL-24205]
- tty: hso: simplify hso_serial_write() (Andrew Halaney) [RHEL-24205]
- tty: gdm724x: convert counts to size_t (Andrew Halaney) [RHEL-24205]
- tty: vcc: convert counts to size_t (Andrew Halaney) [RHEL-24205]
- tty: hvc: convert counts to size_t (Andrew Halaney) [RHEL-24205]
- tty: ldops: unify to u8 (Andrew Halaney) [RHEL-24205]
- tty: audit: unify to u8 (Andrew Halaney) [RHEL-24205]
- tty: make tty_operations::write()'s count size_t (Andrew Halaney) [RHEL-24205]
- tty: propagate u8 data to tty_operations::put_char() (Andrew Halaney) [RHEL-24205]
- tty: propagate u8 data to tty_operations::write() (Andrew Halaney) [RHEL-24205]
- tty: use min() for size computation in iterate_tty_read() (Andrew Halaney) [RHEL-24205]
- tty: switch size and count types in iterate_tty_read() to size_t (Andrew Halaney) [RHEL-24205]
- tty: use ssize_t for iterate_tty_read() returned type (Andrew Halaney) [RHEL-24205]
- tty: use min() in iterate_tty_write() (Andrew Halaney) [RHEL-24205]
- tty: rename and de-inline do_tty_write() (Andrew Halaney) [RHEL-24205]
- tty: don't pass write() to do_tty_write() (Andrew Halaney) [RHEL-24205]
- tty: tty_buffer: make all offsets unsigned (Andrew Halaney) [RHEL-24205]
- tty: make char_buf_ptr()/flag_buf_ptr()'s offset unsigned (Andrew Halaney) [RHEL-24205]
- misc: ti-st: make st_recv() conforming to tty_ldisc_ops::receive_buf() (Andrew Halaney) [RHEL-24205]
- tty: use u8 for flags (Andrew Halaney) [RHEL-24205]
- tty: use u8 for chars (Andrew Halaney) [RHEL-24205]
- tty: make tty_ldisc_ops::*buf*() hooks operate on size_t (Andrew Halaney) [RHEL-24205]
- tty: can327, move overflow test inside can327_ldisc_rx()'s loop (Andrew Halaney) [RHEL-24205]
- tty: can327: unify error paths in can327_ldisc_rx() (Andrew Halaney) [RHEL-24205]
- tty: switch count in tty_ldisc_receive_buf() to size_t (Andrew Halaney) [RHEL-24205]
- tty: switch receive_buf() counts to size_t (Andrew Halaney) [RHEL-24205]
- tty: make counts in tty_port_client_operations hooks size_t (Andrew Halaney) [RHEL-24205]
- tty: make tty_port_client_operations operate with u8 (Andrew Halaney) [RHEL-24205]
- tty: make tty_change_softcar() more understandable (Andrew Halaney) [RHEL-24205]
- tty: drop tty_debug_wait_until_sent() (Andrew Halaney) [RHEL-24205]
- tty: tty_port: rename 'disc' to 'ld' (Andrew Halaney) [RHEL-24205]
- tty: change tty_write_lock()'s ndelay parameter to bool (Andrew Halaney) [RHEL-24205]
- tty: n_null: remove optional ldops (Andrew Halaney) [RHEL-24205]
- tty: remove dummy tty_ldisc_ops::poll() implementations (Andrew Halaney) [RHEL-24205]
- tty: ldisc: document that ldops are optional (Andrew Halaney) [RHEL-24205]
- tty: serial: qcom-geni-serial: Poll primary sequencer irq status after cancel_tx (Andrew Halaney) [RHEL-24205]
- serial: sifive: Remove redundant of_match_ptr() (Andrew Halaney) [RHEL-24205]
- serial: core: Revert port_id use (Andrew Halaney) [RHEL-24205]
- TIOCSTI: Document CAP_SYS_ADMIN behaviour in Kconfig (Andrew Halaney) [RHEL-24205]
- dmaengine: pl330: Return DMA_PAUSED when transaction is paused (Andrew Halaney) [RHEL-24205]
- serial: 8250: Fix oops for port->pm on uart_change_pm() (Andrew Halaney) [RHEL-24205]
- serial: 8250: Reinit port_id when adding back serial8250_isa_devs (Andrew Halaney) [RHEL-24205]
- serial: core: Fix kmemleak issue for serial core device remove (Andrew Halaney) [RHEL-24205]
- 8250_men_mcb: remove unnecessary cast when reading register (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Remove linux/fs_uart_pd.h (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Don't include fs_uart_pd.h when not needed (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Remove stale prototype in powerpc/fsl_soc.c (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Remove cpm_uart/ subdirectory (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Refactor cpm_uart_[un]map_pram() (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Refactor cpm_uart_allocbuf()/cpm_uart_freebuf() (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Deduplicate cpm_line_cr_cmd() (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Deduplicate cpm_set_{brg/smc_fcr/scc_fcr}() (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Use get_baudrate() instead of uart_baudrate() (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Stop using fs_uart_id enum (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Remove stale prototypes and table and macros (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Avoid suspicious locking (Andrew Halaney) [RHEL-24205]
- 8250_men_mcb: Remove redundant initialization owner in mcb_driver (Andrew Halaney) [RHEL-24205]
- 8250_men_mcb: Fix unsigned expression compared with zero (Andrew Halaney) [RHEL-24205]
- serial: max310x: fix typos in comments (Andrew Halaney) [RHEL-24205]
- serial: max310x: add comments for membase address workaround (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: require CAP_NET_ADMIN to attach N_GSM0710 ldisc (Andrew Halaney) [RHEL-24205]
- 8250_men_mcb: Fix unsigned comparison with less than zero (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: Set missing PM ops for hibernation support (Andrew Halaney) [RHEL-24205]
- tty: serial: xilinx_uartps: Do not check for 0 return after calling platform_get_irq() (Andrew Halaney) [RHEL-24205]
- tty: synclink_gt: Fix potential deadlock on &info->lock (Andrew Halaney) [RHEL-24205]
- tty: vt: Remove some repetitive initialization (Andrew Halaney) [RHEL-24205]
- tty: serial: Remove redundant initialization for ma35d1serial_driver (Andrew Halaney) [RHEL-24205]
- serial: core: Fix serial_base_match() after fixing controller port name (Andrew Halaney) [RHEL-24205]
- serial: core: Fix serial core controller port name to show controller id (Andrew Halaney) [RHEL-24205]
- serial: core: Fix serial core port id to not use port->line (Andrew Halaney) [RHEL-24205]
- serial: core: Controller id cannot be negative (Andrew Halaney) [RHEL-24205]
- tty: synclink_gt: make default_params const (Andrew Halaney) [RHEL-24205]
- tty: synclink_gt: use PCI_VDEVICE (Andrew Halaney) [RHEL-24205]
- tty: synclink_gt: drop info messages from init/exit functions (Andrew Halaney) [RHEL-24205]
- tty: synclink_gt: define global strings as const strings (Andrew Halaney) [RHEL-24205]
- tty: synclink_gt: drop global slgt_driver_name array (Andrew Halaney) [RHEL-24205]
- tty: synclink_gt: convert CALC_REGADDR() macro to an inline (Andrew Halaney) [RHEL-24205]
- misc: ti-st: don't check for tty data == NULL (Andrew Halaney) [RHEL-24205]
- misc: ti-st: remove ptr from recv functions (Andrew Halaney) [RHEL-24205]
- misc: ti-st: remove forward declarations and make st_int_recv() static (Andrew Halaney) [RHEL-24205]
- speakup: switch to unsigned iterator in spk_ttyio_receive_buf2() (Andrew Halaney) [RHEL-24205]
- serial: altera_jtaguart: switch status to u32 (Andrew Halaney) [RHEL-24205]
- can: slcan: remove casts from tty->disc_data (Andrew Halaney) [RHEL-24205]
- input: serport: remove casts from tty->disc_data (Andrew Halaney) [RHEL-24205]
- tty: hvsi: remove an extra variable from hvsi_write() (Andrew Halaney) [RHEL-24205]
- Bluetooth: rfcomm: remove casts from tty->driver_data (Andrew Halaney) [RHEL-24205]
- serial: move WARN_ON() in uart_write() to the condition (Andrew Halaney) [RHEL-24205]
- parport_pc: add support for ASIX AX99100 (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci: add support for ASIX AX99100 (Andrew Halaney) [RHEL-24205]
- can: ems_pci: move ASIX AX99100 ids to pci_ids.h (Andrew Halaney) [RHEL-24205]
- can: ems_pci: remove PCI_SUBVENDOR_ID_ASIX definition (Andrew Halaney) [RHEL-24205]
- serial: sprd: Fix DMA buffer leak issue (Andrew Halaney) [RHEL-24205]
- serial: sprd: Assign sprd_port after initialized to avoid wrong access (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: Put IOControl register into regmap_volatile (Andrew Halaney) [RHEL-24205]
- drivers:tty: fix return value check in asc_init_port (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: refactor objects definition for different devnames (Andrew Halaney) [RHEL-24205]
- 8250_men_mcb: fix error handling in read_uarts_available_from_reg() (Andrew Halaney) [RHEL-24205]
- serial: 8250_dw: Preserve original value of DLF register (Andrew Halaney) [RHEL-24205]
- tty: serial: sh-sci: Fix sleeping in atomic context (Andrew Halaney) [RHEL-24205]
- serial: sifive: Fix sifive_serial_console_setup() section (Andrew Halaney) [RHEL-24205]
- serial: st-asc: Use devm_platform_get_and_ioremap_resource() (Andrew Halaney) [RHEL-24205]
- serial: imx: Use devm_platform_get_and_ioremap_resource() (Andrew Halaney) [RHEL-24205]
- serial: sifive: Use devm_platform_get_and_ioremap_resource() (Andrew Halaney) [RHEL-24205]
- serial: mvebu-uart: Use devm_platform_get_and_ioremap_resource() (Andrew Halaney) [RHEL-24205]
- serial: sccnxp: Use devm_platform_get_and_ioremap_resource() (Andrew Halaney) [RHEL-24205]
- serial: sprd: Use devm_platform_get_and_ioremap_resource() (Andrew Halaney) [RHEL-24205]
- serial: mps2-uart: Use devm_platform_get_and_ioremap_resource() (Andrew Halaney) [RHEL-24205]
- serial: vt8500: Use devm_platform_get_and_ioremap_resource() (Andrew Halaney) [RHEL-24205]
- serial: omap: Use devm_platform_get_and_ioremap_resource() (Andrew Halaney) [RHEL-24205]
- serial: tegra: Use devm_platform_get_and_ioremap_resource() (Andrew Halaney) [RHEL-24205]
- serial: linflexuart: Use devm_platform_get_and_ioremap_resource() (Andrew Halaney) [RHEL-24205]
- serial: clps711x: Use devm_platform_get_and_ioremap_resource() (Andrew Halaney) [RHEL-24205]
- serial: bcm63xx-uart: Use devm_platform_get_and_ioremap_resource() (Andrew Halaney) [RHEL-24205]
- serial: ar933x: Use devm_platform_get_and_ioremap_resource() (Andrew Halaney) [RHEL-24205]
- serial: qcom-geni: clean up clock-rate debug printk (Andrew Halaney) [RHEL-24205]
- serial: qcom-geni: fix opp vote on shutdown (Andrew Halaney) [RHEL-24205]
- tty: serial: 8250: Define earlycon for mrvl,mmp-uart (Andrew Halaney) [RHEL-24205]
- arm64: dts: meson: a1: change uart compatible string (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: add independent uart_data for A1 SoC family (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: introduce separate uart_data for S4 SoC family (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: apply ttyS devname instead of ttyAML for new SoCs (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: redesign the module to platform_driver (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: use dev_err_probe (Andrew Halaney) [RHEL-24205]
- 8250_men_mcb: Make UART config auto configurable (Andrew Halaney) [RHEL-24205]
- 8250_men_mcb: Read num ports from register data. (Andrew Halaney) [RHEL-24205]
- 8250_men_mcb: Add clockrate speed for G215/F215 boards (Andrew Halaney) [RHEL-24205]
- serial: tegra: Don't print error on probe deferral (Andrew Halaney) [RHEL-24205]
- tty: Explicitly include correct DT includes (Andrew Halaney) [RHEL-24205]
- serial: qcom-geni: use icc tag defines (Andrew Halaney) [RHEL-24205]
- Documentation: devices.txt: reconcile serial/ucc_uart minor numers (Andrew Halaney) [RHEL-24205]
- serial: drivers: switch ch and flag to u8 (Andrew Halaney) [RHEL-24205]
- serial: omap-serial: remove flag from serial_omap_rdi() (Andrew Halaney) [RHEL-24205]
- serial: arc_uart: simplify flags handling in arc_serial_rx_chars() (Andrew Halaney) [RHEL-24205]
- serial: pass state to __uart_start() directly (Andrew Halaney) [RHEL-24205]
- serial: make uart_insert_char() accept u8s (Andrew Halaney) [RHEL-24205]
- serial: convert uart sysrq handling to u8 (Andrew Halaney) [RHEL-24205]
- tty: sysrq: use switch in sysrq_key_table_key2index() (Andrew Halaney) [RHEL-24205]
- tty: sysrq: switch the rest of keys to u8 (Andrew Halaney) [RHEL-24205]
- tty: sysrq: rename and re-type i in sysrq_handle_loglevel() (Andrew Halaney) [RHEL-24205]
- n_tty: make many tty parameters const (Andrew Halaney) [RHEL-24205]
- n_tty: pass ldata to canon_skip_eof() directly (Andrew Halaney) [RHEL-24205]
- n_tty: simplify and sanitize zero_buffer() (Andrew Halaney) [RHEL-24205]
- n_tty: drop fp from n_tty_receive_buf_real_raw() (Andrew Halaney) [RHEL-24205]
- tty: make check_tty_count() void (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: fix UAF in gsm_cleanup_mux (Andrew Halaney) [RHEL-24205]
- serial: qcom-geni: drop bogus runtime pm state update (Andrew Halaney) [RHEL-24205]
- PM: sleep: wakeirq: drop unused enable helpers (Andrew Halaney) [RHEL-24205]
- PM: sleep: wakeirq: fix wake irq arming (Andrew Halaney) [RHEL-24205]
- TIOCSTI: always enable for CAP_SYS_ADMIN (Andrew Halaney) [RHEL-24205]
- tty_audit: make data of tty_audit_log() const (Andrew Halaney) [RHEL-24205]
- tty_audit: make tty pointers in exposed functions const (Andrew Halaney) [RHEL-24205]
- tty_audit: make icanon a bool (Andrew Halaney) [RHEL-24205]
- tty_audit: invert the condition in tty_audit_log() (Andrew Halaney) [RHEL-24205]
- tty_audit: use kzalloc() in tty_audit_buf_alloc() (Andrew Halaney) [RHEL-24205]
- tty_audit: use TASK_COMM_LEN for task comm (Andrew Halaney) [RHEL-24205]
- Revert "8250: add support for ASIX devices with a FIFO bug" (Andrew Halaney) [RHEL-24205]
- serial: atmel: don't enable IRQs prematurely (Andrew Halaney) [RHEL-24205]
- tty: serial: Add Nuvoton ma35d1 serial driver support (Andrew Halaney) [RHEL-24205]
- tty: serial: imx: fix rs485 rx after tx (Andrew Halaney) [RHEL-24205]
- tty: fix hang on tty device with no_room set (Andrew Halaney) [RHEL-24205]
- serial: core: fix -EPROBE_DEFER handling in init (Andrew Halaney) [RHEL-24205]
- serial: 8250_omap: Use force_suspend and resume for system suspend (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung_tty: Use abs() to simplify some code (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung_tty: Fix a memory leak in s3c24xx_serial_getclk() when iterating clk (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung_tty: Fix a memory leak in s3c24xx_serial_getclk() in case of error (Andrew Halaney) [RHEL-24205]
- serial: 8250: Apply FSL workarounds also without SERIAL_8250_CONSOLE (Andrew Halaney) [RHEL-24205]
- serial: core: don't kfree device managed data (Andrew Halaney) [RHEL-24205]
- serial: lantiq: add missing interrupt ack (Andrew Halaney) [RHEL-24205]
- serial: 8250_mtk: Simplify clock sequencing and runtime PM (Andrew Halaney) [RHEL-24205]
- serial: st-asc: fix typo in property name (Andrew Halaney) [RHEL-24205]
- serial: core: Fix error handling for serial_core_ctrl_device_add() (Andrew Halaney) [RHEL-24205]
- serial: core: Fix probing serial_base_bus devices (Andrew Halaney) [RHEL-24205]
- serial: core: Don't drop port_mutex in serial_core_remove_one_port (Andrew Halaney) [RHEL-24205]
- serial: core: Start managing serial controllers to enable runtime PM (Andrew Halaney) [RHEL-24205]
- serial: 8250-fsl: Expand description of the MPC83xx UART's misbehaviour (Andrew Halaney) [RHEL-24205]
- serial: 8250: omap: convert to modern PM ops (Andrew Halaney) [RHEL-24205]
- serial: 8250: omap: Move uart_write() inside PM section (Andrew Halaney) [RHEL-24205]
- serial: qcom_geni: Comment use of devm_krealloc rather than devm_krealloc_array (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Fix a COMPILE_TEST dependency (Andrew Halaney) [RHEL-24205]
- serial: 8250_tegra: Fix an error handling path in tegra_uart_probe() (Andrew Halaney) [RHEL-24205]
- serial: Indicate fintek option may also be required for RS232 support (Andrew Halaney) [RHEL-24205]
- serial: 8250: synchronize and annotate UART_IER access (Andrew Halaney) [RHEL-24205]
- serial: 8250: lock port for UART_IER access in omap8250_irq() (Andrew Halaney) [RHEL-24205]
- serial: 8250: lock port for omap8250_restore_regs() (Andrew Halaney) [RHEL-24205]
- serial: 8250: lock port for rx_dma() callback (Andrew Halaney) [RHEL-24205]
- serial: core: lock port for start_rx() in uart_resume_port() (Andrew Halaney) [RHEL-24205]
- serial: 8250: lock port for stop_rx() in omap8250_irq() (Andrew Halaney) [RHEL-24205]
- serial: core: lock port for stop_rx() in uart_suspend_port() (Andrew Halaney) [RHEL-24205]
- serial: 8250: lock port in startup() callbacks (Andrew Halaney) [RHEL-24205]
- vc_screen: reload load of struct vc_data pointer in vcs_write() to avoid UAF (Andrew Halaney) [RHEL-24205]
- serial: qcom-geni: fix enabling deactivated interrupt (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm7271: fix leak in `brcmuart_probe` (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm7271: balance clk_enable calls (Andrew Halaney) [RHEL-24205]
- serial: arc_uart: fix of_iomap leak in `arc_serial_probe` (Andrew Halaney) [RHEL-24205]
- serial: 8250: Document termios parameter of serial8250_em485_config() (Andrew Halaney) [RHEL-24205]
- serial: Add support for Advantech PCI-1611U card (Andrew Halaney) [RHEL-24205]
- serial: 8250_exar: Add support for USR298x PCI Modems (Andrew Halaney) [RHEL-24205]
- serial: Make uart_remove_one_port() return void (Andrew Halaney) [RHEL-24205]
- serial: stm32: Ignore return value of uart_remove_one_port() in .remove() (Andrew Halaney) [RHEL-24205]
- serial: 8250_rt288x: Remove unnecessary UART_REG_UNMAPPED (Andrew Halaney) [RHEL-24205]
- serial: 8250_rt288x: Name non-standard divisor latch reg (Andrew Halaney) [RHEL-24205]
- serial: 8250: RT288x/Au1xxx code away from core (Andrew Halaney) [RHEL-24205]
- serial: 8250: Add dl_read/write, bugs and mapsize into plat_serial8250_port (Andrew Halaney) [RHEL-24205]
- serial: 8250: Document uart_8250_port's ->dl_read/write() (Andrew Halaney) [RHEL-24205]
- serial: 8250: Change dl_read/write to handle value as u32 (Andrew Halaney) [RHEL-24205]
- serial: 8250: Allow using ports higher than SERIAL_8250_RUNTIME_UARTS (Andrew Halaney) [RHEL-24205]
- serial: 8250: omap: Shut down on remove for console uart (Andrew Halaney) [RHEL-24205]
- serial: 8250: omap: Fix life cycle issues for interrupt handlers (Andrew Halaney) [RHEL-24205]
- serial: 8250: omap: Fix imprecise external abort for omap_8250_pm() (Andrew Halaney) [RHEL-24205]
- serial: 8250: omap: Fix freeing of resources on failed register (Andrew Halaney) [RHEL-24205]
- serial: pl011: set UART011_CR_RXE in pl011_set_termios after port shutdown (Andrew Halaney) [RHEL-24205]
- tty: serial: fsl_lpuart: optimize the timer based EOP logic (Andrew Halaney) [RHEL-24205]
- serdev: Add method to assert break signal over tty UART port (Andrew Halaney) [RHEL-24205]
- serdev: Replace all instances of ENOTSUPP with EOPNOTSUPP (Andrew Halaney) [RHEL-24205]
- n_gsm: Use array_index_nospec() with index that comes from userspace (Andrew Halaney) [RHEL-24205]
- tty: vt: drop checks for undefined VT_SINGLE_DRIVER (Andrew Halaney) [RHEL-24205]
- tty: vt: distribute EXPORT_SYMBOL() (Andrew Halaney) [RHEL-24205]
- tty: vt: simplify some cases in tioclinux() (Andrew Halaney) [RHEL-24205]
- tty: vt: reformat tioclinux() (Andrew Halaney) [RHEL-24205]
- tty: serial: sh-sci: Fix end of transmission on SCI (Andrew Halaney) [RHEL-24205]
- tty: serial: sh-sci: Add support for tx end interrupt handling (Andrew Halaney) [RHEL-24205]
- tty: serial: sh-sci: Fix TE setting on SCI IP (Andrew Halaney) [RHEL-24205]
- tty: serial: sh-sci: Add RZ/G2L SCIFA DMA rx support (Andrew Halaney) [RHEL-24205]
- tty: serial: sh-sci: Add RZ/G2L SCIFA DMA tx support (Andrew Halaney) [RHEL-24205]
- serial: max310x: fix IO data corruption in batched operations (Andrew Halaney) [RHEL-24205]
- serial: core: Disable uart_start() on uart_remove_one_port() (Andrew Halaney) [RHEL-24205]
- serial: 8250: Reinit port->pm on port specific driver unbind (Andrew Halaney) [RHEL-24205]
- serial: 8250: Add missing wakeup event reporting (Andrew Halaney) [RHEL-24205]
- serial: fix TIOCSRS485 locking (Andrew Halaney) [RHEL-24205]
- serial: make SiFive serial drivers depend on ARCH_ symbols (Andrew Halaney) [RHEL-24205]
- tty: synclink_gt: don't allocate and pass dummy flags (Andrew Halaney) [RHEL-24205]
- tty: serial: simplify qcom_geni_serial_send_chunk_fifo() (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: fix redundant assignment of gsm->encoding (Andrew Halaney) [RHEL-24205]
- ACPI: Replace irqdomain.h include with struct declarations (Andrew Halaney) [RHEL-24205]
- tpm: atmel: Add explicit include for of.h (Andrew Halaney) [RHEL-24205]
- pata: ixp4xx: Add explicit include for of.h (Andrew Halaney) [RHEL-24205]
- ata: pata_macio: Add explicit include of irqdomain.h (Andrew Halaney) [RHEL-24205]
- serial: 8250_tegra: Add explicit include for of.h (Andrew Halaney) [RHEL-24205]
- staging: iio: resolver: ad2s1210: Add explicit include for of.h (Andrew Halaney) [RHEL-24205]
- iio: adc: ad7292: Add explicit include for of.h (Andrew Halaney) [RHEL-24205]
- tty: Fix typo in LEGACY_TIOCSTI Kconfig description (Andrew Halaney) [RHEL-24205]
- tty: serial: sh-sci: Fix Rx on RZ/G2L SCI (Andrew Halaney) [RHEL-24205]
- serial: 8250: Prevent starting up DMA Rx on THRI interrupt (Andrew Halaney) [RHEL-24205]
- tty: serial: sh-sci: Fix transmit end interrupt handler (Andrew Halaney) [RHEL-24205]
- serial: 8250: Fix serial8250_tx_empty() race with DMA Tx (Andrew Halaney) [RHEL-24205]
- tty: Prevent writing chars during tcsetattr TCSADRAIN/FLUSH (Andrew Halaney) [RHEL-24205]
- serial: sb1250-duart: clean up after SIBYTE_BCM1x55 removal (Andrew Halaney) [RHEL-24205]
- serial: bcm63xx-uart: add polling support (Andrew Halaney) [RHEL-24205]
- tty: serial: sh-sci: Remove setting {src,dst}_{addr,addr_width} based on DMA direction (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Use uart_circ_empty() (Andrew Halaney) [RHEL-24205]
- serial: ucc_uart: Use uart_circ_empty() (Andrew Halaney) [RHEL-24205]
- serial: imx: remove unused imx_uart_is_imx* functions (Andrew Halaney) [RHEL-24205]
- tty: serial: remove obsolete config SERIAL_SAMSUNG_UARTS_4 (Andrew Halaney) [RHEL-24205]
- tty: serial: qcom-geni-serial: Add a poll_init() function (Andrew Halaney) [RHEL-24205]
- serial: uart_poll_init() should power on the UART (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: add ioctl for DLC config via ldisc handle (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: allow window size configuration (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: add ioctl for DLC specific parameter configuration (Andrew Halaney) [RHEL-24205]
- hvc/xen: prevent concurrent accesses to the shared ring (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm7271: Fix arbitration handling (Andrew Halaney) [RHEL-24205]
- tty: Convert hw_stopped in tty_struct to bool (Andrew Halaney) [RHEL-24205]
- n_tty: Reindent if condition (Andrew Halaney) [RHEL-24205]
- n_tty: Cleanup includes (Andrew Halaney) [RHEL-24205]
- n_tty: Use DIV_ROUND_UP() in room calculation (Andrew Halaney) [RHEL-24205]
- n_tty: Sort includes alphabetically (Andrew Halaney) [RHEL-24205]
- tty_ioctl: Use BIT() for internal flags (Andrew Halaney) [RHEL-24205]
- n_tty: Convert no_space_left to space_left boolean (Andrew Halaney) [RHEL-24205]
- serial: Remove uart_wait_until_sent() forward declaration (Andrew Halaney) [RHEL-24205]
- serial: Rename hw_stopped to old_hw_stopped & improve logic (Andrew Halaney) [RHEL-24205]
- serial: Make hw_stopped bool (Andrew Halaney) [RHEL-24205]
- serial: Rename uart_change_speed() to uart_change_line_settings() (Andrew Halaney) [RHEL-24205]
- serial: Move uart_change_speed() earlier (Andrew Halaney) [RHEL-24205]
- serial: Remove extern from func prototypes in headers (Andrew Halaney) [RHEL-24205]
- serial: Use B0 instead of implicit zero assumption (Andrew Halaney) [RHEL-24205]
- serial: Use ARRAY_SIZE() with iso7816 reserved array (Andrew Halaney) [RHEL-24205]
- serial: sprd: Drop of_match_ptr for ID table (Andrew Halaney) [RHEL-24205]
- serial: sh-sci: mark OF related data as maybe unused (Andrew Halaney) [RHEL-24205]
- serial: Use of_property_read_bool() for boolean properties (Andrew Halaney) [RHEL-24205]
- serial: Use of_property_present() for testing DT property presence (Andrew Halaney) [RHEL-24205]
- media: i2c: ov2685: convert to i2c's .probe_new() (Andrew Halaney) [RHEL-24205]
- media: i2c: ov5695: convert to i2c's .probe_new() (Andrew Halaney) [RHEL-24205]
- w1: ds2482: Convert to i2c's .probe_new() (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: Convert to i2c's .probe_new() (Andrew Halaney) [RHEL-24205]
- mtd: maps: pismo: Convert to i2c's .probe_new() (Andrew Halaney) [RHEL-24205]
- misc: ad525x_dpot-i2c: Convert to i2c's .probe_new() (Andrew Halaney) [RHEL-24205]
- tty: vt: protect KD_FONT_OP_GET_TALL from unbound access (Andrew Halaney) [RHEL-24205]
- tty: ipwireless: move Kconfig entry to tty (Andrew Halaney) [RHEL-24205]
- serial: qcom-geni: drop bogus uart_write_wakeup() (Andrew Halaney) [RHEL-24205]
- serial: qcom-geni: fix mapping of empty DMA buffer (Andrew Halaney) [RHEL-24205]
- serial: qcom-geni: fix DMA mapping leak on shutdown (Andrew Halaney) [RHEL-24205]
- serial: qcom-geni: fix console shutdown hang (Andrew Halaney) [RHEL-24205]
- serial: 8250_em: Add serial8250_em_{reg_update(),out_helper()} (Andrew Halaney) [RHEL-24205]
- serial: 8250_em: Use pseudo offset for UART_FCR (Andrew Halaney) [RHEL-24205]
- serial: 8250_em: Use devm_clk_get_enabled() (Andrew Halaney) [RHEL-24205]
- serial: 8250_em: Add missing break statement (Andrew Halaney) [RHEL-24205]
- serial: 8250_em: Drop unused header file (Andrew Halaney) [RHEL-24205]
- serial: 8250_em: Simplify probe() (Andrew Halaney) [RHEL-24205]
- arm64: dts: meson-g12-common: Use the G12A UART compatible string (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: Add a new compatible string for the G12A SoC (Andrew Halaney) [RHEL-24205]
- serial: stm32: Re-assert RTS/DE GPIO in RS485 mode only if more data are transmitted (Andrew Halaney) [RHEL-24205]
- serial: stm32: Remove unused struct stm32_port txdone element (Andrew Halaney) [RHEL-24205]
- tty: simplify sysctl registration (Andrew Halaney) [RHEL-24205]
- serial: imx: Drop a few unneeded casts (Andrew Halaney) [RHEL-24205]
- tty: tty_ldisc: Remove the ret variable (Andrew Halaney) [RHEL-24205]
- serdev: Set fwnode for serdev devices (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci1xxxx: Disable SERIAL_8250_PCI1XXXX config by default (Andrew Halaney) [RHEL-24205]
- serial: 8250_fsl: fix handle_irq locking (Andrew Halaney) [RHEL-24205]
- serial: 8250_em: Fix UART port type (Andrew Halaney) [RHEL-24205]
- serial: 8250: ASPEED_VUART: select REGMAP instead of depending on it (Andrew Halaney) [RHEL-24205]
- Revert "tty: serial: fsl_lpuart: adjust SERIAL_FSL_LPUART_CONSOLE config dependency" (Andrew Halaney) [RHEL-24205]
- vc_screen: modify vcs_size() handling in vcs_read() (Andrew Halaney) [RHEL-24205]
- sysctl: fix proc_dobool() usability (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: add keep alive support (Andrew Halaney) [RHEL-24205]
- serial: imx: remove a redundant check (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: add TIOCMIWAIT support (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: add RING/CD control support (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: mark unusable ioctl structure fields accordingly (Andrew Halaney) [RHEL-24205]
- serial: imx: get rid of registers shadowing (Andrew Halaney) [RHEL-24205]
- serial: imx: refine local variables in rxint() (Andrew Halaney) [RHEL-24205]
- serial: imx: stop using USR2 in FIFO reading loop (Andrew Halaney) [RHEL-24205]
- serial: imx: remove redundant USR2 read from FIFO reading loop (Andrew Halaney) [RHEL-24205]
- serial: imx: do not break from FIFO reading loop prematurely (Andrew Halaney) [RHEL-24205]
- serial: imx: do not sysrq broken chars (Andrew Halaney) [RHEL-24205]
- serial: imx: work-around for hardware RX flood (Andrew Halaney) [RHEL-24205]
- serial: imx: factor-out common code to imx_uart_soft_reset() (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci1xxxx: Add power management functions to quad-uart driver (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci1xxxx: Add RS485 support to quad-uart driver (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci1xxxx: Add driver for quad-uart support (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci: Add serial8250_pci_setup_port definition in 8250_pcilib.c (Andrew Halaney) [RHEL-24205]
- tty: pcn_uart: fix memory leak with using debugfs_lookup() (Andrew Halaney) [RHEL-24205]
- tty: serial: imx: disable Ageing Timer interrupt request irq (Andrew Halaney) [RHEL-24205]
- serial: 8250: Fix mismerge regarding serial_lsr_in() (Andrew Halaney) [RHEL-24205]
- serial: 8250_dma: Fix DMA Rx rearm race (Andrew Halaney) [RHEL-24205]
- serial: 8250_dma: Fix DMA Rx completion race (Andrew Halaney) [RHEL-24205]
- serial: stm32: Merge hard IRQ and threaded IRQ handling into single IRQ handler (Andrew Halaney) [RHEL-24205]
- serial: liteuart: Remove a copy of UART id in private structure (Andrew Halaney) [RHEL-24205]
- serial: liteuart: Don't mix devm_*() with non-devm_*() calls (Andrew Halaney) [RHEL-24205]
- serial: liteuart: Correct error rollback (Andrew Halaney) [RHEL-24205]
- serial: qcom_geni: Fix variable naming (Andrew Halaney) [RHEL-24205]
- serial: exar: Add support for Sealevel 7xxxC serial cards (Andrew Halaney) [RHEL-24205]
- Revert "serial: stm32: Merge hard IRQ and threaded IRQ handling into single IRQ handler" (Andrew Halaney) [RHEL-24205]
- VT: Bump font size limitation to 64x128 pixels (Andrew Halaney) [RHEL-24205]
- VT: Add KD_FONT_OP_SET/GET_TALL operations (Andrew Halaney) [RHEL-24205]
- VT: Add height parameter to con_font_get/set consw operations (Andrew Halaney) [RHEL-24205]
- serial: atmel: fix incorrect baudrate setup (Andrew Halaney) [RHEL-24205]
- tty: serial: 8250: add DFL bus driver for Altera 16550. (Andrew Halaney) [RHEL-24205]
- tty: moxa: Rename dtr/rts parameters/variables to active (Andrew Halaney) [RHEL-24205]
- tty: Call ->dtr_rts() parameter active consistently (Andrew Halaney) [RHEL-24205]
- tty: Return bool from tty_termios_hw_change() (Andrew Halaney) [RHEL-24205]
- serial: Make uart_handle_cts_change() status param bool active (Andrew Halaney) [RHEL-24205]
- tty/serial: Make ->dcd_change()+uart_handle_dcd_change() status bool active (Andrew Halaney) [RHEL-24205]
- tty: Convert ->dtr_rts() to take bool argument (Andrew Halaney) [RHEL-24205]
- tty: Convert ->carrier_raised() and callchains to bool (Andrew Halaney) [RHEL-24205]
- serial: Convert uart_{,port_}startup() init_hw param to bool (Andrew Halaney) [RHEL-24205]
- tty: moxa: Make local var storing tty_port_initialized() bool (Andrew Halaney) [RHEL-24205]
- tty: Cleanup tty_port_set_active() bool parameter (Andrew Halaney) [RHEL-24205]
- tty: Cleamup tty_port_set_suspended() bool parameter (Andrew Halaney) [RHEL-24205]
- tty: Cleanup tty_port_set_initialized() bool parameter (Andrew Halaney) [RHEL-24205]
- serial: pic32: Add checks for devm_clk_get() in pic32_uart_probe() (Andrew Halaney) [RHEL-24205]
- serial: stm32: Add support for rs485 RX_DURING_TX output GPIO (Andrew Halaney) [RHEL-24205]
- serial: imx: Add support for RS485 RX_DURING_TX output GPIO (Andrew Halaney) [RHEL-24205]
- serial: core: Add option to output RS485 RX_DURING_TX state via GPIO (Andrew Halaney) [RHEL-24205]
- dt-bindings: serial: rs485: Add GPIO controlling RX enable during TX (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: setup GPIO controller later in probe (Andrew Halaney) [RHEL-24205]
- serial: msm: add lock annotation to msm_set_baud_rate() (Andrew Halaney) [RHEL-24205]
- serial: sccnxp: Use devm_clk_get_enabled() helper (Andrew Halaney) [RHEL-24205]
- serial: ucc_uart: Add of_node_put() in ucc_uart_remove() (Andrew Halaney) [RHEL-24205]
- tty: serial: qcom-geni-serial: add support for serial engine DMA (Andrew Halaney) [RHEL-24205]
- soc: qcom-geni-se: add more symbol definitions (Andrew Halaney) [RHEL-24205]
- tty: serial: qcom-geni-serial: use of_device_id data (Andrew Halaney) [RHEL-24205]
- tty: serial: qcom-geni-serial: drop the return value from handle_rx (Andrew Halaney) [RHEL-24205]
- tty: serial: qcom-geni-serial: refactor qcom_geni_serial_send_chunk_fifo() (Andrew Halaney) [RHEL-24205]
- tty: serial: qcom-geni-serial: split out the FIFO tx code (Andrew Halaney) [RHEL-24205]
- tty: serial: qcom-geni-serial: remove unneeded tabs (Andrew Halaney) [RHEL-24205]
- tty: serial: qcom-geni-serial: refactor qcom_geni_serial_isr() (Andrew Halaney) [RHEL-24205]
- tty: serial: qcom-geni-serial: remove stray newlines (Andrew Halaney) [RHEL-24205]
- tty: serial: qcom-geni-serial: improve the to_dev_port() macro (Andrew Halaney) [RHEL-24205]
- tty: serial: qcom-geni-serial: align #define values (Andrew Halaney) [RHEL-24205]
- tty: serial: qcom-geni-serial: remove unused symbols (Andrew Halaney) [RHEL-24205]
- tty: serial: qcom-geni-serial: drop unneeded forward definitions (Andrew Halaney) [RHEL-24205]
- tty: serial: qcom-geni-serial: stop operations in progress at shutdown (Andrew Halaney) [RHEL-24205]
- tty: vt: cache row count in con_scroll() (Andrew Halaney) [RHEL-24205]
- tty: vt: saner names for more scroll variables (Andrew Halaney) [RHEL-24205]
- tty: vt: separate array juggling to juggle_array() (Andrew Halaney) [RHEL-24205]
- tty: vt: simplify some unicode conditions (Andrew Halaney) [RHEL-24205]
- tty: vt: replace BUG_ON() by WARN_ON_ONCE() (Andrew Halaney) [RHEL-24205]
- tty: vt: remove struct uni_screen (Andrew Halaney) [RHEL-24205]
- tty: vt: remove char32_t typedef (Andrew Halaney) [RHEL-24205]
- tty: vt: use sizeof(*variable) where possible (Andrew Halaney) [RHEL-24205]
- tty: vt: remove reference to undefined NO_VC_UNI_SCREEN (Andrew Halaney) [RHEL-24205]
- tty: vt: drop get_vc_uniscr() (Andrew Halaney) [RHEL-24205]
- tty: vt: remove vc_uniscr_debug_check() (Andrew Halaney) [RHEL-24205]
- tty: serial: imx: disable the break condition when shutdown the uart port (Andrew Halaney) [RHEL-24205]
- tty/vt: prevent registration of console with invalid number (Andrew Halaney) [RHEL-24205]
- tty: fix out-of-bounds access in tty_driver_lookup_tty() (Andrew Halaney) [RHEL-24205]
- serial: 8250_early: Convert literals to use defines (Andrew Halaney) [RHEL-24205]
- serial: 8250: Define IIR 64 byte bit & cleanup related code (Andrew Halaney) [RHEL-24205]
- serial: 8250: Add IIR FIFOs enabled field properly (Andrew Halaney) [RHEL-24205]
- serial: 8250: Cleanup MCR literals (Andrew Halaney) [RHEL-24205]
- serial: 8250: Name MSR literals (Andrew Halaney) [RHEL-24205]
- serial: 8250: Use defined IER bits (Andrew Halaney) [RHEL-24205]
- serial: liteuart: drop obsolete dependency on COMPILE_TEST (Andrew Halaney) [RHEL-24205]
- serial: liteuart: move polling putchar() function (Andrew Halaney) [RHEL-24205]
- serial: liteuart: add IRQ support for the TX path (Andrew Halaney) [RHEL-24205]
- serial: liteuart: add IRQ support for the RX path (Andrew Halaney) [RHEL-24205]
- serial: liteuart: move function definitions (Andrew Halaney) [RHEL-24205]
- serial: liteuart: separate rx loop from poll timer (Andrew Halaney) [RHEL-24205]
- serial: liteuart: clean up rx loop variables (Andrew Halaney) [RHEL-24205]
- serial: liteuart: simplify passing of uart_insert_char() flag (Andrew Halaney) [RHEL-24205]
- serial: liteuart: rx loop should only ack rx events (Andrew Halaney) [RHEL-24205]
- serial: liteuart: move tty_flip_buffer_push() out of rx loop (Andrew Halaney) [RHEL-24205]
- serial: liteuart: minor style fix in liteuart_init() (Andrew Halaney) [RHEL-24205]
- serial: liteuart: don't set unused port fields (Andrew Halaney) [RHEL-24205]
- serial: liteuart: remove unused uart_ops stubs (Andrew Halaney) [RHEL-24205]
- serial: liteuart: use bit number macros (Andrew Halaney) [RHEL-24205]
- serial: liteuart: use KBUILD_MODNAME as driver name (Andrew Halaney) [RHEL-24205]
- serial: Rename earlycon semihost driver (Andrew Halaney) [RHEL-24205]
- riscv: Implement semihost.h for earlycon semihost driver (Andrew Halaney) [RHEL-24205]
- serial: earlycon-arm-semihost: Move smh_putc() variants in respective arch's semihost.h (Andrew Halaney) [RHEL-24205]
- earlycon: Increase options size (Andrew Halaney) [RHEL-24205]
- earlycon: Let users set the clock frequency (Andrew Halaney) [RHEL-24205]
- serial: stm32: Merge hard IRQ and threaded IRQ handling into single IRQ handler (Andrew Halaney) [RHEL-24205]
- serial: amba-pl011: fix high priority character transmission in rs486 mode (Andrew Halaney) [RHEL-24205]
- serial: pch_uart: Pass correct sg to dma_unmap_sg() (Andrew Halaney) [RHEL-24205]
- tty: serial: qcom-geni-serial: fix slab-out-of-bounds on RX FIFO buffer (Andrew Halaney) [RHEL-24205]
- serial: arc_uart: Drop empty platform remove function (Andrew Halaney) [RHEL-24205]
- tty: serial: kgdboc: fix mutex locking order for configure_kgdboc() (Andrew Halaney) [RHEL-24205]
- hvc/xen: lock console list traversal (Andrew Halaney) [RHEL-24205]
- tty: serial: sh-sci: use setup() callback for early console (Andrew Halaney) [RHEL-24205]
- printk: relieve console_lock of list synchronization duties (Andrew Halaney) [RHEL-24205]
- tty: serial: kgdboc: use console_list_lock to trap exit (Andrew Halaney) [RHEL-24205]
- tty: serial: kgdboc: synchronize tty_find_polling_driver() and register_console() (Andrew Halaney) [RHEL-24205]
- tty: serial: kgdboc: use console_list_lock for list traversal (Andrew Halaney) [RHEL-24205]
- tty: serial: kgdboc: use srcu console list iterator (Andrew Halaney) [RHEL-24205]
- proc: consoles: use console_list_lock for list iteration (Andrew Halaney) [RHEL-24205]
- tty: tty_io: use console_list_lock for list synchronization (Andrew Halaney) [RHEL-24205]
- printk, xen: fbfront: create/use safe function for forcing preferred (Andrew Halaney) [RHEL-24205]
- netconsole: avoid CON_ENABLED misuse to track registration (Andrew Halaney) [RHEL-24205]
- usb: early: xhci-dbc: use console_is_registered() (Andrew Halaney) [RHEL-24205]
- tty: serial: xilinx_uartps: use console_is_registered() (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung_tty: use console_is_registered() (Andrew Halaney) [RHEL-24205]
- tty: serial: pic32_uart: use console_is_registered() (Andrew Halaney) [RHEL-24205]
- tty: serial: earlycon: use console_is_registered() (Andrew Halaney) [RHEL-24205]
- tty: hvc: use console_is_registered() (Andrew Halaney) [RHEL-24205]
- efi: earlycon: use console_is_registered() (Andrew Halaney) [RHEL-24205]
- tty: nfcon: use console_is_registered() (Andrew Halaney) [RHEL-24205]
- serial_core: replace uart_console_enabled() with uart_console_registered() (Andrew Halaney) [RHEL-24205]
- console: introduce console_is_registered() (Andrew Halaney) [RHEL-24205]
- printk: console_device: use srcu console list iterator (Andrew Halaney) [RHEL-24205]
- printk: console_flush_on_panic: use srcu console list iterator (Andrew Halaney) [RHEL-24205]
- printk: console_unblank: use srcu console list iterator (Andrew Halaney) [RHEL-24205]
- printk: console_is_usable: use console_srcu_read_flags (Andrew Halaney) [RHEL-24205]
- printk: __pr_flush: use srcu console list iterator (Andrew Halaney) [RHEL-24205]
- printk: console_flush_all: use srcu console list iterator (Andrew Halaney) [RHEL-24205]
- kdb: use srcu console list iterator (Andrew Halaney) [RHEL-24205]
- um: kmsg_dumper: use srcu console list iterator (Andrew Halaney) [RHEL-24205]
- console: introduce wrappers to read/write console flags (Andrew Halaney) [RHEL-24205]
- proc: consoles: document console_lock usage (Andrew Halaney) [RHEL-24205]
- tty: tty_io: document console_lock usage (Andrew Halaney) [RHEL-24205]
- tty: serial: kgdboc: document console_lock usage (Andrew Halaney) [RHEL-24205]
- um: kmsg_dump: only dump when no output console available (Andrew Halaney) [RHEL-24205]
- serial: kgdboc: Lock console list in probe function (Andrew Halaney) [RHEL-24205]
- serial: atmel: don't stop the transmitter when doing PIO (Andrew Halaney) [RHEL-24205]
- serial: atmel: cleanup atmel_start+stop_tx() (Andrew Halaney) [RHEL-24205]
- serial: sunsab: Fix error handling in sunsab_init() (Andrew Halaney) [RHEL-24205]
- serial: altera_uart: fix locking in polling mode (Andrew Halaney) [RHEL-24205]
- serial: pch: Fix PCI device refcount leak in pch_request_dma() (Andrew Halaney) [RHEL-24205]
- tty: synclink_gt: unwind actions in error path of net device open (Andrew Halaney) [RHEL-24205]
- serial: stm32: move dma_request_chan() before clk_prepare_enable() (Andrew Halaney) [RHEL-24205]
- serial: pl011: Do not clear RX FIFO & RX interrupt in unthrottle. (Andrew Halaney) [RHEL-24205]
- serial: amba-pl011: avoid SBSA UART accessing DMACR register (Andrew Halaney) [RHEL-24205]
- tty: serial: altera_jtaguart: remove struct altera_jtaguart (Andrew Halaney) [RHEL-24205]
- tty: serial: altera_jtaguart: use uart_port::read_status_mask (Andrew Halaney) [RHEL-24205]
- tty: serial: altera_jtaguart: remove unused altera_jtaguart::sigs (Andrew Halaney) [RHEL-24205]
- tty: serial: altera_jtaguart: remove flag from altera_jtaguart_rx_chars() (Andrew Halaney) [RHEL-24205]
- n_tty: Rename tail to old_tail in n_tty_read() (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: Delete unneeded semicolon (Andrew Halaney) [RHEL-24205]
- serial: 8250: Flush DMA Rx on RLSI (Andrew Halaney) [RHEL-24205]
- serial: 8250_lpss: Use 16B DMA burst with Elkhart Lake (Andrew Halaney) [RHEL-24205]
- serial: 8250_lpss: Configure DMA also w/o DMA filter (Andrew Halaney) [RHEL-24205]
- serial: 8250: Fall back to non-DMA Rx if IIR_RDI occurs (Andrew Halaney) [RHEL-24205]
- serial: 8250: 8250_omap: Fix calculation of RS485 delays (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm7271: Fix error handling in brcmuart_init() (Andrew Halaney) [RHEL-24205]
- serial: 8250_dma: Rearm DMA Rx if more data is pending (Andrew Halaney) [RHEL-24205]
- serial: Fix a typo ("ignorning") (Andrew Halaney) [RHEL-24205]
- tty: Convert tty_buffer flags to bool (Andrew Halaney) [RHEL-24205]
- tty: Move TIOCSTI toggle variable before kerndoc (Andrew Halaney) [RHEL-24205]
- serial: imx: Add missing .thaw_noirq hook (Andrew Halaney) [RHEL-24205]
- Revert "tty: n_gsm: replace kicktimer with delayed_work" (Andrew Halaney) [RHEL-24205]
- Revert "tty: n_gsm: avoid call of sleeping functions from atomic context" (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: fix sleep-in-atomic-context bug in gsm_control_send (Andrew Halaney) [RHEL-24205]
- tty: Cleanup tty buffer align mask (Andrew Halaney) [RHEL-24205]
- tty: hvc: make hvc_rtas_dev static (Andrew Halaney) [RHEL-24205]
- serial: 8250_core: Use str_enabled_disabled() helper (Andrew Halaney) [RHEL-24205]
- tty: serial: imx: Handle RS485 DE signal active high (Andrew Halaney) [RHEL-24205]
- serial: 8250: omap: Flush PM QOS work on remove (Andrew Halaney) [RHEL-24205]
- printk: introduce console_list_lock (Andrew Halaney) [RHEL-24205]
- printk: fix setting first seq for consoles (Andrew Halaney) [RHEL-24205]
- printk: move @seq initialization to helper (Andrew Halaney) [RHEL-24205]
- printk: register_console: use "registered" for variable names (Andrew Halaney) [RHEL-24205]
- printk: Prepare for SRCU console list protection (Andrew Halaney) [RHEL-24205]
- printk: Convert console_drivers list to hlist (Andrew Halaney) [RHEL-24205]
- printk: use strscpy() to instead of strlcpy() (Andrew Halaney) [RHEL-24205]
- printk: fix a typo of comment (Andrew Halaney) [RHEL-24205]
- printk: Mark __printk percpu data ready __ro_after_init (Andrew Halaney) [RHEL-24205]
- printk: Remove bogus comment vs. boot consoles (Andrew Halaney) [RHEL-24205]
- printk: Remove write only variable nr_ext_console_drivers (Andrew Halaney) [RHEL-24205]
- printk: Make pr_flush() static (Andrew Halaney) [RHEL-24205]
- serial: 8250: omap: Fix unpaired pm_runtime_put_sync() in omap8250_remove() (Andrew Halaney) [RHEL-24205]
- serial: 8250_omap: remove wait loop from Errata i202 workaround (Andrew Halaney) [RHEL-24205]
- serial: 8250: omap: Fix missing PM runtime calls for omap8250_set_mctrl() (Andrew Halaney) [RHEL-24205]
- serial: 8250: 8250_omap: Avoid RS485 RTS glitch on ->set_termios() (Andrew Halaney) [RHEL-24205]
- serial: 8250/ingenic: Add support for the JZ4750/JZ4755 (Andrew Halaney) [RHEL-24205]
- dt-bindings: serial: ingenic: Add support for the JZ4750/55 SoCs (Andrew Halaney) [RHEL-24205]
- Documentation: Make formatting consistent for rs485 docs (Andrew Halaney) [RHEL-24205]
- Documentation: rs485: Fix struct referencing (Andrew Halaney) [RHEL-24205]
- Documentation: rs485: Mention uart_get_rs485_mode() (Andrew Halaney) [RHEL-24205]
- Documentation: rs485: Link reference properly (Andrew Halaney) [RHEL-24205]
- serial: Convert serial_rs485 to kernel doc (Andrew Halaney) [RHEL-24205]
- serial: zs: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: xuartps: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: ucc_uart: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: uartlite: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: timbuart: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: sunzilog: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: sunsu: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: sunsab: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: sunplus-uart: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: sunhv: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: stm32: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: sprd: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: sh-sci: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: tegra: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: sccnxp: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: sb1250-duart: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: samsung_tty: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: rda: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: pmac_zilog: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: pic32: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: mvebu-uart: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: milbeaut_usio: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: meson: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: max310x: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: max3100: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: liteuart: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: ip22zilog: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: imx: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: linflexuart: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: digicolor: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: clps711x: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: atmel: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: arc: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: ar933x: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: pl011: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: 8250: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm7271: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: pch_uart: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: msm: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: men_z135_uart: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: dz: Use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: 8250: 8250_omap: Support native RS485 (Andrew Halaney) [RHEL-24205]
- tty: serial: use uart_port_tx_limited() (Andrew Halaney) [RHEL-24205]
- tty: serial: use uart_port_tx() helper (Andrew Halaney) [RHEL-24205]
- tty: serial: introduce transmit helpers (Andrew Halaney) [RHEL-24205]
- tty: Allow TIOCSTI to be disabled (Andrew Halaney) [RHEL-24205]
- tty: Move sysctl setup into "core" tty logic (Andrew Halaney) [RHEL-24205]
- tty: evh_bytechan: Replace NO_IRQ by 0 (Andrew Halaney) [RHEL-24205]
- serial: sifive: select by default if SOC_CANAAN (Andrew Halaney) [RHEL-24205]
- serial: sifive: select by default if SOC_SIFIVE (Andrew Halaney) [RHEL-24205]
- MAINTAINERS: adjust entry after renaming parisc serial driver (Andrew Halaney) [RHEL-24205]
- parisc/serial: Rename 8250_gsc.c to 8250_parisc.c (Andrew Halaney) [RHEL-24205]
- parisc: Make 8250_gsc driver dependend on CONFIG_PARISC (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Don't request IRQ too early for console port (Andrew Halaney) [RHEL-24205]
- tty: serial: do unlock on a common path in altera_jtaguart_console_putc() (Andrew Halaney) [RHEL-24205]
- tty: serial: unify TX space reads under altera_jtaguart_tx_space() (Andrew Halaney) [RHEL-24205]
- tty: serial: use FIELD_GET() in lqasc_tx_ready() (Andrew Halaney) [RHEL-24205]
- tty: serial: extend lqasc_tx_ready() to lqasc_console_putchar() (Andrew Halaney) [RHEL-24205]
- tty: serial: allow pxa.c to be COMPILE_TESTed (Andrew Halaney) [RHEL-24205]
- serial: stm32: Fix unused-variable warning (Andrew Halaney) [RHEL-24205]
- tty: serial: atmel: Add COMMON_CLK dependency to SERIAL_ATMEL (Andrew Halaney) [RHEL-24205]
- serial: 8250: Fix restoring termios speed after suspend (Andrew Halaney) [RHEL-24205]
- serial: Deassert Transmit Enable on probe in driver-specific way (Andrew Halaney) [RHEL-24205]
- serial: 8250_dma: Convert to use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- serial: 8250_omap: Convert to use uart_xmit_advance() (Andrew Halaney) [RHEL-24205]
- MAINTAINERS: Solve warning regarding inexistent atmel-usart binding (Andrew Halaney) [RHEL-24205]
- serial: stm32: Deassert Transmit Enable on ->rs485_config() (Andrew Halaney) [RHEL-24205]
- serial: ar933x: Deassert Transmit Enable on ->rs485_config() (Andrew Halaney) [RHEL-24205]
- serial: sifive: enable clocks for UART when probed (Andrew Halaney) [RHEL-24205]
- serial: 8250: omap: Use serial8250_em485_supported (Andrew Halaney) [RHEL-24205]
- tty: serial: atmel: Use FIELD_PREP/FIELD_GET (Andrew Halaney) [RHEL-24205]
- tty: serial: atmel: Make the driver aware of the existence of GCLK (Andrew Halaney) [RHEL-24205]
- tty: serial: atmel: Only divide Clock Divisor if the IP is USART (Andrew Halaney) [RHEL-24205]
- tty: serial: atmel: Separate mode clearing between UART and USART (Andrew Halaney) [RHEL-24205]
- dt-bindings: serial: atmel,at91-usart: Add gclk as a possible USART clock (Andrew Halaney) [RHEL-24205]
- dt-bindings: serial: atmel,at91-usart: Add SAM9260 compatibles to SAM9X60 (Andrew Halaney) [RHEL-24205]
- dt-bindings: serial: atmel,at91-usart: convert to json-schema (Andrew Halaney) [RHEL-24205]
- serial: 8250: Toggle IER bits on only after irq has been set up (Andrew Halaney) [RHEL-24205]
- serial: 8250: Switch UART port flags to using BIT_ULL (Andrew Halaney) [RHEL-24205]
- serial: 8250: Request full 16550A feature probing for OxSemi PCIe devices (Andrew Halaney) [RHEL-24205]
- serial: 8250: Let drivers request full 16550A feature probing (Andrew Halaney) [RHEL-24205]
- tty: serial: extract serial_omap_put_char() from transmit_chars() (Andrew Halaney) [RHEL-24205]
- tty: serial: switch mpc52xx_uart_int_{r,t}x_chars() to bool (Andrew Halaney) [RHEL-24205]
- tty: serial: extract tx_ready() from __serial_lpc32xx_tx() (Andrew Halaney) [RHEL-24205]
- tty: serial: extract lqasc_tx_ready() from lqasc_tx_chars() (Andrew Halaney) [RHEL-24205]
- tty: serial: altera_uart_{r,t}x_chars() need only uart_port (Andrew Halaney) [RHEL-24205]
- tty: serial: clean up stop-tx part in altera_uart_tx_chars() (Andrew Halaney) [RHEL-24205]
- tty: serial: move and cleanup vt8500_tx_empty() (Andrew Halaney) [RHEL-24205]
- tty: hvc: remove HVC_IUCV_MAGIC (Andrew Halaney) [RHEL-24205]
- tty: synclink_gt: remove MGSL_MAGIC (Andrew Halaney) [RHEL-24205]
- tty: n_hdlc: remove HDLC_MAGIC (Andrew Halaney) [RHEL-24205]
- tty: remove TTY_DRIVER_MAGIC (Andrew Halaney) [RHEL-24205]
- tty: remove TTY_MAGIC (Andrew Halaney) [RHEL-24205]
- drivers: serial: jsm: fix some leaks in probe (Andrew Halaney) [RHEL-24205]
- serial: omap: Disallow RS-485 if rts-gpio is not specified (Andrew Halaney) [RHEL-24205]
- tty: serial: cpm_uart: remove unused cpm_uart_nr declaration (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: add debug bit for user payload (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: add enumeration for gsm encodings (Andrew Halaney) [RHEL-24205]
- ARM: footbridge: remove leftover from personal-server (Andrew Halaney) [RHEL-24205]
- termios: start unifying non-UAPI parts of asm/termios.h (Andrew Halaney) [RHEL-24205]
- termios: uninline conversion helpers (Andrew Halaney) [RHEL-24205]
- tty: mxser: remove redundant assignment to hwid (Andrew Halaney) [RHEL-24205]
- tty/vt: Add console_lock check to vt_console_print() (Andrew Halaney) [RHEL-24205]
- kernel/panic: Drop unblank_screen call (Andrew Halaney) [RHEL-24205]
- serial: Fix double word (Andrew Halaney) [RHEL-24205]
- serial: 8250_men_mcb: Remove duplicate UAPI:serial_core inclusion (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: avoid call of sleeping functions from atomic context (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: replace kicktimer with delayed_work (Andrew Halaney) [RHEL-24205]
- tty: serial: atmel: Preserve previous USART mode if RS485 disabled (Andrew Halaney) [RHEL-24205]
- tty: Fix lookahead_buf crash with serdev (Andrew Halaney) [RHEL-24205]
- vt: Clear selection before changing the font (Andrew Halaney) [RHEL-24205]
- serial: sunsab: Remove frame size calculation dead-code (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Remove custom frame size calculation (Andrew Halaney) [RHEL-24205]
- serial: ucc_uart: Remove custom frame size calculation (Andrew Halaney) [RHEL-24205]
- termios: get rid of stray asm/termios.h include in n_hdlc.c (Andrew Halaney) [RHEL-24205]
- serial: pch_uart: CIRC_CNT_TO_END() is enough (Andrew Halaney) [RHEL-24205]
- serial: sh-sci: tail is already on valid range (Andrew Halaney) [RHEL-24205]
- serial: sh-sci: CIRC_CNT_TO_END() is enough (Andrew Halaney) [RHEL-24205]
- tty: Make ->set_termios() old ktermios const (Andrew Halaney) [RHEL-24205]
- usb: serial: Make ->set_termios() old ktermios const (Andrew Halaney) [RHEL-24205]
- serial: Make ->set_termios() old ktermios const (Andrew Halaney) [RHEL-24205]
- tty: Make ldisc ->set_termios() old ktermios const (Andrew Halaney) [RHEL-24205]
- serial: dz: Assume previous baudrate is valid (Andrew Halaney) [RHEL-24205]
- tty: Fix comment style in tty_termios_input_baud_rate() (Andrew Halaney) [RHEL-24205]
- tty: move from strlcpy with unused retval to strscpy (Andrew Halaney) [RHEL-24205]
- tty/vt: Remove printable variable (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: Use devm_clk_get_enabled() helper (Andrew Halaney) [RHEL-24205]
- serial: 8250: Clear dma tx_err unconditionally (Andrew Halaney) [RHEL-24205]
- serial: 8250: Add helper for clearing IER (Andrew Halaney) [RHEL-24205]
- tty: serial: fsl_lpuart: adjust SERIAL_FSL_LPUART_CONSOLE config dependency (Andrew Halaney) [RHEL-24205]
- serial: pic32_uart: Convert to use GPIO descriptors (Andrew Halaney) [RHEL-24205]
- serial: pic32_uart: Utilize uart_console_enabled() (Andrew Halaney) [RHEL-24205]
- serial: pl011: Add reg-io-width parameters (Andrew Halaney) [RHEL-24205]
- tty: xilinx_uartps: Check the clk_enable return value (Andrew Halaney) [RHEL-24205]
- tty: xilinx_uartps: Add timeout waiting for loop (Andrew Halaney) [RHEL-24205]
- tty: xilinx_uartps: Prevent writes when the controller is disabled (Andrew Halaney) [RHEL-24205]
- tty: xilinx_uartps: Fix the ignore_status (Andrew Halaney) [RHEL-24205]
- tty: xilinx_uartps: Initialise the read_status_mask (Andrew Halaney) [RHEL-24205]
- tty: xilinx_uartps: Update copyright text to correct format (Andrew Halaney) [RHEL-24205]
- tty: xilinx_uartps: Check clk_enable return value (Andrew Halaney) [RHEL-24205]
- serial: document start_rx member at struct uart_ops (Andrew Halaney) [RHEL-24205]
- tty: amiserial: Fix comment typo (Andrew Halaney) [RHEL-24205]
- tty: serial: document uart_get_console() (Andrew Halaney) [RHEL-24205]
- tty: serial: serial_core, reformat kernel-doc for functions (Andrew Halaney) [RHEL-24205]
- Documentation: serial: link uart_ops properly (Andrew Halaney) [RHEL-24205]
- Documentation: serial: move GPIO kernel-doc to the functions (Andrew Halaney) [RHEL-24205]
- Documentation: serial: dedup kernel-doc for uart functions (Andrew Halaney) [RHEL-24205]
- Documentation: serial: move uart_ops documentation to the struct (Andrew Halaney) [RHEL-24205]
- serial: mvebu-uart: uart2 error bits clearing (Andrew Halaney) [RHEL-24205]
- serial: stm32: make info structs static to avoid sparse warnings (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm2835aux: Add missing clk_disable_unprepare() (Andrew Halaney) [RHEL-24205]
- tty: vt: initialize unicode screen buffer (Andrew Halaney) [RHEL-24205]
- serial: remove VR41XX serial driver (Andrew Halaney) [RHEL-24205]
- serial: 8250: lpc18xx: Remove redundant sanity check for RS485 flags (Andrew Halaney) [RHEL-24205]
- serial: 8250_dwlib: remove redundant sanity check for RS485 flags (Andrew Halaney) [RHEL-24205]
- dt_bindings: rs485: Correct delay values (Andrew Halaney) [RHEL-24205]
- serial: core: sanitize RS485 delays read from device tree (Andrew Halaney) [RHEL-24205]
- serial: core: move sanitizing of RS485 delays into own function (Andrew Halaney) [RHEL-24205]
- serial: core, 8250: set RS485 termination GPIO in serial core (Andrew Halaney) [RHEL-24205]
- serial: ar933x: Remove superfluous code in ar933x_config_rs485() (Andrew Halaney) [RHEL-24205]
- serial: ar933x: Fix check for RS485 support (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm7271: Save/restore RTS in suspend/resume (Andrew Halaney) [RHEL-24205]
- serial: 8250_dw: Avoid pslverr on reading empty receiver fifo (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung_tty: fix s3c24xx_serial_set_mctrl() (Andrew Halaney) [RHEL-24205]
- serial: 8250: SERIAL_8250_ASPEED_VUART should depend on ARCH_ASPEED (Andrew Halaney) [RHEL-24205]
- tty: 8250: Add support for Brainboxes PX cards. (Andrew Halaney) [RHEL-24205]
- tty: serial: bcm63xx: bcmbca: Replace ARCH_BCM_63XX with ARCH_BCMBCA (Andrew Halaney) [RHEL-24205]
- spi: bcm63xx-hsspi: bcmbca: Replace ARCH_BCM_63XX with ARCH_BCMBCA (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: fix missing corner cases in gsmld_poll() (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: fix DM command (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: fix wrong T1 retry count handling (Andrew Halaney) [RHEL-24205]
- serial: RS485 termination is supported if DT provides one (Andrew Halaney) [RHEL-24205]
- serial: Embed rs485_supported to uart_port (Andrew Halaney) [RHEL-24205]
- serial: 8250_fsl: Don't report FE, PE and OE twice (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: fix packet re-transmission without open control channel (Andrew Halaney) [RHEL-24205]
- serial: 8250: dw: Fix the macro RZN1_UART_xDMACR_8_WORD_BURST (Andrew Halaney) [RHEL-24205]
- serial: mvebu-uart: correctly report configured baudrate value (Andrew Halaney) [RHEL-24205]
- serial: 8250: fix return error code in serial8250_request_std_resource() (Andrew Halaney) [RHEL-24205]
- serial: stm32: Clear prev values before setting RTS delays (Andrew Halaney) [RHEL-24205]
- serial: 8250_dw: Use dw8250_serial_out() in dw8250_serial_out38x() (Andrew Halaney) [RHEL-24205]
- 8250_dwlib: Convert bitops to newer form (Andrew Halaney) [RHEL-24205]
- serial: 8250_dw: Drop PM ifdeffery (Andrew Halaney) [RHEL-24205]
- serial: 8250_dw: Sort headers alphabetically (Andrew Halaney) [RHEL-24205]
- earlycon: prevent multiple register_console() (Andrew Halaney) [RHEL-24205]
- serial: 8250_dw: Take port lock while accessing LSR (Andrew Halaney) [RHEL-24205]
- serial: 8250_port: Fix spelling mistake (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung_tty: loopback mode support (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung_tty: support more than 4 uart ports (Andrew Halaney) [RHEL-24205]
- serial: 8250: Fix __stop_tx() & DMA Tx restart races (Andrew Halaney) [RHEL-24205]
- serial: pl011: UPSTAT_AUTORTS requires .throttle/unthrottle (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung_tty: set dma burst_size to 1 (Andrew Halaney) [RHEL-24205]
- serial: 8250: dw: enable using pdata with ACPI (Andrew Halaney) [RHEL-24205]
- serial: 8250_dwlib: Support for 9th bit multipoint addressing (Andrew Halaney) [RHEL-24205]
- serial: Support for RS-485 multipoint addresses (Andrew Halaney) [RHEL-24205]
- termbits.h: create termbits-common.h for identical bits (Andrew Halaney) [RHEL-24205]
- termbits: Convert octal defines to hex (Andrew Halaney) [RHEL-24205]
- serial: take termios_rwsem for ->rs485_config() & pass termios as param (Andrew Halaney) [RHEL-24205]
- serial: 8250_lpss: Use 32-bit reads (Andrew Halaney) [RHEL-24205]
- serial: 8250: create lsr_save_mask (Andrew Halaney) [RHEL-24205]
- serial: 8250: make saved LSR larger (Andrew Halaney) [RHEL-24205]
- serial: Consolidate BOTH_EMPTY use (Andrew Halaney) [RHEL-24205]
- serial: Convert SERIAL_XMIT_SIZE to UART_XMIT_SIZE (Andrew Halaney) [RHEL-24205]
- serial: 8250: Use C99 array initializer & define UART_REG_UNMAPPED (Andrew Halaney) [RHEL-24205]
- serial: Use bits for UART_LSR_BRK_ERROR_BITS/MSR_ANY_DELTA (Andrew Halaney) [RHEL-24205]
- serial: msm: Rename UART_* defines to MSM_UART_* (Andrew Halaney) [RHEL-24205]
- serial: msm: Convert container_of UART_TO_MSM to static inline (Andrew Halaney) [RHEL-24205]
- serial: st-asc: remove include of pm_runtime.h (Andrew Halaney) [RHEL-24205]
- tty: serial: atmel: remove enable/disable clock due to atmel_console_setup() (Andrew Halaney) [RHEL-24205]
- tty: serial: atmel: use devm_clk_get() (Andrew Halaney) [RHEL-24205]
- tty: serial: atmel: stop using legacy pm ops (Andrew Halaney) [RHEL-24205]
- serial: kgdboc: Fix typo in comment (Andrew Halaney) [RHEL-24205]
- tty: serial: Fix refcount leak bug in ucc_uart.c (Andrew Halaney) [RHEL-24205]
- serial: Drop timeout from uart_port (Andrew Halaney) [RHEL-24205]
- tty: Add closing marker into comment in tty_ldisc.h (Andrew Halaney) [RHEL-24205]
- serial: 8250_pericom: Use UART_LCR_DLAB (Andrew Halaney) [RHEL-24205]
- serial: 8250: Use UART_LCR_WLEN8 instead of literal (Andrew Halaney) [RHEL-24205]
- serial: sifive: Remove useless license text when SPDX-License-Identifier is already used (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: use E_TABSZ for the translations size (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: remove dflt reset from con_do_clear_unimap() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: improve UNI_*() macros definitions (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: rename struct vc_data::vc_uni_pagedir* (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: saner variable names in set_inverse_transl() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: saner variable names in set_inverse_trans_unicode() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: remove unused parameter from set_inverse_trans_unicode() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: use ARRAY_SIZE(), part II. (Andrew Halaney) [RHEL-24205]
- serial: 8250: Store to lsr_save_flags after lsr read (Andrew Halaney) [RHEL-24205]
- tty: Use flow-control char function on closing path (Andrew Halaney) [RHEL-24205]
- tty: Implement lookahead to process XON/XOFF timely (Andrew Halaney) [RHEL-24205]
- serial: 8250: Remove serial_rs485 sanitization from em485 (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: Remove serial_rs485 assignment (Andrew Halaney) [RHEL-24205]
- serial: mcf: Remove serial_rs485 assignment (Andrew Halaney) [RHEL-24205]
- serial: 8250_exar: Remove serial_rs485 assignment (Andrew Halaney) [RHEL-24205]
- serial: max310x: Remove serial_rs485 sanitization (Andrew Halaney) [RHEL-24205]
- serial: imx: Remove serial_rs485 sanitization (Andrew Halaney) [RHEL-24205]
- serial: pl011: Remove serial_rs485 sanitization (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci: Remove serial_rs485 sanitization (Andrew Halaney) [RHEL-24205]
- serial: 8250: lpc18xx: Remove serial_rs485 sanitization (Andrew Halaney) [RHEL-24205]
- serial: 8250_fintek: Remove serial_rs485 sanitization (Andrew Halaney) [RHEL-24205]
- serial: 8250_dwlib: Remove serial_rs485 sanitization (Andrew Halaney) [RHEL-24205]
- serial: return -EINVAL for non-legacy RS485 flags (Andrew Halaney) [RHEL-24205]
- serial: Clear rs485 struct when non-RS485 mode is set (Andrew Halaney) [RHEL-24205]
- serial: Sanitize rs485_struct (Andrew Halaney) [RHEL-24205]
- serial: stm32: Fill in rs485_supported (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: Fill in rs485_supported (Andrew Halaney) [RHEL-24205]
- serial: omap: Fill in rs485_supported (Andrew Halaney) [RHEL-24205]
- serial: mcf: Fill in rs485_supported (Andrew Halaney) [RHEL-24205]
- serial: max310x: Fill in rs485_supported (Andrew Halaney) [RHEL-24205]
- serial: imx: Fill in rs485_supported (Andrew Halaney) [RHEL-24205]
- serial: fsl_lpuart: Fill in rs485_supported (Andrew Halaney) [RHEL-24205]
- serial: atmel: Fill in rs485_supported (Andrew Halaney) [RHEL-24205]
- serial: ar933x: Fill in rs485_supported (Andrew Halaney) [RHEL-24205]
- serial: pl011: Fill in rs485_supported (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci: Fill in rs485_supported for pci_fintek (Andrew Halaney) [RHEL-24205]
- serial: 8250_of: Use serial8250_em485_supported (Andrew Halaney) [RHEL-24205]
- serial: 8250_lpc18cc: Fill in rs485_supported (Andrew Halaney) [RHEL-24205]
- serial: 8250_fintek: Fill in rs485_supported (Andrew Halaney) [RHEL-24205]
- serial: 8250_exar: Fill in rs485_supported (Andrew Halaney) [RHEL-24205]
- serial: 8250_dwlib: Fill in rs485_supported (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm2835aux: Use serial8250_em485_supported (Andrew Halaney) [RHEL-24205]
- serial: 8250: Create serial8250_em485_supported for em485 users (Andrew Halaney) [RHEL-24205]
- serial: Add rs485_supported to uart_port (Andrew Halaney) [RHEL-24205]
- serial: Move serial_rs485 sanitization into separate function (Andrew Halaney) [RHEL-24205]
- serial: 8250_dw: Store LSR into lsr_saved_flags in dw8250_tx_wait_empty() (Andrew Halaney) [RHEL-24205]
- serial: 8250_dw: Use serial_lsr_in() in dw8250_handle_irq() (Andrew Halaney) [RHEL-24205]
- serial: 8250: Adjust misleading LSR related comment (Andrew Halaney) [RHEL-24205]
- serial: 8250: Get preserved flags using serial_lsr_in() (Andrew Halaney) [RHEL-24205]
- serial: 8250: Create serial_lsr_in() (Andrew Halaney) [RHEL-24205]
- serial: 8250: Store to lsr_save_flags after lsr read (Andrew Halaney) [RHEL-24205]
- serial: 8250: handle __start_tx() call in start_tx() (Andrew Halaney) [RHEL-24205]
- serial: 8250: kill __do_stop_tx() (Andrew Halaney) [RHEL-24205]
- tty: vt: convert sysfs snprintf to sysfs_emit (Andrew Halaney) [RHEL-24205]
- serial: max310x: implement I2C support (Andrew Halaney) [RHEL-24205]
- serial: max310x: make accessing revision id interface-agnostic (Andrew Halaney) [RHEL-24205]
- serial: max310x: use a separate regmap for each port (Andrew Halaney) [RHEL-24205]
- serial: max310x: use regmap methods for SPI batch operations (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: walk the buffer only once in con_set_trans_old() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: use con_allocate_new() in con_unshare_unimap() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: extract con_allocate_new() from con_do_clear_unimap() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: change refcount only if needed in con_do_clear_unimap() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: remove superfluous whitespace (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: make conv_uni_to_pc() more readable (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: saner variable names in con_set_default_unimap() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: saner variable names in con_set_unimap() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: saner variable names in con_get_unimap() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: saner variable names in con_copy_unimap() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: saner variable names in con_release_unimap() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: saner variable names in con_unshare_unimap() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: saner variable names in con_do_clear_unimap() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: saner variable names in con_unify_unimap() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: saner variable names in con_insert_unipair() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: saner variable names in conv_uni_to_pc() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: saner variable names in set_inverse_trans_unicode() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: extract dict unsharing to con_unshare_unimap() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: remove glyph < 0 check from set_inverse_trans_unicode() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: introduce enum translation_map and use it (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: check put_user() in con_get_unimap() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: make p1 increment less confusing in con_get_unimap() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: make con_get_unimap() more readable (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: make con_set_unimap() more readable (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: use sizeof(*pointer) instead of sizeof(type) (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: zero uni_pgdir using kcalloc() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: introduce UNI_*() macros (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: use | for binary addition (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: one line = one statement (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: make parameters of inverse_translate() saner (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: convert macros to static inlines (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: remove extern from function decls (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: decrypt inverse_translate() (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: define UNI_* macros for constants (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: rename and document struct uni_pagedir (Andrew Halaney) [RHEL-24205]
- tty/vt: consolemap: use ARRAY_SIZE() (Andrew Halaney) [RHEL-24205]
- tty/vt: Makefile, add --unicode for loadkeys invocation (Andrew Halaney) [RHEL-24205]
- tty/vt: defkeymap.c_shipped, little unification with loadkeys (Andrew Halaney) [RHEL-24205]
- serial: pmac_zilog: remove unused header (Andrew Halaney) [RHEL-24205]
- serial: pic32: fix missing clk_disable_unprepare() on error in pic32_uart_startup() (Andrew Halaney) [RHEL-24205]
- tty: fix typos in comments (Andrew Halaney) [RHEL-24205]
- tty: goldfish: Fix free_irq() on remove (Andrew Halaney) [RHEL-24205]
- tty: Rework receive flow control char logic (Andrew Halaney) [RHEL-24205]
- pcmcia: synclink_cs: Don't allow CS5-6 (Andrew Halaney) [RHEL-24205]
- serial: stm32-usart: Correct CSIZE, bits, and parity (Andrew Halaney) [RHEL-24205]
- serial: st-asc: Sanitize CSIZE and correct PARENB for CS7 (Andrew Halaney) [RHEL-24205]
- serial: sifive: Sanitize CSIZE and c_iflag (Andrew Halaney) [RHEL-24205]
- serial: sh-sci: Don't allow CS5-6 (Andrew Halaney) [RHEL-24205]
- serial: txx9: Don't allow CS5-6 (Andrew Halaney) [RHEL-24205]
- serial: rda-uart: Don't allow CS5-6 (Andrew Halaney) [RHEL-24205]
- serial: digicolor-usart: Don't allow CS5-6 (Andrew Halaney) [RHEL-24205]
- serial: uartlite: Fix BRKINT clearing (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Fix build error without CONFIG_SERIAL_CPM_CONSOLE (Andrew Halaney) [RHEL-24205]
- serial: 8250_fintek: Check SER_RS485_RTS_* only with RS485 (Andrew Halaney) [RHEL-24205]
- Revert "serial: 8250_mtk: Make sure to select the right FEATURE_SEL" (Andrew Halaney) [RHEL-24205]
- serial: msm_serial: disable interrupts in __msm_console_write() (Andrew Halaney) [RHEL-24205]
- serial: meson: acquire port->lock in startup() (Andrew Halaney) [RHEL-24205]
- serial: 8250_dw: Use dev_err_probe() (Andrew Halaney) [RHEL-24205]
- serial: 8250_dw: Use devm_add_action_or_reset() (Andrew Halaney) [RHEL-24205]
- serial: 8250_dw: Update the list of OF headers used by driver (Andrew Halaney) [RHEL-24205]
- serial: jsm: Use B0 instead of 0 (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung_tty: Fix suspend/resume on S5L (Andrew Halaney) [RHEL-24205]
- serial: pmac_zilog: remove initial print (Andrew Halaney) [RHEL-24205]
- serial: pmac_zilog: remove tracing prints (Andrew Halaney) [RHEL-24205]
- serial: pmac_zilog: remove unused uart_pmac_port::termios_cache (Andrew Halaney) [RHEL-24205]
- serial: pmac_zilog: remove unfinished DBDMA support (Andrew Halaney) [RHEL-24205]
- serial: amba-pl011: move header content to .c (Andrew Halaney) [RHEL-24205]
- tty: fix deadlock caused by calling printk() under tty_port->lock (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: fix invalid gsmtty_write_room() result (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: fix mux activation issues in gsm_config() (Andrew Halaney) [RHEL-24205]
- serial: 8250_mtk: Fix register address for XON/XOFF character (Andrew Halaney) [RHEL-24205]
- serial: 8250_mtk: Make sure to select the right FEATURE_SEL (Andrew Halaney) [RHEL-24205]
- serial: 8250_mtk: Fix UART_EFR register address (Andrew Halaney) [RHEL-24205]
- tty/serial: digicolor: fix possible null-ptr-deref in digicolor_uart_probe() (Andrew Halaney) [RHEL-24205]
- tty: hvc: dcc: Bind driver to CPU core0 for reads and writes (Andrew Halaney) [RHEL-24205]
- serial: pch: inline pop_tx() into handle_tx() (Andrew Halaney) [RHEL-24205]
- serial: pch: simplify pop_tx() even more (Andrew Halaney) [RHEL-24205]
- serial: pch: remove xmit circ_buf size double check (Andrew Halaney) [RHEL-24205]
- serial: pch: remove debug print from pop_tx (Andrew Halaney) [RHEL-24205]
- serial: pch: decomission pch_uart_hal_write() (Andrew Halaney) [RHEL-24205]
- serial: pch: don't overwrite xmit->buf[0] by x_char (Andrew Halaney) [RHEL-24205]
- serial: pch: move size check from pop_tx one level up (Andrew Halaney) [RHEL-24205]
- serial: pic32: restore disabled irqs in pic32_uart_startup() (Andrew Halaney) [RHEL-24205]
- serial: pic32: free up irq names correctly (Andrew Halaney) [RHEL-24205]
- serial: pic32: don't zero members of kzalloc-ated structure (Andrew Halaney) [RHEL-24205]
- serial: pic32: don't assign pic32_sport::cts_gpio twice (Andrew Halaney) [RHEL-24205]
- serial: pic32: convert to_pic32_sport() to an inline (Andrew Halaney) [RHEL-24205]
- serial: pic32: remove pic32_get_port() macro (Andrew Halaney) [RHEL-24205]
- serial: pic32: simplify pic32_sport::enable_tx_irq handling (Andrew Halaney) [RHEL-24205]
- serial: pic32: simplify clk handling (Andrew Halaney) [RHEL-24205]
- serial: pic32: remove constants from struct pic32_sport (Andrew Halaney) [RHEL-24205]
- serial: pic32: move header content to .c (Andrew Halaney) [RHEL-24205]
- serial: pic32: remove unused items from the header (Andrew Halaney) [RHEL-24205]
- serial: stm32: Use TC interrupt to deassert GPIO RTS in RS485 mode (Andrew Halaney) [RHEL-24205]
- serial: stm32: Factor out GPIO RTS toggling into separate function (Andrew Halaney) [RHEL-24205]
- serial: sifive: Remove duplicate `clkin_rate' setting (Andrew Halaney) [RHEL-24205]
- serial: sifive: Report actual baud base rather than fixed 115200 (Andrew Halaney) [RHEL-24205]
- serial: 8250: Add proper clock handling for OxSemi PCIe devices (Andrew Halaney) [RHEL-24205]
- serial: 8250: Export ICR access helpers for internal use (Andrew Halaney) [RHEL-24205]
- serial: 8250: Fold EndRun device support into OxSemi Tornado code (Andrew Halaney) [RHEL-24205]
- serial: men_z135_uart: Drop duplicated iotype assignment (Andrew Halaney) [RHEL-24205]
- serial: 8250: pxa: Remove unneeded <linux/pm_runtime.h> (Andrew Halaney) [RHEL-24205]
- serial: 8250: core: Remove unneeded <linux/pm_runtime.h> (Andrew Halaney) [RHEL-24205]
- serial: 8250: dw: Fix NULL pointer dereference (Andrew Halaney) [RHEL-24205]
- ACPI / property: Document RS485 _DSD properties (Andrew Halaney) [RHEL-24205]
- dt_bindings: rs485: Add receiver enable polarity (Andrew Halaney) [RHEL-24205]
- serial: 8250_dwlib: Implement SW half duplex support (Andrew Halaney) [RHEL-24205]
- serial: 8250_dwlib: RS485 HW half & full duplex support (Andrew Halaney) [RHEL-24205]
- serial: 8250: Handle UART without interrupt on TEMT (Andrew Halaney) [RHEL-24205]
- serial: 8250: use THRE & __stop_tx also with DMA (Andrew Halaney) [RHEL-24205]
- serial: Store character timing information to uart_port (Andrew Halaney) [RHEL-24205]
- serial: 8250: dw: Improve RZN1 support (Andrew Halaney) [RHEL-24205]
- serial: 8250: dw: Add support for DMA flow controlling devices (Andrew Halaney) [RHEL-24205]
- serial: 8250: dw: Move the IO accessors to 8250_dwlib.h (Andrew Halaney) [RHEL-24205]
- serial: 8250: dw: Introduce an rx_timeout variable in the IRQ path (Andrew Halaney) [RHEL-24205]
- serial: 8250: dma: Allow driver operations before starting DMA transfers (Andrew Halaney) [RHEL-24205]
- serial: 8250: dw: Allow to use a fallback CPR value if not synthesized (Andrew Halaney) [RHEL-24205]
- serial: 8250: dw: Move the USR register to pdata (Andrew Halaney) [RHEL-24205]
- serial: 8250: dw: Create a generic platform data structure (Andrew Halaney) [RHEL-24205]
- serial: 8250: dw: Move definitions to the shared header (Andrew Halaney) [RHEL-24205]
- sysrq: do not omit current cpu when showing backtrace of all active CPUs (Andrew Halaney) [RHEL-24205]
- tty: hvcs: simplify if-if to if-else (Andrew Halaney) [RHEL-24205]
- tty/hvc_opal: simplify if-if to if-else (Andrew Halaney) [RHEL-24205]
- serial: 8250: Correct the clock for EndRun PTP/1588 PCIe device (Andrew Halaney) [RHEL-24205]
- serial: 8250: Also set sticky MCR bits in console restoration (Andrew Halaney) [RHEL-24205]
- Revert "serial: sc16is7xx: Clear RS485 bits in the shutdown" (Andrew Halaney) [RHEL-24205]
- serial: stm32: add earlycon support (Andrew Halaney) [RHEL-24205]
- serial: stm32: add KGDB support (Andrew Halaney) [RHEL-24205]
- serial: stm32: remove infinite loop possibility in putchar function (Andrew Halaney) [RHEL-24205]
- tty: Add function for handling flow control chars (Andrew Halaney) [RHEL-24205]
- serial: core: fix tcdrain() with CTS enabled (Andrew Halaney) [RHEL-24205]
- drivers: tty: serial: Fix deadlock in sa1100_set_termios() (Andrew Halaney) [RHEL-24205]
- tty/sysrq: change the definition of sysrq_key_table's element to make it more readable (Andrew Halaney) [RHEL-24205]
- serial: allow COMPILE_TEST for some drivers (Andrew Halaney) [RHEL-24205]
- serial: pic32: make SERIAL_PIC32_CONSOLE depend on SERIAL_PIC32=y (Andrew Halaney) [RHEL-24205]
- serial: zs: use NULL as a pointer, not 0 (Andrew Halaney) [RHEL-24205]
- serial: xilinx_uartps: cache xmit in cdns_uart_handle_tx() (Andrew Halaney) [RHEL-24205]
- serial: xilinx_uartps: return early in cdns_uart_handle_tx() (Andrew Halaney) [RHEL-24205]
- serial: sunplus-uart: change sunplus_console_ports from global to static (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: Added S4 SOC compatibility (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: Add a 12MHz internal clock rate to calculate baud rate in order to meet the baud rate requirements of special BT modules (Andrew Halaney) [RHEL-24205]
- serial: icom: remove unused struct icom_port members (Andrew Halaney) [RHEL-24205]
- serial: icom: delete empty serial hooks (Andrew Halaney) [RHEL-24205]
- serial: icom: use list_for_each_entry() (Andrew Halaney) [RHEL-24205]
- serial: icom: make icom_acfg_baud const and unsigned (Andrew Halaney) [RHEL-24205]
- serial: icom: use ARRAY_SIZE (Andrew Halaney) [RHEL-24205]
- serial: icom: move header content to .c (Andrew Halaney) [RHEL-24205]
- serial: icom: use proper __le types and functions (Andrew Halaney) [RHEL-24205]
- serial: icom: remove to_icom_adapter() and icom_kref_release() (Andrew Halaney) [RHEL-24205]
- serial: icom: switch vague casts to container_of (Andrew Halaney) [RHEL-24205]
- serial: icom: remove ICOM_VERSION_STR macro (Andrew Halaney) [RHEL-24205]
- serial: 8250/omap1: include linux/soc/ti/omap1-soc.h (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: clean up implicit CR bit encoding in address field (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: clean up dead code in gsm_queue() (Andrew Halaney) [RHEL-24205]
- Revert "serial: 8250: Handle UART without interrupt on TEMT using em485" (Andrew Halaney) [RHEL-24205]
- serial: 8250: Fix runtime PM for start_tx() for empty buffer (Andrew Halaney) [RHEL-24205]
- serial: imx: fix overrun interrupts in DMA mode (Andrew Halaney) [RHEL-24205]
- serial: amba-pl011: do not time out prematurely when draining tx fifo (Andrew Halaney) [RHEL-24205]
- serial: When UART is suspended, set RTS to false (Andrew Halaney) [RHEL-24205]
- serial: 8250: add compatible for fsl,16550-FIFO64 (Andrew Halaney) [RHEL-24205]
- serial: 8250: Add UART_CAP_NOTEMT on PORT_16550A_FSL64 (Andrew Halaney) [RHEL-24205]
- serial: 8250: Handle UART without interrupt on TEMT using em485 (Andrew Halaney) [RHEL-24205]
- serial: 8250_aspeed_vuart: Fix potential NULL dereference in aspeed_vuart_probe (Andrew Halaney) [RHEL-24205]
- serial: atmel: remove redundant assignment in rs485_config (Andrew Halaney) [RHEL-24205]
- serial: imx: remove redundant assignment in rs485_config (Andrew Halaney) [RHEL-24205]
- serial: max310: remove redundant memset in rs485_config (Andrew Halaney) [RHEL-24205]
- serial: omap: remove redundant code in rs485_config (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: remove redundant check in rs485_config (Andrew Halaney) [RHEL-24205]
- serial: stm32: remove redundant code in rs485_config (Andrew Halaney) [RHEL-24205]
- serial: amba-pl011: remove redundant code in rs485_config (Andrew Halaney) [RHEL-24205]
- serial: core: move RS485 configuration tasks from drivers into core (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: Use DIV_ROUND_CLOSEST to calculate baud rates (Andrew Halaney) [RHEL-24205]
- serial: 8250: Report which option to enable for blacklisted PCI devices (Andrew Halaney) [RHEL-24205]
- tty: n_tty: Restore EOF push handling behavior (Andrew Halaney) [RHEL-24205]
- serial: 8250: Make SERIAL_8250_EM available for arm64 systems (Andrew Halaney) [RHEL-24205]
- dt-bindings: serial: renesas,em-uart: Document r9a09g011 bindings (Andrew Halaney) [RHEL-24205]
- tty: serial: owl: Fix missing clk_disable_unprepare() in owl_uart_probe (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: add spin_lock for interrupt and console_write (Andrew Halaney) [RHEL-24205]
- tty: Fix a possible resource leak in icom_probe (Andrew Halaney) [RHEL-24205]
- tty: serial: Prepare cleanup of powerpc's asm/prom.h (Andrew Halaney) [RHEL-24205]
- tty: hvc: Prepare cleanup of powerpc's asm/prom.h (Andrew Halaney) [RHEL-24205]
- tty: goldfish: Use tty_port_destroy() to destroy port (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: fix incorrect UA handling (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: fix malformed counter for out of frame data (Andrew Halaney) [RHEL-24205]
- tty: serial: altera: use altera_jtaguart_stop_tx() (Andrew Halaney) [RHEL-24205]
- tty: serial: owl-uart, send x_char even if stopped (Andrew Halaney) [RHEL-24205]
- tty: serial: mpc52xx_uart: remove double ifdeffery (Andrew Halaney) [RHEL-24205]
- tty: synclink_gt: Fix null-pointer-dereference in slgt_clean() (Andrew Halaney) [RHEL-24205]
- tty: serial: mpc52xx_uart: make rx/tx hooks return unsigned, part II. (Andrew Halaney) [RHEL-24205]
- memcg: enable accounting for tty-related objects (Andrew Halaney) [RHEL-24205]
- vt_ioctl: fix potential spectre v1 in VT_DISALLOCATE (Andrew Halaney) [RHEL-24205]
- serial: 8250: fix XOFF/XON sending when DMA is used (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: Add ARTPEC-8 support (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: Clear RS485 bits in the shutdown (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: simplify getting OF match data (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: constify variables and pointers (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: constify s3c24xx_serial_drv_data members (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: constify UART name (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: constify s3c24xx_serial_drv_data (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: reduce number of casts (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: embed s3c2410_uartcfg in parent structure (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: embed s3c24xx_uart_info in parent structure (Andrew Halaney) [RHEL-24205]
- serial: 8250_tegra: mark acpi_device_id as unused with !ACPI (Andrew Halaney) [RHEL-24205]
- tty: serial: bcm63xx: use more precise Kconfig symbol (Andrew Halaney) [RHEL-24205]
- serial: SERIAL_SUNPLUS should depend on ARCH_SUNPLUS (Andrew Halaney) [RHEL-24205]
- tty: serial: jsm: fix two assignments in if conditions (Andrew Halaney) [RHEL-24205]
- tty: serial: jsm: remove redundant assignments to variable linestatus (Andrew Halaney) [RHEL-24205]
- serial: 8250_mtk: make two read-only arrays static const (Andrew Halaney) [RHEL-24205]
- serial: samsung_tty: do not unlock port->lock for uart_write_wakeup() (Andrew Halaney) [RHEL-24205]
- tty: serial: serial_txx9: remove struct uart_txx9_port (Andrew Halaney) [RHEL-24205]
- kgdboc: fix return value of __setup handler (Andrew Halaney) [RHEL-24205]
- tty: hvc: fix return value of __setup handler (Andrew Halaney) [RHEL-24205]
- serial: samsung: Add samsung_early_read to support early kgdboc (Andrew Halaney) [RHEL-24205]
- serial: make uart_console_write->putchar()'s character an unsigned char (Andrew Halaney) [RHEL-24205]
- serial: mvebu-uart: fix return value check in mvebu_uart_clock_probe() (Andrew Halaney) [RHEL-24205]
- sc16is7xx: Set AUTOCTS and AUTORTS bits (Andrew Halaney) [RHEL-24205]
- sc16is7xx: Handle modem status lines (Andrew Halaney) [RHEL-24205]
- sc16is7xx: Properly resume TX after stop (Andrew Halaney) [RHEL-24205]
- Revert "tty: serial: meson: *" (Andrew Halaney) [RHEL-24205]
- serial: sunplus-uart: Fix compile error while CONFIG_SERIAL_SUNPLUS_CONSOLE=n (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: Fix the compile link error reported by kernel test robot (Andrew Halaney) [RHEL-24205]
- mxser: make use of UART_LCR_WLEN() + tty_get_char_size() (Andrew Halaney) [RHEL-24205]
- sdio_uart: make use of UART_LCR_WLEN() + tty_get_char_size() (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: Added S4 SOC compatibility (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: The system stuck when you run the stty command on the console to change the baud rate (Andrew Halaney) [RHEL-24205]
- serial: sunplus-uart: Add Sunplus SoC UART Driver (Andrew Halaney) [RHEL-24205]
- dt-bindings: serial: Add bindings doc for Sunplus SoC UART Driver (Andrew Halaney) [RHEL-24205]
- serial: 8250: Fix race condition in RTS-after-send handling (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: Make some bit of the REG5 register writable (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: Describes the calculation of the UART baud rate clock using a clock frame (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: Use devm_ioremap_resource to get register mapped memory (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: Move request the register region to probe (Andrew Halaney) [RHEL-24205]
- tty: serial: amba-pl010: use more uart_port pointers (Andrew Halaney) [RHEL-24205]
- tty: serial: lpc32xx_hs: use serial_lpc32xx_stop_tx() helper (Andrew Halaney) [RHEL-24205]
- tty: serial: serial_txx9: remove info print from init (Andrew Halaney) [RHEL-24205]
- tty: serial: mpc52xx_uart: make rx/tx hooks return unsigned (Andrew Halaney) [RHEL-24205]
- serial: 8250_lpss: Balance reference count for PCI DMA device (Andrew Halaney) [RHEL-24205]
- serial: 8250_mid: Balance reference count for PCI DMA device (Andrew Halaney) [RHEL-24205]
- serial: 8250_aspeed_vuart: replace snprintf with sysfs_emit (Andrew Halaney) [RHEL-24205]
- serial: 8250: Correct Kconfig help text for blacklisted PCI devices (Andrew Halaney) [RHEL-24205]
- arm64: dts: marvell: armada-37xx: add device node for UART clock and use it (Andrew Halaney) [RHEL-24205]
- serial: mvebu-uart: implement support for baudrates higher than 230400 Bd (Andrew Halaney) [RHEL-24205]
- dt-bindings: mvebu-uart: update information about UART clock (Andrew Halaney) [RHEL-24205]
- serial: mvebu-uart: implement UART clock driver for configuring UART base clock (Andrew Halaney) [RHEL-24205]
- dt-bindings: mvebu-uart: document DT bindings for marvell,armada-3700-uart-clock (Andrew Halaney) [RHEL-24205]
- sc16is7xx: Separate GPIOs from modem control lines (Andrew Halaney) [RHEL-24205]
- sc16is7xx: Update status lines in single call (Andrew Halaney) [RHEL-24205]
- sc16is7xx: Preserve EFR bits on update (Andrew Halaney) [RHEL-24205]
- serial: 8250: Return early in .start_tx() if there are no chars to send (Andrew Halaney) [RHEL-24205]
- sc16is7xx: Fix for incorrect data being transmitted (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: fix proper link termination after failed open (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: fix encoding of control signal octet bit DV (Andrew Halaney) [RHEL-24205]
- serial: 8250_aspeed_vuart: add PORT_ASPEED_VUART port type (Andrew Halaney) [RHEL-24205]
- serial: 8250_mid: Remove unneeded test for ->setup() presence (Andrew Halaney) [RHEL-24205]
- serial: 8250_mid: Get rid of custom MID_DEVICE() macro (Andrew Halaney) [RHEL-24205]
- serial: sh-sci: Simplify multiplication/shift logic (Andrew Halaney) [RHEL-24205]
- tty: serial: atmel: add earlycon support (Andrew Halaney) [RHEL-24205]
- tty: n_tty: do not look ahead for EOL character past the end of the buffer (Andrew Halaney) [RHEL-24205]
- serial: parisc: GSC: fix build when IOSAPIC is not set (Andrew Halaney) [RHEL-24205]
- tty: serial: imx: Add fast path when rs485 delays are 0 (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm2835aux: Add ACPI support (Andrew Halaney) [RHEL-24205]
- serial: stm32: enable / disable wake irqs for mcrtl_gpio wakeup sources (Andrew Halaney) [RHEL-24205]
- serial: mctrl_gpio: add a new API to enable / disable wake_irq (Andrew Halaney) [RHEL-24205]
- serial: core: Drop duplicate NULL check in uart_*shutdown() (Andrew Halaney) [RHEL-24205]
- tty: Drop duplicate NULL check in TTY port functions (Andrew Halaney) [RHEL-24205]
- serial: core: Fix the definition name in the comment of UPF_* flags (Andrew Halaney) [RHEL-24205]
- amiserial: Drop duplicate NULL check in shutdown() (Andrew Halaney) [RHEL-24205]
- vt_ioctl: add array_index_nospec to VT_ACTIVATE (Andrew Halaney) [RHEL-24205]
- vt_ioctl: fix array_index_nospec in vt_setactivate (Andrew Halaney) [RHEL-24205]
- serial: 8250_pericom: Revert "Re-enable higher baud rates" (Andrew Halaney) [RHEL-24205]
- XArray: Include bitmap.h from xarray.h (Andrew Halaney) [RHEL-24205]
- n_tty: wake up poll(POLLRDNORM) on receiving data (Andrew Halaney) [RHEL-24205]
- serial: 8250_exar: derive nr_ports from PCI ID for Acces I/O cards (Andrew Halaney) [RHEL-24205]
- tty: Replace acpi_bus_get_device() (Andrew Halaney) [RHEL-24205]
- serial: mcf: use helpers in mcf_tx_chars() (Andrew Halaney) [RHEL-24205]
- serial: fsl_linflexuart: don't call uart_write_wakeup() twice (Andrew Halaney) [RHEL-24205]
- serial: fsl_linflexuart: deduplicate character sending (Andrew Halaney) [RHEL-24205]
- mxser: switch from xmit_buf to kfifo (Andrew Halaney) [RHEL-24205]
- mxser: use tty_port xmit_buf helpers (Andrew Halaney) [RHEL-24205]
- mxser: fix xmit_buf leak in activate when LSR == 0xff (Andrew Halaney) [RHEL-24205]
- tty: tty_port_open, document shutdown vs failed activate (Andrew Halaney) [RHEL-24205]
- tty: add kfifo to tty_port (Andrew Halaney) [RHEL-24205]
- serial: atmel_serial: include circ_buf.h (Andrew Halaney) [RHEL-24205]
- serial: core: clean up EXPORT_SYMBOLs (Andrew Halaney) [RHEL-24205]
- serial: imx: reduce RX interrupt frequency (Andrew Halaney) [RHEL-24205]
- tty: serial: max3100: Remove redundant 'flush_workqueue()' calls (Andrew Halaney) [RHEL-24205]
- serial: core: Initialize rs485 RTS polarity already on probe (Andrew Halaney) [RHEL-24205]
- serial: pl011: Fix incorrect rs485 RTS polarity on set_mctrl (Andrew Halaney) [RHEL-24205]
- serial: stm32: fix software flow control transfer (Andrew Halaney) [RHEL-24205]
- serial: stm32: prevent TDR register overwrite when sending x_char (Andrew Halaney) [RHEL-24205]
- serial: 8250: of: Fix mapped region size when using reg-offset property (Andrew Halaney) [RHEL-24205]
- tty: rpmsg: Fix race condition releasing tty port (Andrew Halaney) [RHEL-24205]
- tty: Add support for Brainboxes UC cards. (Andrew Halaney) [RHEL-24205]
- Revert "tty: serial: Use fifo in 8250 console driver" (Andrew Halaney) [RHEL-24205]
- vsprintf: rework bitmap_list_string (Andrew Halaney) [RHEL-24205]
- lib: bitmap: add performance test for bitmap_print_to_pagebuf (Andrew Halaney) [RHEL-24205]
- tools: sync tools/bitmap with mother linux (Andrew Halaney) [RHEL-24205]
- serial: core: Keep mctrl register state and cached copy in sync (Andrew Halaney) [RHEL-24205]
- serial: stm32: correct loop for dma error handling (Andrew Halaney) [RHEL-24205]
- serial: stm32: fix flow control transfer in DMA mode (Andrew Halaney) [RHEL-24205]
- serial: stm32: rework TX DMA state condition (Andrew Halaney) [RHEL-24205]
- serial: stm32: move tx dma terminate DMA to shutdown (Andrew Halaney) [RHEL-24205]
- serial: pl011: Drop redundant DTR/RTS preservation on close/open (Andrew Halaney) [RHEL-24205]
- serial: pl011: Drop CR register reset on set_termios (Andrew Halaney) [RHEL-24205]
- serial: pl010: Drop CR register reset on set_termios (Andrew Halaney) [RHEL-24205]
- serial: liteuart: fix MODULE_ALIAS (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm7271: Fix return error code in case of dma_alloc_coherent() failure (Andrew Halaney) [RHEL-24205]
- Revert "serdev: BREAK/FRAME/PARITY/OVERRUN notification prototype V2" (Andrew Halaney) [RHEL-24205]
- serdev: Do not instantiate serdevs on boards with known bogus DSDT entries (Andrew Halaney) [RHEL-24205]
- tty: goldfish: Use platform_get_irq() to get the interrupt (Andrew Halaney) [RHEL-24205]
- serdev: BREAK/FRAME/PARITY/OVERRUN notification prototype V2 (Andrew Halaney) [RHEL-24205]
- tty: serial: meson: Drop the legacy compatible strings and clock code (Andrew Halaney) [RHEL-24205]
- serial: pmac_zilog: Use platform_get_irq() to get the interrupt (Andrew Halaney) [RHEL-24205]
- serial: bcm63xx: Use platform_get_irq() to get the interrupt (Andrew Halaney) [RHEL-24205]
- serial: ar933x: Use platform_get_irq() to get the interrupt (Andrew Halaney) [RHEL-24205]
- serial: vt8500: Use platform_get_irq() to get the interrupt (Andrew Halaney) [RHEL-24205]
- serial: altera_jtaguart: Use platform_get_irq_optional() to get the interrupt (Andrew Halaney) [RHEL-24205]
- serial: pxa: Use platform_get_irq() to get the interrupt (Andrew Halaney) [RHEL-24205]
- serial: meson: Use platform_get_irq() to get the interrupt (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm7271: Propagate error codes from brcmuart_probe() (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm7271: Use platform_get_irq() to get the interrupt (Andrew Halaney) [RHEL-24205]
- serial: altera: Use platform_get_irq_optional() to get the interrupt (Andrew Halaney) [RHEL-24205]
- serial: lantiq: store and compare return status correctly (Andrew Halaney) [RHEL-24205]
- serial: 8250: Move Alpha-specific quirk out of the core (Andrew Halaney) [RHEL-24205]
- serial: Fix incorrect rs485 polarity on uart open (Andrew Halaney) [RHEL-24205]
- docs/driver-api: Replace a comma in the n_gsm.rst with a double colon (Andrew Halaney) [RHEL-24205]
- tty: Fix the keyboard led light display problem (Andrew Halaney) [RHEL-24205]
- tty/ldsem: Fix syntax errors in comments (Andrew Halaney) [RHEL-24205]
- serial: sh-sci: Remove BREAK/FRAME/PARITY/OVERRUN printouts (Andrew Halaney) [RHEL-24205]
- serial: 8250_pericom: Use serial_dl_write() instead of open coded (Andrew Halaney) [RHEL-24205]
- serial: 8250_pericom: Re-enable higher baud rates (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci: Split out Pericom driver (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: Fix console registration from module (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: Enable console as module (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: Remove USI initialization (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci: remove redundant assignment to tmp after the mask operation (Andrew Halaney) [RHEL-24205]
- serial: atmel: Use platform_get_irq() to get the interrupt (Andrew Halaney) [RHEL-24205]
- serial: sh-sci: Use devm_clk_get_optional() (Andrew Halaney) [RHEL-24205]
- serial: sh-sci: Use dev_err_probe() (Andrew Halaney) [RHEL-24205]
- serial: sh-sci: Drop support for "sci_ick" clock (Andrew Halaney) [RHEL-24205]
- serial: lantiq: Use platform_get_irq() to get the interrupt (Andrew Halaney) [RHEL-24205]
- tty: serial: sh-sci: Add support for R-Car Gen4 (Andrew Halaney) [RHEL-24205]
- dt-bindings: serial: renesas,scif: Document r8a779f0 bindings (Andrew Halaney) [RHEL-24205]
- xen/console: harden hvc_xen against event channel storms (Andrew Halaney) [RHEL-24205]
- serial: 8250_fintek: Fix garbled text for console (Andrew Halaney) [RHEL-24205]
- tty: n_hdlc: make n_hdlc_tty_wakeup() asynchronous (Andrew Halaney) [RHEL-24205]
- tty: mips_ejtag_fdc: Make use of the helper function kthread_run_on_cpu() (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm7271: UART errors after resuming from S2 (Andrew Halaney) [RHEL-24205]
- serial: amba-pl011: do not request memory region twice (Andrew Halaney) [RHEL-24205]
- tty: serial: uartlite: allow 64 bit address (Andrew Halaney) [RHEL-24205]
- Documentation: add TTY chapter (Andrew Halaney) [RHEL-24205]
- tty: add kernel-doc for tty_standard_install (Andrew Halaney) [RHEL-24205]
- tty: more kernel-doc for tty_ldisc (Andrew Halaney) [RHEL-24205]
- tty: make tty_ldisc docs up-to-date (Andrew Halaney) [RHEL-24205]
- tty: move tty_ldisc docs to new Documentation/tty/ (Andrew Halaney) [RHEL-24205]
- tty: add kernel-doc for more tty_port functions (Andrew Halaney) [RHEL-24205]
- tty: add kernel-doc for more tty_driver functions (Andrew Halaney) [RHEL-24205]
- tty: reformat kernel-doc in n_tty.c (Andrew Halaney) [RHEL-24205]
- tty: fix kernel-doc in n_tty.c (Andrew Halaney) [RHEL-24205]
- tty: reformat kernel-doc in tty_buffer.c (Andrew Halaney) [RHEL-24205]
- tty: reformat kernel-doc in tty_ldisc.c (Andrew Halaney) [RHEL-24205]
- tty: reformat kernel-doc in tty_io.c (Andrew Halaney) [RHEL-24205]
- tty: reformat kernel-doc in tty_port.c (Andrew Halaney) [RHEL-24205]
- tty: reformat TTY_DRIVER_ flags into kernel-doc (Andrew Halaney) [RHEL-24205]
- tty: combine tty_ldisc_ops docs into kernel-doc (Andrew Halaney) [RHEL-24205]
- tty: combine tty_operations triple docs into kernel-doc (Andrew Halaney) [RHEL-24205]
- tty: add kernel-doc for tty_ldisc_ops (Andrew Halaney) [RHEL-24205]
- tty: add kernel-doc for tty_port_operations (Andrew Halaney) [RHEL-24205]
- tty: add kernel-doc for tty_operations (Andrew Halaney) [RHEL-24205]
- tty: add kernel-doc for tty_driver (Andrew Halaney) [RHEL-24205]
- tty: add kernel-doc for tty_port (Andrew Halaney) [RHEL-24205]
- tty: serial: atmel: Call dma_async_issue_pending() (Andrew Halaney) [RHEL-24205]
- tty: serial: atmel: Check return code of dmaengine_submit() (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci: rewrite pericom_do_set_divisor() (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci: Fix ACCES entries in pci_serial_quirks array (Andrew Halaney) [RHEL-24205]
- serial: 8250: Fix RTS modem control while in rs485 mode (Andrew Halaney) [RHEL-24205]
- tty: serial: imx: disable UCR4_OREN in .stop_rx() instead of .shutdown() (Andrew Halaney) [RHEL-24205]
- tty: serial: imx: clear the RTSD status before enable the RTSD irq (Andrew Halaney) [RHEL-24205]
- serial: 8250_dw: Add StarFive JH7100 quirk (Andrew Halaney) [RHEL-24205]
- mxser: use PCI_DEVICE_DATA (Andrew Halaney) [RHEL-24205]
- mxser: move ids from pci_ids.h here (Andrew Halaney) [RHEL-24205]
- mxser: add MOXA prefix to some PCI device IDs (Andrew Halaney) [RHEL-24205]
- mxser: increase buf_overrun if tty_insert_flip_char() fails (Andrew Halaney) [RHEL-24205]
- mxser: remove tty parameter from mxser_receive_chars_new() (Andrew Halaney) [RHEL-24205]
- mxser: don't throttle manually (Andrew Halaney) [RHEL-24205]
- mxser: clean up timeout handling in mxser_wait_until_sent() (Andrew Halaney) [RHEL-24205]
- mxser: use msleep_interruptible() in mxser_wait_until_sent() (Andrew Halaney) [RHEL-24205]
- mxser: extract TX empty check from mxser_wait_until_sent() (Andrew Halaney) [RHEL-24205]
- mxser: use tty_port_close() in mxser_close() (Andrew Halaney) [RHEL-24205]
- mxser: don't flush buffer from mxser_close() directly (Andrew Halaney) [RHEL-24205]
- mxser: call stop_rx from mxser_shutdown_port() (Andrew Halaney) [RHEL-24205]
- mxser: remove tty->driver_data NULL check (Andrew Halaney) [RHEL-24205]
- mxser: remove pointless xmit_buf checks (Andrew Halaney) [RHEL-24205]
- mxser: clean up tx handling in mxser_transmit_chars() (Andrew Halaney) [RHEL-24205]
- mxser: move MSR read to mxser_check_modem_status() (Andrew Halaney) [RHEL-24205]
- mxser: keep only !tty test in ISR (Andrew Halaney) [RHEL-24205]
- mxser: rename mxser_close_port() to mxser_stop_rx() (Andrew Halaney) [RHEL-24205]
- mxser: remove wait for sent from mxser_close_port (Andrew Halaney) [RHEL-24205]
- serial: sh-sci: Add support to deassert/assert reset line (Andrew Halaney) [RHEL-24205]
- dt-bindings: serial: renesas,sci: Document RZ/G2L SoC (Andrew Halaney) [RHEL-24205]
- dt-bindings: serial: renesas,scif: Make resets as a required property (Andrew Halaney) [RHEL-24205]
- tty: serial, join uport checks in uart_port_shutdown() (Andrew Halaney) [RHEL-24205]
- tty: clean up whitespace in __do_SAK() (Andrew Halaney) [RHEL-24205]
- tty: remove tty NULL check from __do_SAK() (Andrew Halaney) [RHEL-24205]
- tty: remove TTY_SOFT_SAK part from __do_SAK() (Andrew Halaney) [RHEL-24205]
- n_gsm: remove unused parameters from gsm_error() (Andrew Halaney) [RHEL-24205]
- arm: remove zte zx platform left-over (Andrew Halaney) [RHEL-24205]
- serial: 8250: replace snprintf in show functions with sysfs_emit (Andrew Halaney) [RHEL-24205]
- serial: liteuart: relax compile-test dependencies (Andrew Halaney) [RHEL-24205]
- serial: liteuart: fix minor-number leak on probe errors (Andrew Halaney) [RHEL-24205]
- serial: liteuart: fix use-after-free and memleak on unbind (Andrew Halaney) [RHEL-24205]
- serial: liteuart: Fix NULL pointer dereference in ->remove() (Andrew Halaney) [RHEL-24205]
- tty: serial: msm_serial: Deactivate RX DMA for polling support (Andrew Halaney) [RHEL-24205]
- serial: core: fix transmit-buffer reset and memleak (Andrew Halaney) [RHEL-24205]
- xen: flag xen_snd_front to be not essential for system boot (Andrew Halaney) [RHEL-24205]
- xen: flag pvcalls-front to be not essential for system boot (Andrew Halaney) [RHEL-24205]
- xen: flag hvc_xen to be not essential for system boot (Andrew Halaney) [RHEL-24205]
- xen: add "not_essential" flag to struct xenbus_driver (Andrew Halaney) [RHEL-24205]
- serial: cpm_uart: Protect udbg definitions by CONFIG_SERIAL_CPM_CONSOLE (Andrew Halaney) [RHEL-24205]
- tty: rpmsg: Define tty name via constant string literal (Andrew Halaney) [RHEL-24205]
- tty: rpmsg: Add pr_fmt() to prefix messages (Andrew Halaney) [RHEL-24205]
- tty: rpmsg: Use dev_err_probe() in ->probe() (Andrew Halaney) [RHEL-24205]
- tty: rpmsg: Unify variable used to keep an error code (Andrew Halaney) [RHEL-24205]
- tty: rpmsg: Assign returned id to a local variable (Andrew Halaney) [RHEL-24205]
- serial: stm32: push DMA RX data before suspending (Andrew Halaney) [RHEL-24205]
- serial: stm32: terminate / restart DMA transfer at suspend / resume (Andrew Halaney) [RHEL-24205]
- serial: stm32: rework RX dma initialization and release (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci: Remove empty stub pci_quatech_exit() (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci: Replace custom pci_match_id() implementation (Andrew Halaney) [RHEL-24205]
- serial: xilinx_uartps: Fix race condition causing stuck TX (Andrew Halaney) [RHEL-24205]
- serial: sunzilog: Mark sunzilog_putchar() __maybe_unused (Andrew Halaney) [RHEL-24205]
- Revert "tty: hvc: pass DMA capable memory to put_chars()" (Andrew Halaney) [RHEL-24205]
- Revert "virtio-console: remove unnecessary kmemdup()" (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci: Replace dev_*() by pci_*() macros (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci: Get rid of redundant 'else' keyword (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci: Refactor the loop in pci_ite887x_init() (Andrew Halaney) [RHEL-24205]
- tty: add rpmsg driver (Andrew Halaney) [RHEL-24205]
- serial: 8250: rename unlock labels (Andrew Halaney) [RHEL-24205]
- serial: stm32: update throttle and unthrottle ops for dma mode (Andrew Halaney) [RHEL-24205]
- serial: stm32: rework RX over DMA (Andrew Halaney) [RHEL-24205]
- serial: stm32: re-introduce an irq flag condition in usart_receive_chars (Andrew Halaney) [RHEL-24205]
- virtio-console: remove unnecessary kmemdup() (Andrew Halaney) [RHEL-24205]
- tty: hvc: pass DMA capable memory to put_chars() (Andrew Halaney) [RHEL-24205]
- tty: hvc: use correct dma alignment size (Andrew Halaney) [RHEL-24205]
- serial: imx: disable console clocks on unregister (Andrew Halaney) [RHEL-24205]
- serial: sc16is7xx: Make sc16is7xx_remove() return void (Andrew Halaney) [RHEL-24205]
- serial: max310x: Make max310x_remove() return void (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: Improve naming for common macro (Andrew Halaney) [RHEL-24205]
- tty: serial: atmel: use macros instead of hardcoded values (Andrew Halaney) [RHEL-24205]
- tty/sysrq: More intuitive Shift handling (Andrew Halaney) [RHEL-24205]
- Revert "serial: 8250: Fix reporting real baudrate value in c_ospeed field" (Andrew Halaney) [RHEL-24205]
- serial: 8250: allow disabling of Freescale 16550 compile test (Andrew Halaney) [RHEL-24205]
- serial: 8250: Fix reporting real baudrate value in c_ospeed field (Andrew Halaney) [RHEL-24205]
- serial: sifive: set pointer to NULL rather than 0. (Andrew Halaney) [RHEL-24205]
- mxser: store FCR state in mxser_port::FCR (Andrew Halaney) [RHEL-24205]
- mxser: don't read from UART_FCR (Andrew Halaney) [RHEL-24205]
- mxser: move FIFO clearing to mxser_disable_and_clear_FIFO() (Andrew Halaney) [RHEL-24205]
- mxser: simplify FCR computation in mxser_change_speed() (Andrew Halaney) [RHEL-24205]
- mxser: make mxser_port::ldisc_stop_rx a bool (Andrew Halaney) [RHEL-24205]
- mxser: simplify condition in mxser_receive_chars_new (Andrew Halaney) [RHEL-24205]
- mxser: restore baud rate if its setting fails (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: describe driver in KConfig (Andrew Halaney) [RHEL-24205]
- xen/x86: make "earlyprintk=xen" work for HVM/PVH DomU (Andrew Halaney) [RHEL-24205]
- xen/x86: make "earlyprintk=xen" work better for PVH Dom0 (Andrew Halaney) [RHEL-24205]
- serial: 8250: remove duplicated BRI0A49 and BDP3336 entries (Andrew Halaney) [RHEL-24205]
- serial: 8250_fsl: Move fsl8250_data to ACPI section (Andrew Halaney) [RHEL-24205]
- serial: 8250: SERIAL_8250_EM should depend on ARCH_RENESAS (Andrew Halaney) [RHEL-24205]
- tty: remove flags from struct tty_ldisc_ops (Andrew Halaney) [RHEL-24205]
- tty: unexport tty_ldisc_release (Andrew Halaney) [RHEL-24205]
- tty: moxa: merge moxa.h into moxa.c (Andrew Halaney) [RHEL-24205]
- tty: synclink_gt: rename a conflicting function name (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: Modify cr bit value when config requester (Andrew Halaney) [RHEL-24205]
- tty: n_gsm: Add some instructions and code for requester (Andrew Halaney) [RHEL-24205]
- serial: mvebu-uart: fix driver's tx_empty callback (Andrew Halaney) [RHEL-24205]
- serial: 8250: 8250_omap: Fix RX_LVL register offset (Andrew Halaney) [RHEL-24205]
- tty: serial: uartlite: Prevent changing fixed parameters (Andrew Halaney) [RHEL-24205]
- sh: j2: Update uartlite binding with data and parity properties (Andrew Halaney) [RHEL-24205]
- dt-bindings: serial: uartlite: Add properties for synthesis-time parameters (Andrew Halaney) [RHEL-24205]
- dt-bindings: serial: uartlite: Convert to json-schema (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci: Prefer struct_size over open coded arithmetic (Andrew Halaney) [RHEL-24205]
- console: consume APC, DM, DCS (Andrew Halaney) [RHEL-24205]
- tty: serial: uartlite: Use read_poll_timeout for a polling loop (Andrew Halaney) [RHEL-24205]
- tty: serial: uartlite: Use constants in early_uartlite_putc (Andrew Halaney) [RHEL-24205]
- tty: Fix data race between tiocsti() and flush_to_ldisc() (Andrew Halaney) [RHEL-24205]
- serial: vt8500: Use of_device_get_match_data (Andrew Halaney) [RHEL-24205]
- serial: 8250_ingenic: Use of_device_get_match_data (Andrew Halaney) [RHEL-24205]
- tty: serial: linflexuart: Remove redundant check to simplify the code (Andrew Halaney) [RHEL-24205]
- mxser: use semi-colons instead of commas (Andrew Halaney) [RHEL-24205]
- tty: moxa: use semi-colons instead of commas (Andrew Halaney) [RHEL-24205]
- tty: replace in_irq() with in_hardirq() (Andrew Halaney) [RHEL-24205]
- serial: sh-sci: fix break handling for sysrq (Andrew Halaney) [RHEL-24205]
- serial: stm32: use devm_platform_get_and_ioremap_resource() (Andrew Halaney) [RHEL-24205]
- serial: stm32: use the defined variable to simplify code (Andrew Halaney) [RHEL-24205]
- Revert "arm pl011 serial: support multi-irq request" (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: Add Exynos850 SoC data (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: Fix driver data macros style (Andrew Halaney) [RHEL-24205]
- tty: serial: samsung: Init USI to keep clocks running (Andrew Halaney) [RHEL-24205]
- platform/surface: aggregator: Use serdev_acpi_get_uart_resource() helper (Andrew Halaney) [RHEL-24205]
- serdev: Split and export serdev_acpi_get_uart_resource() (Andrew Halaney) [RHEL-24205]
- serial: stm32: fix the conditional expression writing (Andrew Halaney) [RHEL-24205]
- serial: omap: Only allow if 8250_omap is not selected (Andrew Halaney) [RHEL-24205]
- vt: keyboard.c: make console an unsigned int (Andrew Halaney) [RHEL-24205]
- vt: keyboard: treat kbd_table as an array all the time. (Andrew Halaney) [RHEL-24205]
- serial: 8250_pci: make setup_port() parameters explicitly unsigned (Andrew Halaney) [RHEL-24205]
- tty: pdc_cons, free tty_driver upon failure (Andrew Halaney) [RHEL-24205]
- tty: drop put_tty_driver (Andrew Halaney) [RHEL-24205]
- tty: drop alloc_tty_driver (Andrew Halaney) [RHEL-24205]
- xtensa: ISS: don't panic in rs_init (Andrew Halaney) [RHEL-24205]
- serial: max310x: Use clock-names property matching to recognize EXTCLK (Andrew Halaney) [RHEL-24205]
- serial: 8250: Define RX trigger levels for OxSemi 950 devices (Andrew Halaney) [RHEL-24205]
- tty: hvc: replace BUG_ON() with negative return value (Andrew Halaney) [RHEL-24205]
- amiserial: remove unused state from shutdown (Andrew Halaney) [RHEL-24205]
- amiserial: pack and sort includes (Andrew Halaney) [RHEL-24205]
- amiserial: expand serial_isroot (Andrew Halaney) [RHEL-24205]
- amiserial: simplify rs_open (Andrew Halaney) [RHEL-24205]
- amiserial: remove serial_state::xmit_fifo_size (Andrew Halaney) [RHEL-24205]
- amiserial: remove stale comment (Andrew Halaney) [RHEL-24205]
- amiserial: remove unused DBG_CNT (Andrew Halaney) [RHEL-24205]
- serial: 8250_exar: Add ->unregister_gpio() callback (Andrew Halaney) [RHEL-24205]
- tty: serial: Fix spelling mistake "Asychronous" -> "Asynchronous" (Andrew Halaney) [RHEL-24205]
- tty: serial: uartlite: Add runtime pm support (Andrew Halaney) [RHEL-24205]
- tty: serial: uartlite: Disable clocks in case of errors (Andrew Halaney) [RHEL-24205]
- tty: Fix out-of-bound vmalloc access in imageblit (Andrew Halaney) [RHEL-24205]
- serial: samsung: use dma_ops of DMA if attached (Andrew Halaney) [RHEL-24205]
- arm pl011 serial: support multi-irq request (Andrew Halaney) [RHEL-24205]
- serial: amba-pl011: add RS485 support (Andrew Halaney) [RHEL-24205]
- tty: serial: jsm: hold port lock when reporting modem line changes (Andrew Halaney) [RHEL-24205]
- serial: core: Report magic multiplier extra baud rates (Andrew Halaney) [RHEL-24205]
- serial: 8250: extend compile-test coverage (Andrew Halaney) [RHEL-24205]
- serial: 8250_bcm7271: use NULL to initialized a null pointer (Andrew Halaney) [RHEL-24205]
- serial: 8250_pnp: Support configurable clock frequency (Andrew Halaney) [RHEL-24205]
- serial: 8250: 8250_omap: make a const array static, makes object smaller (Andrew Halaney) [RHEL-24205]
- Revert "tty/serial/omap: Make the locking RT aware" (Andrew Halaney) [RHEL-24205]
- Revert "tty/serial/pl011: Make the locking work on RT" (Andrew Halaney) [RHEL-24205]
- Revert "printk: Bring back the RT bits." (Andrew Halaney) [RHEL-24205]
- Revert "printk: add infrastucture for atomic consoles" (Andrew Halaney) [RHEL-24205]
- Revert "serial: 8250: implement write_atomic" (Andrew Halaney) [RHEL-24205]
- Revert "printk: avoid preempt_disable() for PREEMPT_RT" (Andrew Halaney) [RHEL-24205]
- Revert "printk: allow disabling printk per-console device kthreads at boot" (Andrew Halaney) [RHEL-24205]
- Revert "serial: Make ->set_termios() old ktermios const" (Andrew Halaney) [RHEL-24205]
- Revert "serial: 8250_dw: Add ACPI ID for Granite Rapids-D UART" (Andrew Halaney) [RHEL-24205]
Resolves: RHEL-24205, RHEL-3987

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-05-14 11:26:24 -03:00
Lucas Zampieri
d03c9992ac
kernel-5.14.0-449.el9
* Mon May 13 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-449.el9]
- copy_oldmem_kernel() - WRITE is "data source", not destination (Chris von Recklinghausen) [RHEL-27741]
- add CONFIG_SAMPLE_KMEMLEAK to RHEL configs collection (Chris von Recklinghausen) [RHEL-27741]
- add CONFIG_RANDOMIZE_KSTACK_OFFSET to RHEL configs collection (Chris von Recklinghausen) [RHEL-27741]
- add CONFIG_DMAPOOL_TEST to RHEL configs collection (Chris von Recklinghausen) [RHEL-27741]
- Revert "mm: vmscan: make global slab shrink lockless" (Chris von Recklinghausen) [RHEL-27741]
- Revert "mm: vmscan: make memcg slab shrink lockless" (Chris von Recklinghausen) [RHEL-27741]
- Revert "mm: vmscan: add shrinker_srcu_generation" (Chris von Recklinghausen) [RHEL-27741]
- Revert "mm: shrinkers: make count and scan in shrinker debugfs lockless" (Chris von Recklinghausen) [RHEL-27741]
- Revert "mm: vmscan: hold write lock to reparent shrinker nr_deferred" (Chris von Recklinghausen) [RHEL-27741]
- Revert "mm: vmscan: remove shrinker_rwsem from synchronize_shrinkers()" (Chris von Recklinghausen) [RHEL-27741]
- Revert "mm: shrinkers: convert shrinker_rwsem to mutex" (Chris von Recklinghausen) [RHEL-27741]
- mm/khugepaged: fix iteration in collapse_file (Chris von Recklinghausen) [RHEL-27741]
- memfd: check for non-NULL file_seals in memfd_create() syscall (Chris von Recklinghausen) [RHEL-27741]
- mm/vmalloc: do not output a spurious warning when huge vmalloc() fails (Chris von Recklinghausen) [RHEL-27741]
- mm/mprotect: fix do_mprotect_pkey() limit check (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap: Fix error path in do_vmi_align_munmap() (Chris von Recklinghausen) [RHEL-27741]
- zswap: do not shrink if cgroup may not zswap (Chris von Recklinghausen) [RHEL-27741]
- page cache: fix page_cache_next/prev_miss off by one (Chris von Recklinghausen) [RHEL-27741]
- mm/gup_test: fix ioctl fail for compat task (Chris von Recklinghausen) [RHEL-27741]
- mm/gup: remove unused vmas parameter from pin_user_pages_remote() (Chris von Recklinghausen) [RHEL-27741]
- splice: Rename direct_splice_read() to copy_splice_read() (Chris von Recklinghausen) [RHEL-27741]
- mm: fix zswap writeback race condition (Chris von Recklinghausen) [RHEL-27741]
- mm: kfence: fix false positives on big endian (Chris von Recklinghausen) [RHEL-27741]
- zsmalloc: move LRU update from zs_map_object() to zs_malloc() (Chris von Recklinghausen) [RHEL-27741]
- mm: shrinkers: fix race condition on debugfs cleanup (Chris von Recklinghausen) [RHEL-27741]
- dmapool: link blocks across pages (Chris von Recklinghausen) [RHEL-27741]
- dmapool: don't memset on free twice (Chris von Recklinghausen) [RHEL-27741]
- dmapool: simplify freeing (Chris von Recklinghausen) [RHEL-27741]
- dmapool: consolidate page initialization (Chris von Recklinghausen) [RHEL-27741]
- dmapool: rearrange page alloc failure handling (Chris von Recklinghausen) [RHEL-27741]
- dmapool: move debug code to own functions (Chris von Recklinghausen) [RHEL-27741]
- dmapool: speedup DMAPOOL_DEBUG with init_on_alloc (Chris von Recklinghausen) [RHEL-27741]
- dmapool: cleanup integer types (Chris von Recklinghausen) [RHEL-27741]
- dmapool: use sysfs_emit() instead of scnprintf() (Chris von Recklinghausen) [RHEL-27741]
- dmapool: remove checks for dev == NULL (Chris von Recklinghausen) [RHEL-27741]
- mm: do not reclaim private data from pinned page (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap/vma_merge: always check invariants (Chris von Recklinghausen) [RHEL-27741]
- filemap: Handle error return from __filemap_get_folio() (Chris von Recklinghausen) [RHEL-27741]
- mm: change per-VMA lock statistics to be disabled by default (Chris von Recklinghausen) [RHEL-27741]
- mm/mempolicy: correctly update prev when policy is equal on mbind (Chris von Recklinghausen) [RHEL-27741]
- kasan: hw_tags: avoid invalid virt_to_page() (Chris von Recklinghausen) [RHEL-27741]
- mm/page_alloc: add some comments to explain the possible hole in __pageblock_pfn_to_page() (Chris von Recklinghausen) [RHEL-27741]
- mm/ksm: move disabling KSM from s390/gmap code to KSM code (Chris von Recklinghausen) [RHEL-27741]
- mm/ksm: unmerge and clear VM_MERGEABLE when setting PR_SET_MEMORY_MERGE=0 (Chris von Recklinghausen) [RHEL-27741]
- mm/damon/paddr: fix missing folio_sz update in damon_pa_young() (Chris von Recklinghausen) [RHEL-27741]
- mm/damon/paddr: minor refactor of damon_pa_mark_accessed_or_deactivate() (Chris von Recklinghausen) [RHEL-27741]
- mm/damon/paddr: minor refactor of damon_pa_pageout() (Chris von Recklinghausen) [RHEL-27741]
- mm,unmap: avoid flushing TLB in batch if PTE is inaccessible (Chris von Recklinghausen) [RHEL-27741]
- shmem: restrict noswap option to initial user namespace (Chris von Recklinghausen) [RHEL-27741]
- mm/khugepaged: fix conflicting mods to collapse_file() (Chris von Recklinghausen) [RHEL-27741]
- mm/mremap: fix vm_pgoff in vma_merge() case 3 (Chris von Recklinghausen) [RHEL-27741]
- seq_buf: Add seq_buf_do_printk() helper (Chris von Recklinghausen) [RHEL-27741]
- sparse: remove unnecessary 0 values from rc (Chris von Recklinghausen) [RHEL-27741]
- mm: move 'mmap_min_addr' logic from callers into vm_unmapped_area() (Chris von Recklinghausen) [RHEL-27741]
- mm: do not increment pgfault stats when page fault handler retries (Chris von Recklinghausen) [RHEL-27741]
- zsmalloc: allow only one active pool compaction context (Chris von Recklinghausen) [RHEL-27741]
- mm: add new KSM process and sysfs knobs (Chris von Recklinghausen) [RHEL-27741]
- mm: add new api to enable ksm per process (Chris von Recklinghausen) [RHEL-27741]
- mm: don't check VMA write permissions if the PTE/PMD indicates write permissions (Chris von Recklinghausen) [RHEL-27741]
- migrate_pages_batch: fix statistics for longterm pin retry (Chris von Recklinghausen) [RHEL-27741]
- userfaultfd: use helper function range_in_vma() (Chris von Recklinghausen) [RHEL-27741]
- lib/show_mem.c: use for_each_populated_zone() simplify code (Chris von Recklinghausen) [RHEL-27741]
- mm/hugetlb: fix uffd-wp bit lost when unsharing happens (Chris von Recklinghausen) [RHEL-27741]
- mm/hugetlb: fix uffd-wp during fork() (Chris von Recklinghausen) [RHEL-27741]
- kasan: fix lockdep report invalid wait context (Chris von Recklinghausen) [RHEL-27741]
- mm: ksm: support hwpoison for ksm page (Chris von Recklinghausen) [RHEL-27741]
- mm: memory-failure: refactor add_to_kill() (Chris von Recklinghausen) [RHEL-27741]
- memfd: pass argument of memfd_fcntl as int (Chris von Recklinghausen) [RHEL-27741]
- mm: workingset: update description of the source file (Chris von Recklinghausen) [RHEL-27741]
- printk: export console trace point for kcsan/kasan/kfence/kmsan (Chris von Recklinghausen) [RHEL-27741]
- mm: vmscan: refactor updating current->reclaim_state (Chris von Recklinghausen) [RHEL-27741]
- mm: vmscan: move set_task_reclaim_state() near flush_reclaim_state() (Chris von Recklinghausen) [RHEL-27741]
- mm: vmscan: ignore non-LRU-based reclaim in memcg reclaim (Chris von Recklinghausen) [RHEL-27741]
- mm: apply __must_check to vmap_pages_range_noflush() (Chris von Recklinghausen) [RHEL-27741]
- mm: hwpoison: support recovery from HugePage copy-on-write faults (Chris von Recklinghausen) [RHEL-27741]
- mm/vmscan: simplify shrink_node() (Chris von Recklinghausen) [RHEL-27741]
- mpage: use folios in bio end_io handler (Chris von Recklinghausen) [RHEL-27741]
- mpage: split submit_bio and bio end_io handler for reads and writes (Chris von Recklinghausen) [RHEL-27741]
- mm/huge_memory: conditionally call maybe_mkwrite() and drop pte_wrprotect() in __split_huge_pmd_locked() (Chris von Recklinghausen) [RHEL-27741]
- mm: convert copy_user_huge_page() to copy_user_large_folio() (Chris von Recklinghausen) [RHEL-27741]
- userfaultfd: convert mfill_atomic_hugetlb() to use a folio (Chris von Recklinghausen) [RHEL-27741]
- userfaultfd: convert copy_huge_page_from_user() to copy_folio_from_user() (Chris von Recklinghausen) [RHEL-27741]
- userfaultfd: use kmap_local_page() in copy_huge_page_from_user() (Chris von Recklinghausen) [RHEL-27741]
- userfaultfd: convert mfill_atomic_pte_copy() to use a folio (Chris von Recklinghausen) [RHEL-27741]
- mm, page_alloc: use check_pages_enabled static key to check tail pages (Chris von Recklinghausen) [RHEL-27741]
- mm: mlock: use folios_put() in mlock_folio_batch() (Chris von Recklinghausen) [RHEL-27741]
- mm/madvise: use vma_lookup() instead of find_vma() (Chris von Recklinghausen) [RHEL-27741]
- mm/khugepaged: maintain page cache uptodate flag (Chris von Recklinghausen) [RHEL-27741]
- mm/khugepaged: skip shmem with userfaultfd (Chris von Recklinghausen) [RHEL-27741]
- mm/khugepaged: refactor collapse_file control flow (Chris von Recklinghausen) [RHEL-27741]
- mm/khugepaged: drain lru after swapping in shmem (Chris von Recklinghausen) [RHEL-27741]
- mm/khugepaged: recover from poisoned file-backed memory (Chris von Recklinghausen) [RHEL-27741]
- mm/hwpoison: introduce copy_mc_highpage (Chris von Recklinghausen) [RHEL-27741]
- mm/khugepaged: recover from poisoned anonymous memory (Chris von Recklinghausen) [RHEL-27741]
- mm: kfence: improve the performance of __kfence_alloc() and __kfence_free() (Chris von Recklinghausen) [RHEL-27741]
- mm/zswap: delay the initialization of zswap (Chris von Recklinghausen) [RHEL-27741]
- mm/zswap: replace zswap_init_{started/failed} with zswap_init_state (Chris von Recklinghausen) [RHEL-27741]
- mm/zswap: remove zswap_entry_cache_{create,destroy} helper function (Chris von Recklinghausen) [RHEL-27741]
- mm: vmalloc: rename addr_to_vb_xarray() function (Chris von Recklinghausen) [RHEL-27741]
- kmemleak-test: fix kmemleak_test.c build logic (Chris von Recklinghausen) [RHEL-27741]
- mm: vmalloc: remove a global vmap_blocks xarray (Chris von Recklinghausen) [RHEL-27741]
- mm: move free_area_empty() to mm/internal.h (Chris von Recklinghausen) [RHEL-27741]
- hugetlb: remove PageHeadHuge() (Chris von Recklinghausen) [RHEL-27741]
- mm: khugepaged: fix kernel BUG in hpage_collapse_scan_file() (Chris von Recklinghausen) [RHEL-27741]
- zsmalloc: reset compaction source zspage pointer after putback_zspage() (Chris von Recklinghausen) [RHEL-27741]
- mm: make arch_has_descending_max_zone_pfns() static (Chris von Recklinghausen) [RHEL-27741]
- zswap: remove MODULE_LICENSE in non-modules (Chris von Recklinghausen) [RHEL-27741]
- zpool: remove MODULE_LICENSE in non-modules (Chris von Recklinghausen) [RHEL-27741]
- mm: compaction: remove incorrect #ifdef checks (Chris von Recklinghausen) [RHEL-27741]
- mm: compaction: move compaction sysctl to its own file (Chris von Recklinghausen) [RHEL-27741]
- mm: memory-failure: Move memory failure sysctls to its own file (Chris von Recklinghausen) [RHEL-27741]
- mm: uninline kstrdup() (Chris von Recklinghausen) [RHEL-27741]
- fs: Add FGP_WRITEBEGIN (Chris von Recklinghausen) [RHEL-27741]
- sched/numa: enhance vma scanning logic (Chris von Recklinghausen) [RHEL-27741]
- sched/numa: apply the scan delay to every new vma (Chris von Recklinghausen) [RHEL-27741]
- mm: separate vma->lock from vm_area_struct (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap: free vm_area_struct without call_rcu in exit_mmap (Chris von Recklinghausen) [RHEL-27741]
- mm: introduce per-VMA lock statistics (Chris von Recklinghausen) [RHEL-27741]
- mm: prevent userfaults to be handled under per-vma lock (Chris von Recklinghausen) [RHEL-27741]
- mm: prevent do_swap_page from handling page faults under VMA lock (Chris von Recklinghausen) [RHEL-27741]
- mm: add FAULT_FLAG_VMA_LOCK flag (Chris von Recklinghausen) [RHEL-27741]
- mm: fall back to mmap_lock if vma->anon_vma is not yet set (Chris von Recklinghausen) [RHEL-27741]
- mm: introduce lock_vma_under_rcu to be used from arch-specific code (Chris von Recklinghausen) [RHEL-27741]
- mm: introduce vma detached flag (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap: prevent pagefault handler from racing with mmu_notifier registration (Chris von Recklinghausen) [RHEL-27741]
- kernel/fork: assert no VMA readers during its destruction (Chris von Recklinghausen) [RHEL-27741]
- mm: conditionally write-lock VMA in free_pgtables (Chris von Recklinghausen) [RHEL-27741]
- mm: write-lock VMAs before removing them from VMA tree (Chris von Recklinghausen) [RHEL-27741]
- mm/mremap: write-lock VMA while remapping it to a new address range (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap: write-lock VMAs in vma_prepare before modifying them (Chris von Recklinghausen) [RHEL-27741]
- mm/khugepaged: write-lock VMA while collapsing a huge page (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap: move vma_prepare before vma_adjust_trans_huge (Chris von Recklinghausen) [RHEL-27741]
- mm: add per-VMA lock and helper functions to control it (Chris von Recklinghausen) [RHEL-27741]
- mm: rcu safe VMA freeing (Chris von Recklinghausen) [RHEL-27741]
- mm: introduce CONFIG_PER_VMA_LOCK (Chris von Recklinghausen) [RHEL-27741]
- mm: hold the RCU read lock over calls to ->map_pages (Chris von Recklinghausen) [RHEL-27741]
- afs: split afs_pagecache_valid() out of afs_validate() (Chris von Recklinghausen) [RHEL-27741]
- xfs: remove xfs_filemap_map_pages() wrapper (Chris von Recklinghausen) [RHEL-27741]
- mm/damon/sysfs: make more kobj_type structures constant (Chris von Recklinghausen) [RHEL-27741]
- mm: be less noisy during memory hotplug (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap/vma_merge: init cleanup, be explicit about the non-mergeable case (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap/vma_merge: explicitly assign res, vma, extend invariants (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap/vma_merge: fold curr, next assignment logic (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap/vma_merge: further improve prev/next VMA naming (Chris von Recklinghausen) [RHEL-27741]
- mm: vmalloc: convert vread() to vread_iter() (Chris von Recklinghausen) [RHEL-27741]
- iov_iter: add copy_page_to_iter_nofault() (Chris von Recklinghausen) [RHEL-27741]
- fs/proc/kcore: convert read_kcore() to read_kcore_iter() (Chris von Recklinghausen) [RHEL-27741]
- fs/proc/kcore: avoid bounce buffer for ktext data (Chris von Recklinghausen) [RHEL-27741]
- MAINTAINERS: extend memblock entry to include MM initialization (Chris von Recklinghausen) [RHEL-27741]
- mm: move vmalloc_init() declaration to mm/internal.h (Chris von Recklinghausen) [RHEL-27741]
- mm: move kmem_cache_init() declaration to mm/slab.h (Chris von Recklinghausen) [RHEL-27741]
- mm: move mem_init_print_info() to mm_init.c (Chris von Recklinghausen) [RHEL-27741]
- init,mm: fold late call to page_ext_init() to page_alloc_init_late() (Chris von Recklinghausen) [RHEL-27741]
- mm: move init_mem_debugging_and_hardening() to mm/mm_init.c (Chris von Recklinghausen) [RHEL-27741]
- mm: call {ptlock,pgtable}_cache_init() directly from mm_core_init() (Chris von Recklinghausen) [RHEL-27741]
- init,mm: move mm_init() to mm/mm_init.c and rename it to mm_core_init() (Chris von Recklinghausen) [RHEL-27741]
- init: fold build_all_zonelists() and page_alloc_init_cpuhp() to mm_init() (Chris von Recklinghausen) [RHEL-27741]
- mm/page_alloc: rename page_alloc_init() to page_alloc_init_cpuhp() (Chris von Recklinghausen) [RHEL-27741]
- mm: handle hashdist initialization in mm/mm_init.c (Chris von Recklinghausen) [RHEL-27741]
- mm: move most of core MM initialization to mm/mm_init.c (Chris von Recklinghausen) [RHEL-27741]
- mm/page_alloc: add helper for checking if check_pages_enabled (Chris von Recklinghausen) [RHEL-27741]
- mips: fix comment about pgtable_init() (Chris von Recklinghausen) [RHEL-27741]
- mm: move get_page_from_free_area() to mm/page_alloc.c (Chris von Recklinghausen) [RHEL-27741]
- mm: prefer fault_around_pages to fault_around_bytes (Chris von Recklinghausen) [RHEL-27741]
- mm: refactor do_fault_around() (Chris von Recklinghausen) [RHEL-27741]
- mm: compaction: fix the possible deadlock when isolating hugetlb pages (Chris von Recklinghausen) [RHEL-27741]
- mm: compaction: consider the number of scanning compound pages in isolate fail path (Chris von Recklinghausen) [RHEL-27741]
- mm/mremap: simplify vma expansion again (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap: start distinguishing if vma can be removed in mergeability test (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap/vma_merge: convert mergeability checks to return bool (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap/vma_merge: rename adj_next to adj_start (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap/vma_merge: set mid to NULL if not applicable (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap/vma_merge: initialize mid and next in natural order (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap/vma_merge: use the proper vma pointer in case 4 (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap/vma_merge: use the proper vma pointers in cases 1 and 6 (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap/vma_merge: use the proper vma pointer in case 3 (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap/vma_merge: use only primary pointers for preparing merge (Chris von Recklinghausen) [RHEL-27741]
- mm: userfaultfd: combine 'mode' and 'wp_copy' arguments (Chris von Recklinghausen) [RHEL-27741]
- mm: userfaultfd: don't pass around both mm and vma (Chris von Recklinghausen) [RHEL-27741]
- mm: userfaultfd: rename functions for clarity + consistency (Chris von Recklinghausen) [RHEL-27741]
- kasan: suppress recursive reports for HW_TAGS (Chris von Recklinghausen) [RHEL-27741]
- mm: return an ERR_PTR from __filemap_get_folio (Chris von Recklinghausen) [RHEL-27741]
- mm: remove FGP_ENTRY (Chris von Recklinghausen) [RHEL-27741]
- shmem: open code the page cache lookup in shmem_get_folio_gfp (Chris von Recklinghausen) [RHEL-27741]
- shmem: shmem_get_partial_folio use filemap_get_entry (Chris von Recklinghausen) [RHEL-27741]
- mm: use filemap_get_entry in filemap_get_incore_folio (Chris von Recklinghausen) [RHEL-27741]
- mm: make mapping_get_entry available outside of filemap.c (Chris von Recklinghausen) [RHEL-27741]
- mm: don't look at xarray value entries in split_huge_pages_in_file (Chris von Recklinghausen) [RHEL-27741]
- dmapool: create/destroy cleanup (Chris von Recklinghausen) [RHEL-27741]
- dmapool: link blocks across pages (Chris von Recklinghausen) [RHEL-27741]
- dmapool: don't memset on free twice (Chris von Recklinghausen) [RHEL-27741]
- dmapool: simplify freeing (Chris von Recklinghausen) [RHEL-27741]
- dmapool: consolidate page initialization (Chris von Recklinghausen) [RHEL-27741]
- dmapool: rearrange page alloc failure handling (Chris von Recklinghausen) [RHEL-27741]
- dmapool: move debug code to own functions (Chris von Recklinghausen) [RHEL-27741]
- dmapool: speedup DMAPOOL_DEBUG with init_on_alloc (Chris von Recklinghausen) [RHEL-27741]
- dmapool: cleanup integer types (Chris von Recklinghausen) [RHEL-27741]
- dmapool: use sysfs_emit() instead of scnprintf() (Chris von Recklinghausen) [RHEL-27741]
- dmapool: remove checks for dev == NULL (Chris von Recklinghausen) [RHEL-27741]
- dmapool: add alloc/free performance test (Chris von Recklinghausen) [RHEL-27741]
- iov_iter: overlay struct iovec and ubuf/len (Chris von Recklinghausen) [RHEL-27741]
- mm/slab: document kfree() as allowed for kmem_cache_alloc() objects (Chris von Recklinghausen) [RHEL-27741]
- mm/slob: remove slob.c (Chris von Recklinghausen) [RHEL-27741]
- mm/slob: remove CONFIG_SLOB (Chris von Recklinghausen) [RHEL-27741]
- mm/thp: rename TRANSPARENT_HUGEPAGE_NEVER_DAX to _UNSUPPORTED (Chris von Recklinghausen) [RHEL-27741]
- mm: memory-failure: directly use IS_ENABLED(CONFIG_HWPOISON_INJECT) (Chris von Recklinghausen) [RHEL-27741]
- mm: shrinkers: convert shrinker_rwsem to mutex (Chris von Recklinghausen) [RHEL-27741]
- mm: vmscan: remove shrinker_rwsem from synchronize_shrinkers() (Chris von Recklinghausen) [RHEL-27741]
- mm: vmscan: hold write lock to reparent shrinker nr_deferred (Chris von Recklinghausen) [RHEL-27741]
- mm: shrinkers: make count and scan in shrinker debugfs lockless (Chris von Recklinghausen) [RHEL-27741]
- mm: vmscan: add shrinker_srcu_generation (Chris von Recklinghausen) [RHEL-27741]
- mm: vmscan: make memcg slab shrink lockless (Chris von Recklinghausen) [RHEL-27741]
- mm: vmscan: make global slab shrink lockless (Chris von Recklinghausen) [RHEL-27741]
- mm: vmscan: add a map_nr_max field to shrinker_info (Chris von Recklinghausen) [RHEL-27741]
- mm: prefer xxx_page() alloc/free functions for order-0 pages (Chris von Recklinghausen) [RHEL-27741]
- kasan: remove PG_skip_kasan_poison flag (Chris von Recklinghausen) [RHEL-27741]
- shmem: add support to ignore swap (Chris von Recklinghausen) [RHEL-27741]
- shmem: update documentation (Chris von Recklinghausen) [RHEL-27741]
- shmem: skip page split if we're not reclaiming (Chris von Recklinghausen) [RHEL-27741]
- shmem: move reclaim check early on writepages() (Chris von Recklinghausen) [RHEL-27741]
- shmem: set shmem_writepage() variables early (Chris von Recklinghausen) [RHEL-27741]
- shmem: remove check for folio lock on writepage() (Chris von Recklinghausen) [RHEL-27741]
- mm/gup.c: fix typo in comments (Chris von Recklinghausen) [RHEL-27741]
- mm,jfs: move write_one_page/folio_write_one to jfs (Chris von Recklinghausen) [RHEL-27741]
- kmsan: add test_stackdepot_roundtrip (Chris von Recklinghausen) [RHEL-27741]
- zsmalloc: show per fullness group class stats (Chris von Recklinghausen) [RHEL-27741]
- zsmalloc: rework compaction algorithm (Chris von Recklinghausen) [RHEL-27741]
- zsmalloc: fine-grained inuse ratio based fullness grouping (Chris von Recklinghausen) [RHEL-27741]
- zsmalloc: remove insert_zspage() ->inuse optimization (Chris von Recklinghausen) [RHEL-27741]
- kmsan: add memsetXX tests (Chris von Recklinghausen) [RHEL-27741]
- kmsan: another take at fixing memcpy tests (Chris von Recklinghausen) [RHEL-27741]
- mm/khugepaged: cleanup memcg uncharge for failure path (Chris von Recklinghausen) [RHEL-27741]
- mm: swap: remove unneeded cgroup_throttle_swaprate() (Chris von Recklinghausen) [RHEL-27741]
- mm: memory: use folio_throttle_swaprate() in do_cow_fault() (Chris von Recklinghausen) [RHEL-27741]
- mm: memory: use folio_throttle_swaprate() in do_anonymous_page() (Chris von Recklinghausen) [RHEL-27741]
- mm: memory: use folio_throttle_swaprate() in wp_page_copy() (Chris von Recklinghausen) [RHEL-27741]
- mm: memory: use folio_throttle_swaprate() in page_copy_prealloc() (Chris von Recklinghausen) [RHEL-27741]
- mm: memory: use folio_throttle_swaprate() in do_swap_page() (Chris von Recklinghausen) [RHEL-27741]
- mm: huge_memory: convert __do_huge_pmd_anonymous_page() to use a folio (Chris von Recklinghausen) [RHEL-27741]
- mm/rmap: use atomic_try_cmpxchg in set_tlb_ubc_flush_pending (Chris von Recklinghausen) [RHEL-27741]
- mm/debug: use %%pGt to display page_type in dump_page() (Chris von Recklinghausen) [RHEL-27741]
- mm, printk: introduce new format %%pGt for page_type (Chris von Recklinghausen) [RHEL-27741]
- mmflags.h: use less error prone method to define pageflag_names (Chris von Recklinghausen) [RHEL-27741]
- mm: add tracepoints to ksm (Chris von Recklinghausen) [RHEL-27741]
- x86/mm/pat: clear VM_PAT if copy_p4d_range failed (Chris von Recklinghausen) [RHEL-27741]
- mm/userfaultfd: support WP on multiple VMAs (Chris von Recklinghausen) [RHEL-27741]
- mm, page_alloc: reduce page alloc/free sanity checks (Chris von Recklinghausen) [RHEL-27741]
- mm: reduce lock contention of pcp buffer refill (Chris von Recklinghausen) [RHEL-27741]
- splice: Add a func to do a splice from an O_DIRECT file without ITER_PIPE (Chris von Recklinghausen) [RHEL-27741]
- fs: don't allocate blocks beyond EOF from __mpage_writepage (Chris von Recklinghausen) [RHEL-27741]
- iomap: Add iomap_get_folio helper (Chris von Recklinghausen) [RHEL-27741]
- iov_iter: saner checks for attempt to copy to/from iterator (Chris von Recklinghausen) [RHEL-27741]
- mm: introduce FOLL_PCI_P2PDMA to gate getting PCI P2PDMA pages (Chris von Recklinghausen) [RHEL-27741]
- samples: add first Rust examples (Chris von Recklinghausen) [RHEL-27741]
- ksm: add profit monitoring documentation (Chris von Recklinghausen) [RHEL-27741]
- sysctl: remove max_extfrag_threshold (Chris von Recklinghausen) [RHEL-27741]
- fs: don't call ->writepage from __mpage_writepage (Chris von Recklinghausen) [RHEL-27741]
- fs: remove the nobh helpers (Chris von Recklinghausen) [RHEL-27741]
- jfs: stop using the nobh helper (Chris von Recklinghausen) [RHEL-27741]
- iomap: remove iomap_writepage (Chris von Recklinghausen) [RHEL-27741]
- hugetlbfs: zero partial pages during fallocate hole punch (Chris von Recklinghausen) [RHEL-27741]
- kcsan: test: use new suite_{init,exit} support (Chris von Recklinghausen) [RHEL-27741]
- fs: Remove aop flags parameter from nobh_write_begin() (Chris von Recklinghausen) [RHEL-27741]
- stack: Introduce CONFIG_RANDOMIZE_KSTACK_OFFSET (Chris von Recklinghausen) [RHEL-27741]
- MAINTAINERS: update rppt's email (Chris von Recklinghausen) [RHEL-27741]
- connector: send event on write to /proc/[pid]/comm (Chris von Recklinghausen) [RHEL-27741]
- mm/mmap: regression fix for unmapped_area{_topdown} (Aristeu Rozanski) [RHEL-27740]
- mm/mempolicy: fix use-after-free of VMA iterator (Aristeu Rozanski) [RHEL-27740]
- mm/huge_memory.c: warn with pr_warn_ratelimited instead of VM_WARN_ON_ONCE_FOLIO (Aristeu Rozanski) [RHEL-27740]
- mm/mprotect: fix do_mprotect_pkey() return on error (Aristeu Rozanski) [RHEL-27740]
- mm: swap: fix performance regression on sparsetruncate-tiny (Aristeu Rozanski) [RHEL-27740]
- mm: vmalloc: avoid warn_alloc noise caused by fatal signal (Aristeu Rozanski) [RHEL-27740]
- mm: enable maple tree RCU mode by default (Aristeu Rozanski) [RHEL-27740]
- mm/ksm: fix race with VMA iteration and mm_struct teardown (Aristeu Rozanski) [RHEL-27740]
- mm: fix error handling for map_deny_write_exec (Aristeu Rozanski) [RHEL-27740]
- mm: deduplicate error handling for map_deny_write_exec (Aristeu Rozanski) [RHEL-27740]
- mm/slab: Fix undefined init_cache_node_node() for NUMA and !SMP (Aristeu Rozanski) [RHEL-27740]
- mm/damon/paddr: fix folio_nr_pages() after folio_put() in damon_pa_mark_accessed_or_deactivate() (Aristeu Rozanski) [RHEL-27740]
- mm/damon/paddr: fix folio_size() call after folio_put() in damon_pa_young() (Aristeu Rozanski) [RHEL-27740]
- migrate_pages: try migrate in batch asynchronously firstly (Aristeu Rozanski) [RHEL-27740]
- migrate_pages: move split folios processing out of migrate_pages_batch() (Aristeu Rozanski) [RHEL-27740]
- migrate_pages: fix deadlock in batched migration (Aristeu Rozanski) [RHEL-27740]
- mm: teach mincore_hugetlb about pte markers (Aristeu Rozanski) [RHEL-27740]
- mm: avoid gcc complaint about pointer casting (Aristeu Rozanski) [RHEL-27740]
- kasan, x86: don't rename memintrinsics in uninstrumented files (Aristeu Rozanski) [RHEL-27740]
- kasan: test: fix test for new meminstrinsic instrumentation (Aristeu Rozanski) [RHEL-27740]
- kasan: treat meminstrinsic as builtins in uninstrumented files (Aristeu Rozanski) [RHEL-27740]
- kasan: emit different calls for instrumentable memintrinsics (Aristeu Rozanski) [RHEL-27740]
- mm/hwpoison: convert TTU_IGNORE_HWPOISON to TTU_HWPOISON (Aristeu Rozanski) [RHEL-27740]
- mm/damon/paddr: fix missing folio_put() (Aristeu Rozanski) [RHEL-27740]
- mm/mremap: fix dup_anon_vma() in vma_merge() case 4 (Aristeu Rozanski) [RHEL-27740]
- mm/mprotect: Fix successful vma_merge() of next in do_mprotect_pkey() (Aristeu Rozanski) [RHEL-27740]
- splice: Add a func to do a splice from a buffered file without ITER_PIPE (Aristeu Rozanski) [RHEL-27740]
- mm: Pass info, not iter, into filemap_get_pages() (Aristeu Rozanski) [RHEL-27740]
- include/linux/migrate.h: remove unneeded externs (Aristeu Rozanski) [RHEL-27740]
- mm/memory_hotplug: cleanup return value handing in do_migrate_range() (Aristeu Rozanski) [RHEL-27740]
- mm/uffd: fix comment in handling pte markers (Aristeu Rozanski) [RHEL-27740]
- mm: change to return bool for isolate_movable_page() (Aristeu Rozanski) [RHEL-27740]
- mm: hugetlb: change to return bool for isolate_hugetlb() (Aristeu Rozanski) [RHEL-27740]
- mm: change to return bool for isolate_lru_page() (Aristeu Rozanski) [RHEL-27740]
- mm: change to return bool for folio_isolate_lru() (Aristeu Rozanski) [RHEL-27740]
- filemap: Remove lock_page_killable() (Aristeu Rozanski) [RHEL-27740]
- mm: memcontrol: rename memcg_kmem_enabled() (Aristeu Rozanski) [RHEL-27740]
- mm: percpu: fix incorrect size in pcpu_obj_full_size() (Aristeu Rozanski) [RHEL-27740]
- mm: page_alloc: call panic() when memoryless node allocation fails (Aristeu Rozanski) [RHEL-27740]
- migrate_pages: move THP/hugetlb migration support check to simplify code (Aristeu Rozanski) [RHEL-27740]
- migrate_pages: batch flushing TLB (Aristeu Rozanski) [RHEL-27740]
- migrate_pages: share more code between _unmap and _move (Aristeu Rozanski) [RHEL-27740]
- migrate_pages: move migrate_folio_unmap() (Aristeu Rozanski) [RHEL-27740]
- migrate_pages: batch _unmap and _move (Aristeu Rozanski) [RHEL-27740]
- migrate_pages: split unmap_and_move() to _unmap() and _move() (Aristeu Rozanski) [RHEL-27740]
- migrate_pages: restrict number of pages to migrate in batch (Aristeu Rozanski) [RHEL-27740]
- migrate_pages: separate hugetlb folios migration (Aristeu Rozanski) [RHEL-27740]
- migrate_pages: organize stats with struct migrate_pages_stats (Aristeu Rozanski) [RHEL-27740]
- mm: fix typo in __vm_enough_memory warning (Aristeu Rozanski) [RHEL-27740]
- mm/damon/dbgfs: print DAMON debugfs interface deprecation message (Aristeu Rozanski) [RHEL-27740]
- mm/damon/Kconfig: add DAMON debugfs interface deprecation notice (Aristeu Rozanski) [RHEL-27740]
- Docs/admin-guide/mm/damon/usage: add DAMON debugfs interface deprecation notice (Aristeu Rozanski) [RHEL-27740]
- mm/migrate: convert putback_movable_pages() to use folios (Aristeu Rozanski) [RHEL-27740]
- mm/migrate: convert isolate_movable_page() to use folios (Aristeu Rozanski) [RHEL-27740]
- mm/migrate: add folio_movable_ops() (Aristeu Rozanski) [RHEL-27740]
- mm: add folio_get_nontail_page() (Aristeu Rozanski) [RHEL-27740]
- mm/mempolicy: convert migrate_page_add() to migrate_folio_add() (Aristeu Rozanski) [RHEL-27740]
- mm/mempolicy: convert queue_pages_required() to queue_folio_required() (Aristeu Rozanski) [RHEL-27740]
- mm/mempolicy: convert queue_pages_hugetlb() to queue_folios_hugetlb() (Aristeu Rozanski) [RHEL-27740]
- mm/mempolicy: convert queue_pages_pte_range() to queue_folios_pte_range() (Aristeu Rozanski) [RHEL-27740]
- mm/mempolicy: convert queue_pages_pmd() to queue_folios_pmd() (Aristeu Rozanski) [RHEL-27740]
- Documentation/mm: update hugetlbfs documentation to mention alloc_hugetlb_folio (Aristeu Rozanski) [RHEL-27740]
- mm/hugetlb: convert hugetlb_wp() to take in a folio (Aristeu Rozanski) [RHEL-27740]
- mm/hugetlb: convert hugetlb_add_to_page_cache to take in a folio (Aristeu Rozanski) [RHEL-27740]
- mm/hugetlb: convert restore_reserve_on_error to take in a folio (Aristeu Rozanski) [RHEL-27740]
- mm/hugetlb: convert hugetlb fault paths to use alloc_hugetlb_folio() (Aristeu Rozanski) [RHEL-27740]
- mm/hugetlb: convert putback_active_hugepage to take in a folio (Aristeu Rozanski) [RHEL-27740]
- mm/hugetlb: convert hugetlbfs_pagecache_present() to folios (Aristeu Rozanski) [RHEL-27740]
- mm/hugetlb: convert hugetlb_install_page to folios (Aristeu Rozanski) [RHEL-27740]
- mm/hugetlb: convert demote_free_huge_page to folios (Aristeu Rozanski) [RHEL-27740]
- mm/hugetlb: convert restore_reserve_on_error() to folios (Aristeu Rozanski) [RHEL-27740]
- mm/hugetlb: convert alloc_migrate_huge_page to folios (Aristeu Rozanski) [RHEL-27740]
- mm/hugetlb: increase use of folios in alloc_huge_page() (Aristeu Rozanski) [RHEL-27740]
- mm/hugetlb: convert alloc_surplus_huge_page() to folios (Aristeu Rozanski) [RHEL-27740]
- mm/hugetlb: convert dequeue_hugetlb_page functions to folios (Aristeu Rozanski) [RHEL-27740]
- mm/hugetlb: convert __update_and_free_page() to folios (Aristeu Rozanski) [RHEL-27740]
- mm/hugetlb: convert isolate_hugetlb to folios (Aristeu Rozanski) [RHEL-27740]
- mm/khugepaged: fix invalid page access in release_pte_pages() (Aristeu Rozanski) [RHEL-27740]
- mm: Remove get_kernel_pages() (Aristeu Rozanski) [RHEL-27740]
- tee: Remove call to get_kernel_pages() (Aristeu Rozanski) [RHEL-27740]
- tee: Remove vmalloc page support (Aristeu Rozanski) [RHEL-27740]
- highmem: Enhance is_kmap_addr() to check kmap_local_page() mappings (Aristeu Rozanski) [RHEL-27740]
- mm/memremap.c: fix outdated comment in devm_memremap_pages (Aristeu Rozanski) [RHEL-27740]
- mm/damon/sysfs: make kobj_type structures constant (Aristeu Rozanski) [RHEL-27740]
- mm/gup: move private gup FOLL_ flags to internal.h (Aristeu Rozanski) [RHEL-27740]
- mm/gup: move gup_must_unshare() to mm/internal.h (Aristeu Rozanski) [RHEL-27740]
- mm/gup: make get_user_pages_fast_only() return the common return value (Aristeu Rozanski) [RHEL-27740]
- mm/gup: remove pin_user_pages_fast_only() (Aristeu Rozanski) [RHEL-27740]
- mm/gup: make locked never NULL in the internal GUP functions (Aristeu Rozanski) [RHEL-27740]
- mm/gup: add FOLL_UNLOCKABLE (Aristeu Rozanski) [RHEL-27740]
- mm/gup: remove locked being NULL from faultin_vma_page_range() (Aristeu Rozanski) [RHEL-27740]
- mm/gup: add an assertion that the mmap lock is locked (Aristeu Rozanski) [RHEL-27740]
- mm/gup: simplify the external interface functions and consolidate invariants (Aristeu Rozanski) [RHEL-27740]
- mm/gup: move try_grab_page() to mm/internal.h (Aristeu Rozanski) [RHEL-27740]
- mm/gup: don't call __gup_longterm_locked() if FOLL_LONGTERM cannot be set (Aristeu Rozanski) [RHEL-27740]
- mm/gup: remove obsolete FOLL_LONGTERM comment (Aristeu Rozanski) [RHEL-27740]
- mm/gup: have internal functions get the mmap_read_lock() (Aristeu Rozanski) [RHEL-27740]
- powerpc: mm: add VM_IOREMAP flag to the vmalloc area (Aristeu Rozanski) [RHEL-27740]
- mm/vmalloc: skip the uninitilized vmalloc areas (Aristeu Rozanski) [RHEL-27740]
- mm/vmalloc: explicitly identify vm_map_ram area when shown in /proc/vmcoreinfo (Aristeu Rozanski) [RHEL-27740]
- mm/vmalloc.c: allow vread() to read out vm_map_ram areas (Aristeu Rozanski) [RHEL-27740]
- mm/vmalloc.c: add flags to mark vm_map_ram area (Aristeu Rozanski) [RHEL-27740]
- mm/vmalloc.c: add used_map into vmap_block to track space of vmap_block (Aristeu Rozanski) [RHEL-27740]
- filemap: add mapping_read_folio_gfp() (Aristeu Rozanski) [RHEL-27740]
- mm/page_alloc: reduce fallbacks to (MIGRATE_PCPTYPES - 1) (Aristeu Rozanski) [RHEL-27740]
- mm: introduce vm_flags_reset_once to replace WRITE_ONCE vm_flags updates (Aristeu Rozanski) [RHEL-27740]
- mm/vmalloc: replace BUG_ON with a simple if statement (Aristeu Rozanski) [RHEL-27740]
- mm/swapfile: remove pr_debug in get_swap_pages() (Aristeu Rozanski) [RHEL-27740]
- kasan: infer allocation size by scanning metadata (Aristeu Rozanski) [RHEL-27740]
- mm: introduce __vm_flags_mod and use it in untrack_pfn (Aristeu Rozanski) [RHEL-27740]
- mm: replace vma->vm_flags indirect modification in ksm_madvise (Aristeu Rozanski) [RHEL-27740]
- mm: replace vma->vm_flags direct modifications with modifier calls (Aristeu Rozanski) [RHEL-27740]
- mm: replace VM_LOCKED_CLEAR_MASK with VM_LOCKED_MASK (Aristeu Rozanski) [RHEL-27740]
- mm: introduce vma->vm_flags wrapper functions (Aristeu Rozanski) [RHEL-27740]
- kernel/fork: convert vma assignment to a memcpy (Aristeu Rozanski) [RHEL-27740]
- vma_merge: set vma iterator to correct position. (Aristeu Rozanski) [RHEL-27740]
- mm/mmap: remove __vma_adjust() (Aristeu Rozanski) [RHEL-27740]
- mm/mmap: convert do_brk_flags() to use vma_prepare() and vma_complete() (Aristeu Rozanski) [RHEL-27740]
- mm/mmap: introduce dup_vma_anon() helper (Aristeu Rozanski) [RHEL-27740]
- mm/mmap: don't use __vma_adjust() in shift_arg_pages() (Aristeu Rozanski) [RHEL-27740]
- mm/mremap: convert vma_adjust() to vma_expand() (Aristeu Rozanski) [RHEL-27740]
- mm: don't use __vma_adjust() in __split_vma() (Aristeu Rozanski) [RHEL-27740]
- mm/mmap: introduce init_vma_prep() and init_multi_vma_prep() (Aristeu Rozanski) [RHEL-27740]
- mm/mmap: use vma_prepare() and vma_complete() in vma_expand() (Aristeu Rozanski) [RHEL-27740]
- mm/mmap: refactor locking out of __vma_adjust() (Aristeu Rozanski) [RHEL-27740]
- mm/mmap: move anon_vma setting in __vma_adjust() (Aristeu Rozanski) [RHEL-27740]
- mm/mmap: change do_vmi_align_munmap() for maple tree iterator changes (Aristeu Rozanski) [RHEL-27740]
- mm: change munmap splitting order and move_vma() (Aristeu Rozanski) [RHEL-27740]
- mmap: clean up mmap_region() unrolling (Aristeu Rozanski) [RHEL-27740]
- mm: add vma iterator to vma_adjust() arguments (Aristeu Rozanski) [RHEL-27740]
- mm: pass vma iterator through to __vma_adjust() (Aristeu Rozanski) [RHEL-27740]
- mm: remove unnecessary write to vma iterator in __vma_adjust() (Aristeu Rozanski) [RHEL-27740]
- madvise: use split_vma() instead of __split_vma() (Aristeu Rozanski) [RHEL-27740]
- mm: pass through vma iterator to __vma_adjust() (Aristeu Rozanski) [RHEL-27740]
- mmap: convert __vma_adjust() to use vma iterator (Aristeu Rozanski) [RHEL-27740]
- mm/damon/vaddr-test.h: stop using vma_mas_store() for maple tree store (Aristeu Rozanski) [RHEL-27740]
- mm: switch vma_merge(), split_vma(), and __split_vma to vma iterator (Aristeu Rozanski) [RHEL-27740]
- nommu: pass through vma iterator to shrink_vma() (Aristeu Rozanski) [RHEL-27740]
- nommu: convert nommu to using the vma iterator (Aristeu Rozanski) [RHEL-27740]
- mm/mremap: use vmi version of vma_merge() (Aristeu Rozanski) [RHEL-27740]
- mmap: use vmi version of vma_merge() (Aristeu Rozanski) [RHEL-27740]
- mmap: pass through vmi iterator to __split_vma() (Aristeu Rozanski) [RHEL-27740]
- madvise: use vmi iterator for __split_vma() and vma_merge() (Aristeu Rozanski) [RHEL-27740]
- sched: convert to vma iterator (Aristeu Rozanski) [RHEL-27740]
- task_mmu: convert to vma iterator (Aristeu Rozanski) [RHEL-27740]
- mempolicy: convert to vma iterator (Aristeu Rozanski) [RHEL-27740]
- coredump: convert to vma iterator (Aristeu Rozanski) [RHEL-27740]
- mlock: convert mlock to vma iterator (Aristeu Rozanski) [RHEL-27740]
- mm: change mprotect_fixup to vma iterator (Aristeu Rozanski) [RHEL-27740]
- userfaultfd: use vma iterator (Aristeu Rozanski) [RHEL-27740]
- ipc/shm: introduce new do_vma_munmap() to munmap (Aristeu Rozanski) [RHEL-27740]
- ipc/shm: use the vma iterator for munmap calls (Aristeu Rozanski) [RHEL-27740]
- mm: add temporary vma iterator versions of vma_merge(), split_vma(), and __split_vma() (Aristeu Rozanski) [RHEL-27740]
- mmap: convert vma_expand() to use vma iterator (Aristeu Rozanski) [RHEL-27740]
- mmap: change do_mas_munmap and do_mas_aligned_munmap() to use vma iterator (Aristeu Rozanski) [RHEL-27740]
- mm/mmap: remove preallocation from do_mas_align_munmap() (Aristeu Rozanski) [RHEL-27740]
- mmap: convert vma_link() vma iterator (Aristeu Rozanski) [RHEL-27740]
- kernel/fork: convert forking to using the vmi iterator (Aristeu Rozanski) [RHEL-27740]
- mm/mmap: convert brk to use vma iterator (Aristeu Rozanski) [RHEL-27740]
- mm: expand vma iterator interface (Aristeu Rozanski) [RHEL-27740]
- mm, slab/slub: Ensure kmem_cache_alloc_bulk() is available early (Aristeu Rozanski) [RHEL-27740]
- mm/slub: fix memory leak with using debugfs_lookup() (Aristeu Rozanski) [RHEL-27740]
- swap: use bvec_set_page to initialize bvecs (Aristeu Rozanski) [RHEL-27740]
- mpage: convert __mpage_writepage() to use a folio more fully (Aristeu Rozanski) [RHEL-27740]
- fs: convert writepage_t callback to pass a folio (Aristeu Rozanski) [RHEL-27740]
- mm: add memcpy_from_file_folio() (Aristeu Rozanski) [RHEL-27740]
- mm: refactor va_remove_mappings (Aristeu Rozanski) [RHEL-27740]
- mm: split __vunmap (Aristeu Rozanski) [RHEL-27740]
- mm: move debug checks from __vunmap to remove_vm_area (Aristeu Rozanski) [RHEL-27740]
- mm: use remove_vm_area in __vunmap (Aristeu Rozanski) [RHEL-27740]
- mm: move __remove_vm_area out of va_remove_mappings (Aristeu Rozanski) [RHEL-27740]
- mm: call vfree instead of __vunmap from delayed_vfree_work (Aristeu Rozanski) [RHEL-27740]
- mm: move vmalloc_init and free_work down in vmalloc.c (Aristeu Rozanski) [RHEL-27740]
- mm: remove __vfree_deferred (Aristeu Rozanski) [RHEL-27740]
- mm: remove __vfree (Aristeu Rozanski) [RHEL-27740]
- mm: reject vmap with VM_FLUSH_RESET_PERMS (Aristeu Rozanski) [RHEL-27740]
- mm/gup.c: fix typo in comments (Aristeu Rozanski) [RHEL-27740]
- mm/sparse: fix "unused function 'pgdat_to_phys'" warning (Aristeu Rozanski) [RHEL-27740]
- mm/page_owner: record single timestamp value for high order allocations (Aristeu Rozanski) [RHEL-27740]
- mm: memory-failure: document memory failure stats (Aristeu Rozanski) [RHEL-27740]
- mm: memory-failure: bump memory failure stats to pglist_data (Aristeu Rozanski) [RHEL-27740]
- mm: memory-failure: add memory failure stats to sysfs (Aristeu Rozanski) [RHEL-27740]
- mm: move KMEMLEAK's Kconfig items from lib to mm (Aristeu Rozanski) [RHEL-27740]
- mm: implement memory-deny-write-execute as a prctl (Aristeu Rozanski) [RHEL-27740]
- mm/cma: fix potential memory loss on cma_declare_contiguous_nid (Aristeu Rozanski) [RHEL-27740]
- mm/hugetlb: convert get_hwpoison_huge_page() to folios (Aristeu Rozanski) [RHEL-27740]
- zsmalloc: set default zspage chain size to 8 (Aristeu Rozanski) [RHEL-27740]
- zsmalloc: make zspage chain size configurable (Aristeu Rozanski) [RHEL-27740]
- zsmalloc: skip chain size calculation for pow_of_2 classes (Aristeu Rozanski) [RHEL-27740]
- zsmalloc: rework zspage chain size selection (Aristeu Rozanski) [RHEL-27740]
- mm/page_alloc: use deferred_pages_enabled() wherever applicable (Aristeu Rozanski) [RHEL-27740]
- mm/page_ext: init page_ext early if there are no deferred struct pages (Aristeu Rozanski) [RHEL-27740]
- mm/damon/core: skip apply schemes if empty (Aristeu Rozanski) [RHEL-27740]
- mm/secretmem: remove redundant initiialization of pointer file (Aristeu Rozanski) [RHEL-27740]
- readahead: convert readahead_expand() to use a folio (Aristeu Rozanski) [RHEL-27740]
- filemap: convert filemap_range_has_page() to use a folio (Aristeu Rozanski) [RHEL-27740]
- rmap: add folio parameter to __page_set_anon_rmap() (Aristeu Rozanski) [RHEL-27740]
- mm: clean up mlock_page / munlock_page references in comments (Aristeu Rozanski) [RHEL-27740]
- mm: remove munlock_vma_page() (Aristeu Rozanski) [RHEL-27740]
- mm: remove mlock_vma_page() (Aristeu Rozanski) [RHEL-27740]
- mm: remove page_evictable() (Aristeu Rozanski) [RHEL-27740]
- mm: convert mem_cgroup_css_from_page() to mem_cgroup_css_from_folio() (Aristeu Rozanski) [RHEL-27740]
- mm/fs: convert inode_attach_wb() to take a folio (Aristeu Rozanski) [RHEL-27740]
- mm: use a folio in copy_present_pte() (Aristeu Rozanski) [RHEL-27740]
- mm: use a folio in copy_pte_range() (Aristeu Rozanski) [RHEL-27740]
- mm: convert do_anonymous_page() to use a folio (Aristeu Rozanski) [RHEL-27740]
- page-writeback: convert write_cache_pages() to use filemap_get_folios_tag() (Aristeu Rozanski) [RHEL-27740]
- filemap: convert __filemap_fdatawait_range() to use filemap_get_folios_tag() (Aristeu Rozanski) [RHEL-27740]
- filemap: add filemap_get_folios_tag() (Aristeu Rozanski) [RHEL-27740]
- mm: discard __GFP_ATOMIC (Aristeu Rozanski) [RHEL-27740]
- mm/page_alloc: explicitly define how __GFP_HIGH non-blocking allocations accesses reserves (Aristeu Rozanski) [RHEL-27740]
- mm/page_alloc: explicitly define what alloc flags deplete min reserves (Aristeu Rozanski) [RHEL-27740]
- mm/page_alloc: explicitly record high-order atomic allocations in alloc_flags (Aristeu Rozanski) [RHEL-27740]
- mm/page_alloc: treat RT tasks similar to __GFP_HIGH (Aristeu Rozanski) [RHEL-27740]
- mm/page_alloc: rename ALLOC_HIGH to ALLOC_MIN_RESERVE (Aristeu Rozanski) [RHEL-27740]
- mm/page_ext: do not allocate space for page_ext->flags if not needed (Aristeu Rozanski) [RHEL-27740]
- mm: remove __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Aristeu Rozanski) [RHEL-27740]
- powerpc/nohash/mm: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Aristeu Rozanski) [RHEL-27740]
- powerpc/mm: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE on 32bit book3s (Aristeu Rozanski) [RHEL-27740]
- arm/mm: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Aristeu Rozanski) [RHEL-27740]
- mm/debug_vm_pgtable: more pte_swp_exclusive() sanity checks (Aristeu Rozanski) [RHEL-27740]
- mm/khugepaged: convert release_pte_pages() to use folios (Aristeu Rozanski) [RHEL-27740]
- mm/khugepaged: introduce release_pte_folio() to replace release_pte_page() (Aristeu Rozanski) [RHEL-27740]
- kmsan: silence -Wmissing-prototypes warnings (Aristeu Rozanski) [RHEL-27740]
- Documentation/mm: update references to __m[un]lock_page() to *_folio() (Aristeu Rozanski) [RHEL-27740]
- mm: mlock: update the interface to use folios (Aristeu Rozanski) [RHEL-27740]
- m68k/mm/motorola: specify pmd_page() type (Aristeu Rozanski) [RHEL-27740]
- mm: mlock: use folios and a folio batch internally (Aristeu Rozanski) [RHEL-27740]
- mm: pagevec: add folio_batch_reinit() (Aristeu Rozanski) [RHEL-27740]
- mm: madvise: use vm_normal_folio() in madvise_free_pte_range() (Aristeu Rozanski) [RHEL-27740]
- mm/memory-failure: convert unpoison_memory() to folios (Aristeu Rozanski) [RHEL-27740]
- mm/memory-failure: convert hugetlb_set_page_hwpoison() to folios (Aristeu Rozanski) [RHEL-27740]
- mm/memory-failure: convert __free_raw_hwp_pages() to folios (Aristeu Rozanski) [RHEL-27740]
- mm/memory-failure: convert raw_hwp_list_head() to folios (Aristeu Rozanski) [RHEL-27740]
- mm/memory-failure: convert free_raw_hwp_pages() to folios (Aristeu Rozanski) [RHEL-27740]
- mm/memory-failure: convert hugetlb_clear_page_hwpoison to folios (Aristeu Rozanski) [RHEL-27740]
- mm/memory-failure: convert try_memory_failure_hugetlb() to folios (Aristeu Rozanski) [RHEL-27740]
- mm/memory-failure: convert __get_huge_page_for_hwpoison() to folios (Aristeu Rozanski) [RHEL-27740]
- mm/mmap: fix comment of unmapped_area{_topdown} (Aristeu Rozanski) [RHEL-27740]
- mm: remove the hugetlb field from struct page (Aristeu Rozanski) [RHEL-27740]
- mm: convert deferred_split_huge_page() to deferred_split_folio() (Aristeu Rozanski) [RHEL-27740]
- mm/huge_memory: convert get_deferred_split_queue() to take a folio (Aristeu Rozanski) [RHEL-27740]
- mm/huge_memory: remove page_deferred_list() (Aristeu Rozanski) [RHEL-27740]
- mm: move page->deferred_list to folio->_deferred_list (Aristeu Rozanski) [RHEL-27740]
- doc: correct struct folio kernel-doc (Aristeu Rozanski) [RHEL-27740]
- mm: remove 'First tail page' members from struct page (Aristeu Rozanski) [RHEL-27740]
- hugetlb: remove uses of compound_dtor and compound_nr (Aristeu Rozanski) [RHEL-27740]
- mm: convert destroy_large_folio() to use folio_dtor (Aristeu Rozanski) [RHEL-27740]
- mm: convert is_transparent_hugepage() to use a folio (Aristeu Rozanski) [RHEL-27740]
- mm: convert set_compound_page_dtor() and set_compound_order() to folios (Aristeu Rozanski) [RHEL-27740]
- mm: reimplement compound_nr() (Aristeu Rozanski) [RHEL-27740]
- mm: reimplement compound_order() (Aristeu Rozanski) [RHEL-27740]
- mm: remove head_compound_mapcount() and _ptr functions (Aristeu Rozanski) [RHEL-27740]
- mm: convert page_mapcount() to use folio_entire_mapcount() (Aristeu Rozanski) [RHEL-27740]
- hugetlb: remove uses of folio_mapcount_ptr (Aristeu Rozanski) [RHEL-27740]
- mm/debug: remove call to head_compound_mapcount() (Aristeu Rozanski) [RHEL-27740]
- mm: use entire_mapcount in __page_dup_rmap() (Aristeu Rozanski) [RHEL-27740]
- mm: use a folio in hugepage_add_anon_rmap() and hugepage_add_new_anon_rmap() (Aristeu Rozanski) [RHEL-27740]
- page_alloc: use folio fields directly (Aristeu Rozanski) [RHEL-27740]
- mm: convert page_add_file_rmap() to use a folio internally (Aristeu Rozanski) [RHEL-27740]
- mm: convert page_add_anon_rmap() to use a folio internally (Aristeu Rozanski) [RHEL-27740]
- mm: convert page_remove_rmap() to use a folio internally (Aristeu Rozanski) [RHEL-27740]
- doc: clarify refcount section by referring to folios & pages (Aristeu Rozanski) [RHEL-27740]
- mm/mmu_notifier: remove unused mmu_notifier_range_update_to_read_only export (Aristeu Rozanski) [RHEL-27740]
- mm: compaction: avoid fragmentation score calculation for empty zones (Aristeu Rozanski) [RHEL-27740]
- mm: compaction: add missing kcompactd wakeup trace event (Aristeu Rozanski) [RHEL-27740]
- mm: compaction: count the migration scanned pages events for proactive compaction (Aristeu Rozanski) [RHEL-27740]
- mm: compaction: move list validation into compact_zone() (Aristeu Rozanski) [RHEL-27740]
- mm: compaction: remove redundant VM_BUG_ON() in compact_zone() (Aristeu Rozanski) [RHEL-27740]
- mm/mmap: fix typo in comment (Aristeu Rozanski) [RHEL-27740]
- memblock: Avoid useless checks in memblock_merge_regions(). (Aristeu Rozanski) [RHEL-27740]
- memblock: Make a boundary tighter in memblock_add_range(). (Aristeu Rozanski) [RHEL-27740]
- mm/damon/sysfs-schemes: use strscpy() to instead of strncpy() (Aristeu Rozanski) [RHEL-27740]
- mm/damon/paddr: remove damon_pa_access_chk_result struct (Aristeu Rozanski) [RHEL-27740]
- mm/damon/paddr: remove folio_sz field from damon_pa_access_chk_result (Aristeu Rozanski) [RHEL-27740]
- mm/damon/paddr: rename 'damon_pa_access_chk_result->page_sz' to 'folio_sz' (Aristeu Rozanski) [RHEL-27740]
- mm/damon/vaddr: record appropriate folio size when the access is not found (Aristeu Rozanski) [RHEL-27740]
- mm/damon/vaddr: support folio of neither HPAGE_PMD_SIZE nor PAGE_SIZE (Aristeu Rozanski) [RHEL-27740]
- mm/damon/vaddr: rename 'damon_young_walk_private->page_sz' to 'folio_sz' (Aristeu Rozanski) [RHEL-27740]
- mm: remove PageMovable export (Aristeu Rozanski) [RHEL-27740]
- mm: introduce folio_is_pfmemalloc (Aristeu Rozanski) [RHEL-27740]
- mm: support POSIX_FADV_NOREUSE (Aristeu Rozanski) [RHEL-27740]
- mm: add vma_has_recency() (Aristeu Rozanski) [RHEL-27740]
- mm/nommu: don't use VM_MAYSHARE for MAP_PRIVATE mappings (Aristeu Rozanski) [RHEL-27740]
- Docs/admin-guide/mm/numaperf: increase depth of subsections (Aristeu Rozanski) [RHEL-27740]
- tools/vm: rename tools/vm to tools/mm (Aristeu Rozanski) [RHEL-27740]
- mm: remove zap_page_range and create zap_vma_pages (Aristeu Rozanski) [RHEL-27740]
- mm/page_alloc: invert logic for early page initialisation checks (Aristeu Rozanski) [RHEL-27740]
- mm/damon/vaddr: convert hugetlb related functions to use a folio (Aristeu Rozanski) [RHEL-27740]
- mm/damon: remove unneeded damon_get_page() (Aristeu Rozanski) [RHEL-27740]
- mm/damon/vaddr: convert damon_young_pmd_entry() to use a folio (Aristeu Rozanski) [RHEL-27740]
- mm/damon/paddr: convert damon_pa_*() to use a folio (Aristeu Rozanski) [RHEL-27740]
- mm/damon: convert damon_ptep/pmdp_mkold() to use a folio (Aristeu Rozanski) [RHEL-27740]
- mm/damon: introduce damon_get_folio() (Aristeu Rozanski) [RHEL-27740]
- mm: page_idle: convert page idle to use a folio (Aristeu Rozanski) [RHEL-27740]
- mm: huge_memory: convert split_huge_pages_all() to use a folio (Aristeu Rozanski) [RHEL-27740]
- mm: remove generic_writepages (Aristeu Rozanski) [RHEL-27740]
- jbd2,ocfs2: move jbd2_journal_submit_inode_data_buffers to ocfs2 (Aristeu Rozanski) [RHEL-27740]
- fs: remove an outdated comment on mpage_writepages (Aristeu Rozanski) [RHEL-27740]
- mm/page_reporting: replace rcu_access_pointer() with rcu_dereference_protected() (Aristeu Rozanski) [RHEL-27740]
- mm: fix comment of page table counter (Aristeu Rozanski) [RHEL-27740]
- mm: vmalloc: replace BUG_ON() by WARN_ON_ONCE() (Aristeu Rozanski) [RHEL-27740]
- mm: vmalloc: avoid calling __find_vmap_area() twice in __vunmap() (Aristeu Rozanski) [RHEL-27740]
- mm: move FOLL_* defs to mm_types.h (Aristeu Rozanski) [RHEL-27740]
- mm/swap: convert deactivate_page() to folio_deactivate() (Aristeu Rozanski) [RHEL-27740]
- mm/damon: convert damon_pa_mark_accessed_or_deactivate() to use folios (Aristeu Rozanski) [RHEL-27740]
- mm: vmalloc: correct use of __GFP_NOWARN mask in __vmalloc_area_node() (Aristeu Rozanski) [RHEL-27740]
- swap: fold swap_ra_clamp_pfn into swap_ra_info (Aristeu Rozanski) [RHEL-27740]
- swap: avoid a redundant pte map if ra window is 1 (Aristeu Rozanski) [RHEL-27740]
- Docs/ABI/damon: document scheme filters files (Aristeu Rozanski) [RHEL-27740]
- Docs/admin-guide/mm/damon/usage: document DAMOS filters of sysfs (Aristeu Rozanski) [RHEL-27740]
- selftests/damon/sysfs: test filters directory (Aristeu Rozanski) [RHEL-27740]
- mm/damon/sysfs-schemes: implement scheme filters (Aristeu Rozanski) [RHEL-27740]
- mm/damon/sysfs-schemes: connect filter directory and filters directory (Aristeu Rozanski) [RHEL-27740]
- mm/damon/sysfs-schemes: implement filter directory (Aristeu Rozanski) [RHEL-27740]
- mm/damon/sysfs-schemes: implement filters directory (Aristeu Rozanski) [RHEL-27740]
- Docs/admin-guide/damon/reclaim: document 'skip_anon' parameter (Aristeu Rozanski) [RHEL-27740]
- mm/damon/reclaim: add a parameter called skip_anon for avoiding anonymous pages reclamation (Aristeu Rozanski) [RHEL-27740]
- mm/damon/paddr: support DAMOS filters (Aristeu Rozanski) [RHEL-27740]
- mm/damon/core: implement damos filter (Aristeu Rozanski) [RHEL-27740]
- mm: memcontrol: deprecate charge moving (Aristeu Rozanski) [RHEL-27740]
- mm: rmap: remove lock_page_memcg() (Aristeu Rozanski) [RHEL-27740]
- mm: memcontrol: skip moving non-present pages that are mapped elsewhere (Aristeu Rozanski) [RHEL-27740]
- hugetlb: initialize variable to avoid compiler warning (Aristeu Rozanski) [RHEL-27740]
- mm: swap: convert mark_page_lazyfree() to folio_mark_lazyfree() (Aristeu Rozanski) [RHEL-27740]
- cma: tracing: print alloc result in trace_cma_alloc_finish (Aristeu Rozanski) [RHEL-27740]
- mm/mempolicy: do not duplicate policy if it is not applicable for set_mempolicy_home_node (Aristeu Rozanski) [RHEL-27740]
- mpage: use b_folio in do_mpage_readpage() (Aristeu Rozanski) [RHEL-27740]
- jbd2: replace obvious uses of b_page with b_folio (Aristeu Rozanski) [RHEL-27740]
- gfs2: replace obvious uses of b_page with b_folio (Aristeu Rozanski) [RHEL-27740]
- buffer: use b_folio in mark_buffer_dirty() (Aristeu Rozanski) [RHEL-27740]
- page_io: remove buffer_head include (Aristeu Rozanski) [RHEL-27740]
- buffer: use b_folio in end_buffer_async_write() (Aristeu Rozanski) [RHEL-27740]
- buffer: use b_folio in end_buffer_async_read() (Aristeu Rozanski) [RHEL-27740]
- buffer: use b_folio in touch_buffer() (Aristeu Rozanski) [RHEL-27740]
- buffer: replace obvious uses of b_page with b_folio (Aristeu Rozanski) [RHEL-27740]
- buffer: add b_folio as an alias of b_page (Aristeu Rozanski) [RHEL-27740]
- selftests/memfd: add tests for MFD_NOEXEC_SEAL MFD_EXEC (Aristeu Rozanski) [RHEL-27740]
- mm/memfd: add write seals when apply SEAL_EXEC to executable memfd (Aristeu Rozanski) [RHEL-27740]
- mm/memfd: add MFD_NOEXEC_SEAL and MFD_EXEC (Aristeu Rozanski) [RHEL-27740]
- selftests/memfd: add tests for F_SEAL_EXEC (Aristeu Rozanski) [RHEL-27740]
- mm/slab.c: cleanup is_debug_pagealloc_cache() (Aristeu Rozanski) [RHEL-27740]
- mm/sl{a,u}b: fix wrong usages of folio_page() for getting head pages (Aristeu Rozanski) [RHEL-27740]
- context_tracking: Fix noinstr vs KASAN (Aristeu Rozanski) [RHEL-27740]
- entry, kasan, x86: Disallow overriding mem*() functions (Aristeu Rozanski) [RHEL-27740]
- ubsan: Fix objtool UACCESS warns (Aristeu Rozanski) [RHEL-27740]
- mm/memremap: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Aristeu Rozanski) [RHEL-27740]
- mm/slab: remove unused slab_early_init (Aristeu Rozanski) [RHEL-27740]
- xen: use vma_lookup() in privcmd_ioctl_mmap() (Aristeu Rozanski) [RHEL-27740]
- fs: remove the NULL get_block case in mpage_writepages (Aristeu Rozanski) [RHEL-27740]
- selftests/memfd: clean up mapping in mfd_fail_write (Aristeu Rozanski) [RHEL-27740]
- selftests/memfd: remove unused variable (Aristeu Rozanski) [RHEL-27740]
Resolves: RHEL-27740, RHEL-27741

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-05-13 10:25:39 -03:00
Lucas Zampieri
9155b1f4e0
kernel-5.14.0-448.el9
* Wed May 08 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-448.el9]
- tools: ynl: fix converting flags to names after recent cleanup (Jose Ignacio Tornos Martinez) [RHEL-31945]
- ynl: netdev: drop unnecessary enum-as-flags (Jose Ignacio Tornos Martinez) [RHEL-31945]
- tools: ynl: extend netdev sample to dump xdp-rx-metadata-features (Jose Ignacio Tornos Martinez) [RHEL-31945]
- bpf: expose information about supported xdp metadata kfunc (Jose Ignacio Tornos Martinez) [RHEL-31945]
- bpf: make it easier to add new metadata kfunc (Jose Ignacio Tornos Martinez) [RHEL-31945]
- xdp: use trusted arguments in XDP hints kfuncs (Jose Ignacio Tornos Martinez) [RHEL-31945]
- netfilter: nft_ct: fix l3num expectations with inet pseudo family (Phil Sutter) [RHEL-31345] {CVE-2024-26673}
- netfilter: nft_ct: sanitize layer 3 and 4 protocol number in custom expectations (Phil Sutter) [RHEL-31345] {CVE-2024-26673}
- team: Fix use-after-free when an option instance allocation fails (Hangbin Liu) [RHEL-31052]
- team: Remove NULL check before dev_{put, hold} (Hangbin Liu) [RHEL-31052]
- ipv6: sr: fix possible use-after-free and null-ptr-deref (Hangbin Liu) [RHEL-30814 RHEL-31732] {CVE-2024-26735}
- selftests: seg6: add selftest for NEXT-C-SID flavor in SRv6 End.X behavior (Hangbin Liu) [RHEL-30814]
- seg6: add NEXT-C-SID support for SRv6 End.X behavior (Hangbin Liu) [RHEL-30814]
- seg6: Cleanup duplicates of skb_dst_drop calls (Hangbin Liu) [RHEL-30814]
- nvme-tcp: Fix comma-related oops (Maurizio Lombardi) [RHEL-25547]
- security/keys: export key_lookup() (Maurizio Lombardi) [RHEL-25547]
- nvme: add new config entries and enable them (Maurizio Lombardi) [RHEL-25547]
- nvme: clear caller pointer on identify failure (Maurizio Lombardi) [RHEL-25547]
- nvme: host: fix double-free of struct nvme_id_ns in ns_update_nuse() (Maurizio Lombardi) [RHEL-25547]
- nvme: fcloop: make fcloop_class constant (Maurizio Lombardi) [RHEL-25547]
- nvme: fabrics: make nvmf_class constant (Maurizio Lombardi) [RHEL-25547]
- nvme: core: constify struct class usage (Maurizio Lombardi) [RHEL-25547]
- nvme-fabrics: typo in nvmf_parse_key() (Maurizio Lombardi) [RHEL-25547]
- nvme-fabrics: check max outstanding commands (Maurizio Lombardi) [RHEL-25547]
- nvmet-rdma: set max_queue_size for RDMA transport (Maurizio Lombardi) [RHEL-25547]
- nvmet: introduce new max queue size configuration entry (Maurizio Lombardi) [RHEL-25547]
- nvme-rdma: clamp queue size according to ctrl cap (Maurizio Lombardi) [RHEL-25547]
- nvme-rdma: introduce NVME_RDMA_MAX_METADATA_QUEUE_SIZE definition (Maurizio Lombardi) [RHEL-25547]
- nvmet: set ctrl pi_support cap before initializing cap reg (Maurizio Lombardi) [RHEL-25547]
- nvmet: set maxcmd to be per controller (Maurizio Lombardi) [RHEL-25547]
- nvmet: compare mqes and sqsize only for IO SQ (Maurizio Lombardi) [RHEL-25547]
- nvme-rdma: move NVME_RDMA_IP_PORT from common file (Maurizio Lombardi) [RHEL-25547]
- nvmet: remove superfluous initialization (Maurizio Lombardi) [RHEL-25547]
- nvme: implement support for relaxed effects (Maurizio Lombardi) [RHEL-25547]
- nvme-fabrics: fix I/O connect error handling (Maurizio Lombardi) [RHEL-25547]
- nvme: use ns->head->pi_size instead of t10_pi_tuple structure size (Maurizio Lombardi) [RHEL-25547]
- nvme-core: fix comment to reflect right functions (Maurizio Lombardi) [RHEL-25547]
- nvme: move passthrough logging attribute to head (Maurizio Lombardi) [RHEL-25547]
- nvme: allow passthru cmd error logging (Maurizio Lombardi) [RHEL-25547]
- nvme-fc: show hostnqn when connecting to fc target (Maurizio Lombardi) [RHEL-25547]
- nvme-rdma: show hostnqn when connecting to rdma target (Maurizio Lombardi) [RHEL-25547]
- nvme-tcp: show hostnqn when connecting to tcp target (Maurizio Lombardi) [RHEL-25547]
- nvmet-fc: use RCU list iterator for assoc_list (Maurizio Lombardi) [RHEL-25547]
- nvmet-fc: take ref count on tgtport before delete assoc (Maurizio Lombardi) [RHEL-25547]
- nvmet-fc: avoid deadlock on delete association path (Maurizio Lombardi) [RHEL-25547]
- nvmet-fc: abort command when there is no binding (Maurizio Lombardi) [RHEL-25547]
- nvmet-fc: do not tack refs on tgtports from assoc (Maurizio Lombardi) [RHEL-25547]
- nvmet-fc: remove null hostport pointer check (Maurizio Lombardi) [RHEL-25547]
- nvmet-fc: hold reference on hostport match (Maurizio Lombardi) [RHEL-25547]
- nvmet-fc: free queue and assoc directly (Maurizio Lombardi) [RHEL-25547]
- nvmet-fc: defer cleanup using RCU properly (Maurizio Lombardi) [RHEL-25547]
- nvmet-fc: release reference on target port (Maurizio Lombardi) [RHEL-25547]
- nvmet-fcloop: swap the list_add_tail arguments (Maurizio Lombardi) [RHEL-25547]
- nvme-fc: do not wait in vain when unloading module (Maurizio Lombardi) [RHEL-25547]
- nvme-fc: log human-readable opcode on timeout (Maurizio Lombardi) [RHEL-25547]
- nvme: split out fabrics version of nvme_opcode_str() (Maurizio Lombardi) [RHEL-25547]
- nvme: take const cmd pointer in read-only helpers (Maurizio Lombardi) [RHEL-25547]
- nvme: remove redundant status mask (Maurizio Lombardi) [RHEL-25547]
- nvme: return string as char *, not unsigned char * (Maurizio Lombardi) [RHEL-25547]
- nvme-common: add module description (Maurizio Lombardi) [RHEL-25547]
- nvme: enable retries for authentication commands (Maurizio Lombardi) [RHEL-25547]
- nvme: change __nvme_submit_sync_cmd() calling conventions (Maurizio Lombardi) [RHEL-25547]
- nvme-auth: open-code single-use macros (Maurizio Lombardi) [RHEL-25547]
- nvme: use ctrl state accessor (Maurizio Lombardi) [RHEL-25547]
- nvmet-tcp: fix nvme tcp ida memory leak (Maurizio Lombardi) [RHEL-25547]
- nvme-rdma: Fix transfer length when write_generate/read_verify are 0 (Maurizio Lombardi) [RHEL-25547]
- nvmet: add module description to stop warnings (Maurizio Lombardi) [RHEL-25547]
- nvme: add module description to stop warnings (Maurizio Lombardi) [RHEL-25547]
- nvmet: unify aer type enum (Maurizio Lombardi) [RHEL-25547]
- nvmet-rdma: avoid circular locking dependency on install_queue() (Maurizio Lombardi) [RHEL-25547]
- nvmet-tcp: avoid circular locking dependency on install_queue() (Maurizio Lombardi) [RHEL-25547]
- nvme-pci: set doorbell config before unquiescing (Maurizio Lombardi) [RHEL-25547]
- nvme-tcp: enhance timeout kernel log (Maurizio Lombardi) [RHEL-25547]
- nvme-rdma: enhance timeout kernel log (Maurizio Lombardi) [RHEL-25547]
- nvme-pci: enhance timeout kernel log (Maurizio Lombardi) [RHEL-25547]
- nvme: trace: avoid memcpy overflow warning (Maurizio Lombardi) [RHEL-25547]
- nvmet: re-fix tracing strncpy() warning (Maurizio Lombardi) [RHEL-25547]
- nvme: introduce nvme_disk_is_ns_head helper (Maurizio Lombardi) [RHEL-25547]
- nvme: Add pr_ops read_reservation support (Maurizio Lombardi) [RHEL-25547]
- nvme: Add a nvme_pr_type enum (Maurizio Lombardi) [RHEL-25547]
- nvme: Add pr_ops read_keys support (Maurizio Lombardi) [RHEL-25547]
- nvme: Add helper to send pr command (Maurizio Lombardi) [RHEL-25547]
- nvme: Move pr code to it's own file (Maurizio Lombardi) [RHEL-25547]
- nvme: Don't hardcode the data len for pr commands (Maurizio Lombardi) [RHEL-25547]
- nvme: Fix reservation status related structs (Maurizio Lombardi) [RHEL-25547]
- nvmet-tcp: Use sendmsg(MSG_SPLICE_PAGES) rather then sendpage (Maurizio Lombardi) [RHEL-25547]
- nvme-tcp: Use sendmsg(MSG_SPLICE_PAGES) rather then sendpage (Maurizio Lombardi) [RHEL-25547]
- nvme-pci: disable write zeroes for SK Hynix BC901 (Maurizio Lombardi) [RHEL-25547]
- nvmet-fcloop: Remove remote port from list when unlinking (Maurizio Lombardi) [RHEL-25547]
- nvmet-trace: avoid dereferencing pointer too early (Maurizio Lombardi) [RHEL-25547]
- nvmet-fc: remove unnecessary bracket (Maurizio Lombardi) [RHEL-25547]
- nvme: simplify the max_discard_segments calculation (Maurizio Lombardi) [RHEL-25547]
- nvme: fix max_discard_sectors calculation (Maurizio Lombardi) [RHEL-25547]
- nvme: also skip discard granularity updates in nvme_config_discard (Maurizio Lombardi) [RHEL-25547]
- nvme: update the explanation for not updating the limits in nvme_config_discard (Maurizio Lombardi) [RHEL-25547]
- nvmet-tcp: fix a missing endianess conversion in nvmet_tcp_try_peek_pdu (Maurizio Lombardi) [RHEL-25547]
- nvme-common: mark nvme_tls_psk_prio static (Maurizio Lombardi) [RHEL-25547]
- nvme: remove unused definition (Maurizio Lombardi) [RHEL-25547]
- nvme: tcp: remove unnecessary goto statement (Maurizio Lombardi) [RHEL-25547]
- nvme-fc: set numa_node after nvme_init_ctrl (Maurizio Lombardi) [RHEL-25547]
- nvme-fabrics: don't check discovery ioccsz/iorcsz (Maurizio Lombardi) [RHEL-25547]
- nvmet: configfs: use ctrl->instance to track passthru subsystems (Maurizio Lombardi) [RHEL-25547]
- nvme: repack struct nvme_ns_head (Maurizio Lombardi) [RHEL-25547]
- nvme: add csi, ms and nuse to sysfs (Maurizio Lombardi) [RHEL-25547]
- nvme: rename ns attribute group (Maurizio Lombardi) [RHEL-25547]
- nvme: refactor ns info setup function (Maurizio Lombardi) [RHEL-25547]
- nvme: refactor ns info helpers (Maurizio Lombardi) [RHEL-25547]
- nvme: move ns id info to struct nvme_ns_head (Maurizio Lombardi) [RHEL-25547]
- nvmet: remove cntlid_min and cntlid_max check in nvmet_alloc_ctrl (Maurizio Lombardi) [RHEL-25547]
- nvmet: allow identical cntlid_min and cntlid_max settings (Maurizio Lombardi) [RHEL-25547]
- nvme-fabrics: check ioccsz and iorcsz (Maurizio Lombardi) [RHEL-25547]
- nvme: introduce nvme_check_ctrl_fabric_info helper (Maurizio Lombardi) [RHEL-25547]
- nvme-fc: replace deprecated strncpy with strscpy (Maurizio Lombardi) [RHEL-25547]
- nvme-fabrics: replace deprecated strncpy with strscpy (Maurizio Lombardi) [RHEL-25547]
- nvme-pci: fix sleeping function called from interrupt context (Maurizio Lombardi) [RHEL-25547]
- nvme-pci: Add sleep quirk for Kingston drives (Maurizio Lombardi) [RHEL-25547]
- nvme: fix deadlock between reset and scan (Maurizio Lombardi) [RHEL-25547]
- nvme: Print capabilities changes just once (Maurizio Lombardi) [RHEL-25547]
- nvme: prevent potential spectre v1 gadget (Maurizio Lombardi) [RHEL-25547]
- nvme: improve NVME_HOST_AUTH and NVME_TARGET_AUTH config descriptions (Maurizio Lombardi) [RHEL-25547]
- nvme-ioctl: move capable() admin check to the end (Maurizio Lombardi) [RHEL-25547]
- nvme: ensure reset state check ordering (Maurizio Lombardi) [RHEL-25547]
- nvme: introduce helper function to get ctrl state (Maurizio Lombardi) [RHEL-25547]
- nvme-core: check for too small lba shift (Maurizio Lombardi) [RHEL-25547]
- nvme: fine-tune sending of first keep-alive (Maurizio Lombardi) [RHEL-25547]
- nvme: tcp: fix compile-time checks for TLS mode (Maurizio Lombardi) [RHEL-25547]
- nvme: target: fix Kconfig select statements (Maurizio Lombardi) [RHEL-25547]
- nvme: target: fix nvme_keyring_id() references (Maurizio Lombardi) [RHEL-25547]
- nvme: move nvme_stop_keep_alive() back to original position (Maurizio Lombardi) [RHEL-25547]
- nvmet-tcp: always initialize tls_handshake_tmo_work (Maurizio Lombardi) [RHEL-25547]
- nvme: blank out authentication fabrics options if not configured (Maurizio Lombardi) [RHEL-25547]
- nvme: catch errors from nvme_configure_metadata() (Maurizio Lombardi) [RHEL-25547]
- nvme-tcp: only evaluate 'tls' option if TLS is selected (Maurizio Lombardi) [RHEL-25547]
- nvme-auth: set explanation code for failure2 msgs (Maurizio Lombardi) [RHEL-25547]
- nvme-auth: unlock mutex in one place only (Maurizio Lombardi) [RHEL-25547]
- nvme: keyring: fix conditional compilation (Maurizio Lombardi) [RHEL-25547]
- nvme: common: make keyring and auth separate modules (Maurizio Lombardi) [RHEL-25547]
- nvme-loop: always quiesce and cancel commands before destroying admin q (Maurizio Lombardi) [RHEL-25547]
- nvme-tcp: avoid open-coding nvme_tcp_teardown_admin_queue() (Maurizio Lombardi) [RHEL-25547]
- nvme-auth: always set valid seq_num in dhchap reply (Maurizio Lombardi) [RHEL-25547]
- nvme-auth: add flag for bi-directional auth (Maurizio Lombardi) [RHEL-25547]
- nvme-auth: auth success1 msg always includes resp (Maurizio Lombardi) [RHEL-25547]
- nvme: fix error-handling for io_uring nvme-passthrough (Maurizio Lombardi) [RHEL-25547]
- nvme-tcp: Fix a memory leak (Maurizio Lombardi) [RHEL-25547]
- nvme-auth: use crypto_shash_tfm_digest() (Maurizio Lombardi) [RHEL-25547]
- nvme-auth: allow mixing of secret and hash lengths (Maurizio Lombardi) [RHEL-25547]
- nvme-auth: use transformed key size to create resp (Maurizio Lombardi) [RHEL-25547]
- nvme-auth: alloc nvme_dhchap_key as single buffer (Maurizio Lombardi) [RHEL-25547]
- nvmet-tcp: use 'spin_lock_bh' for state_lock() (Maurizio Lombardi) [RHEL-25547]
- nvme: rework NVME_AUTH Kconfig selection (Maurizio Lombardi) [RHEL-25547]
- nvmet-tcp: peek icreq before starting TLS (Maurizio Lombardi) [RHEL-25547]
- nvmet-tcp: control messages for recvmsg() (Maurizio Lombardi) [RHEL-25547]
- nvmet-tcp: enable TLS handshake upcall (Maurizio Lombardi) [RHEL-25547]
- nvmet: Set 'TREQ' to 'required' when TLS is enabled (Maurizio Lombardi) [RHEL-25547]
- nvmet-tcp: allocate socket file (Maurizio Lombardi) [RHEL-25547]
- nvmet-tcp: make nvmet_tcp_alloc_queue() a void function (Maurizio Lombardi) [RHEL-25547]
- nvmet: make TCP sectype settable via configfs (Maurizio Lombardi) [RHEL-25547]
- nvme-fabrics: parse options 'keyring' and 'tls_key' (Maurizio Lombardi) [RHEL-25547]
- nvme-tcp: improve icreq/icresp logging (Maurizio Lombardi) [RHEL-25547]
- nvme-tcp: control message handling for recvmsg() (Maurizio Lombardi) [RHEL-25547]
- nvme-tcp: enable TLS handshake upcall (Maurizio Lombardi) [RHEL-25547]
- nvme-tcp: allocate socket file (Maurizio Lombardi) [RHEL-25547]
- nvme-keyring: implement nvme_tls_psk_default() (Maurizio Lombardi) [RHEL-25547]
- nvme-tcp: add definitions for TLS cipher suites (Maurizio Lombardi) [RHEL-25547]
- nvme: add TCP TSAS definitions (Maurizio Lombardi) [RHEL-25547]
- nvme-keyring: define a 'psk' keytype (Maurizio Lombardi) [RHEL-25547]
- nvme-keyring: register '.nvme' keyring (Maurizio Lombardi) [RHEL-25547]
- nvmet-fc: Annotate struct nvmet_fc_tgt_queue with __counted_by (Maurizio Lombardi) [RHEL-25547]
- nvme-pci: add BOGUS_NID for Intel 0a54 device (Maurizio Lombardi) [RHEL-25547 RHEL-28783]
- nvmet-auth: complete a request only after freeing the dhchap pointers (Maurizio Lombardi) [RHEL-25547]
- nvme: sanitize metadata bounce buffer for reads (Maurizio Lombardi) [RHEL-25547]
- nvme-rdma: do not try to stop unallocated queues (Maurizio Lombardi) [RHEL-25547]
- redhat/rhel_files/mod-extra.list.rhel: add test_lockup (Čestmír Kalina) [RHEL-26127]
- redhat/configs: enable CONFIG_TEST_LOCKUP (Čestmír Kalina) [RHEL-26127]
- lib/test_lockup: fix kernel pointer check for separate address spaces (Čestmír Kalina) [RHEL-26127]
- sched/fair: Take the scheduling domain into account in select_idle_core() (Phil Auld) [RHEL-25535]
- sched/fair: Take the scheduling domain into account in select_idle_smt() (Phil Auld) [RHEL-25535]
- sched/fair: Fix tg->load when offlining a CPU (Phil Auld) [RHEL-25535]
- sched/fair: Use all little CPUs for CPU-bound workloads (Phil Auld) [RHEL-25535]
- sched/fair: Simplify util_est (Phil Auld) [RHEL-25535]
- sched/fair: Remove SCHED_FEAT(UTIL_EST_FASTUP, true) (Phil Auld) [RHEL-25535]
- sched: fair: move unused stub functions to header (Phil Auld) [RHEL-25535]
- sched/doc: Update documentation after renames and synchronize Chinese version (Phil Auld) [RHEL-25535]
- sched/pelt: Avoid underestimation of task utilization (Phil Auld) [RHEL-25535]
- sched/deadline: Introduce deadline servers (Phil Auld) [RHEL-25535]
- sched/deadline: Move bandwidth accounting into {en,de}queue_dl_entity (Phil Auld) [RHEL-25535]
- sched/deadline: Collect sched_dl_entity initialization (Phil Auld) [RHEL-25535]
- sched: Unify more update_curr*() (Phil Auld) [RHEL-25535]
- sched: Remove vruntime from trace_sched_stat_runtime() (Phil Auld) [RHEL-25535]
- sched: Unify runtime accounting across classes (Phil Auld) [RHEL-25535]
- sched: Use WRITE_ONCE() for p->on_rq (Phil Auld) [RHEL-25535]
- rcu/tasks-trace: Handle new PF_IDLE semantics (Phil Auld) [RHEL-25535]
- rcu/tasks: Handle new PF_IDLE semantics (Phil Auld) [RHEL-25535]
- rcu: Introduce rcu_cpu_online() (Phil Auld) [RHEL-25535]
- sched/fair: Remove SIS_PROP (Phil Auld) [RHEL-25535]
- sched/fair: Use candidate prev/recent_used CPU if scanning failed for cluster wakeup (Phil Auld) [RHEL-25535]
- sched/fair: Scan cluster before scanning LLC in wake-up path (Phil Auld) [RHEL-15622]
- sched: Add cpus_share_resources API (Phil Auld) [RHEL-15622]
- sched/nohz: Update comments about NEWILB_KICK (Phil Auld) [RHEL-25535]
- sched/fair: Remove duplicate #include (Phil Auld) [RHEL-25535]
- sched: Make PELT acronym definition searchable (Phil Auld) [RHEL-25535]
- sched/headers: Remove comment referring to rq::cpu_load, since this has been removed (Phil Auld) [RHEL-25535]
- sched/topology: Move the declaration of 'schedutil_gov' to kernel/sched/sched.h (Phil Auld) [RHEL-25535]
- sched/topology: Change behaviour of the 'sched_energy_aware' sysctl, based on the platform (Phil Auld) [RHEL-25535]
- sched/topology: Remove the EM_MAX_COMPLEXITY limit (Phil Auld) [RHEL-25535]
- sched/nohz: Remove unnecessarily complex error handling pattern from find_new_ilb() (Phil Auld) [RHEL-25535]
- sched/nohz: Use consistent variable names in find_new_ilb() and kick_ilb() (Phil Auld) [RHEL-25535]
- sched/nohz: Update idle load-balancing (ILB) comments (Phil Auld) [RHEL-25535]
- sched/debug: Print 'tgid' in sched_show_task() (Phil Auld) [RHEL-25535]
- sched/headers: Remove duplicate header inclusions (Phil Auld) [RHEL-25535]
- sched/debug: Add new tracepoint to track compute energy computation (Phil Auld) [RHEL-25535]
- sched/deadline: Make dl_rq->pushable_dl_tasks update drive dl_rq->overloaded (Phil Auld) [RHEL-25535]
- sched/rt: Make rt_rq->pushable_tasks updates drive rto_mask (Phil Auld) [RHEL-25535]
- sched/core: Refactor the task_flags check for worker sleeping in sched_submit_work() (Phil Auld) [RHEL-25535]
- sched/fair: Fix warning in bandwidth distribution (Phil Auld) [RHEL-25535]
- sched/fair: Make cfs_rq->throttled_csd_list available on !SMP (Phil Auld) [RHEL-25535]
- sched/debug: Avoid checking in_atomic_preempt_off() twice in schedule_debug() (Phil Auld) [RHEL-25535]
- sched/headers: Standardize the <linux/sched/smt.h> header guard #endif (Phil Auld) [RHEL-25535]
- sched/headers: Standardize the <linux/sched/type.h> header guard #endif (Phil Auld) [RHEL-25535]
- sched/headers: Add header guard to <linux/sched/deadline.h> (Phil Auld) [RHEL-25535]
- kernel/sched: Modify initial boot task idle setup (Phil Auld) [RHEL-25535]
- sched/fair: Rename check_preempt_curr() to wakeup_preempt() (Phil Auld) [RHEL-25535]
- sched/fair: Rename check_preempt_wakeup() to check_preempt_wakeup_fair() (Phil Auld) [RHEL-25535]
- sched/headers: Remove duplicated includes in kernel/sched/sched.h (Phil Auld) [RHEL-25535]
- sched/fair: Ratelimit update to tg->load_avg (Phil Auld) [RHEL-20158 RHEL-25535]
- sched/core: Use do-while instead of for loop in set_nr_if_polling() (Phil Auld) [RHEL-25535]
- sched/fair: Fix cfs_rq_is_decayed() on !SMP (Phil Auld) [RHEL-25535]
- sched: Assert for_each_thread() is properly locked (Phil Auld) [RHEL-25535]
- sched/core: Report correct state for TASK_IDLE | TASK_FREEZABLE (Phil Auld) [RHEL-25535]
- sched/core: Add kernel-doc for set_cpus_allowed_ptr() (Phil Auld) [RHEL-25535]
- sched/headers: Rename task_struct::state to task_struct::__state in the comments too (Phil Auld) [RHEL-25535]
- sched: add WF_CURRENT_CPU and externise ttwu (Phil Auld) [RHEL-25535]
- sched/fair: Stabilize asym cpu capacity system idle cpu selection (Phil Auld) [RHEL-25535]
- sched/core: introduce sched_core_idle_cpu() (Phil Auld) [RHEL-25535]
- genirq, softirq: Use in_hardirq() instead of in_irq() (Phil Auld) [RHEL-25535]
- sched: add throttled time stat for throttled children (Phil Auld) [RHEL-25535]
- sched: don't account throttle time for empty groups (Phil Auld) [RHEL-25535]
- kbuild: add kbuild-file macro (Radu Rendec) [RHEL-33669]
- kbuild: replace $(if A,A,B) with $(or A,B) in scripts/Makefile.modpost (Radu Rendec) [RHEL-33669]
- kbuild: Fix include path in scripts/Makefile.modpost (Radu Rendec) [RHEL-33669]
- smb: client: fix rename(2) regression against samba (Paulo Alcantara) [RHEL-33612]
- net/sched: fix lockdep splat in qdisc_tree_reduce_backlog() (Davide Caratti) [RHEL-32137]
- net/sched: taprio: proper TCA_TAPRIO_TC_ENTRY_INDEX check (Davide Caratti) [RHEL-32137 RHEL-32497] {CVE-2024-26815}
- net/sched: flower: Add lock protection when remove filter handle (Davide Caratti) [RHEL-32137]
- net/sched: act_mirred: don't override retval if we already lost the skb (Davide Caratti) [RHEL-31724 RHEL-32137] {CVE-2024-26739}
- net/sched: act_mirred: use the backlog for mirred ingress (Davide Caratti) [RHEL-31720 RHEL-32137] {CVE-2024-26740}
- net/sched: act_mirred: Create function tcf_mirred_to_dev and improve readability (Davide Caratti) [RHEL-32137]
- net/sched: flower: Fix chain template offload (Davide Caratti) [RHEL-31315 RHEL-32137] {CVE-2024-26669}
- Revert "thermal: core: Don't update trip points inside the hysteresis range" (David Arcari) [RHEL-31848]
- MAINTAINERS: remove section INTEL MENLOW THERMAL DRIVER (David Arcari) [RHEL-31848]
- thermal: core: remove unnecessary check in trip_point_hyst_store() (David Arcari) [RHEL-31848]
- thermal: intel: int340x_thermal: Use thermal zone accessor functions (David Arcari) [RHEL-31848]
- thermal: core: Remove excess empty line from a comment (David Arcari) [RHEL-31848]
- thermal: int340x: processor_thermal: Add Lunar Lake-M PCI ID (David Arcari) [RHEL-31848]
- thermal: intel: Set THERMAL_TRIP_FLAG_RW_TEMP directly (David Arcari) [RHEL-31848]
- thermal: core: Drop the .set_trip_hyst() thermal zone operation (David Arcari) [RHEL-31848]
- thermal: core: Add flags to struct thermal_trip (David Arcari) [RHEL-31848]
- thermal: core: Move initial num_trips assignment before memcpy() (David Arcari) [RHEL-31848]
- thermal: core: Store zone ops in struct thermal_zone_device (David Arcari) [RHEL-31848]
- thermal: Get rid of CONFIG_THERMAL_WRITABLE_TRIPS (David Arcari) [RHEL-31848]
- thermal: intel: Adjust ops handling during thermal zone registration (David Arcari) [RHEL-31848]
- thermal: core: Store zone trips table in struct thermal_zone_device (David Arcari) [RHEL-31848]
- thermal: intel: Discard trip tables after zone registration (David Arcari) [RHEL-31848]
- thermal/intel: Fix intel_tcc_get_temp() to support negative CPU temperature (David Arcari) [RHEL-31848]
- thermal: sysfs: Fix up white space in trip_point_temp_store() (David Arcari) [RHEL-31848]
- thermal: intel: powerclamp: Remove dead code for target mwait value (David Arcari) [RHEL-31848]
- thermal/debugfs: Unlock on error path in thermal_debug_tz_trip_up() (David Arcari) [RHEL-31848]
- thermal: core: Use kstrdup_const() during cooling device registration (David Arcari) [RHEL-31848]
- thermal/debugfs: Add thermal debugfs information for mitigation episodes (David Arcari) [RHEL-31848]
- redhat/configs: disable CONFIG_THERMAL_DEBUGFS (David Arcari) [RHEL-31848]
- thermal/debugfs: Add thermal cooling device debugfs information (David Arcari) [RHEL-31848]
- thermal: netlink: Pass thermal zone pointer to notify routines (David Arcari) [RHEL-31848]
- thermal: netlink: Pass pointers to thermal_notify_tz_trip_up/down() (David Arcari) [RHEL-31848]
- thermal: netlink: Pass pointers to thermal_notify_tz_trip_change() (David Arcari) [RHEL-31848]
- thermal: trip: Constify thermal zone argument of thermal_zone_trip_id() (David Arcari) [RHEL-31848]
- thermal: intel: hfi: Enable an HFI instance from its first online CPU (David Arcari) [RHEL-31848]
- thermal/thermal_of: Allow rebooting after critical temp (David Arcari) [RHEL-31848]
- reboot: Introduce thermal_zone_device_critical_reboot() (David Arcari) [RHEL-31848]
- thermal/core: Prepare for introduction of thermal reboot (David Arcari) [RHEL-31848]
- thermal/sysfs: Update governors when the 'weight' has changed (David Arcari) [RHEL-31848]
- thermal/sysfs: Update instance->weight under tz lock (David Arcari) [RHEL-31848]
- thermal: core: Add governor callback for thermal zone change (David Arcari) [RHEL-31848]
- thermal: core: Resume thermal zones asynchronously (David Arcari) [RHEL-31848]
- thermal: core: Initialize poll_queue in thermal_zone_device_init() (David Arcari) [RHEL-31848]
- thermal: core: Fix thermal zone suspend-resume synchronization (David Arcari) [RHEL-31848]
- thermal/core: Check get_temp ops is present when registering a tz (David Arcari) [RHEL-31848]
- thermal: trip: Use for_each_trip() in __thermal_zone_set_trips() (David Arcari) [RHEL-31848]
- thermal: trip: Send trip change notifications on all trip updates (David Arcari) [RHEL-31848]
- thermal: core: Rework thermal zone availability check (David Arcari) [RHEL-31848]
- thermal: Drop redundant and confusing device_is_registered() checks (David Arcari) [RHEL-31848]
- thermal: core: Make thermal_zone_device_unregister() return after freeing the zone (David Arcari) [RHEL-31848]
- thermal: sysfs: Rework the reading of trip point attributes (David Arcari) [RHEL-31848]
- thermal: sysfs: Rework the handling of trip point updates (David Arcari) [RHEL-31848]
- thermal: trip: Drop a redundant check from thermal_zone_set_trip() (David Arcari) [RHEL-31848]
- thermal: ACPI: Move the ACPI thermal library to drivers/acpi/ (David Arcari) [RHEL-31848]
- thermal: core: Add trip thresholds for trip crossing detection (David Arcari) [RHEL-31848]
- thermal: core: Pass trip pointer to governor throttle callback (David Arcari) [RHEL-31848]
- thermal: gov_power_allocator: Use trip pointers instead of trip indices (David Arcari) [RHEL-31848]
- thermal: core: Don't update trip points inside the hysteresis range (David Arcari) [RHEL-31848]
- thermal: trip: Define for_each_trip() macro (David Arcari) [RHEL-31848]
- thermal: trip: Simplify computing trip indices (David Arcari) [RHEL-31848]
- thermal: int340x: processor_thermal: Enable power floor support (David Arcari) [RHEL-31848]
- thermal: int340x: processor_thermal: Handle power floor interrupts (David Arcari) [RHEL-31848]
- thermal: int340x: processor_thermal: Support power floor notifications (David Arcari) [RHEL-31848]
- thermal: int340x: processor_thermal: Set feature mask before proc_thermal_add (David Arcari) [RHEL-31848]
- thermal: int340x: processor_thermal: Common function to clear SOC interrupt (David Arcari) [RHEL-31848]
- thermal: int340x: processor_thermal: Move interrupt status MMIO offset to common header (David Arcari) [RHEL-31848]
- thermal: core: prevent potential string overflow (David Arcari) [RHEL-31848]
- thermal: int340x: Use thermal_zone_for_each_trip() (David Arcari) [RHEL-31848]
- thermal: int3406: Convert to platform remove callback returning void (David Arcari) [RHEL-31848]
- thermal: int3403: Convert to platform remove callback returning void (David Arcari) [RHEL-31848]
- thermal: int3402: Convert to platform remove callback returning void (David Arcari) [RHEL-31848]
- thermal: int3401: Convert to platform remove callback returning void (David Arcari) [RHEL-31848]
- thermal: int3400: Convert to platform remove callback returning void (David Arcari) [RHEL-31848]
- thermal: trip: Drop redundant trips check from for_each_thermal_trip() (David Arcari) [RHEL-31848]
- thermal: core: Drop trips_disabled bitmask (David Arcari) [RHEL-31848]
- thermal: int340x: processor_thermal: Ack all PCI interrupts (David Arcari) [RHEL-31848]
- thermal: int340x: Add ArrowLake-S PCI ID (David Arcari) [RHEL-31848]
- thermal: int340x: Handle workload hint interrupts (David Arcari) [RHEL-31848]
- thermal: int340x: processor_thermal: Add workload type hint interface (David Arcari) [RHEL-31848]
- thermal: int340x: Remove PROC_THERMAL_FEATURE_WLT_REQ for Meteor Lake (David Arcari) [RHEL-31848]
- thermal: int340x: processor_thermal: Use non MSI interrupts by default (David Arcari) [RHEL-31848]
- thermal: int340x: processor_thermal: Add interrupt configuration function (David Arcari) [RHEL-31848]
- thermal: int340x: processor_thermal: Move mailbox code to common module (David Arcari) [RHEL-31848]
- thermal: Constify the trip argument of the .get_trend() zone callback (David Arcari) [RHEL-31848]
- thermal/of: add missing of_node_put() (David Arcari) [RHEL-31848]
- thermal: Use thermal_tripless_zone_device_register() (David Arcari) [RHEL-31848]
- thermal: core: Add function for registering tripless thermal zones (David Arcari) [RHEL-31848]
- thermal: core: Clean up headers of thermal zone registration functions (David Arcari) [RHEL-31848]
- thermal: intel: intel_soc_dts_iosf: Remove redundant check (David Arcari) [RHEL-31848]
- thermal: intel: int340x: simplify the code with module_platform_driver() (David Arcari) [RHEL-31848]
- thermal/of: Fix potential uninitialized value access (David Arcari) [RHEL-31848]
- thermal: Explicitly include correct DT includes (David Arcari) [RHEL-31848]
- thermal: of: fix double-free on unregistration (David Arcari) [RHEL-31848]
- thermal: core: constify params in thermal_zone_device_register (David Arcari) [RHEL-31848]
- power: supply: core: Avoid duplicate hwmon device from thermal framework (David Arcari) [RHEL-31848]
- thermal/drivers/int340x: Do not check the thermal zone state (David Arcari) [RHEL-31848]
- thermal/drivers/int3400: Use thermal zone device wrappers (David Arcari) [RHEL-31848]
- thermal/intel/intel_soc_dts_iosf: Fix reporting wrong temperatures (David Arcari) [RHEL-31848]
- thermal: intel: int340x_thermal: New IOCTLs for Passive v2 table (David Arcari) [RHEL-31848]
- thermal: intel: int340x: Add new line for UUID display (David Arcari) [RHEL-31848]
- thermal: intel: powerclamp: Fix NULL pointer access issue (David Arcari) [RHEL-31848]
- thermal: intel: menlow: Get rid of this driver (David Arcari) [RHEL-31848]
- thermal: intel: int340x: Add DLVR support for RFIM control (David Arcari) [RHEL-31848]
- thermal: intel: Avoid updating unsupported THERM_STATUS_CLEAR mask bits (David Arcari) [RHEL-31848]
- thermal: intel: x86_pkg_temp_thermal: Add lower bound check for sysfs input (David Arcari) [RHEL-31848]
- thermal/core: Use the thermal zone 'devdata' accessor in thermal located drivers (David Arcari) [RHEL-31848]
- thermal: intel: BXT_PMIC: select REGMAP instead of depending on it (David Arcari) [RHEL-31848]
- thermal: intel: quark_dts: fix error pointer dereference (David Arcari) [RHEL-31848]
- thermal: Remove core header inclusion from drivers (David Arcari) [RHEL-31848]
- thermal: intel: quark_dts: Use generic trip points (David Arcari) [RHEL-31848]
- thermal: intel: intel_pch: Drop struct board_info (David Arcari) [RHEL-31848]
- thermal: intel: intel_pch: Rename board ID symbols (David Arcari) [RHEL-31848]
- thermal: intel: intel_pch: Fold suspend and resume routines into their callers (David Arcari) [RHEL-31848]
- thermal: intel: intel_pch: Fold two functions into their callers (David Arcari) [RHEL-31848]
- thermal: intel: intel_pch: Eliminate device operations object (David Arcari) [RHEL-31848]
- thermal: intel: intel_pch: Rename device operations callbacks (David Arcari) [RHEL-31848]
- thermal: intel: intel_pch: Eliminate redundant return pointers (David Arcari) [RHEL-31848]
- thermal: intel: intel_pch: Make pch_wpt_add_acpi_psv_trip() return int (David Arcari) [RHEL-31848]
- thermal: ACPI: Make helpers retrieve temperature only (David Arcari) [RHEL-31848]
- thermal: intel: int340x: Add production mode attribute (David Arcari) [RHEL-31848]
- docs: driver-api/thermal/intel_dptf: Use copyright symbol (David Arcari) [RHEL-31848]
- Documentation: thermal: DPTF Documentation (David Arcari) [RHEL-31848]
- thermal: intel: intel_pch: Add support for Wellsburg PCH (David Arcari) [RHEL-31848]
- thermal: int340x_thermal: Use sysfs_emit_at() instead of scnprintf() (David Arcari) [RHEL-31848]
- thermal: intel: Fix unsigned comparison with less than zero (David Arcari) [RHEL-31848]
- thermal/x86_pkg_temp_thermal: Add support for handling dynamic tjmax (David Arcari) [RHEL-31848]
- thermal/x86_pkg_temp_thermal: Use Intel TCC library (David Arcari) [RHEL-31848]
- thermal/intel/intel_tcc_cooling: Use Intel TCC library (David Arcari) [RHEL-31848]
- thermal/intel/intel_soc_dts_iosf: Use Intel TCC library (David Arcari) [RHEL-31848]
- thermal/int340x/processor_thermal: Use Intel TCC library (David Arcari) [RHEL-31848]
- thermal/intel: Introduce Intel TCC library (David Arcari) [RHEL-31848]
- thermal: int340x: Add missing attribute for data rate base (David Arcari) [RHEL-31848]
- thermal: intel: Don't set HFI status bit to 1 (David Arcari) [RHEL-31848]
- thermal: intel: hfi: Remove a pointless die_id check (David Arcari) [RHEL-31848]
- thermal: intel: hfi: ACK HFI for the same timestamp (David Arcari) [RHEL-31848]
- thermal: intel: Protect clearing of thermal status bits (David Arcari) [RHEL-31848]
- thermal: intel: Prevent accidental clearing of HFI status (David Arcari) [RHEL-31848]
- thermal: intel: intel_tcc_cooling: Add TCC cooling support for RaptorLake-S (David Arcari) [RHEL-31848]
- thermal: intel: intel_tcc_cooling: Detect TCC lock bit (David Arcari) [RHEL-31848]
- thermal: intel: hfi: Improve the type of hfi_features::nr_table_pages (David Arcari) [RHEL-31848]
- thermal: int340x: processor_thermal: Use module_pci_driver() macro (David Arcari) [RHEL-31848]
- thermal: int340x_thermal: Consolidate priv->data_vault checks (David Arcari) [RHEL-31848]
- thermal/int340x_thermal: handle data_vault when the value is ZERO_SIZE_PTR (David Arcari) [RHEL-31848 RHEL-35486] {CVE-2022-48703}
- thermal: intel: Add TCC cooling support for Alder Lake-N and Raptor Lake-P (David Arcari) [RHEL-31848]
- intel: thermal: PCH: Drop ACPI_FADT_LOW_POWER_S0 check (David Arcari) [RHEL-31848]
- thermal: intel: x86_pkg_temp_thermal: Drop duplicate 'is' from comment (David Arcari) [RHEL-31848]
- thermal: intel_tcc_cooling: Add TCC cooling support for RaptorLake (David Arcari) [RHEL-31848]
- thermal: intel: pch: improve the cooling delay log (David Arcari) [RHEL-31848]
- thermal: intel: pch: enhance overheat handling (David Arcari) [RHEL-31848]
- thermal: intel: pch: move cooling delay to suspend_noirq phase (David Arcari) [RHEL-31848]
- thermal: intel: hfi: remove NULL check after container_of() call (David Arcari) [RHEL-31848]
- thermal: intel: Allow processing of HWP interrupt (David Arcari) [RHEL-31848]
- drivers/thermal/intel: Add TCC cooling support for AlderLake platform (David Arcari) [RHEL-31848]
- dm: restore synchronous close of device mapper block device (Ming Lei) [RHEL-34573]
- fs: use __fput_sync in close(2) (Ming Lei) [RHEL-34573]
- powerpc/pseries: Fix potential memleak in papr_get_attr() (Mamatha Inamdar) [RHEL-35215] {CVE-2022-48669}
Resolves: RHEL-15622, RHEL-20158, RHEL-25535, RHEL-25547, RHEL-26127, RHEL-28783, RHEL-30814, RHEL-31052, RHEL-31315, RHEL-31345, RHEL-31720, RHEL-31724, RHEL-31732, RHEL-31848, RHEL-31945, RHEL-32137, RHEL-32497, RHEL-33612, RHEL-33669, RHEL-34573, RHEL-35215, RHEL-35486

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-05-08 17:26:42 -03:00
Patrick Talbert
e6220b7948 kernel-5.14.0-447.el9
* Tue May 07 2024 Patrick Talbert <ptalbert@redhat.com> [5.14.0-447.el9]
- powercap: intel_rapl: Convert to platform remove callback returning void (David Arcari) [RHEL-35363]
- x86/cpu/topology: Rename topology_max_die_per_package() (David Arcari) [RHEL-35363]
- powercap: intel_rapl_tpmi: Fix System Domain probing (David Arcari) [RHEL-35363]
- powercap: intel_rapl_tpmi: Fix a register bug (David Arcari) [RHEL-35363]
- powercap: intel_rapl: Fix locking in TPMI RAPL (David Arcari) [RHEL-35363]
- powercap: intel_rapl: Fix a NULL pointer dereference (David Arcari) [RHEL-35104 RHEL-35363] {CVE-2024-26975}
- powercap: intel_rapl: Downgrade BIOS locked limits pr_warn() to pr_debug() (David Arcari) [RHEL-35363]
- platform/x86/intel/ifs: Remove unnecessary initialization of 'ret' (David Arcari) [RHEL-35194]
- platform/x86/intel/ifs: Add an entry rendezvous for SAF (David Arcari) [RHEL-35194]
- platform/x86/intel/ifs: Replace the exit rendezvous with an entry rendezvous for ARRAY_BIST (David Arcari) [RHEL-35194]
- platform/x86/intel/ifs: Add current batch number to trace output (David Arcari) [RHEL-35194]
- platform/x86/intel/ifs: Trace on all HT threads when executing a test (David Arcari) [RHEL-35194]
- kexec: fix the unexpected kexec_dprintk() macro (Baoquan He) [RHEL-477]
- kexec_file, parisc: print out debugging message if required (Baoquan He) [RHEL-477]
- kexec_file, power: print out debugging message if required (Baoquan He) [RHEL-477]
- kexec_file, arm64: print out debugging message if required (Baoquan He) [RHEL-477]
- kexec_file, x86: print out debugging message if required (Baoquan He) [RHEL-477]
- kexec_file: print out debugging message if required (Baoquan He) [RHEL-477]
- kexec_file: add kexec_file flag to control debug printing (Baoquan He) [RHEL-477]
- mmc: renesas_sdhi: use plain numbers for end_flags (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: Fix rounding errors (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: special 4tap settings only apply to HS400 (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: take DMA end interrupts into account (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: add quirk for broken register layout (Radu Rendec) [RHEL-34056]
- mmc: tmio: add callback for dma irq (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: improve naming of DMA struct (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: remove accessor function for internal_dmac (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: newer SoCs don't need manual tap correction (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: add R-Car Gen4 fallback compatibility string (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: Add missing checks for the presence of quirks (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: style fix for proper function bodies (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: make 'dmac_only_one_rx' a quirk (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: make 'fixed_addr_mode' a quirk (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: remove a stale comment (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: make setup selection more understandable (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: R-Car D3 also has no HS400 (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: remove outdated headers (Radu Rendec) [RHEL-34056]
- mmc: host: Drop commas after SoC match table sentinels (Radu Rendec) [RHEL-34056]
- spi: sh-msiof: avoid integer overflow in constants (Radu Rendec) [RHEL-34056]
- spi: renesas,sh-msiof: R-Car V3U is R-Car Gen4 (Radu Rendec) [RHEL-34056]
- spi: sh-msiof: add generic Gen4 binding (Radu Rendec) [RHEL-34056]
- spi: renesas,sh-msiof: Add generic Gen4 and r8a779f0 support (Radu Rendec) [RHEL-34056]
- spi: sh-msiof: drop unneeded MODULE_ALIAS (Radu Rendec) [RHEL-34056]
- spi: rspi: drop unneeded MODULE_ALIAS (Radu Rendec) [RHEL-34056]
- i2c: rcar: fix error code in probe() (Radu Rendec) [RHEL-34056]
- i2c: rcar: improve accuracy for R-Car Gen3+ (Radu Rendec) [RHEL-34056]
- i2c: rcar: reset controller is mandatory for Gen3+ (Radu Rendec) [RHEL-34056]
- i2c: riic: avoid potential division by zero (Radu Rendec) [RHEL-34056]
- i2c: rcar: remove open coded DIV_ROUND_CLOSEST (Radu Rendec) [RHEL-34056]
- i2c: rcar: calculate divider instead of brute-forcing it (Radu Rendec) [RHEL-34056]
- i2c: rcar: properly format a debug output (Radu Rendec) [RHEL-34056]
- i2c: rcar: avoid non-standard use of goto (Radu Rendec) [RHEL-34056]
- i2c: rcar: use flags instead of atomic_xfer (Radu Rendec) [RHEL-34056]
- i2c: rcar: REP_AFTER_RD is not a persistent flag (Radu Rendec) [RHEL-34056]
- i2c: rcar: use BIT macro consistently (Radu Rendec) [RHEL-34056]
- i2c: rcar: refactor handling of first message (Radu Rendec) [RHEL-34056]
- i2c: rcar: avoid race condition with SMIs (Radu Rendec) [RHEL-34056]
- i2c: rcar: fix PM ref counts in probe error paths (Radu Rendec) [RHEL-34056]
- i2c: rcar: add support for I2C_M_RECV_LEN (Radu Rendec) [RHEL-34056]
- i2c: rcar: Add R-Car Gen4 support (Radu Rendec) [RHEL-34056]
- dt-bindings: i2c: renesas,rcar-i2c: Add r8a779f0 support (Radu Rendec) [RHEL-34056]
- i2c: rcar: update to new DMAENGINE API when terminating (Radu Rendec) [RHEL-34056]
- i2c: rcar: enable interrupts before starting transfer (Radu Rendec) [RHEL-34056]
- treewide: rename pinctrl_gpio_free_new() (Radu Rendec) [RHEL-34056]
- treewide: rename pinctrl_gpio_request_new() (Radu Rendec) [RHEL-34056]
- gpio: rcar: use new pinctrl GPIO helpers (Radu Rendec) [RHEL-34056]
- gpio: rcar: Make the irqchip immutable (Radu Rendec) [RHEL-34056]
- gpio: rcar: Add R-Car Gen4 support (Radu Rendec) [RHEL-34056]
- dt-bindings: gpio: renesas,rcar-gpio: Add r8a779f0 support (Radu Rendec) [RHEL-34056]
- gpio: rcar: Move PM device over to irq domain (Radu Rendec) [RHEL-34056]
- irqchip/renesas-irqc: Move PM device over to irq domain (Radu Rendec) [RHEL-34056]
- irqchip/renesas-intc-irqpin: Move PM device over to irq domain (Radu Rendec) [RHEL-34056]
- gpio: rcar: Propagate errors from devm_request_irq() (Radu Rendec) [RHEL-34056]
- gpio: rcar: Use platform_get_irq() to get the interrupt (Radu Rendec) [RHEL-34056]
- gpio: ts5500: Use platform_get_irq() to get the interrupt (Radu Rendec) [RHEL-34056]
- gpio: rcar: Always use local variable dev in gpio_rcar_probe() (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: use new pinctrl GPIO helpers (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: gpio: Use dynamic GPIO base if no function GPIOs (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: Add missing header(s) (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: checker: Check drive pin conflicts (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: checker: Check bias pin conflicts (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: checker: Validate I/O voltage configs consistency (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: checker: Validate drive strength configs consistency (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: checker: Validate bias configs consistency (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: checker: Add pin group sharing checks (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: checker: Simplify same_name() (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: Pass sh_pfc_soc_info to rcar_pin_to_bias_reg() (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: Factor out .pin_to_portcr() address handling (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: Remove unused pfc parameter from .pin_to_pocctrl() (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: checker: Prefix common checker output (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: checker: Fix bias checks on SoCs with pull-down only pins (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: checker: Move overlapping field check (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: checker: Fix off-by-one bug in drive register check (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: Fix save/restore on SoCs with pull-down only pins (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: No need to initialise global statics (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: Add generic support for resizable buses (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: Add generic support for pin group subsets (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: Rename SH_PFC_PIN_GROUP{,_ALIAS} args (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: Reformat macros defining struct initializers (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: Rename sh_pfc_soc_operations instances (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: r8a77470: Reduce size for narrow VIN1 channel (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: r8a77995: Add bias pinconf support (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: rcar: Avoid changing PUDn when disabling bias (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: r8a779f0: Fix tsn1_avtp_pps pin group (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: r8a779f0: Remove unused POC2 (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: r8a779f0: Fix GPIO function on I2C-capable pins (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: r8a779a0: Fix GPIO function on I2C-capable pins (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: r8a779f0: Add Ethernet pins, groups, and functions (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: r8a779f0: Add QSPI pins, groups, and functions (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: r8a779f0: Add PCIe pins, groups, and function (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: r8a779f0: Add MSIOF pins, groups, and functions (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: r8a779f0: Add MMC pins, groups, and function (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: r8a779f0: Add INTC-EX pins, groups, and function (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: r8a779f0: Add HSCIF pins, groups, and functions (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: r8a779f0: Add I2C pins, groups, and functions (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: r8a779f0: Add SCIF pins, groups, and functions (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: Initial R8A779F0 PFC support (Radu Rendec) [RHEL-34056]
- pinctrl: renesas: Add PORT_GP_CFG_19 macros (Radu Rendec) [RHEL-34056]
- drivers/thermal/rcar_gen3_thermal: add reading fuses for Gen4 (Radu Rendec) [RHEL-34056]
- drivers/thermal/rcar_gen3_thermal: refactor reading fuses into seprarate function (Radu Rendec) [RHEL-34056]
- drivers/thermal/rcar_gen3_thermal: introduce 'info' structure (Radu Rendec) [RHEL-34056]
- thermal/drivers/rcar_gen3_thermal: Remove R-Car H3 ES1.* handling (Radu Rendec) [RHEL-34056]
- thermal/drivers/rcar_gen3_thermal: Fix device initialization (Radu Rendec) [RHEL-34056]
- thermal/drivers/rcar_gen3_thermal: Create device local ops struct (Radu Rendec) [RHEL-34056]
- thermal/drivers/rcar_gen3_thermal: Do not call set_trips() when resuming (Radu Rendec) [RHEL-34056]
- thermal/drivers/rcar_gen3: Add support for R-Car V4H (Radu Rendec) [RHEL-34056]
- dt-bindings: thermal: rcar-gen3-thermal: Add r8a779g0 support (Radu Rendec) [RHEL-34056]
- dt-bindings: thermal: rcar-gen3-thermal: Add r8a779f0 support (Radu Rendec) [RHEL-34056]
- dt-bindings: thermal: rcar-gen3-thermal: use positive logic (Radu Rendec) [RHEL-34056]
- dt-bindings: Drop redundant 'maxItems/minItems' in if/then schemas (Radu Rendec) [RHEL-34056]
- thermal/drivers/rcar_gen3: Use the generic function to get the number of trips (Radu Rendec) [RHEL-34056]
- thermal/drivers/rcar: Switch to new of API (Radu Rendec) [RHEL-34056]
- drivers/thermal/rcar_gen3_thermal: Improve logging during probe (Radu Rendec) [RHEL-34056]
- thermal/drivers/rcar_gen3_thermal: Add r8a779f0 support (Radu Rendec) [RHEL-34056]
- thermal: rcar_gen3_thermal: Read calibration from hardware (Radu Rendec) [RHEL-34056]
- thermal: rcar_gen3_thermal: Store thcode and ptat in priv data (Radu Rendec) [RHEL-34056]
- thermal/drivers/rcar_gen3_thermal: Store TSC id as unsigned int (Radu Rendec) [RHEL-34056]
- thermal/drivers/rcar_gen3_thermal: Add support for hardware trip points (Radu Rendec) [RHEL-34056]
- watchdog: renesas_wdt: Add R-Car Gen4 support (Radu Rendec) [RHEL-34056]
- dt-bindings: watchdog: renesas-wdt: Document r8a779f0 support (Radu Rendec) [RHEL-34056]
- clocksource/drivers/sh_cmt: Access registers according to spec (Radu Rendec) [RHEL-34056]
- clocksource/drivers/sh_cmt: Add R-Car Gen4 support (Radu Rendec) [RHEL-34056]
- dt-bindings: timer: renesas,cmt: Fix R-Car Gen4 fall-out (Radu Rendec) [RHEL-34056]
- dt-bindings: timer: renesas,cmt: R-Car V3U is R-Car Gen4 (Radu Rendec) [RHEL-34056]
- dt-bindings: timer: renesas,cmt: Add r8a779f0 and generic Gen4 CMT support (Radu Rendec) [RHEL-34056]
- clocksource/drivers/sh_cmt: Fix wrong setting if don't request IRQ for clock source channel (Radu Rendec) [RHEL-34056]
- dt-bindings: clock: Add r8a779f0 CPG Core Clock Definitions (Radu Rendec) [RHEL-34056]
- dt-bindings: power: Add r8a779f0 SYSC power domain definitions (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Correct PFC/GPIO parent clock (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Fix Ethernet Switch clocks (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Fix SCIF parent clocks (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Fix HSCIF parent clocks (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Add SASYNCPER internal clock (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Fix SD0H clock name (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Add Ethernet Switch clocks (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Add MSIOF clocks (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Add TMU and parent SASYNC clocks (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Add CMT clocks (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Add SDH0 clock (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Add HSCIF clocks (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Add PCIe clocks (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Add Z0 and Z1 clock support (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Add SDHI0 clock (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Add thermal clock (Radu Rendec) [RHEL-34056]
- clk: renesas: rcar-gen4: Add CLK_TYPE_GEN4_PLL4 (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Add UFS clock (Radu Rendec) [RHEL-34056]
- clk: renesas: Move RPC core clocks (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Add PFC clock (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Add I2C clocks (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Add WDT clock (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Fix RSW2 clock divider (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779f0: Add SYS-DMAC clocks (Radu Rendec) [RHEL-34056]
- clk: renesas: cpg-mssr: Add support for R-Car S4-8 (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a77995: Add RPC clocks (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a77990: Add RPC clocks (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779a0: Add CANFD module clock (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779a0: Fix SD0H clock name (Radu Rendec) [RHEL-34056]
- clk: renesas: rcar-gen4: Introduce R-Car Gen4 CPG driver (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: Parse DT for SDnH (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: Use dev_err_probe when getting clock fails (Radu Rendec) [RHEL-34056]
- clk: renesas: rcar-gen3: Remove outdated SD_SKIP_FIRST (Radu Rendec) [RHEL-34056]
- clk: renesas: rcar-gen3: Extend SDnH divider table (Radu Rendec) [RHEL-34056]
- clk: renesas: rcar-gen3: Switch to new SD clock handling (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: Flag non-standard SDnH handling for V3M (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779a0: Add SDnH clock to V3U (Radu Rendec) [RHEL-34056]
- clk: renesas: rcar-gen3: Add SDnH clock (Radu Rendec) [RHEL-34056]
- clk: renesas: rcar-gen3: Add dummy SDnH clock (Radu Rendec) [RHEL-34056]
- mmc: renesas_sdhi: Refactor renesas_sdhi_probe() (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779a0: Add RPC support (Radu Rendec) [RHEL-34056]
- clk: renesas: cpg-lib: Move RPC clock registration to the library (Radu Rendec) [RHEL-34056]
- clk: renesas: r8a779a0: Add Z0 and Z1 clock support (Radu Rendec) [RHEL-34056]
- pmdomain: Rename the genpd subsystem to pmdomain (Radu Rendec) [RHEL-34056]
- soc: renesas: Move power-domain drivers to the genpd dir (Radu Rendec) [RHEL-34056]
- soc: renesas: rcar-rst: Add support for R-Car S4-8 (Radu Rendec) [RHEL-34056]
- soc: renesas: Identify R-Car S4-8 (Radu Rendec) [RHEL-34056]
- soc: renesas: r8a779f0-sysc: Add r8a779f0 support (Radu Rendec) [RHEL-34056]
- soc: renesas: rcar-gen4-sysc: Introduce R-Car Gen4 SYSC driver (Radu Rendec) [RHEL-34056]
- soc: renesas: Prefer memcpy() over strcpy() (Radu Rendec) [RHEL-34056]
- PM / devfreq: Synchronize devfreq_monitor_[start/stop] (Alessandro Carminati) [RHEL-31337] {CVE-2023-52635}
- redhat/configs: enable CONFIG_LEDS_TRIGGER_NETDEV also for RHEL (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: Fix kernel panic on interface rename trig notify (Michal Schmidt) [RHEL-32110]
- leds: trigger: panic: Simplify led_trigger_set_panic (Michal Schmidt) [RHEL-32110]
- leds: triggers: default-on: Add module alias for module auto-loading (Michal Schmidt) [RHEL-32110]
- leds: trigger: audio: Set module alias for module auto-loading (Michal Schmidt) [RHEL-32110]
- Documentation: leds: Update led-trigger-tty ABI description (Michal Schmidt) [RHEL-32110]
- docs: ABI: sysfs-class-led-trigger-netdev: Document now hidable link_* (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: Display only supported link speed attribute (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: Add module alias ledtrig:netdev (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: Skip setting baseline state in activate if hw-controlled (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: Add core support for hw not supporting fallback to LED sw control (Michal Schmidt) [RHEL-32110]
- leds: trigger: panic: Don't register panic notifier if creating the trigger failed (Michal Schmidt) [RHEL-32110]
- leds: trigger: Remove unused function led_trigger_rename_static() (Michal Schmidt) [RHEL-32110]
- leds: ledtrig-tty: Add additional line state evaluation (Michal Schmidt) [RHEL-32110]
- leds: ledtrig-tty: Make rx tx activitate configurable (Michal Schmidt) [RHEL-32110]
- leds: ledtrig-tty: Replace mutex with completion (Michal Schmidt) [RHEL-32110]
- leds: ledtrig-tty: Free allocated ttyname buffer on deactivate (Michal Schmidt) [RHEL-32110]
- leds: trigger: gpio: Convert to DEVICE_ATTR_RW() (Michal Schmidt) [RHEL-32110]
- leds: trigger: gpio: Use sysfs_emit() to instead of s*printf() (Michal Schmidt) [RHEL-32110]
- leds: trigger: gpio: Convert to use kstrtox() (Michal Schmidt) [RHEL-32110]
- leds: trigger: gpio: Replace custom code for gpiod_get_optional() (Michal Schmidt) [RHEL-32110]
- docs: ABI: sysfs-class-led-trigger-netdev: Add new modes and entry (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: Extend speeds up to 10G (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: fix RTNL handling to prevent potential deadlock (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: Move size check in set_device_name (Michal Schmidt) [RHEL-32110]
- leds: triggers: gpio: Rewrite to use trigger-sources (Michal Schmidt) [RHEL-32110]
- leds: Mark GPIO LED trigger broken (Michal Schmidt) [RHEL-32110]
- leds: trigger: ledtrig-cpu:: Fix 'output may be truncated' issue for 'cpu' (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: rename 'hw_control' sysfs entry to 'offloaded' (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: Use module_led_trigger macro to simplify the code (Michal Schmidt) [RHEL-32110]
- leds: trigger: tty: Do not use LED_ON/OFF constants, use led_blink_set_oneshot instead (Michal Schmidt) [RHEL-32110]
- leds: trig-netdev: Disable offload on deactivation of trigger (Michal Schmidt) [RHEL-32110]
- led: trig: netdev: Fix requesting offload device (Michal Schmidt) [RHEL-32110]
- docs: ABI: sysfs-class-led-trigger-netdev: add new modes and entry (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: expose hw_control status via sysfs (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: add additional specific link duplex mode (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: add additional specific link speed mode (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: uninitialized variable in netdev_trig_activate() (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: expose netdev trigger modes in linux include (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: init mode if hw control already active (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: validate configured netdev (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: add support for LED hw control (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: reject interval store for hw_control (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: add basic check for hw control support (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: introduce check for possible hw control (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: refactor code setting device name (Michal Schmidt) [RHEL-32110]
- leds: add API to get attached device for LED hw control (Michal Schmidt) [RHEL-32110]
- leds: add APIs for LEDs hw control (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: Remove NULL check before dev_{put, hold} (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: Use mutex instead of spinlocks (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: Convert device attr to macro (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: Rename add namespace to netdev trigger enum modes (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: Drop NETDEV_LED_MODE_LINKUP from mode (Michal Schmidt) [RHEL-32110]
- leds: trigger: netdev: Recheck NETDEV_LED_MODE_LINKUP on dev rename (Michal Schmidt) [RHEL-32110]
- leds: use sysfs_emit() to instead of scnprintf() (Michal Schmidt) [RHEL-32110]
- leds: trigger: Disable CPU trigger on PREEMPT_RT (Michal Schmidt) [RHEL-32110]
- leds: trigger: remove reference to obsolete CONFIG_IDE_GD_ATA (Michal Schmidt) [RHEL-32110]
- leds: trigger: audio: Add an activate callback to ensure the initial brightness is set (Michal Schmidt) [RHEL-32110]
- bus: fsl-mc: Use common ranges functions (Aaron Brookner) [RHEL-32995]
- of/address: Add of_property_read_reg() helper (Aaron Brookner) [RHEL-32995]
- of/address: Add of_range_count() helper (Aaron Brookner) [RHEL-32995]
- of/address: Add support for 3 address cell bus (Aaron Brookner) [RHEL-32995]
- of/address: Add of_range_to_resource() helper (Aaron Brookner) [RHEL-32995]
- of: unittest: Add bus address range parsing tests (Aaron Brookner) [RHEL-32995]
- of/platform: use of_address_count() helper (Aaron Brookner) [RHEL-32995]
- of/address: introduce of_address_count() helper (Aaron Brookner) [RHEL-32995]
- netfilter: ipset: Missing gc cancellations fixed (Phil Sutter) [RHEL-30522]
- netfilter: ipset: fix performance regression in swap operation (Phil Sutter) [RHEL-30522]
- netfilter: ipset: fix race condition between swap/destroy and kernel side add/del/test (Phil Sutter) [RHEL-30522]
- arm64: dts: qcom: sa8775p: enable safety IRQ (Jennifer Berringer) [RHEL-31771]
- mptcp: fix potential wake-up event loss (Davide Caratti) [RHEL-32669]
- mptcp: prevent BPF accessing lowat from a subflow socket. (Davide Caratti) [RHEL-32669]
- mptcp: don't account accept() of non-MPC client as fallback to TCP (Davide Caratti) [RHEL-32669]
- mptcp: don't overwrite sock_ops in mptcp_is_tcpsk() (Davide Caratti) [RHEL-32669]
- mptcp: fix possible deadlock in subflow diag (Davide Caratti) [RHEL-32669] {CVE-2024-26781}
- mptcp: fix snd_wnd initialization for passive socket (Davide Caratti) [RHEL-32669]
- mptcp: push at DSS boundaries (Davide Caratti) [RHEL-32669]
- mptcp: avoid printing warning once on client side (Davide Caratti) [RHEL-32669]
- mptcp: map v4 address to v6 when destroying subflow (Davide Caratti) [RHEL-32669]
- mptcp: fix duplicate subflow creation (Davide Caratti) [RHEL-32669]
- mptcp: fix data races on remote_id (Davide Caratti) [RHEL-32669]
- mptcp: fix data races on local_id (Davide Caratti) [RHEL-32669]
- mptcp: fix lockless access in subflow ULP diag (Davide Caratti) [RHEL-32669]
- mptcp: add needs_id for netlink appending addr (Davide Caratti) [RHEL-32669]
- mptcp: add needs_id for userspace appending addr (Davide Caratti) [RHEL-32669]
- mptcp: really cope with fastopen race (Davide Caratti) [RHEL-31604 RHEL-32669] {CVE-2024-26708}
- mptcp: check addrs list in userspace_pm_get_local_id (Davide Caratti) [RHEL-32669]
- mptcp: corner case locking for rx path fields initialization (Davide Caratti) [RHEL-32669]
- mptcp: fix more tx path fields initialization (Davide Caratti) [RHEL-32669]
- mptcp: fix rcv space initialization (Davide Caratti) [RHEL-32669]
- mptcp: drop the push_pending field (Davide Caratti) [RHEL-32669]
- mptcp: fix data re-injection from stale subflow (Davide Caratti) [RHEL-32669] {CVE-2024-26826}
- mptcp: relax check on MPC passive fallback (Davide Caratti) [RHEL-32669]
- mptcp: refine opt_mp_capable determination (Davide Caratti) [RHEL-32669]
- mptcp: use OPTION_MPTCP_MPJ_SYN in subflow_check_req() (Davide Caratti) [RHEL-32669]
- mptcp: use OPTION_MPTCP_MPJ_SYNACK in subflow_finish_connect() (Davide Caratti) [RHEL-32669]
- mptcp: strict validation before using mp_opt->hmac (Davide Caratti) [RHEL-32669]
- mptcp: mptcp_parse_option() fix for MPTCPOPT_MP_JOIN (Davide Caratti) [RHEL-32669]
- mptcp: fix setsockopt(IP_TOS) subflow locking (Davide Caratti) [RHEL-32669]
- net: openvswitch: fix unwanted error log on timeout policy probing (Antoine Tenart) [RHEL-32143]
- net: openvswitch: Annotate struct mask_array with __counted_by (Antoine Tenart) [RHEL-32143]
- net: openvswitch: Annotate struct dp_meter with __counted_by (Antoine Tenart) [RHEL-32143]
- net: openvswitch: Annotate struct dp_meter_instance with __counted_by (Antoine Tenart) [RHEL-32143]
- x86/nmi: Fix the inverse "in NMI handler" check (Prarit Bhargava) [RHEL-30106]
- powerpc/pseries/papr-sysparm: use u8 arrays for payloads (Mamatha Inamdar) [RHEL-10565]
- powerpc/selftests: Add test for papr-sysparm (Mamatha Inamdar) [RHEL-10565]
- powerpc/selftests: Add test for papr-vpd (Mamatha Inamdar) [RHEL-10565]
- powerpc/pseries/papr-sysparm: Expose character device to user space (Mamatha Inamdar) [RHEL-10565]
- powerpc/pseries/papr-sysparm: Validate buffer object lengths (Mamatha Inamdar) [RHEL-10565]
- powerpc/pseries: Add papr-vpd character driver for VPD retrieval (Mamatha Inamdar) [RHEL-10565]
- powerpc/pseries: PAPR system parameter API (Mamatha Inamdar) [RHEL-10565]
- powerpc/pseries: add RTAS work area allocator (Mamatha Inamdar) [RHEL-10565]
- powerpc/rtas: clean up includes (Mamatha Inamdar) [RHEL-10565]
- vt: fix memory overlapping when deleting chars in the buffer (Waiman Long) [RHEL-27780 RHEL-27781] {CVE-2022-48627}
Resolves: RHEL-10565, RHEL-27780, RHEL-27781, RHEL-30106, RHEL-30522, RHEL-31337, RHEL-31604, RHEL-31771, RHEL-32110, RHEL-32143, RHEL-32669, RHEL-32995, RHEL-34056, RHEL-35104, RHEL-35194, RHEL-35363, RHEL-477

Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2024-05-07 08:32:31 +02:00
Patrick Talbert
23223bc64b kernel-5.14.0-446.el9
* Fri May 03 2024 Patrick Talbert <ptalbert@redhat.com> [5.14.0-446.el9]
- powerpc/kasan: Fix addr error caused by page alignment (Mamatha Inamdar) [RHEL-33707] {CVE-2024-26712}
- block: Fix page refcounts for unaligned buffers in __bio_release_pages() (Ming Lei) [RHEL-33723]
- tools/power turbostat: v2024.04.10 (David Arcari) [RHEL-34610]
- tools/power turbostat: Add selftests (David Arcari) [RHEL-34610]
- tools/power/turbostat: Add support for Xe sysfs knobs (David Arcari) [RHEL-34610]
- tools/power/turbostat: Add support for new i915 sysfs knobs (David Arcari) [RHEL-34610]
- tools/power/turbostat: Introduce BIC_SAM_mc6/BIC_SAMMHz/BIC_SAMACTMHz (David Arcari) [RHEL-34610]
- tools/power/turbostat: Fix uncore frequency file string (David Arcari) [RHEL-29239 RHEL-34610]
- tools/power/turbostat: Unify graphics sysfs snapshots (David Arcari) [RHEL-34610]
- tools/power/turbostat: Cache graphics sysfs path (David Arcari) [RHEL-34610]
- tools/power/turbostat: Enable MSR_CORE_C1_RES support for ICX (David Arcari) [RHEL-34610]
- tools/power turbostat: read RAPL counters via perf (David Arcari) [RHEL-34610]
- tools/power turbostat: Add proper re-initialization for perf file descriptors (David Arcari) [RHEL-34610]
- tools/power turbostat: Clear added counters when in no-msr mode (David Arcari) [RHEL-34610]
- tools/power turbostat: add early exits for permission checks (David Arcari) [RHEL-34610]
- tools/power turbostat: detect and disable unavailable BICs at runtime (David Arcari) [RHEL-34610]
- tools/power turbostat: Add reading aperf and mperf via perf API (David Arcari) [RHEL-34610]
- tools/power turbostat: Add --no-perf option (David Arcari) [RHEL-34610]
- tools/power turbostat: Add --no-msr option (David Arcari) [RHEL-34610]
- tools/power turbostat: enhance -D (debug counter dump) output (David Arcari) [RHEL-34610]
- tools/power turbostat: Fix warning upon failed /dev/cpu_dma_latency read (David Arcari) [RHEL-34610]
- tools/power turbostat: Read base_hz and bclk from CPUID.16H if available (David Arcari) [RHEL-34610]
- tools/power turbostat: Print ucode revision only if valid (David Arcari) [RHEL-34610]
- tools/power turbostat: Expand probe_intel_uncore_frequency() (David Arcari) [RHEL-29239 RHEL-34610]
- tools/power turbostat: Do not print negative LPI residency (David Arcari) [RHEL-34610]
- tools/power turbostat: Fix Bzy_MHz documentation typo (David Arcari) [RHEL-34610]
- tools/power turbostat: Increase the limit for fd opened (David Arcari) [RHEL-34610]
- tools/power turbostat: Fix added raw MSR output (David Arcari) [RHEL-34610]
- net: rswitch: Allow jumbo frames (Radu Rendec) [RHEL-34587]
- net: rswitch: Add jumbo frames handling for TX (Radu Rendec) [RHEL-34587]
- net: rswitch: Add jumbo frames handling for RX (Radu Rendec) [RHEL-34587]
- net: rswitch: Set GWMDNC register (Radu Rendec) [RHEL-34587]
- net: rswitch: Add a setting ext descriptor function (Radu Rendec) [RHEL-34587]
- net: rswitch: Add unmap_addrs instead of dma address in each desc (Radu Rendec) [RHEL-34587]
- net: rswitch: Use build_skb() for RX (Radu Rendec) [RHEL-34587]
- net: rswitch: Use unsigned int for desc related array index (Radu Rendec) [RHEL-34587]
- net: rswitch: Drop unused argument/return value (Radu Rendec) [RHEL-34587]
- net: rswitch: Fix missing dev_kfree_skb_any() in error path (Radu Rendec) [RHEL-34587]
- net: rswitch: Fix return value in rswitch_start_xmit() (Radu Rendec) [RHEL-34587]
- net: rswitch: Fix type of ret in rswitch_start_xmit() (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: rcar_gen4_ptp: Depend on PTP_1588_CLOCK (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: rcar_gen4_ptp: Break out to module (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: rcar_gen4_ptp: Get clock increment from clock rate (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: rcar_gen4_ptp: Prepare for shared register layout (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: rcar_gen4_ptp: Fail on unknown register layout (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: rcar_gen4_ptp: Remove incorrect comment (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: drop SoC names in Kconfig (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: group entries in Makefile (Radu Rendec) [RHEL-34587]
- rswitch: Add PM ops (Radu Rendec) [RHEL-34587]
- rswitch: Use unsigned int for port related array index (Radu Rendec) [RHEL-34587]
- rswitch: Fix imbalance phy_power_off() calling (Radu Rendec) [RHEL-34587]
- rswitch: Fix renesas_eth_sw_remove() implementation (Radu Rendec) [RHEL-34587]
- rswitch: Fix PHY station management clock setting (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Add spin lock protection for irq {un}mask (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Fix unmasking irq condition (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Add .[gs]et_link_ksettings support (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Add runtime speed change support (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Use hardware pause features (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Use napi_gro_receive() in RX (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Fix timestamp feature after all descriptors are used (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Fix return value in error path of xmit (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Fix GWTSDIE register handling (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Fix the output value of quote from rswitch_rx() (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Improve TX timestamp accuracy (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Remove gptp flag from rswitch_gwca_queue (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Move linkfix variables to rswitch_gwca (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Rename rings in struct rswitch_gwca_queue (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Add "max-speed" handling (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Add phy_power_{on,off}() calling (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Add host_interfaces setting (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Convert to phy_device (Radu Rendec) [RHEL-34587]
- net: renesas: rswitch: Simplify struct phy * handling (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: rswitch: Fix ethernet-ports handling (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: rswitch: C45 only transactions (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: rswitch: Fix getting mac address from device tree (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: rswitch: Fix error path in renesas_eth_sw_probe() (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: rswitch: Fix MAC address info (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: Fix return type in rswitch_etha_wait_link_verification() (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: rswitch: Fix endless loop in error paths (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: Fix return type of rswitch_start_xmit() (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: rswitch: Fix build error about ptp (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: rswitch: Add R-Car Gen4 gPTP support (Radu Rendec) [RHEL-34587]
- net: ethernet: renesas: Add support for "Ethernet Switch" (Radu Rendec) [RHEL-34587]
- dt-bindings: net: renesas: Document Renesas Ethernet Switch (Radu Rendec) [RHEL-34587]
- phy: renesas: r8a779f0-ether-serdes: Add .exit() ops (Radu Rendec) [RHEL-34587]
- phy: renesas: r8a779f0-ether-serdes: Reset in .init() (Radu Rendec) [RHEL-34587]
- phy: renesas: r8a779f0-ether-serdes: Convert to devm_platform_ioremap_resource() (Radu Rendec) [RHEL-34587]
- phy: renesas: r8a779f0-ether-serdes: Convert to platform remove callback returning void (Radu Rendec) [RHEL-34587]
- phy: renesas: r8a779f0-eth-serdes: Remove retry code in .init() (Radu Rendec) [RHEL-34587]
- phy: renesas: r8a779f0-eth-serdes: Add .power_on() into phy_ops (Radu Rendec) [RHEL-34587]
- phy: renesas: r8a779f0-eth-serdes: Fix register setting (Radu Rendec) [RHEL-34587]
- phy: renesas: Add Renesas Ethernet SERDES driver for R-Car S4-8 (Radu Rendec) [RHEL-34587]
- dt-bindings: phy: renesas: Document Renesas Ethernet SERDES (Radu Rendec) [RHEL-34587]
- arm64: tlb: Fix TLBI RANGE operand (Shaoqin Huang) [RHEL-26259]
- arm64/mm: Modify range-based tlbi to decrement scale (Shaoqin Huang) [RHEL-26259]
- net: remove gfp_mask from napi_alloc_skb() (Izabela Bakollari) [RHEL-32108]
- netfilter: nft_flow_offload: release dst in case direct xmit path is used (Florian Westphal) [RHEL-33469]
- ipvs: avoid stat macros calls from preemptible context (Florian Westphal) [RHEL-33469]
- netfilter: flowtable: incorrect pppoe tuple (Florian Westphal) [RHEL-33469]
- netfilter: flowtable: validate pppoe header (Florian Westphal) [RHEL-33469]
- netfilter: nf_conntrack_h323: Add protection for bmp length out of range (Florian Westphal) [RHEL-33469]
- netfilter: nft_flow_offload: reset dst in route object after setting up flow (Florian Westphal) [RHEL-33469]
- netfilter: flowtable: simplify route logic (Florian Westphal) [RHEL-33469]
- netfilter: nfnetlink_queue: un-break NF_REPEAT (Florian Westphal) [RHEL-33469]
- netfilter: nf_log: replace BUG_ON by WARN_ON_ONCE when putting logger (Florian Westphal) [RHEL-33469]
- netfilter: conntrack: correct window scaling with retransmitted SYN (Florian Westphal) [RHEL-33469]
- block: fix q->blkg_list corruption during disk rebind (Ming Lei) [RHEL-33577]
- RDMA/srpt: Do not register event handler until srpt device is fully setup (Kamal Heib) [RHEL-33226] {CVE-2024-26872}
- netfilter: nf_tables: mark set as dead when unbinding anonymous set with timeout (Phil Sutter) [RHEL-30078] {CVE-2024-26643}
- netfilter: nf_tables: disallow anonymous set with timeout flag (Phil Sutter) [RHEL-30082] {CVE-2024-26642}
- tcp: properly terminate timers for kernel sockets (Paolo Abeni) [RHEL-32164]
- tcp: add sanity checks to rx zerocopy (Paolo Abeni) [RHEL-29496 RHEL-32164] {CVE-2024-26640}
- tcp: Add memory barrier to tcp_push() (Paolo Abeni) [RHEL-32164]
- tcp: fix cookie_init_timestamp() overflows (Paolo Abeni) [RHEL-32164]
- tcp_metrics: do not create an entry from tcp_init_metrics() (Paolo Abeni) [RHEL-32164]
- tcp_metrics: properly set tp->snd_ssthresh in tcp_init_metrics() (Paolo Abeni) [RHEL-32164]
- tcp_metrics: add missing barriers on delete (Paolo Abeni) [RHEL-32164]
- tcp: tsq: relax tcp_small_queue_check() when rtx queue contains a single skb (Paolo Abeni) [RHEL-32164]
- tcp: fix possible freeze in tx path under memory pressure (Paolo Abeni) [RHEL-32164]
- thermal: intel: hfi: Add syscore callbacks for system-wide PM (David Arcari) [RHEL-30586] {CVE-2024-26646}
- thermal: intel: hfi: Disable an HFI instance when all its CPUs go offline (David Arcari) [RHEL-30586] {CVE-2024-26646}
- thermal: intel: hfi: Refactor enabling code into helper functions (David Arcari) [RHEL-30586] {CVE-2024-26646}
- uio: Fix use-after-free in uio_open (Ricardo Robaina) [RHEL-26233] {CVE-2023-52439}
- Partial backport of mm, treewide: introduce NR_PAGE_ORDERS (Dave Airlie) [RHEL-24101]
- lib/ref_tracker: add printing to memory buffer (Dave Airlie) [RHEL-24101]
- lib/ref_tracker: improve printing stats (Dave Airlie) [RHEL-24101]
- lib/ref_tracker: add unlocked leak print helper (Dave Airlie) [RHEL-24101]
- Partial backport of rxrpc: Fix locking issue (Dave Airlie) [RHEL-24101]
- kunit: Add a macro to wrap a deferred action function (Dave Airlie) [RHEL-24101]
- kunit: Fix some comments which were mistakenly kerneldoc (Dave Airlie) [RHEL-24101]
- kunit: Fix a NULL vs IS_ERR() bug (Dave Airlie) [RHEL-24101]
- kunit: device: Fix a NULL vs IS_ERR() check in init() (Dave Airlie) [RHEL-24101]
- kunit: device: Unregister the kunit_bus on shutdown (Dave Airlie) [RHEL-24101]
- kunit: Setup DMA masks on the kunit device (Dave Airlie) [RHEL-24101]
- kunit: Add APIs for managing devices (Dave Airlie) [RHEL-24101]
- debugfs: Export debugfs_create_str symbol (Dave Airlie) [RHEL-24101]
- dma-buf/sync_file: Add SET_DEADLINE ioctl (Dave Airlie) [RHEL-24101]
- redhat: add CONFIG_AMD_WBRF file, disabled for now. (Dave Airlie) [RHEL-24101]
- platform/x86/amd: Add support for AMD ACPI based Wifi band RFI mitigation feature (Dave Airlie) [RHEL-24101]
- drm/i915: Move abs_diff() to math.h (Dave Airlie) [RHEL-24101]
- string.h: add array-wrappers for (v)memdup_user() (Dave Airlie) [RHEL-24101]
- hwmon: (coretemp) Enlarge per package core count limit (David Arcari) [RHEL-22705]
- hwmon: (coretemp) Fix bogus core_id to attr name mapping (David Arcari) [RHEL-22705]
- hwmon: (coretemp) Fix out-of-bounds memory access (David Arcari) [RHEL-22705 RHEL-31307] {CVE-2024-26664}
- ceph: switch to corrected encoding of max_xattr_size in mdsmap (Xiubo Li) [RHEL-26722]
Resolves: RHEL-22705, RHEL-24101, RHEL-26233, RHEL-26259, RHEL-26722, RHEL-29239, RHEL-29496, RHEL-30078, RHEL-30082, RHEL-30586, RHEL-31307, RHEL-32108, RHEL-32164, RHEL-33226, RHEL-33469, RHEL-33577, RHEL-33707, RHEL-33723, RHEL-34587, RHEL-34610

Signed-off-by: Patrick Talbert <ptalbert@redhat.com>
2024-05-03 13:13:20 +02:00
Lucas Zampieri
c3055f3aea
kernel-5.14.0-445.el9
* Mon Apr 29 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-445.el9]
- bnx2x: remove unused variable 'cur_data_offset' (Michal Schmidt) [RHEL-23117 RHEL-25588]
- net/bnx2x: Prevent access to a freed page in page_pool (Michal Schmidt) [RHEL-23117 RHEL-25588]
- bnx2x: Fix firmware version string character counts (Michal Schmidt) [RHEL-23117 RHEL-25588 RHEL-32855]
- bnx2x: new flag for track HW resource allocation (Michal Schmidt) [RHEL-23117 RHEL-25588]
- bnx2x: Remove unnecessary ternary operators (Michal Schmidt) [RHEL-23117 RHEL-25588]
- bnx2x: fix page fault following EEH recovery (Michal Schmidt) [RHEL-23117 RHEL-25588]
- bnx2x: use the right build_skb() helper (Michal Schmidt) [RHEL-23117 RHEL-25588]
- bnx2x: fix pci device refcount leak in bnx2x_vf_is_pcie_pending() (Michal Schmidt) [RHEL-23117 RHEL-25588]
- bnx2x: fix potential memory leak in bnx2x_tpa_stop() (Michal Schmidt) [RHEL-23117 RHEL-25588]
- Revert "Revert "Merge: EDAC: add initial support for El Capitan"" (Aristeu Rozanski) [RHEL-29211]
- quota: Fix potential NULL pointer dereference (Pavel Reichl) [RHEL-33221] {CVE-2024-26878}
- powerpc/lib: Validate size for vector operations (Mamatha Inamdar) [RHEL-29116] {CVE-2023-52606}
- futex: Prevent the reuse of stale pi_state (Waiman Long) [RHEL-28616]
- futex: Fix hardcoded flags (Waiman Long) [RHEL-28616]
- futex: make the vectored futex operations available (Waiman Long) [RHEL-28616]
- futex: make futex_parse_waitv() available as a helper (Waiman Long) [RHEL-28616]
- futex: add wake_data to struct futex_q (Waiman Long) [RHEL-28616]
- futex: abstract out a __futex_wake_mark() helper (Waiman Long) [RHEL-28616]
- futex: factor out the futex wake handling (Waiman Long) [RHEL-28616]
- futex: move FUTEX2_VALID_MASK to futex.h (Waiman Long) [RHEL-28616]
- futex/requeue: Remove unnecessary ‘NULL’ initialization from futex_proxy_trylock_atomic() (Waiman Long) [RHEL-28616]
- futex: Add sys_futex_requeue() (Waiman Long) [RHEL-28616]
- futex: Add flags2 argument to futex_requeue() (Waiman Long) [RHEL-28616]
- futex: Propagate flags into get_futex_key() (Waiman Long) [RHEL-28616]
- futex: Add sys_futex_wait() (Waiman Long) [RHEL-28616]
- futex: FLAGS_STRICT (Waiman Long) [RHEL-28616]
- futex: Add sys_futex_wake() (Waiman Long) [RHEL-28616]
- futex: Validate futex value against futex size (Waiman Long) [RHEL-28616]
- futex: Flag conversion (Waiman Long) [RHEL-28616]
- futex: Extend the FUTEX2 flags (Waiman Long) [RHEL-28616]
- futex: Clarify FUTEX2 flags (Waiman Long) [RHEL-28616]
- futex/pi: Fix recursive rt_mutex waiter state (Waiman Long) [RHEL-28616]
- locking/rtmutex: Add a lockdep assert to catch potential nested blocking (Waiman Long) [RHEL-28616]
- locking/rtmutex: Use rt_mutex specific scheduler helpers (Waiman Long) [RHEL-28616]
- sched: Provide rt_mutex specific scheduler helpers (Waiman Long) [RHEL-28616]
- sched: Extract __schedule_loop() (Waiman Long) [RHEL-28616]
- locking/rtmutex: Avoid unconditional slowpath for DEBUG_RT_MUTEXES (Waiman Long) [RHEL-28616]
- sched: Constrain locks in sched_submit_work() (Waiman Long) [RHEL-28616]
- futex: Use a folio instead of a page (Waiman Long) [RHEL-28616]
- fchmodat2: add support for AT_EMPTY_PATH (Waiman Long) [RHEL-28616]
- arch: Register fchmodat2, usually as syscall 452 (Waiman Long) [RHEL-28616]
- fs: Add fchmodat2() (Waiman Long) [RHEL-28616]
- Non-functional cleanup of a "__user * filename" (Waiman Long) [RHEL-28616]
- syscalls: Remove file path comments from headers (Waiman Long) [RHEL-28616]
- kernel/sys_ni: add compat entry for fadvise64_64 (Waiman Long) [RHEL-28616]
- syscalls: compat: Fix the missing part for __SYSCALL_COMPAT (Waiman Long) [RHEL-28616]
- futex: add missing rtmutex.h include (Waiman Long) [RHEL-28616]
- Revert "sched/core: Provide sched_rtmutex() and expose sched work helpers") (Waiman Long) [RHEL-28616]
- Revert "locking/rtmutex: Submit/resume work explicitly before/after blocking" (Waiman Long) [RHEL-28616]
- Revert "locking/rtmutex: Avoid pointless blk_flush_plug() invocations" (Waiman Long) [RHEL-28616]
- Revert "locking/rtmutex: Add a lockdep assert to catch potential nested blocking" (Waiman Long) [RHEL-28616]
- Revert "sched/core: Add __always_inline to schedule_loop()" (Waiman Long) [RHEL-28616]
- fsverity: remove hash page spin lock (Andrey Albershteyn) [RHEL-29914]
- fsverity: skip PKCS#7 parser when keyring is empty (Andrey Albershteyn) [RHEL-29914]
- fsverity: move sysctl registration out of signature.c (Andrey Albershteyn) [RHEL-29914]
- fsverity: simplify handling of errors during initcall (Andrey Albershteyn) [RHEL-29914]
- fsverity: explicitly check that there is no algorithm 0 (Andrey Albershteyn) [RHEL-29914]
- fsverity: improve documentation for builtin signature support (Andrey Albershteyn) [RHEL-29914]
- fsverity: update the documentation (Andrey Albershteyn) [RHEL-29914]
- fsverity: simplify error handling in verify_data_block() (Andrey Albershteyn) [RHEL-29914]
- fsverity: don't use bio_first_page_all() in fsverity_verify_bio() (Andrey Albershteyn) [RHEL-29914]
- fsverity: constify fsverity_hash_alg (Andrey Albershteyn) [RHEL-29914]
- fsverity: use shash API instead of ahash API (Andrey Albershteyn) [RHEL-29914]
- fsverity: reject FS_IOC_ENABLE_VERITY on mode 3 fds (Andrey Albershteyn) [RHEL-29914]
- fsverity: explicitly check for buffer overflow in build_merkle_tree() (Andrey Albershteyn) [RHEL-29914]
- fsverity: use WARN_ON_ONCE instead of WARN_ON (Andrey Albershteyn) [RHEL-29914]
- fs-verity: simplify sysctls with register_sysctl() (Andrey Albershteyn) [RHEL-29914]
- fsverity: don't drop pagecache at end of FS_IOC_ENABLE_VERITY (Andrey Albershteyn) [RHEL-29914]
- fsverity: Remove WQ_UNBOUND from fsverity read workqueue (Andrey Albershteyn) [RHEL-29914]
- fsverity: support verifying data from large folios (Andrey Albershteyn) [RHEL-29914]
- fs/buffer.c: support fsverity in block_read_full_folio() (Andrey Albershteyn) [RHEL-29914]
- fsverity: support enabling with tree block size < PAGE_SIZE (Andrey Albershteyn) [RHEL-29914]
- mm/readahead: Convert page_cache_async_readahead to take a folio (Andrey Albershteyn) [RHEL-29914]
- fsverity: support verification with tree block size < PAGE_SIZE (Andrey Albershteyn) [RHEL-29914]
- fs-verity: mention btrfs support (Andrey Albershteyn) [RHEL-29914]
- btrfs: send: add support for fs-verity (Andrey Albershteyn) [RHEL-29914]
- fsverity: replace fsverity_hash_page() with fsverity_hash_block() (Andrey Albershteyn) [RHEL-29914]
- fsverity: use EFBIG for file too large to enable verity (Andrey Albershteyn) [RHEL-29914]
- fsverity: store log2(digest_size) precomputed (Andrey Albershteyn) [RHEL-29914]
- fsverity: simplify Merkle tree readahead size calculation (Andrey Albershteyn) [RHEL-29914]
- fsverity: use unsigned long for level_start (Andrey Albershteyn) [RHEL-29914]
- fsverity: remove debug messages and CONFIG_FS_VERITY_DEBUG (Andrey Albershteyn) [RHEL-29914]
- fsverity: pass pos and size to ->write_merkle_tree_block (Andrey Albershteyn) [RHEL-29914]
- fsverity: optimize fsverity_cleanup_inode() on non-verity files (Andrey Albershteyn) [RHEL-29914]
- fsverity: optimize fsverity_prepare_setattr() on non-verity files (Andrey Albershteyn) [RHEL-29914]
- fsverity: optimize fsverity_file_open() on non-verity files (Andrey Albershteyn) [RHEL-29914]
- fsverity: stop using PG_error to track error status (Andrey Albershteyn) [RHEL-29914]
- fs-verity: use kmap_local_page() instead of kmap() (Andrey Albershteyn) [RHEL-29914]
- fs-verity: use memcpy_from_page() (Andrey Albershteyn) [RHEL-29914]
- fs-verity: Use struct_size() helper in enable_verity() (Andrey Albershteyn) [RHEL-29914]
- fs-verity: remove unused parameter desc_size in fsverity_create_info() (Andrey Albershteyn) [RHEL-29914]
- fs-verity: fix signed integer overflow with i_size near S64_MAX (Andrey Albershteyn) [RHEL-29914]
- config: wifi: disable new unsupported configuration options (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: only call drv_sta_rc_update for uploaded stations (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: mvm: fix the TXF mapping for BZ devices (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: mvm: ensure offloading TID queue exists (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: nl80211: reject iftype change with mesh ID change (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: mvm: fix a crash when we run out of stations (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: uninitialized variable in iwl_acpi_get_ppag_table() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: Fix some error codes (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: clear link_id in time_event (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: mvm: use correct address 3 in A-MSDU (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: reload info pointer in ieee80211_tx_dequeue() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: fix fortify warning (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: brcmfmac: Adjust n_channels usage for __counted_by (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: do not announce EPCS support (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: exit eSR only after the FW does (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: mvm: fix a battery life regression (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: accept broadcast probe responses on 6 GHz (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: adding missing drv_mgd_complete_tx() call (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: fix waiting for beacons logic (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: fix unsolicited broadcast probe config (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: initialize SMPS mode correctly (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: fix driver debugfs for vif type change (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: set station RX-NSS on reconfig (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: fix RCU use in TDLS fast-xmit (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: improve CSA/ECSA connection refusal (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: detect stuck ECSA element in probe resp (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: remove extra kernel-doc (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: fill in MODULE_DESCRIPTION()s for mt76 drivers (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: fill in MODULE_DESCRIPTION()s for wilc1000 (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: fill in MODULE_DESCRIPTION()s for Broadcom WLAN (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: Drop WBRF debugging statements (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: fix wiphy delayed work queueing (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: fix double-free bug (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: fix race condition on enabling fast-xmit (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: fix potential sta-link leak (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211/mac80211: remove dependency on non-existing option (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: fix missing interfaces when dumping (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: remove redundant ML element check (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: Update the default DSCP-to-UP mapping (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: tests: add some scanning related tests (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: kunit: extend MFP tests (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: kunit: generalize public action test (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: add kunit tests for public action handling (Jose Ignacio Tornos Martinez) [RHEL-28754]
- kunit: add a convenience allocation wrapper for SKBs (Jose Ignacio Tornos Martinez) [RHEL-28754]
- kunit: add parameter generation macro using description from array (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: fix spelling typo in comment (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: fix RCU dereference in __cfg80211_bss_update (Jose Ignacio Tornos Martinez) [RHEL-28754]
- PCI: Remove unused 'node' member from struct pci_driver (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: replace ENOTSUPP with EOPNOTSUPP (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: mvm: use the new command to clear the internal buffer (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: mvm: disallow puncturing in US/Canada (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: mvm: add US/Canada MCC to API (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: Add rf_mapping of new wifi7 devices (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: cleanup BT Shared Single Antenna code (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: mvm: d3: avoid intermediate/early mutex unlock (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: mvm: send TX path flush in rfkill (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: Don't mark DFS channels as NO-IR (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: mvm: Allow DFS concurrent operation (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: mvm: set siso/mimo chains to 1 in FW SMPS request (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: add a driver callback to check active_links (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: avoid double free if updating BSS fails (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: ensure cfg80211_bss_update frees IEs on error (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: free beacon_ies when overridden from hidden BSS (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: allow 64-bit radiotap timestamps (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: rework RX timestamp flags (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: handle UHB AP and STA power type (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211_hwsim: Add custom reg for DFS concurrent (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: Schedule regulatory channels check on bandwith change (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: Schedule regulatory check on BSS STA channel change (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: reg: Support P2P operation on DFS channels (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: Skip association timeout update after comeback rejection (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: address some kerneldoc warnings (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211_hwsim: support HE 40 MHz in 2.4 GHz band (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: address several kerneldoc warnings (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mwifiex: fix uninitialized firmware_stat (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl8723{be,ae}: using calculate_bit_shift() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl8723_common: using calculate_bit_shift() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl8192se: using calculate_bit_shift() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl8192ee: using calculate_bit_shift() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl8192de: using calculate_bit_shift() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl8192ce: using calculate_bit_shift() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl8192cu: using calculate_bit_shift() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl8192c: using calculate_bit_shift() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl8188ee: phy: using calculate_bit_shift() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl8821ae: phy: using calculate_bit_shift() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: add calculate_bit_shift() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: coex: To improve Wi-Fi performance while BT is idle (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: coex: Translate antenna configuration from ID to string (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: coex: Update RF parameter control setting logic (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: coex: Add Bluetooth RSSI level information (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: coex: Set Bluetooth scan low-priority when Wi-Fi link/scan (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: coex: Update coexistence policy for Wi-Fi LPS (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: coex: Still show hardware grant signal info even Wi-Fi is PS (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: coex: Update BTG control related logic (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: coex: Add Pre-AGC control to enhance Wi-Fi RX performance (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: coex: Record down Wi-Fi initial mode information (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: coex: Fix wrong Wi-Fi role info and FDDT parameter members (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw88: use cfg80211_ssid_eq() instead of rtw_ssid_equal() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mwifiex: use cfg80211_ssid_eq() instead of mwifiex_ssid_cmp() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: introduce cfg80211_ssid_eq() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtl8xxxu: Add additional USB IDs for RTL8192EU devices (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: mac: implement to configure TX/RX engines for WiFi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: mac: add sys_init and filter option for WiFi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: Use DECLARE_FLEX_ARRAY() and fix -Warray-bounds warnings (Jose Ignacio Tornos Martinez) [RHEL-28754]
- bus: mhi: host: Drop chan lock before queuing buffers (Jose Ignacio Tornos Martinez) [RHEL-28754]
- bus: mhi: host: Add spinlock to protect WP access when queueing TREs (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath11k: workaround too long expansion sparse warnings (Jose Ignacio Tornos Martinez) [RHEL-28754]
- Revert "wifi: ath12k: use ATH12K_PCI_IRQ_DP_OFFSET for DP IRQ" (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rt2x00: remove useless code in rt2x00queue_create_tx_descriptor() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: only reset BB/RF for existing WiFi 6 chips while starting up (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: add DBCC H2C to notify firmware the status (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: mac: add suffix _ax to MAC functions (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: mac: add flags to check if CMAC and DMAC are enabled (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: 8922a: add power on/off functions (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: add XTAL SI for WiFi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: phy: print out RFK log with formatted string (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: parse and print out RFK log from C2H events (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: add C2H event handlers of RFK log and report (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: load RFK log format string from firmware file (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: fw: add version field to BB MCU firmware element (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: fw: load TX power track tables from fw_element (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mwifiex: configure BSSID consistently when starting AP (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mwifiex: add extra delay for firmware ready (Jose Ignacio Tornos Martinez) [RHEL-28754]
- bus: mhi: ep: Add checks for read/write callbacks while registering controllers (Jose Ignacio Tornos Martinez) [RHEL-28754]
- bus: mhi: ep: Add support for async DMA read operation (Jose Ignacio Tornos Martinez) [RHEL-28754]
- bus: mhi: ep: Add support for async DMA write operation (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: sta_info.c: fix sentence grammar (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: rx.c: fix sentence grammar (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: nl80211: fix grammar & spellos (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: fix spelling & punctutation (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: sort certificates in build (Jose Ignacio Tornos Martinez) [RHEL-28754]
- bus: mhi: ep: Introduce async read/write callbacks (Jose Ignacio Tornos Martinez) [RHEL-28754]
- bus: mhi: ep: Rename read_from_host() and write_to_host() APIs (Jose Ignacio Tornos Martinez) [RHEL-28754]
- bus: mhi: ep: Pass mhi_ep_buf_info struct to read/write APIs (Jose Ignacio Tornos Martinez) [RHEL-28754]
- bus: mhi: ep: Add support for interrupt moderation timer (Jose Ignacio Tornos Martinez) [RHEL-28754]
- bus: mhi: ep: Use slab allocator where applicable (Jose Ignacio Tornos Martinez) [RHEL-28754]
- bus: mhi: host: Add alignment check for event ring read pointer (Jose Ignacio Tornos Martinez) [RHEL-28754]
- bus: mhi: host: pci_generic: Add SDX75 based modem support (Jose Ignacio Tornos Martinez) [RHEL-28754]
- bus: mhi: host: Add a separate timeout parameter for waiting ready (Jose Ignacio Tornos Martinez) [RHEL-28754]
- bus: mhi: ep: Do not allocate event ring element on stack (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath9k: reset survey of current channel after a scan started (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: fix the issue that the multicast/broadcast indicator is not read correctly for WCN7850 (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath11k: Fix ath11k_htc_record flexible record (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw88: Use random MAC when efuse MAC invalid (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: avoid stringop-overflow warning (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: drop spurious WARN_ON() in ieee80211_ibss_csa_beacon() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: don't set ESS capab bit in assoc request (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: consume both probe response and beacon IEs (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: generate an ML element for per-STA profiles (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: Replace ENOTSUPP with EOPNOTSUPP (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: Replace ENOTSUPP with EOPNOTSUPP (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: add a flag to disallow puncturing (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: Add support for setting TID to link mapping (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: update some locking documentation (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: add BSS usage reporting (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: mvm: do not send STA_DISABLE_TX_CMD for newer firmware (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: remove async command callback (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: fw: file: don't use [0] for variable arrays (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: pcie: get_crf_id() can be void (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: pcie: dump CSRs before removal (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: pcie: clean up device removal work (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: mvm: add a debugfs hook to clear the monitor data (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: refactor RX tracing (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: don't support triggered EHT CQI feedback (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: mvm: Correctly report TSF data in scan complete (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: mvm: Use the link ID provided in scan request (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: nl80211: Extend del pmksa support for SAE and OWE security (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: cleanup airtime arithmetic with ieee80211_sta_keep_active() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: Add support for WBRF features (Jose Ignacio Tornos Martinez) [RHEL-28754]
- platform/x86/amd: Add support for AMD ACPI based Wifi band RFI mitigation feature (Jose Ignacio Tornos Martinez) [RHEL-28754]
- Documentation/driver-api: Add document about WBRF mechanism (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: expose nl80211_chan_width_to_mhz for wide sharing (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7921: fix country count limitation for CLC (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7921: reduce the size of MCU firmware download Rx queue (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: set DMA mask to 36 bits for boards with more than 4GB of RAM (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7921s: fix workqueue problem causes STA association fail (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: Convert to platform remove callback returning void (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7925: remove iftype from mt7925_init_eht_caps signature (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: add PCI IDs for mt7992 (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: connac: add new definition of tx descriptor (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: adjust interface num and wtbl size for mt7992 (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: support mt7992 eeprom loading (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: rework register offsets for mt7992 (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: add DMA support for mt7992 (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: connac: add firmware support for mt7992 (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: introduce mt7996_band_valid() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: connac: fix EHT phy mode check (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: connac: add beacon protection support for mt7996 (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: rework ampdu params setting (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: switch to mcu command for TX GI report (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: use chainmask for power delta calculation (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: add txpower setting support (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: change txpower init to per-phy (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: fix rate usage of inband discovery frames (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: align the format of fixed rate command (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: handle IEEE80211_RC_SMPS_CHANGED (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: connac: set fixed_bw bit in TX descriptor for fixed rate frames (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: adjust WFDMA settings to improve performance (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: fix the size of struct bss_rate_tlv (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: connac: add beacon duplicate TX mode support for mt7996 (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: add thermal sensor device support (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: connac: add thermal protection support for mt7996 (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: add TX statistics for EHT mode in debugfs (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: add support for variants with auxiliary RX path (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7915: fallback to non-wed mode if platform_get_resource fails in mt7915_mmio_wed_init() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: add wed rro delete session garbage collector (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: add wed reset support (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: move wed reset common code in mt76 module (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: add wed rx support (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: use u16 for val field in mt7996_mcu_set_rro signature (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: dma: introduce __mt76_dma_queue_reset utility routine (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7996: add wed tx support (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: increase MT_QFLAG_WED_TYPE size (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: introduce wed pointer in mt76_queue (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: introduce mt76_queue_is_wed_tx_free utility routine (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: move mt76_net_setup_tc in common code (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: move mt76_mmio_wed_offload_{enable,disable} in common code (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mmio: move mt76_mmio_wed_{init,release}_rx_buf in common code (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: Remove unnecessary (void*) conversions (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: permit to load precal from NVMEM cell for mt7915 (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: permit to use alternative cell name to eeprom NVMEM load (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: make mt76_get_of_eeprom static again (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: limit support of precal loading for mt7915 to MTD only (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: fix broken precal loading from MTD for mt7915 (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: mt7921: support 5.9/6GHz channel config in acpi (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mt76: add ability to explicitly forbid LED registration with DT (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: mac: refine SER setting during WiFi CPU power on (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: 8922a: dump MAC registers when SER occurs (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: 8922a: add SER IMR tables (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: fw: extend program counter dump for Wi-Fi 7 chip (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: 8922a: configure CRASH_TRIGGER FW feature (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath10k: add support to allow broadcast action frame RX (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: make RX assoc data const (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: nl80211: refactor nl80211_send_mlme_event() arguments (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: avoid repeated wiphy access from hw (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: fix and enable AP mode for WCN7850 (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: set IRQ affinity to CPU0 in case of one MSI vector (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: do not restore ASPM in case of single MSI vector (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: add support one MSI vector (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: refactor multiple MSI vector implementation (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: use ATH12K_PCI_IRQ_DP_OFFSET for DP IRQ (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: add CE and ext IRQ flag to indicate irq_handler (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: get msi_data again after request_irq is called (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: avoid repeated hw access from ar (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: Optimize the mac80211 hw data access (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: add 320 MHz bandwidth enums (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: fix misbehavior of TX beacon in concurrent mode (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: refine remain on channel flow to improve P2P connection (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: Refine active scan behavior in 6 GHz (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: fix not entering PS mode after AP stops (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rt2x00: make watchdog param per device (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: Remove bridge vendor/device ids (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: Remove unused PCI related defines and struct (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl8821ae: Access full PMCS reg and use pci_regs.h (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl8821ae: Add pdev into _rtl8821ae_clear_pci_pme_status() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl8821ae: Use pci_find_capability() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl8821ae: Reverse PM Capability exists check (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl8821ae: Remove unnecessary PME_Status bit set (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: Convert to use PCIe capability accessors (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: Convert LNKCTL change to PCIe cap RMW accessors (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: Remove bogus and dangerous ASPM disable/enable code (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw88: sdio: Honor the host max_req_size in the RX path (Jose Ignacio Tornos Martinez) [RHEL-28754 RHEL-29465] {CVE-2023-52611}
- wifi: rtw89: mac: functions to configure hardware engine and quota for WiFi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: mac: use pointer to access functions of hardware engine and quota (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: mac: move code related to hardware engine to individual functions (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: mac: check queue empty according to chip gen (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: refine element naming used by queue empty check (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: add reserved size as factor of DLE used size (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: mac: add to get DLE reserved quota (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: 8922a: extend and add quota number (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: iwlwifi: fw: replace deprecated strncpy with strscpy_pad (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: debug: remove wrapper of rtw89_debug() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: debug: add debugfs entry to disable dynamic mechanism (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: phy: dynamically adjust EDCCA threshold (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: debug: add to check if debug mask is enabled (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl8821ae: phy: fix an undefined bitwise shift behavior (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl8821ae: phy: remove some useless code (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw88: debug: remove wrapper of rtw_dbg() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: brcmfmac: Convert to platform remove callback returning void (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rt2x00: Simplify bool conversion (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath11k: Convert to platform remove callback returning void (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath11k: fix race due to setting ATH11K_FLAG_EXT_IRQ_ENABLED too early (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath11k: remove ath11k_htc_record::pauload[] (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath10k: Use DECLARE_FLEX_ARRAY() for ath10k_htc_record (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath10k: remove ath10k_htc_record::pauload[] (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath10k: Update Qualcomm Innovation Center, Inc. copyrights (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath11k: Update Qualcomm Innovation Center, Inc. copyrights (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: Update Qualcomm Innovation Center, Inc. copyrights (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath9k: Fix potential array-index-out-of-bounds read in ath9k_htc_txstatus() (Jose Ignacio Tornos Martinez) [RHEL-28754 RHEL-29091] {CVE-2023-52594}
- wifi: ath9k: Remove unnecessary (void*) conversions (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: refactor DP Rxdma ring structure (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: avoid explicit HW conversion argument in Rxdma replenish (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: avoid explicit RBM id argument in Rxdma replenish (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: avoid explicit mac id argument in Rxdma replenish (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: use select for CRYPTO_MICHAEL_MIC (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath11k: use select for CRYPTO_MICHAEL_MIC (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: nl80211: Documentation update for NL80211_CMD_PORT_AUTHORIZED event (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mac80211: Extend support for scanning while MLO connected (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: cfg80211: Extend support for scanning while MLO connected (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ieee80211: fix PV1 frame control field name (Jose Ignacio Tornos Martinez) [RHEL-28754]
- rfkill: return ENOTTY on invalid ioctl (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: Consolidate WMI peer flags (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath11k: Consolidate WMI peer flags (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: Remove obsolete struct wmi_peer_flags_map *peer_flags (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath11k: Remove obsolete struct wmi_peer_flags_map *peer_flags (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: Remove struct ath12k::ops (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath11k: Remove struct ath11k::ops (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath10k: Remove unused struct ath10k_htc_frame (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath10k: simplify __ath10k_htt_tx_txq_recalc() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: 8922a: read efuse content from physical map (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: 8922a: read efuse content via efuse map struct from logic map (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: 8852c: read RX gain offset from efuse for 6GHz channels (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: mac: add to access efuse for WiFi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: mac: use mac_gen pointer to access about efuse (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: 8922a: add 8922A basic chip info (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: drop unused const_amdpci_aspm (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: mwifiex: mwifiex_process_sleep_confirm_resp(): remove unused priv variable (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: regd: update regulatory map to R65-R44 (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: regd: handle policy of 6 GHz according to BIOS (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: acpi: process 6 GHz band policy from DSM (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: simplify rtl_action_proc() and rtl_tx_agg_start() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: pci: update interrupt mitigation register for 8922AE (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: pci: correct interrupt mitigation register for 8852CE (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: 8922ae: add v2 interrupt handlers for 8922AE (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: pci: generalize interrupt status bits of interrupt handlers (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: pci: add pre_deinit to be called after probe complete (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: pci: stop/start DMA for level 1 recovery according to chip gen (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: pci: reset BDRAM according to chip gen (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rt2x00: correct wrong BBP register in RxDCOC calibration (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath11k: Remove unneeded semicolon (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath11k: Defer on rproc_get failure (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rt2x00: restart beacon queue when hardware reset (Jose Ignacio Tornos Martinez) [RHEL-28754 RHEL-29095] {CVE-2023-52595}
- wifi: rt2x00: disable RTS threshold for rt2800 by default (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rt2x00: introduce DMA busy check watchdog for rt2800 (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw88: fix RX filter in FIF_ALLMULTI flag (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw88: simplify __rtw_tx_work() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: coex: use struct assignment to replace memcpy() to append TDMA content (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: pci: implement PCI mac_post_init for WiFi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: pci: add LTR v2 for WiFi 7 chip (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: pci: implement PCI mac_pre_init for WiFi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: pci: use gen_def pointer to configure mac_{pre,post}_init and clear PCI ring index (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: pci: add PCI generation information to pci_info for each chip (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: wilc1000: simplify wilc_scan() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: wilc1000: cleanup struct wilc_conn_info (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath10k: replace deprecated strncpy with memcpy (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: ath12k: drop NULL pointer check in ath12k_update_per_peer_tx_stats() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: remove orphaned rndis_wlan driver (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: remove orphaned wl3501 driver (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: remove orphaned ray_cs driver (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: remove orphaned cisco/aironet driver (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: extend PHY status parser to support WiFi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: consider RX info for WiFi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: configure PPDU max user by chip (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: set entry size of address CAM to H2C field by chip (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: wilc1000: always release SDIO host in wilc_sdio_cmd53() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: wilc1000: simplify remain on channel support (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: pci: generalize code of PCI control DMA IO for WiFi 7 (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: pci: add new RX ring design to determine full RX ring efficiently (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: pci: define PCI ring address for WiFi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: 8922ae: add 8922AE PCI entry and basic info (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtw89: fix timeout calculation in rtw89_roc_end() (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: rtl92ee_dm_dynamic_primary_cca_check(): fix typo in function name (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: cleanup struct rtl_phy (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: rtlwifi: cleanup struct rtl_hal (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: brcmsmac: replace deprecated strncpy with memcpy (Jose Ignacio Tornos Martinez) [RHEL-28754]
- wifi: brcm80211: replace deprecated strncpy with strscpy (Jose Ignacio Tornos Martinez) [RHEL-28754]
- blk-mq: don't change nr_hw_queues and nr_maps for kdump kernel (Ming Lei) [RHEL-29564]
- zram: do not waste zram_table_entry flags bits (Ming Lei) [RHEL-29564]
- block: count BLK_OPEN_RESTRICT_WRITES openers (Ming Lei) [RHEL-29564]
- block: handle BLK_OPEN_RESTRICT_WRITES correctly (Ming Lei) [RHEL-29564]
- fs,block: yield devices early (Ming Lei) [RHEL-29564]
- fs,block: get holder during claim (Ming Lei) [RHEL-29564]
- iomap: fix a regression for partial write errors (Ming Lei) [RHEL-29564]
- mtd: key superblock by device number (Ming Lei) [RHEL-29564]
- fs: export sget_dev() (Ming Lei) [RHEL-29564]
- super: ensure valid info (Ming Lei) [RHEL-29564]
- super: move lockdep assert (Ming Lei) [RHEL-29564]
- fs/Kconfig: Fix compile error for romfs (Ming Lei) [RHEL-29564]
- iomap: handle error conditions more gracefully in iomap_to_bh (Ming Lei) [RHEL-29564]
- direct_write_fallback(): on error revert the ->ki_pos update from buffered write (Ming Lei) [RHEL-29564]
- Revert "get rid of DCACHE_GENOCIDE" (Ming Lei) [RHEL-29564]
- block: remove bdev_handle completely (Ming Lei) [RHEL-29564]
- block: don't rely on BLK_OPEN_RESTRICT_WRITES when yielding write access (Ming Lei) [RHEL-29564]
- bdev: remove bdev pointer from struct bdev_handle (Ming Lei) [RHEL-29564]
- bdev: make struct bdev_handle private to the block layer (Ming Lei) [RHEL-29564]
- bdev: make bdev_{release, open_by_dev}() private to block layer (Ming Lei) [RHEL-29564]
- bdev: remove bdev_open_by_path() (Ming Lei) [RHEL-29564]
- nfs: port block device access to files (Ming Lei) [RHEL-29564]
- jfs: port block device access to file (Ming Lei) [RHEL-29564]
- ext4: port block device access to file (Ming Lei) [RHEL-29564]
- target: port block device access to file (Ming Lei) [RHEL-29564]
- s390: port block device access to file (Ming Lei) [RHEL-29564]
- nvme: port block device access to file (Ming Lei) [RHEL-29564]
- block2mtd: port device access to files (Ming Lei) [RHEL-29564]
- zram: port block device access to file (Ming Lei) [RHEL-29564]
- xen: port block device access to file (Ming Lei) [RHEL-29564]
- pktcdvd: port block device access to file (Ming Lei) [RHEL-29564]
- drbd: port block device access to file (Ming Lei) [RHEL-29564]
- xfs: port block device access to files (Ming Lei) [RHEL-29564]
- power: port block device access to file (Ming Lei) [RHEL-29564]
- swap: port block device usage to file (Ming Lei) [RHEL-29564]
- md: port block device access to file (Ming Lei) [RHEL-29564]
- block/genhd: port disk_scan_partitions() to file (Ming Lei) [RHEL-29564]
- block/ioctl: port blkdev_bszset() to file (Ming Lei) [RHEL-29564]
- bdev: open block device as files (Ming Lei) [RHEL-29564]
- file: add alloc_file_pseudo_noaccount() (Ming Lei) [RHEL-29564]
- file: prepare for new helper (Ming Lei) [RHEL-29564]
- init: flush async file closing (Ming Lei) [RHEL-29564]
- fs: add CONFIG_BUFFER_HEAD (Ming Lei) [RHEL-29564]
- fs: build the legacy direct I/O code conditionally (Ming Lei) [RHEL-29564]
- fs: move sb_init_dio_done_wq out of direct-io.c (Ming Lei) [RHEL-29564]
- block: use iomap for writes to block devices (Ming Lei) [RHEL-29564]
- block: stop setting ->direct_IO (Ming Lei) [RHEL-29564]
- block: open code __generic_file_write_iter for blkdev writes (Ming Lei) [RHEL-29564]
- fs: rename and move block_page_mkwrite_return (Ming Lei) [RHEL-29564]
- fuse: drop redundant arguments to fuse_perform_write (Ming Lei) [RHEL-29564]
- fuse: update ki_pos in fuse_perform_write (Ming Lei) [RHEL-29564]
- fs: factor out a direct_write_fallback helper (Ming Lei) [RHEL-29564]
- iomap: use kiocb_write_and_wait and kiocb_invalidate_pages (Ming Lei) [RHEL-29564]
- iomap: update ki_pos in iomap_file_buffered_write (Ming Lei) [RHEL-29564]
- filemap: add a kiocb_invalidate_post_direct_write helper (Ming Lei) [RHEL-29564]
- filemap: add a kiocb_invalidate_pages helper (Ming Lei) [RHEL-29564]
- filemap: add a kiocb_write_and_wait helper (Ming Lei) [RHEL-29564]
- filemap: update ki_pos in generic_perform_write (Ming Lei) [RHEL-29564]
- backing_dev: remove current->backing_dev_info (Ming Lei) [RHEL-29564]
- redhat/configs: add CONFIG_BLK_DEV_WRITE_MOUNTED (Ming Lei) [RHEL-29564]
- block: Fix a memory leak in bdev_open_by_dev() (Ming Lei) [RHEL-29564]
- ext4: Block writes to journal device (Ming Lei) [RHEL-29564]
- xfs: Block writes to log device (Ming Lei) [RHEL-29564]
- fs: Block writes to mounted block devices (Ming Lei) [RHEL-29564]
- btrfs: Do not restrict writes to btrfs devices (Ming Lei) [RHEL-29564]
- block: Add config option to not allow writing to mounted devices (Ming Lei) [RHEL-29564]
- block: Remove blkdev_get_by_*() functions (Ming Lei) [RHEL-29564]
- super: use higher-level helper for {freeze,thaw} (Ming Lei) [RHEL-29564]
- fs: Avoid grabbing sb->s_umount under bdev->bd_holder_lock (Ming Lei) [RHEL-29564]
- fs: remove emergency_thaw_bdev (Ming Lei) [RHEL-29564]
- super: wait until we passed kill super (Ming Lei) [RHEL-29564]
- super: make locking naming consistent (Ming Lei) [RHEL-29564]
- fs: simplify invalidate_inodes (Ming Lei) [RHEL-29564]
- init: Deal with the init process being a user mode process (Ming Lei) [RHEL-29564]
- dcache: remove unnecessary NULL check in dget_dlock() (Ming Lei) [RHEL-29564]
- kill DCACHE_MAY_FREE (Ming Lei) [RHEL-29564]
- __d_unalias() doesn't use inode argument (Ming Lei) [RHEL-29564]
- d_alloc_parallel(): in-lookup hash insertion doesn't need an RCU variant (Ming Lei) [RHEL-29564]
- get rid of DCACHE_GENOCIDE (Ming Lei) [RHEL-29564]
- simple_fill_super(): don't bother with d_genocide() on failure (Ming Lei) [RHEL-29564]
- nsfs: use d_make_root() (Ming Lei) [RHEL-29564]
- d_alloc_pseudo(): move setting ->d_op there from the (sole) caller (Ming Lei) [RHEL-29564]
- kill d_instantate_anon(), fold __d_instantiate_anon() into remaining caller (Ming Lei) [RHEL-29564]
- retain_dentry(): introduce a trimmed-down lockless variant (Ming Lei) [RHEL-29564]
- __dentry_kill(): new locking scheme (Ming Lei) [RHEL-29564]
- d_prune_aliases(): use a shrink list (Ming Lei) [RHEL-29564]
- switch select_collect{,2}() to use of to_shrink_list() (Ming Lei) [RHEL-29564]
- to_shrink_list(): call only if refcount is 0 (Ming Lei) [RHEL-29564]
- fold dentry_kill() into dput() (Ming Lei) [RHEL-29564]
- don't try to cut corners in shrink_lock_dentry() (Ming Lei) [RHEL-29564]
- fold the call of retain_dentry() into fast_dput() (Ming Lei) [RHEL-29564]
- Call retain_dentry() with refcount 0 (Ming Lei) [RHEL-29564]
- dentry_kill(): don't bother with retain_dentry() on slow path (Ming Lei) [RHEL-29564]
- __dentry_kill(): get consistent rules for victim's refcount (Ming Lei) [RHEL-29564]
- make retain_dentry() neutral with respect to refcounting (Ming Lei) [RHEL-29564]
- __dput_to_list(): do decrement of refcount in the callers (Ming Lei) [RHEL-29564]
- fast_dput(): new rules for refcount (Ming Lei) [RHEL-29564]
- fast_dput(): handle underflows gracefully (Ming Lei) [RHEL-29564]
- fast_dput(): having ->d_delete() is not reason to delay refcount decrement (Ming Lei) [RHEL-29564]
- shrink_dentry_list(): no need to check that dentry refcount is marked dead (Ming Lei) [RHEL-29564]
- centralize killing dentry from shrink list (Ming Lei) [RHEL-29564]
- dentry: switch the lists of children to hlist (Ming Lei) [RHEL-29564]
- coda_flag_children(): cope with dentries turning negative (Ming Lei) [RHEL-29564]
- switch nfsd_client_rmdir() to use of simple_recursive_removal() (Ming Lei) [RHEL-29564]
- kill d_backing_dentry() (Ming Lei) [RHEL-29564]
- dentry.h: kill a mysterious comment (Ming Lei) [RHEL-29564]
- dentry.h: trim externs (Ming Lei) [RHEL-29564]
- kill d_{is,set}_fallthru() (Ming Lei) [RHEL-29564]
- DCACHE_COOKIE: RIP (Ming Lei) [RHEL-29564]
- DCACHE_... ->d_flags bits: switch to BIT() (Ming Lei) [RHEL-29564]
- get rid of __dget() (Ming Lei) [RHEL-29564]
- struct dentry: get rid of randomize_layout idiocy (Ming Lei) [RHEL-29564]
- ovl: stop using d_alloc_anon()/d_instantiate_anon() (Ming Lei) [RHEL-29564]
- net: macsec: indicate next pn update when offloading (Izabela Bakollari) [RHEL-30143]
- IB/mlx5: Adjust mlx5 rate mapping to support 800Gb (Kamal Heib) [RHEL-30146]
- IB/mlx5: Rename 400G_8X speed to comply to naming convention (Kamal Heib) [RHEL-30146]
- IB/mlx5: Add support for 800G_8X lane speed (Kamal Heib) [RHEL-30146]
- RDMA/ipoib: Add support for XDR speed in ethtool (Kamal Heib) [RHEL-30146]
- IB/mlx5: Expose XDR speed through MAD (Kamal Heib) [RHEL-30146]
- IB/core: Add support for XDR link speed (Kamal Heib) [RHEL-30146]
Resolves: RHEL-23117, RHEL-25588, RHEL-28616, RHEL-28754, RHEL-29091, RHEL-29095, RHEL-29116, RHEL-29211, RHEL-29465, RHEL-29564, RHEL-29914, RHEL-30143, RHEL-30146, RHEL-32855, RHEL-33221

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-04-29 11:28:06 -03:00
Lucas Zampieri
cd7761b496
kernel-5.14.0-441.el9
* Wed Apr 17 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-441.el9]
- mm: hwpoison: support recovery from ksm_might_need_to_copy() (Audra Mitchell) [RHEL-27739]
- kasan: fix Oops due to missing calls to kasan_arch_is_ready() (Audra Mitchell) [RHEL-27739]
- Revert "mm: Always release pages to the buddy allocator in memblock_free_late()." (Audra Mitchell) [RHEL-27739]
- mm/swapfile: add cond_resched() in get_swap_pages() (Audra Mitchell) [RHEL-27739]
- zsmalloc: fix a race with deferred_handles storing (Audra Mitchell) [RHEL-27739]
- mm/khugepaged: fix ->anon_vma race (Audra Mitchell) [RHEL-27739]
- nommu: fix split_vma() map_count error (Audra Mitchell) [RHEL-27739]
- nommu: fix do_munmap() error path (Audra Mitchell) [RHEL-27739]
- nommu: fix memory leak in do_mmap() error path (Audra Mitchell) [RHEL-27739]
- kasan: mark kasan_kunit_executing as static (Audra Mitchell) [RHEL-27739]
- hugetlb: unshare some PMDs when splitting VMAs (Audra Mitchell) [RHEL-27739]
- mm: Always release pages to the buddy allocator in memblock_free_late(). (Audra Mitchell) [RHEL-27739]
- memblock: Fix doc for memblock_phys_free (Audra Mitchell) [RHEL-27739]
- mm, slab: periodically resched in drain_freelist() (Audra Mitchell) [RHEL-27739]
- mm: Remove pointless barrier() after pmdp_get_lockless() (Audra Mitchell) [RHEL-27739]
- mm/gup: Fix the lockless PMD access (Audra Mitchell) [RHEL-27739]
- mm: Rename GUP_GET_PTE_LOW_HIGH (Audra Mitchell) [RHEL-27739]
- mm: mmu_gather: allow more than one batch of delayed rmaps (Audra Mitchell) [RHEL-27739]
- kmsan: fix memcpy tests (Audra Mitchell) [RHEL-27739]
- mm: disable top-tier fallback to reclaim on proactive reclaim (Audra Mitchell) [RHEL-27739]
- mm/mmap: properly unaccount memory on mas_preallocate() failure (Audra Mitchell) [RHEL-27739]
- mm/page_alloc: update comments in __free_pages_ok() (Audra Mitchell) [RHEL-27739]
- kasan: fail non-kasan KUnit tests on KASAN reports (Audra Mitchell) [RHEL-27739]
- mm/sparse-vmemmap: generalise vmemmap_populate_hugepages() (Audra Mitchell) [RHEL-27739]
- zsmalloc: implement writeback mechanism for zsmalloc (Audra Mitchell) [RHEL-27739]
- zsmalloc: add zpool_ops field to zs_pool to store evict handlers (Audra Mitchell) [RHEL-27739]
- zsmalloc: add a LRU to zs_pool to keep track of zspages in LRU order (Audra Mitchell) [RHEL-27739]
- zsmalloc: consolidate zs_pool's migrate_lock and size_class's locks (Audra Mitchell) [RHEL-27739]
- zpool: clean out dead code (Audra Mitchell) [RHEL-27739]
- zswap: fix writeback lock ordering for zsmalloc (Audra Mitchell) [RHEL-27739]
- mm/khugepaged: add tracepoint to collapse_file() (Audra Mitchell) [RHEL-27739]
- mm/gup: remove FOLL_MIGRATION (Audra Mitchell) [RHEL-27739]
- mm/ksm: convert break_ksm() to use walk_page_range_vma() (Audra Mitchell) [RHEL-27739]
- mm/pagewalk: add walk_page_range_vma() (Audra Mitchell) [RHEL-27739]
- mm: remove VM_FAULT_WRITE (Audra Mitchell) [RHEL-27739]
- panic: Expose "warn_count" to sysfs (Audra Mitchell) [RHEL-27739]
- panic: Introduce warn_limit (Audra Mitchell) [RHEL-27739]
- panic: Separate sysctl logic from CONFIG_SMP (Audra Mitchell) [RHEL-27739]
- kernel/panic: move panic sysctls to its own file (Audra Mitchell) [RHEL-27739]
- panic: Consolidate open-coded panic_on_warn checks (Audra Mitchell) [RHEL-27739]
- mm: Make ksize() a reporting-only function (Audra Mitchell) [RHEL-27739]
- mm/memory-failure.c: cleanup in unpoison_memory (Audra Mitchell) [RHEL-27739]
- mm: vmscan: use sysfs_emit() to instead of scnprintf() (Audra Mitchell) [RHEL-27739]
- zswap: do not allocate from atomic pool (Audra Mitchell) [RHEL-27739]
- mm: document /sys/class/bdi/<bdi>/min_ratio_fine knob (Audra Mitchell) [RHEL-27739]
- mm: add /sys/class/bdi/<bdi>/min_ratio_fine knob (Audra Mitchell) [RHEL-27739]
- mm: add bdi_set_min_ratio_no_scale() function (Audra Mitchell) [RHEL-27739]
- mm: document /sys/class/bdi/<bdi>/max_ratio_fine knob (Audra Mitchell) [RHEL-27739]
- mm: add /sys/class/bdi/<bdi>/max_ratio_fine knob (Audra Mitchell) [RHEL-27739]
- mm: add bdi_set_max_ratio_no_scale() function (Audra Mitchell) [RHEL-27739]
- mm: document /sys/class/bdi/<bdi>/min_bytes knob (Audra Mitchell) [RHEL-27739]
- mm: add /sys/class/bdi/<bdi>/min_bytes knob (Audra Mitchell) [RHEL-27739]
- mm: add bdi_set_min_bytes() function (Audra Mitchell) [RHEL-27739]
- mm: split off __bdi_set_min_ratio() function (Audra Mitchell) [RHEL-27739]
- mm: add bdi_get_min_bytes() function (Audra Mitchell) [RHEL-27739]
- mm: document /sys/class/bdi/<bdi>/max_bytes knob (Audra Mitchell) [RHEL-27739]
- mm: add knob /sys/class/bdi/<bdi>/max_bytes (Audra Mitchell) [RHEL-27739]
- mm: add bdi_set_max_bytes() function (Audra Mitchell) [RHEL-27739]
- mm: split off __bdi_set_max_ratio() function (Audra Mitchell) [RHEL-27739]
- mm: add bdi_get_max_bytes() function (Audra Mitchell) [RHEL-27739]
- mm: use part per 1000000 for bdi ratios (Audra Mitchell) [RHEL-27739]
- mm: document /sys/class/bdi/<bdi>/strict_limit knob (Audra Mitchell) [RHEL-27739]
- ABI: sysfs-class-bdi: use What: to describe each property (Audra Mitchell) [RHEL-27739]
- mm: add knob /sys/class/bdi/<bdi>/strict_limit (Audra Mitchell) [RHEL-27739]
- mm: add bdi_set_strict_limit() function (Audra Mitchell) [RHEL-27739]
- folio-compat: remove try_to_release_page() (Audra Mitchell) [RHEL-27739]
- mm/page_alloc: simplify locking during free_unref_page_list (Audra Mitchell) [RHEL-27739]
- mm/migrate.c: stop using 0 as NULL pointer (Audra Mitchell) [RHEL-27739]
- habanalabs: remove FOLL_FORCE usage (Audra Mitchell) [RHEL-27739]
- RDMA/hw/qib/qib_user_pages: remove FOLL_FORCE usage (Audra Mitchell) [RHEL-27739]
- drm/exynos: remove FOLL_FORCE usage (Audra Mitchell) [RHEL-27739]
- mm/frame-vector: remove FOLL_FORCE usage (Audra Mitchell) [RHEL-27739]
- media: pci/ivtv: remove FOLL_FORCE usage (Audra Mitchell) [RHEL-27739]
- drm/etnaviv: remove FOLL_FORCE usage (Audra Mitchell) [RHEL-27739]
- media: videobuf-dma-sg: remove FOLL_FORCE usage (Audra Mitchell) [RHEL-27739]
- RDMA/usnic: remove FOLL_FORCE usage (Audra Mitchell) [RHEL-27739]
- mm/gup: reliable R/O long-term pinning in COW mappings (Audra Mitchell) [RHEL-27739]
- mm: don't call vm_ops->huge_fault() in wp_huge_pmd()/wp_huge_pud() for private mappings (Audra Mitchell) [RHEL-27739]
- mm: add early FAULT_FLAG_WRITE consistency checks (Audra Mitchell) [RHEL-27739]
- mm: add early FAULT_FLAG_UNSHARE consistency checks (Audra Mitchell) [RHEL-27739]
- redhat/configs: enable CONFIG_SECRETMEM (Audra Mitchell) [RHEL-27739]
- mm: Kconfig: make config SECRETMEM visible with EXPERT (Audra Mitchell) [RHEL-27739]
- mm/gup: remove the restriction on locked with FOLL_LONGTERM (Audra Mitchell) [RHEL-27739]
- mm: make drop_caches keep reclaiming on all nodes (Audra Mitchell) [RHEL-27739]
- migrate: convert migrate_pages() to use folios (Audra Mitchell) [RHEL-27739]
- migrate: convert unmap_and_move() to use folios (Audra Mitchell) [RHEL-27739]
- Revert "mm: migration: fix the FOLL_GET failure on following huge page" (Audra Mitchell) [RHEL-27739]
- mm: mmu_gather: do not expose delayed_rmap flag (Audra Mitchell) [RHEL-27739]
- mm: delay page_remove_rmap() until after the TLB has been flushed (Audra Mitchell) [RHEL-27739]
- mm: mmu_gather: prepare to gather encoded page pointers with flags (Audra Mitchell) [RHEL-27739]
- zsmalloc: replace IS_ERR() with IS_ERR_VALUE() (Audra Mitchell) [RHEL-27739]
- mm: always compile in pte markers (Audra Mitchell) [RHEL-27739]
- mm: use kstrtobool() instead of strtobool() (Audra Mitchell) [RHEL-27739]
- mm/damon: use kstrtobool() instead of strtobool() (Audra Mitchell) [RHEL-27739]
- Docs/ABI/damon: document 'schemes/<s>/tried_regions' sysfs directory (Audra Mitchell) [RHEL-27739]
- Docs/admin-guide/mm/damon/usage: document schemes/<s>/tried_regions sysfs directory (Audra Mitchell) [RHEL-27739]
- Docs/admin-guide/mm/damon/usage: fix wrong usage example of init_regions file (Audra Mitchell) [RHEL-27739]
- Docs/admin-guide/mm/damon/usage: describe the rules of sysfs region directories (Audra Mitchell) [RHEL-27739]
- Docs/admin-guide/damon/sysfs: document 'LRU_DEPRIO' scheme action (Audra Mitchell) [RHEL-27739]
- Docs/admin-guide/damon/sysfs: document 'LRU_PRIO' scheme action (Audra Mitchell) [RHEL-27739]
- Docs/{ABI,admin-guide}/damon: Update for 'state' sysfs file input keyword, 'commit' (Audra Mitchell) [RHEL-27739]
- Docs/{ABI,admin-guide}/damon: update for fixed virtual address ranges monitoring (Audra Mitchell) [RHEL-27739]
- Docs/{ABI,admin-guide}/damon: document 'avail_operations' sysfs file (Audra Mitchell) [RHEL-27739]
- tools/selftets/damon/sysfs: test tried_regions directory existence (Audra Mitchell) [RHEL-27739]
- mm/damon/sysfs-schemes: implement DAMOS-tried regions clear command (Audra Mitchell) [RHEL-27739]
- mm/damon/sysfs: implement DAMOS tried regions update command (Audra Mitchell) [RHEL-27739]
- mm/damon/sysfs-schemes: implement scheme region directory (Audra Mitchell) [RHEL-27739]
- mm/damon/sysfs-schemes: implement schemes/tried_regions directory (Audra Mitchell) [RHEL-27739]
- mm/damon/core: add a callback for scheme target regions check (Audra Mitchell) [RHEL-27739]
- mempool: do not use ksize() for poisoning (Audra Mitchell) [RHEL-27739]
- mm: hugetlb_vmemmap: remove redundant list_del() (Audra Mitchell) [RHEL-27739]
- mm, slob: rename CONFIG_SLOB to CONFIG_SLOB_DEPRECATED (Audra Mitchell) [RHEL-27739]
- mm, slub: don't aggressively inline with CONFIG_SLUB_TINY (Audra Mitchell) [RHEL-27739]
- mm, slub: remove percpu slabs with CONFIG_SLUB_TINY (Audra Mitchell) [RHEL-27739]
- mm, slub: split out allocations from pre/post hooks (Audra Mitchell) [RHEL-27739]
- mm/slub, kunit: Add a test case for kmalloc redzone check (Audra Mitchell) [RHEL-27739]
- mm/damon/sysfs: split out schemes directory implementation to separate file (Audra Mitchell) [RHEL-27739]
- mm/damon/sysfs: split out kdamond-independent schemes stats update logic into a new function (Audra Mitchell) [RHEL-27739]
- mm/damon/sysfs: move unsigned long range directory to common module (Audra Mitchell) [RHEL-27739]
- mm/damon/sysfs: move sysfs_lock to common module (Audra Mitchell) [RHEL-27739]
- mm/damon/sysfs: remove parameters of damon_sysfs_region_alloc() (Audra Mitchell) [RHEL-27739]
- mm/damon/sysfs: use damon_addr_range for region's start and end values (Audra Mitchell) [RHEL-27739]
- mm/damon/core: split out scheme quota adjustment logic into a new function (Audra Mitchell) [RHEL-27739]
- mm/damon/core: split out scheme stat update logic into a new function (Audra Mitchell) [RHEL-27739]
- mm/damon/core: split damos application logic into a new function (Audra Mitchell) [RHEL-27739]
- mm/damon/core: split out DAMOS-charged region skip logic into a new function (Audra Mitchell) [RHEL-27739]
- mm, slub: refactor free debug processing (Audra Mitchell) [RHEL-27739]
- mm, slub: don't create kmalloc-rcl caches with CONFIG_SLUB_TINY (Audra Mitchell) [RHEL-27739]
- mm, slub: lower the default slub_max_order with CONFIG_SLUB_TINY (Audra Mitchell) [RHEL-27739]
- mm, slub: retain no free slabs on partial list with CONFIG_SLUB_TINY (Audra Mitchell) [RHEL-27739]
- mm, slub: disable SYSFS support with CONFIG_SLUB_TINY (Audra Mitchell) [RHEL-27739]
- redhat/configs: Add CONFIG_SLUB_TINY (Audra Mitchell) [RHEL-27739]
- mm, slub: add CONFIG_SLUB_TINY (Audra Mitchell) [RHEL-27739]
- mm, slab: ignore hardened usercopy parameters when disabled (Audra Mitchell) [RHEL-27739]
- mm/sl[au]b: rearrange struct slab fields to allow larger rcu_head (Audra Mitchell) [RHEL-27739]
- mm/migrate: make isolate_movable_page() skip slab pages (Audra Mitchell) [RHEL-27739]
- mm/slab: move and adjust kernel-doc for kmem_cache_alloc (Audra Mitchell) [RHEL-27739]
- mm/slub, percpu: correct the calculation of early percpu allocation size (Audra Mitchell) [RHEL-27739]
- mm/slub: extend redzone check to extra allocated kmalloc space than requested (Audra Mitchell) [RHEL-27739]
- mm: allow multiple error returns in try_grab_page() (Audra Mitchell) [RHEL-27739]
- swap: add a limit for readahead page-cluster value (Audra Mitchell) [RHEL-27739]
- mm: migrate: try again if THP split is failed due to page refcnt (Audra Mitchell) [RHEL-27739]
- mm: debug_vm_pgtable: use VM_ACCESS_FLAGS (Audra Mitchell) [RHEL-27739]
- mm: mprotect: use VM_ACCESS_FLAGS (Audra Mitchell) [RHEL-27739]
- mm: remove FGP_HEAD (Audra Mitchell) [RHEL-27739]
- mm: convert find_get_incore_page() to filemap_get_incore_folio() (Audra Mitchell) [RHEL-27739]
- mm/swap: convert find_get_incore_page to use folios (Audra Mitchell) [RHEL-27739]
- mm/huge_memory: convert split_huge_pages_in_file() to use a folio (Audra Mitchell) [RHEL-27739]
- mm: vmalloc: use trace_free_vmap_area_noflush event (Audra Mitchell) [RHEL-27739]
- mm: vmalloc: use trace_purge_vmap_area_lazy event (Audra Mitchell) [RHEL-27739]
- mm: vmalloc: use trace_alloc_vmap_area event (Audra Mitchell) [RHEL-27739]
- mm: vmalloc: add free_vmap_area_noflush trace event (Audra Mitchell) [RHEL-27739]
- mm: vmalloc: add purge_vmap_area_lazy trace event (Audra Mitchell) [RHEL-27739]
- mm: vmalloc: add alloc_vmap_area trace event (Audra Mitchell) [RHEL-27739]
- memory: move hotplug memory notifier priority to same file for easy sorting (Audra Mitchell) [RHEL-27739]
- memory: remove unused register_hotmemory_notifier() (Audra Mitchell) [RHEL-27739]
- mm/mm_init.c: use hotplug_memory_notifier() directly (Audra Mitchell) [RHEL-27739]
- mm/mmap: use hotplug_memory_notifier() directly (Audra Mitchell) [RHEL-27739]
- mm/slub.c: use hotplug_memory_notifier() directly (Audra Mitchell) [RHEL-27739]
- fs/proc/kcore.c: use hotplug_memory_notifier() directly (Audra Mitchell) [RHEL-27739]
- kasan: migrate workqueue_uaf test to kunit (Audra Mitchell) [RHEL-27739]
- kasan: migrate kasan_rcu_uaf test to kunit (Audra Mitchell) [RHEL-27739]
- kasan: switch kunit tests to console tracepoints (Audra Mitchell) [RHEL-27739]
- tmpfs: ensure O_LARGEFILE with generic_file_open() (Audra Mitchell) [RHEL-27739]
- mm: memcontrol: use mem_cgroup_is_root() helper (Audra Mitchell) [RHEL-27739]
- mm/mincore.c: use vma_lookup() instead of find_vma() (Audra Mitchell) [RHEL-27739]
- filemap: find_get_entries() now updates start offset (Audra Mitchell) [RHEL-27739]
- filemap: find_lock_entries() now updates start offset (Audra Mitchell) [RHEL-27739]
- mm/rmap: fix comment in anon_vma_clone() (Audra Mitchell) [RHEL-27739]
- mm/percpu: remove unused PERCPU_DYNAMIC_EARLY_SLOTS (Audra Mitchell) [RHEL-27739]
- mm/percpu.c: remove the lcm code since block size is fixed at page size (Audra Mitchell) [RHEL-27739]
- mm/percpu: replace the goto with break (Audra Mitchell) [RHEL-27739]
- mm/percpu: add comment to state the empty populated pages accounting (Audra Mitchell) [RHEL-27739]
- mm/percpu: Update the code comment when creating new chunk (Audra Mitchell) [RHEL-27739]
- mm/percpu: use list_first_entry_or_null in pcpu_reclaim_populated() (Audra Mitchell) [RHEL-27739]
- mm/percpu: remove unused pcpu_map_extend_chunks (Audra Mitchell) [RHEL-27739]
- mm/slub: perform free consistency checks before call_rcu (Audra Mitchell) [RHEL-27739]
- mm/slab: Annotate kmem_cache_node->list_lock as raw (Audra Mitchell) [RHEL-27739]
- mm: slub: make slab_sysfs_init() a late_initcall (Audra Mitchell) [RHEL-27739]
- mm: slub: remove dead and buggy code from sysfs_slab_add() (Audra Mitchell) [RHEL-27739]
- idpf: fix kernel panic on unknown packet types (Michal Schmidt) [RHEL-29035]
- idpf: refactor some missing field get/prep conversions (Michal Schmidt) [RHEL-29035]
- net: introduce include/net/rps.h (Ivan Vecera) [RHEL-31916]
- net: move struct netdev_rx_queue out of netdevice.h (Ivan Vecera) [RHEL-31916]
- rfs: annotate lockless accesses to RFS sock flow table (Ivan Vecera) [RHEL-31916]
- rfs: annotate lockless accesses to sk->sk_rxhash (Ivan Vecera) [RHEL-31916]
- xfrm: Allow UDP encapsulation only in offload modes (Michal Schmidt) [RHEL-30141]
- xfrm: Pass UDP encapsulation in TX packet offload (Michal Schmidt) [RHEL-30141]
- xfrm: Support UDP encapsulation in packet offload mode (Michal Schmidt) [RHEL-30141]
- redhat/configs: Enable CONFIG_OCTEON_EP_VF (Kamal Heib) [RHEL-25860]
- octeon_ep_vf: add ethtool support (Kamal Heib) [RHEL-25860]
- octeon_ep_vf: add Tx/Rx processing and interrupt support (Kamal Heib) [RHEL-25860]
- octeon_ep_vf: add support for ndo ops (Kamal Heib) [RHEL-25860]
- octeon_ep_vf: add Tx/Rx ring resource setup and cleanup (Kamal Heib) [RHEL-25860]
- octeon_ep_vf: add VF-PF mailbox communication. (Kamal Heib) [RHEL-25860]
- octeon_ep_vf: add hardware configuration APIs (Kamal Heib) [RHEL-25860]
- octeon_ep_vf: Add driver framework and device initialization (Kamal Heib) [RHEL-25860]
- octeon_ep: support firmware notifications for VFs (Kamal Heib) [RHEL-25860]
- octeon_ep: control net framework to support VF offloads (Kamal Heib) [RHEL-25860]
- octeon_ep: PF-VF mailbox version support (Kamal Heib) [RHEL-25860]
- octeon_ep: add PF-VF mailbox communication (Kamal Heib) [RHEL-25860]
- ptp: Make max_phase_adjustment sysfs device attribute invisible when not supported (Michal Schmidt) [RHEL-30140]
- net/mlx5: Decouple PHC .adjtime and .adjphase implementations (Michal Schmidt) [RHEL-30140]
- net/mlx5: Dynamic cyclecounter shift calculation for PTP free running clock (Michal Schmidt) [RHEL-30140]
- net/mlx5: Add .getmaxphase ptp_clock_info callback (Michal Schmidt) [RHEL-30140]
- Revert "net/mlx5: Dynamic cyclecounter shift calculation for PTP free running clock" (Michal Schmidt) [RHEL-30140]
- Revert "net/mlx5: Decouple PHC .adjtime and .adjphase implementations" (Michal Schmidt) [RHEL-30140]
- ptp: Add .getmaxphase callback to ptp_clock_info (Michal Schmidt) [RHEL-30140]
- testptp: Add support for testing ptp_clock_info .adjphase callback (Michal Schmidt) [RHEL-30140]
- testptp: Remove magic numbers related to nanosecond to second conversion (Michal Schmidt) [RHEL-30140]
- selftests/ptp: Fix timestamp printf format for PTP_SYS_OFFSET (Michal Schmidt) [RHEL-30140]
- testptp: add option to shift clock by nanoseconds (Michal Schmidt) [RHEL-30140]
- testptp: set pin function before other requests (Michal Schmidt) [RHEL-30140]
- docs: ptp.rst: Add information about NVIDIA Mellanox devices (Michal Schmidt) [RHEL-30140]
- ptp: Clarify ptp_clock_info .adjphase expects an internal servo to be used (Michal Schmidt) [RHEL-30140]
- ptp: remove the .adjfreq interface function (Michal Schmidt) [RHEL-30140]
- ptp: convert remaining drivers to adjfine interface (Michal Schmidt) [RHEL-30140]
- ptp: hclge: convert .adjfreq to .adjfine (Michal Schmidt) [RHEL-30140]
- ptp: stmac: convert .adjfreq to .adjfine (Michal Schmidt) [RHEL-30140]
- ptp: xgbe: convert to .adjfine and adjust_by_scaled_ppm (Michal Schmidt) [RHEL-30140]
- redhat: version two of Makefile.rhelver tweaks (Radu Rendec) [RHEL-32292]
- RDMA/irdma: Fix KASAN issue with tasklet (Kamal Heib) [RHEL-32264]
- ACPI: extlog: Clear Extended Error Log status when RAS_CEC handled the error (Aristeu Rozanski) [RHEL-24947]
- bpftool: Extend net dump with netkit progs (Artem Savkov) [RHEL-23643]
- bpftool: Implement link show support for netkit (Artem Savkov) [RHEL-23643]
- bpftool: Add support for cgroup unix socket address hooks (Artem Savkov) [RHEL-23643]
- bpftool: Implement link show support for xdp (Artem Savkov) [RHEL-23643]
- bpftool: Implement link show support for tcx (Artem Savkov) [RHEL-23643]
- bpftool: Extend net dump with tcx progs (Artem Savkov) [RHEL-23643]
- powerpc/code-patching: Perform hwsync in __patch_instruction() in case of failure (Artem Savkov) [RHEL-23643]
- powerpc/code-patching: Fix oops with DEBUG_VM enabled (Artem Savkov) [RHEL-23643]
- powerpc/64s: Prevent fallthrough to hash TLB flush when using radix (Artem Savkov) [RHEL-23643]
- powerpc/64s: Reconnect tlb_flush() to hash__tlb_flush() (Artem Savkov) [RHEL-23643]
- powerpc/bpf: use bpf_jit_binary_pack_[alloc|finalize|free] (Artem Savkov) [RHEL-23643]
- powerpc/bpf: rename powerpc64_jit_data to powerpc_jit_data (Artem Savkov) [RHEL-23643]
- powerpc/bpf: implement bpf_arch_text_invalidate for bpf_prog_pack (Artem Savkov) [RHEL-23643]
- powerpc/bpf: implement bpf_arch_text_copy (Artem Savkov) [RHEL-23643]
- powerpc/code-patching: introduce patch_instructions() (Artem Savkov) [RHEL-23643]
- powerpc/code-patching: Consolidate and cache per-cpu patching context (Artem Savkov) [RHEL-23643]
- powerpc/code-patching: Use temporary mm for Radix MMU (Artem Savkov) [RHEL-23643]
- powerpc/tlb: Add local flush for page given mm_struct and psize (Artem Savkov) [RHEL-23643]
- powerpc/mm: Remove flush_all_mm, local_flush_all_mm (Artem Savkov) [RHEL-23643]
- cxl: Use radix__flush_all_mm instead of generic flush_all_mm (Artem Savkov) [RHEL-23643]
- powerpc/mm: Remove empty hash__ functions (Artem Savkov) [RHEL-23643]
- powerpc/code-patching: Use WARN_ON and fix check in poking_init (Artem Savkov) [RHEL-23643]
- powerpc: Allow clearing and restoring registers independent of saved breakpoint state (Artem Savkov) [RHEL-23643]
- selftests/bpf: test case for callback_depth states pruning logic (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add a selftest with > 512-byte percpu allocation size (Artem Savkov) [RHEL-23643]
- selftests/bpf: Cope with 512 bytes limit with bpf_global_percpu_ma (Artem Savkov) [RHEL-23643]
- bpf: Limit up to 512 bytes for bpf_global_percpu_ma allocation (Artem Savkov) [RHEL-23643]
- bpf: Use smaller low/high marks for percpu allocation (Artem Savkov) [RHEL-23643]
- bpf: Refill only one percpu element in memalloc (Artem Savkov) [RHEL-23643]
- bpf: Avoid unnecessary extra percpu memory allocation (Artem Savkov) [RHEL-23643]
- selftests/bpf: Remove tests for zeroed-array kptr (Artem Savkov) [RHEL-23643]
- bpf: add netkit to uapi headers (Artem Savkov) [RHEL-23643]
- bpf: add cgroup sockaddr to uapi headers (Artem Savkov) [RHEL-23643]
- bpf: Allow per unit prefill for non-fix-size percpu memory allocator (Artem Savkov) [RHEL-23643]
- bpf: Add objcg to bpf_mem_alloc (Artem Savkov) [RHEL-23643]
- bpf: Use c->unit_size to select target cache during free (Artem Savkov) [RHEL-23643]
- bpf: Do not allocate percpu memory at init stage (Artem Savkov) [RHEL-23643]
- bpf: Add __bpf_hook_{start,end} macros (Artem Savkov) [RHEL-23643]
- bpf: Add __bpf_kfunc_{start,end}_defs macros (Artem Savkov) [RHEL-23643]
- selftests/bpf: Support building selftests in optimized -O2 mode (Artem Savkov) [RHEL-23643]
- selftests/bpf: Fix compiler warnings reported in -O2 mode (Artem Savkov) [RHEL-23643]
- bpf: Add missed value to kprobe perf link info (Artem Savkov) [RHEL-23643]
- tracing/kprobes: 'nmissed' not showed correctly for kretprobe (Artem Savkov) [RHEL-23643]
- selftests/bpf: Enable the cpuv4 tests for s390x (Artem Savkov) [RHEL-23643]
- mm: kasan: Declare kasan_unpoison_task_stack_below in kasan.h (Artem Savkov) [RHEL-23643]
- bpf: check bpf_func_state->callback_depth when pruning states (Artem Savkov) [RHEL-23643]
- s390/bpf: Fix gotol with large offsets (Artem Savkov) [RHEL-23643]
- test_bpf: Rename second ALU64_SMOD_X to ALU64_SMOD_K (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add test for early update in prog_array_map_poke_run (Artem Savkov) [RHEL-23643]
- bpf: Fix prog_array_map_poke_run map poke update (Artem Savkov) [RHEL-23643]
- selftests/bpf: check if max number of bpf_loop iterations is tracked (Artem Savkov) [RHEL-23643]
- bpf: keep track of max number of bpf_loop callback iterations (Artem Savkov) [RHEL-23643]
- selftests/bpf: test widening for iterating callbacks (Artem Savkov) [RHEL-23643]
- bpf: widening for callback iterators (Artem Savkov) [RHEL-23643]
- selftests/bpf: tests for iterating callbacks (Artem Savkov) [RHEL-23643]
- bpf: verify callbacks as if they are called unknown number of times (Artem Savkov) [RHEL-23643]
- bpf: extract setup_func_entry() utility function (Artem Savkov) [RHEL-23643]
- bpf: extract __check_reg_arg() utility function (Artem Savkov) [RHEL-23643]
- selftests/bpf: fix bpf_loop_bench for new callback verification scheme (Artem Savkov) [RHEL-23643]
- selftests/bpf: track string payload offset as scalar in strobemeta (Artem Savkov) [RHEL-23643]
- bpf: Remove test for MOVSX32 with offset=32 (Artem Savkov) [RHEL-23643]
- selftests/bpf: add more test cases for check_cfg() (Artem Savkov) [RHEL-23643]
- bpf: fix control-flow graph checking in privileged mode (Artem Savkov) [RHEL-23643]
- selftests/bpf: add edge case backtracking logic test (Artem Savkov) [RHEL-23643]
- bpf: fix precision backtracking instruction iteration (Artem Savkov) [RHEL-23643]
- bpf: handle ldimm64 properly in check_cfg() (Artem Savkov) [RHEL-23643]
- selftests/bpf: get trusted cgrp from bpf_iter__cgroup directly (Artem Savkov) [RHEL-23643]
- bpf: Let verifier consider {task,cgroup} is trusted in bpf_iter_reg (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add test for using css_task iter in sleepable progs (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add tests for css_task iter combining with cgroup iter (Artem Savkov) [RHEL-23643]
- bpf: Relax allowlist for css_task iter (Artem Savkov) [RHEL-23643]
- selftests/bpf: fix test_maps' use of bpf_map_create_opts (Artem Savkov) [RHEL-23643]
- bpf: Check map->usercnt after timer->timer is assigned (Artem Savkov) [RHEL-23643]
- selftests/bpf: fix test_bpffs (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add test for immediate spilled to stack (Artem Savkov) [RHEL-23643]
- bpf: Fix check_stack_write_fixed_off() to correctly spill imm (Artem Savkov) [RHEL-23643]
- bpf: fix compilation error without CGROUPS (Artem Savkov) [RHEL-23643]
- selftests/bpf: Fix selftests broken by mitigations=off (Artem Savkov) [RHEL-23643]
- samples/bpf: Allow building with custom bpftool (Artem Savkov) [RHEL-23643]
- samples/bpf: Fix passing LDFLAGS to libbpf (Artem Savkov) [RHEL-23643]
- samples/bpf: Allow building with custom CFLAGS/LDFLAGS (Artem Savkov) [RHEL-23643]
- bpf: Add more WARN_ON_ONCE checks for mismatched alloc and free (Artem Savkov) [RHEL-23643]
- libbpf: Add link-based API for netkit (Artem Savkov) [RHEL-23643]
- bpf: print full verifier states on infinite loop detection (Artem Savkov) [RHEL-23643]
- selftests/bpf: test if state loops are detected in a tricky case (Artem Savkov) [RHEL-23643]
- bpf: correct loop detection for iterators convergence (Artem Savkov) [RHEL-23643]
- selftests/bpf: tests with delayed read/precision makrs in loop body (Artem Savkov) [RHEL-23643]
- bpf: exact states comparison for iterator convergence checks (Artem Savkov) [RHEL-23643]
- bpf: extract same_callsites() as utility function (Artem Savkov) [RHEL-23643]
- bpf: move explored_state() closer to the beginning of verifier.c (Artem Savkov) [RHEL-23643]
- bpf: Improve JEQ/JNE branch taken logic (Artem Savkov) [RHEL-23643]
- bpf: Fold smp_mb__before_atomic() into atomic_set_release() (Artem Savkov) [RHEL-23643]
- bpf: Fix unnecessary -EBUSY from htab_lock_bucket (Artem Savkov) [RHEL-23643]
- samples: bpf: Fix syscall_tp openat argument (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add more test cases for bpf memory allocator (Artem Savkov) [RHEL-23643]
- bpf: Use bpf_global_percpu_ma for per-cpu kptr in __bpf_obj_drop_impl() (Artem Savkov) [RHEL-23643]
- bpf: Move the declaration of __bpf_obj_drop_impl() to bpf.h (Artem Savkov) [RHEL-23643]
- bpf: Use pcpu_alloc_size() in bpf_mem_free{_rcu}() (Artem Savkov) [RHEL-23643]
- bpf: Re-enable unit_size checking for global per-cpu allocator (Artem Savkov) [RHEL-23643]
- mm/percpu.c: introduce pcpu_alloc_size() (Artem Savkov) [RHEL-23643]
- mm/percpu.c: don't acquire pcpu_lock for pcpu_chunk_addr_search() (Artem Savkov) [RHEL-23643]
- selftests/bpf: Make linked_list failure test more robust (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add tests for open-coded task and css iter (Artem Savkov) [RHEL-23643]
- selftests/bpf: rename bpf_iter_task.c to bpf_iter_tasks.c (Artem Savkov) [RHEL-23643]
- bpf: Let bpf_iter_task_new accept null task ptr (Artem Savkov) [RHEL-23643]
- bpf: teach the verifier to enforce css_iter and task_iter in RCU CS (Artem Savkov) [RHEL-23643]
- bpf: Introduce css open-coded iterator kfuncs (Artem Savkov) [RHEL-23643]
- bpf: Introduce task open coded iterator kfuncs (Artem Savkov) [RHEL-23643]
- bpf: Introduce css_task open-coded iterator kfuncs (Artem Savkov) [RHEL-23643]
- cgroup: Prepare for using css_task_iter_*() in BPF (Artem Savkov) [RHEL-23643]
- bpftool: Wrap struct_ops dump in an array (Artem Savkov) [RHEL-23643]
- bpftool: Fix printing of pointer value (Artem Savkov) [RHEL-23643]
- bpf, docs: Define signed modulo as using truncated division (Artem Savkov) [RHEL-23643]
- libbpf: Don't assume SHT_GNU_verdef presence for SHT_GNU_versym section (Artem Savkov) [RHEL-23643]
- bpf: Ensure proper register state printing for cond jumps (Artem Savkov) [RHEL-23643]
- bpf: Disambiguate SCALAR register state output in verifier logs (Artem Savkov) [RHEL-23643]
- selftests/bpf: Make align selftests more robust (Artem Savkov) [RHEL-23643]
- selftests/bpf: Improve missed_kprobe_recursion test robustness (Artem Savkov) [RHEL-23643]
- selftests/bpf: Improve percpu_alloc test robustness (Artem Savkov) [RHEL-23643]
- selftests/bpf: Rename bpf_iter_task_vma.c to bpf_iter_task_vmas.c (Artem Savkov) [RHEL-23643]
- bpf: Don't explicitly emit BTF for struct btf_iter_num (Artem Savkov) [RHEL-23643]
- bpf: Avoid unnecessary audit log for CPU security mitigations (Artem Savkov) [RHEL-23643]
- libbpf: Add support for cgroup unix socket address hooks (Artem Savkov) [RHEL-23643]
- bpftool: Align bpf_load_and_run_opts insns and data (Artem Savkov) [RHEL-23643]
- bpftool: Align output skeleton ELF code (Artem Savkov) [RHEL-23643]
- selftests/bpf: Test pinning bpf timer to a core (Artem Savkov) [RHEL-23643]
- bpf: Add ability to pin bpf timer to calling CPU (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add pairs_redir_to_connected helper (Artem Savkov) [RHEL-23643]
- bpf: Annotate struct bpf_stack_map with __counted_by (Artem Savkov) [RHEL-23643]
- selftests/bpf: Don't truncate #test/subtest field (Artem Savkov) [RHEL-23643]
- bpf: Inherit system settings for CPU security mitigations (Artem Savkov) [RHEL-23643]
- bpf: Fix the comment for bpf_restore_data_end() (Artem Savkov) [RHEL-23643]
- selftests/bpf: Enable CONFIG_VSOCKETS in config (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add uprobe_multi to gen_tar target (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add cross-build support for urandom_read et al (Artem Savkov) [RHEL-23643]
- libbpf: Allow Golang symbols in uprobe secdef (Artem Savkov) [RHEL-23643]
- samples/bpf: Add -fsanitize=bounds to userspace programs (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add test for recursion counts of perf event link tracepoint (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add test for recursion counts of perf event link kprobe (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add test for missed counts of perf event link kprobe (Artem Savkov) [RHEL-23643]
- bpftool: Display missed count for kprobe perf link (Artem Savkov) [RHEL-23643]
- bpftool: Display missed count for kprobe_multi link (Artem Savkov) [RHEL-23643]
- bpf: Count missed stats in trace_call_bpf (Artem Savkov) [RHEL-23643]
- bpf: Add missed value to kprobe_multi link info (Artem Savkov) [RHEL-23643]
- bpf: Count stats for kprobe_multi programs (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add tests for ring__consume (Artem Savkov) [RHEL-23643]
- libbpf: Add ring__consume (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add tests for ring__map_fd (Artem Savkov) [RHEL-23643]
- libbpf: Add ring__map_fd (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add tests for ring__size (Artem Savkov) [RHEL-23643]
- libbpf: Add ring__size (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add tests for ring__avail_data_size (Artem Savkov) [RHEL-23643]
- libbpf: Add ring__avail_data_size (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add tests for ring__*_pos (Artem Savkov) [RHEL-23643]
- libbpf: Add ring__producer_pos, ring__consumer_pos (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add tests for ring_buffer__ring (Artem Savkov) [RHEL-23643]
- libbpf: Add ring_buffer__ring (Artem Savkov) [RHEL-23643]
- libbpf: Switch rings to array of pointers (Artem Savkov) [RHEL-23643]
- libbpf: Refactor cleanup in ring_buffer__add (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add tests for symbol versioning for uprobe (Artem Savkov) [RHEL-23643]
- libbpf: Support symbol versioning for uprobe (Artem Savkov) [RHEL-23643]
- libbpf: Resolve symbol conflicts at the same offset for uprobe (Artem Savkov) [RHEL-23643]
- samples/bpf: syscall_tp_user: Fix array out-of-bound access (Artem Savkov) [RHEL-23643]
- samples/bpf: syscall_tp_user: Rename num_progs into nr_tests (Artem Savkov) [RHEL-23643]
- selftests/bpf: Trim DENYLIST.s390x (Artem Savkov) [RHEL-23643]
- s390/bpf: Implement signed division (Artem Savkov) [RHEL-23643]
- s390/bpf: Implement unconditional jump with 32-bit offset (Artem Savkov) [RHEL-23643]
- s390/bpf: Implement unconditional byte swap (Artem Savkov) [RHEL-23643]
- s390/bpf: Implement BPF_MEMSX (Artem Savkov) [RHEL-23643]
- s390/bpf: Implement BPF_MOV | BPF_X with sign-extension (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add big-endian support to the ldsx test (Artem Savkov) [RHEL-23643]
- selftests/bpf: Unmount the cgroup2 work directory (Artem Savkov) [RHEL-23643]
- bpf: Disable zero-extension for BPF_MEMSX (Artem Savkov) [RHEL-23643]
- bpf: Remove unused variables. (Artem Savkov) [RHEL-23643]
- bpf: Fix bpf_throw warning on 32-bit arch (Artem Savkov) [RHEL-23643]
- selftests/bpf: Print log buffer for exceptions test only on failure (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add tests for BPF exceptions (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add BPF assertion macros (Artem Savkov) [RHEL-23643]
- libbpf: Add support for custom exception callbacks (Artem Savkov) [RHEL-23643]
- libbpf: Refactor bpf_object__reloc_code (Artem Savkov) [RHEL-23643]
- bpf: Fix kfunc callback register type handling (Artem Savkov) [RHEL-23643]
- bpf: Disallow fentry/fexit/freplace for exception callbacks (Artem Savkov) [RHEL-23643]
- bpf: Detect IP == ksym.end as part of BPF program (Artem Savkov) [RHEL-23643]
- bpf: Prevent KASAN false positive with bpf_throw (Artem Savkov) [RHEL-23643]
- bpf: Treat first argument as return value for bpf_throw (Artem Savkov) [RHEL-23643]
- bpf: Perform CFG walk for exception callback (Artem Savkov) [RHEL-23643]
- bpf: Add support for custom exception callbacks (Artem Savkov) [RHEL-23643]
- bpf: Refactor check_btf_func and split into two phases (Artem Savkov) [RHEL-23643]
- bpf: Implement BPF exceptions (Artem Savkov) [RHEL-23643]
- bpf: Implement support for adding hidden subprogs (Artem Savkov) [RHEL-23643]
- bpf/tests: add tests for cpuv4 instructions (Artem Savkov) [RHEL-23643]
- bpf: Charge modmem for struct_ops trampoline (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add testcases for tailcall infinite loop fixing (Artem Savkov) [RHEL-23643]
- selftests/bpf: Correct map_fd to data_fd in tailcalls (Artem Savkov) [RHEL-23643]
- bpftool: Fix -Wcast-qual warning (Artem Savkov) [RHEL-23643]
- bpf: task_group_seq_get_next: simplify the "next tid" logic (Artem Savkov) [RHEL-23643]
- bpf: task_group_seq_get_next: kill next_task (Artem Savkov) [RHEL-23643]
- bpf: task_group_seq_get_next: fix the skip_if_dup_files check (Artem Savkov) [RHEL-23643]
- bpf: task_group_seq_get_next: cleanup the usage of get/put_task_struct (Artem Savkov) [RHEL-23643]
- bpf: task_group_seq_get_next: cleanup the usage of next_thread() (Artem Savkov) [RHEL-23643]
- selftests/bpf: Test preemption between bpf_obj_new() and bpf_obj_drop() (Artem Savkov) [RHEL-23643]
- bpf: Enable IRQ after irq_work_raise() completes in unit_free{_rcu}() (Artem Savkov) [RHEL-23643]
- bpf: Enable IRQ after irq_work_raise() completes in unit_alloc() (Artem Savkov) [RHEL-23643]
- bpf: Mark BPF_MAP_TYPE_PERCPU_CGROUP_STORAGE deprecated (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add some negative tests (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add tests for cgrp_local_storage with local percpu kptr (Artem Savkov) [RHEL-23643]
- selftests/bpf: Remove unnecessary direct read of local percpu kptr (Artem Savkov) [RHEL-23643]
- bpf: Mark OBJ_RELEASE argument as MEM_RCU when possible (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add tests for array map with local percpu kptr (Artem Savkov) [RHEL-23643]
- selftests/bpf: Add bpf_percpu_obj_{new,drop}() macro in bpf_experimental.h (Artem Savkov) [RHEL-23643]
- libbpf: Add __percpu_kptr macro definition (Artem Savkov) [RHEL-23643]
- selftests/bpf: Update error message in negative linked_list test (Artem Savkov) [RHEL-23643]
- bpf: Add bpf_this_cpu_ptr/bpf_per_cpu_ptr support for allocated percpu obj (Artem Savkov) [RHEL-23643]
- bpf: Add alloc/xchg/direct_access support for local percpu kptr (Artem Savkov) [RHEL-23643]
- bpf: Add BPF_KPTR_PERCPU as a field type (Artem Savkov) [RHEL-23643]
- bpf: Add support for non-fix-size percpu mem allocation (Artem Savkov) [RHEL-23643]
- libbpf: Add basic BTF sanity validation (Artem Savkov) [RHEL-23643]
- clk: linux/clk-provider.h: fix kernel-doc warnings and typos (Joel Slebodnick) [RHEL-5705]
- clk: fixed-rate: fix clk_hw_register_fixed_rate_with_accuracy_parent_hw (Joel Slebodnick) [RHEL-5705]
- clk: fixed-rate: Remove redundant if statement (Joel Slebodnick) [RHEL-5705]
- clk: fix typo in clk_hw_register_fixed_rate_parent_data() macro (Joel Slebodnick) [RHEL-5705]
- clk: Remove mmask and nmask fields in struct clk_fractional_divider (Joel Slebodnick) [RHEL-5705]
- clk: Avoid invalid function names in CLK_OF_DECLARE() (Joel Slebodnick) [RHEL-5705]
- clk: Mark a fwnode as initialized when using CLK_OF_DECLARE() macro (Joel Slebodnick) [RHEL-5705]
- clk: Mention that .recalc_rate can return 0 on error (Joel Slebodnick) [RHEL-5705]
- clk: introduce (devm_)hw_register_mux_parent_data_table API (Joel Slebodnick) [RHEL-5705]
- clk: mux: Introduce devm_clk_hw_register_mux_parent_hws() (Joel Slebodnick) [RHEL-5705]
- clk: divider: Introduce devm_clk_hw_register_divider_parent_hw() (Joel Slebodnick) [RHEL-5705]
- math.h: Introduce data types for fractional numbers (Joel Slebodnick) [RHEL-5705]
- dt-bindings: clock: imx8mp: Add LDB clock entry (Joel Slebodnick) [RHEL-5705]
- dt-bindings: clock: imx8mp: Add ids for the audio shared gate (Joel Slebodnick) [RHEL-5705]
- dt-bindings: clocks: imx8mp: Add ID for usb suspend clock (Joel Slebodnick) [RHEL-5705]
- redhat/configs: Add CONFIG_CLK_IMX8ULP (Joel Slebodnick) [RHEL-5705]
- clk: Add a devm variant of clk_rate_exclusive_get() (Joel Slebodnick) [RHEL-5705]
- clk: Fix undefined reference to `clk_rate_exclusive_{get,put}' (Joel Slebodnick) [RHEL-5705]
- clkdev: Update clkdev id usage to allow for longer names (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8mp: Fix SAI_MCLK_SEL definition (Joel Slebodnick) [RHEL-5705]
- clk: imx: scu: Use common error handling code in imx_clk_scu_alloc_dev() (Joel Slebodnick) [RHEL-5705]
- clk: imx: composite-8m: Delete two unnecessary initialisations in __imx8m_clk_hw_composite() (Joel Slebodnick) [RHEL-5705]
- clk: imx: composite-8m: Less function calls in __imx8m_clk_hw_composite() after error detection (Joel Slebodnick) [RHEL-5705]
- clk: Fix clk_core_get NULL dereference (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8-acm: Convert to platform remove callback returning void (Joel Slebodnick) [RHEL-5705]
- clk: fixed-factor: add fwname-based constructor functions (Joel Slebodnick) [RHEL-5705]
- clk: fixed-factor: add optional accuracy support (Joel Slebodnick) [RHEL-5705]
- clk: Provide managed helper to get and enable bulk clocks (Joel Slebodnick) [RHEL-5705]
- clk: fractional-divider: Use bit operations consistently (Joel Slebodnick) [RHEL-5705]
- clk: fractional-divider: Move mask calculations out of lock (Joel Slebodnick) [RHEL-5705]
- clk: imx: pll14xx: change naming of fvco to fout (Joel Slebodnick) [RHEL-5705]
- clk: imx: clk-imx8qxp: fix LVDS bypass, pixel and phy clocks (Joel Slebodnick) [RHEL-5705]
- clk: imx: scu: Fix memory leak in __imx_clk_gpr_scu() (Joel Slebodnick) [RHEL-5705]
- clk: gate: fix comment typo and grammar (Joel Slebodnick) [RHEL-5705]
- clk: fractional-divider: Improve approximation when zero based and export (Joel Slebodnick) [RHEL-5705]
- clk: Fix clk gate kunit test on big-endian CPUs (Joel Slebodnick) [RHEL-5705]
- clk: Parameterize clk_leaf_mux_set_rate_parent (Joel Slebodnick) [RHEL-5705]
- clk: Drive clk_leaf_mux_set_rate_parent test from clk_ops (Joel Slebodnick) [RHEL-5705]
- clk: Allow phase adjustment from debugfs (Joel Slebodnick) [RHEL-5705]
- clk: Show active consumers of clocks in debugfs (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8qm/qxp: add more resources to whitelist (Joel Slebodnick) [RHEL-5705]
- clk: imx: scu: ignore clks not owned by Cortex-A partition (Joel Slebodnick) [RHEL-5705]
- clk: imx8: remove MLB support (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8qm-rsrc: drop VPU_UART/VPUCORE (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8qxp: correct the enet clocks for i.MX8DXL (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8qxp: Fix elcdif_pll clock (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8dxl-rsrc: keep sorted in the ascending order (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx6sx: Allow a different LCDIF1 clock parent (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8mq: correct error handling path (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8: Simplify clk_imx_acm_detach_pm_domains() (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8: Add a message in case of devm_clk_hw_register_mux_parent_data_table() error (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8: Fix an error handling path in imx8_acm_clk_probe() (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8: Fix an error handling path if devm_clk_hw_register_mux_parent_data_table() fails (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8: Fix an error handling path in clk_imx_acm_attach_pm_domains() (Joel Slebodnick) [RHEL-5705]
- clk: imx: Select MXC_CLK for CLK_IMX8QXP (Joel Slebodnick) [RHEL-5705]
- clk: tegra: fix error return case for recalc_rate (Joel Slebodnick) [RHEL-5705]
- clk: imx: pll14xx: dynamically configure PLL for 393216000/361267200Hz (Joel Slebodnick) [RHEL-5705]
- clk: imx: pll14xx: align pdiv with reference manual (Joel Slebodnick) [RHEL-5705]
- clk: imx: composite-8m: fix clock pauses when set_rate would be a no-op (Joel Slebodnick) [RHEL-5705]
- clk: imx25: make __mx25_clocks_init return void (Joel Slebodnick) [RHEL-5705]
- clk: imx25: print silicon revision during init (Joel Slebodnick) [RHEL-5705]
- clk: imx8mp: fix sai4 clock (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8ulp: update SPLL2 type (Joel Slebodnick) [RHEL-5705]
- clk: imx: pllv4: Fix SPLL2 MULT range (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8: add audio clock mux driver (Joel Slebodnick) [RHEL-5705]
- clk: imx: clk-imx8qxp-lpcg: Convert to devm_platform_ioremap_resource() (Joel Slebodnick) [RHEL-5705]
- clk: imx: clk-gpr-mux: Simplify .determine_rate() (Joel Slebodnick) [RHEL-5705]
- clk: tegra: Replace kstrdup() + strreplace() with kstrdup_and_replace() (Joel Slebodnick) [RHEL-5705]
- clk: Fix slab-out-of-bounds error in devm_clk_release() (Joel Slebodnick) [RHEL-5705]
- clk: tegra: Avoid calling an uninitialized function (Joel Slebodnick) [RHEL-5705]
- clk: Fix memory leak in devm_clk_notifier_register() (Joel Slebodnick) [RHEL-5705]
- clk: tegra: tegra124-emc: Fix potential memory leak (Joel Slebodnick) [RHEL-5705]
- clk: imx: clk-imx8mp: improve error handling in imx8mp_clocks_probe() (Joel Slebodnick) [RHEL-5705]
- clk: imx: clk-imx8mn: fix memory leak in imx8mn_clocks_probe (Joel Slebodnick) [RHEL-5705]
- clk: imx: clk-imxrt1050: fix memory leak in imxrt1050_clocks_probe (Joel Slebodnick) [RHEL-5705]
- clk: imx: composite-8m: Add imx8m_divider_determine_rate (Joel Slebodnick) [RHEL-5705]
- clk: imx: scu: use _safe list iterator to avoid a use after free (Joel Slebodnick) [RHEL-5705]
- clk: imx: drop imx_unregister_clocks (Joel Slebodnick) [RHEL-5705]
- clk: imx6ul: retain early UART clocks during kernel init (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx6sx: Remove CLK_SET_RATE_PARENT from the LDB clocks (Joel Slebodnick) [RHEL-5705]
- clk: Fix best_parent_rate after moving code into a separate function (Joel Slebodnick) [RHEL-5705]
- clk: Forbid to register a mux without determine_rate (Joel Slebodnick) [RHEL-5705]
- clk: tegra: super: Switch to determine_rate (Joel Slebodnick) [RHEL-5705]
- clk: tegra: periph: Switch to determine_rate (Joel Slebodnick) [RHEL-5705]
- clk: imx: scu: Switch to determine_rate (Joel Slebodnick) [RHEL-5705]
- clk: tegra: periph: Add a determine_rate hook (Joel Slebodnick) [RHEL-5705]
- clk: tegra: super: Add a determine_rate hook (Joel Slebodnick) [RHEL-5705]
- clk: tegra: bpmp: Add a determine_rate hook (Joel Slebodnick) [RHEL-5705]
- clk: imx: scu: Add a determine_rate hook (Joel Slebodnick) [RHEL-5705]
- clk: imx: fixup-mux: Add a determine_rate hook (Joel Slebodnick) [RHEL-5705]
- clk: imx: busy: Add a determine_rate hook (Joel Slebodnick) [RHEL-5705]
- clk: test: Add a determine_rate hook (Joel Slebodnick) [RHEL-5705]
- clk: nodrv: Add a determine_rate hook (Joel Slebodnick) [RHEL-5705]
- clk: Introduce clk_hw_determine_rate_no_reparent() (Joel Slebodnick) [RHEL-5705]
- clk: Move no reparent case into a separate function (Joel Slebodnick) [RHEL-5705]
- clk: test: Fix type sign of rounded rate variables (Joel Slebodnick) [RHEL-5705]
- clk: Export clk_hw_forward_rate_request() (Joel Slebodnick) [RHEL-5705]
- clk: imx: Drop inclusion of unused header <soc/imx/timer.h> (Joel Slebodnick) [RHEL-5705]
- clk: composite: Fix handling of high clock rates (Joel Slebodnick) [RHEL-5705]
- Revert "clk: imx: composite-8m: Add support to determine_rate" (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8ulp: update clk flag for system critical clock (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8ulp: Add tpm5 clock as critical gate clock (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8ulp: keep MU0_B clock enabled always (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8ulp: Add divider closest support to get more accurate clock rate (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8ulp: Fix XBAR_DIVBUS and AD_SLOW clock parents (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8mp: change the 'nand_usdhc_bus' clock to non-critical (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8mp: Add LDB root clock (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8mp: correct DISP2 pixel clock type (Joel Slebodnick) [RHEL-5705]
- clk: imx: drop duplicated macro (Joel Slebodnick) [RHEL-5705]
- clk: imx: clk-gpr-mux: Provide clock name in error message (Joel Slebodnick) [RHEL-5705]
- clk: imx: Let IMX8MN_CLK_DISP_PIXEL set parent rate (Joel Slebodnick) [RHEL-5705]
- clk: imx8mm: Let IMX8MM_CLK_LCDIF_PIXEL set parent rate (Joel Slebodnick) [RHEL-5705]
- clk: imx: Add imx8m_clk_hw_composite_flags macro (Joel Slebodnick) [RHEL-5705]
- clk: imx: composite-8m: Add support to determine_rate (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8mp: Add audiomix block control (Joel Slebodnick) [RHEL-5705]
- clk: add missing of_node_put() in "assigned-clocks" property parsing (Joel Slebodnick) [RHEL-5705]
- clk: imx: Remove values for mmask and nmask in struct clk_fractional_divider (Joel Slebodnick) [RHEL-5705]
- clk: Compute masks for fractional_divider clk when needed. (Joel Slebodnick) [RHEL-5705]
- clk: tegra: Convert to platform remove callback returning void (Joel Slebodnick) [RHEL-5705]
- clk: fixed-rate: Convert to platform remove callback returning void (Joel Slebodnick) [RHEL-5705]
- clk: fixed-factor: Convert to platform remove callback returning void (Joel Slebodnick) [RHEL-5705]
- clk: tegra: Don't warn three times about failure to unregister (Joel Slebodnick) [RHEL-5705]
- clk: Use of_property_present() for testing DT property presence (Joel Slebodnick) [RHEL-5705]
- clk: tegra20: fix gcc-7 constant overflow warning (Joel Slebodnick) [RHEL-5705]
- clk: Print an info line before disabling unused clocks (Joel Slebodnick) [RHEL-5705]
- clk: remove unnecessary (void*) conversions (Joel Slebodnick) [RHEL-5705]
- clk: imx6ul: fix "failed to get parent" error (Joel Slebodnick) [RHEL-5705]
- clk: qcom: Revert sync_state based clk_disable_unused (Joel Slebodnick) [RHEL-5705]
- clk: Introduce devm_clk_hw_register_gate_parent_data() (Joel Slebodnick) [RHEL-5705]
- clk: qcom: sdm845: Use generic clk_sync_state_disable_unused callback (Joel Slebodnick) [RHEL-5705]
- clk: Add generic sync_state callback for disabling unused clocks (Joel Slebodnick) [RHEL-5705]
- clk: Honor CLK_OPS_PARENT_ENABLE in clk_core_is_enabled() (Joel Slebodnick) [RHEL-5705]
- clk: imx: pll14xx: fix recalc_rate for negative kdiv (Joel Slebodnick) [RHEL-5705]
- clk: imx: fix compile testing imxrt1050 (Joel Slebodnick) [RHEL-5705]
- clk: imx: set imx_clk_gpr_mux_ops storage-class-specifier to static (Joel Slebodnick) [RHEL-5705]
- clk: imx6ul: add ethernet refclock mux support (Joel Slebodnick) [RHEL-5705]
- clk: imx6ul: fix enet1 gate configuration (Joel Slebodnick) [RHEL-5705]
- clk: imx: add imx_obtain_fixed_of_clock() (Joel Slebodnick) [RHEL-5705]
- clk: imx6q: add ethernet refclock mux support (Joel Slebodnick) [RHEL-5705]
- clk: imx: add clk-gpr-mux driver (Joel Slebodnick) [RHEL-5705]
- cpuidle, clk: Remove trace_.*_rcuidle() (Joel Slebodnick) [RHEL-5705]
- clk: Add trace events for rate requests (Joel Slebodnick) [RHEL-5705]
- clk: Store clk_core for clk_rate_request (Joel Slebodnick) [RHEL-5705]
- clk: imx8mn: fix imx8mn_enet_phy_sels clocks list (Joel Slebodnick) [RHEL-5705]
- clk: imx8mn: fix imx8mn_sai2_sels clocks list (Joel Slebodnick) [RHEL-5705]
- clk: imx: rename video_pll1 to video_pll (Joel Slebodnick) [RHEL-5705]
- clk: imx: replace osc_hdmi with dummy (Joel Slebodnick) [RHEL-5705]
- clk: imx8mn: rename vpu_pll to m7_alt_pll (Joel Slebodnick) [RHEL-5705]
- clk: imx: imxrt1050: add IMXRT1050_CLK_LCDIF_PIX clock gate (Joel Slebodnick) [RHEL-5705]
- clk: imx: imxrt1050: fix IMXRT1050_CLK_LCDIF_APB offsets (Joel Slebodnick) [RHEL-5705]
- clk: imx8mp: Add audio shared gate (Joel Slebodnick) [RHEL-5705]
- clk: imx: pll14xx: Add 320 MHz and 640 MHz entries for PLL146x (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8mp: add shared clk gate for usb suspend clk (Joel Slebodnick) [RHEL-5705]
- clk: fractional-divider: Regroup inclusions (Joel Slebodnick) [RHEL-5705]
- clk: fractional-divider: Show numerator and denominator in debugfs (Joel Slebodnick) [RHEL-5705]
- clk: fractional-divider: Split out clk_fd_get_div() helper (Joel Slebodnick) [RHEL-5705]
- clk: bulk: Use dev_err_probe() helper in __clk_bulk_get() (Joel Slebodnick) [RHEL-5705]
- clk: Initialize max_rate in struct clk_rate_request (Joel Slebodnick) [RHEL-5705]
- clk: Initialize the clk_rate_request even if clk_core is NULL (Joel Slebodnick) [RHEL-5705]
- clk: Remove WARN_ON NULL parent in clk_core_init_rate_req() (Joel Slebodnick) [RHEL-5705]
- clk: tests: Add tests for notifiers (Joel Slebodnick) [RHEL-5705]
- clk: Update req_rate on __clk_recalc_rates() (Joel Slebodnick) [RHEL-5705]
- clk: tests: Add missing test case for ranges (Joel Slebodnick) [RHEL-5705]
- clk: Zero the clk_rate_request structure (Joel Slebodnick) [RHEL-5705]
- clk: Stop forwarding clk_rate_requests to the parent (Joel Slebodnick) [RHEL-5705]
- clk: Constify clk_has_parent() (Joel Slebodnick) [RHEL-5705]
- clk: Introduce clk_core_has_parent() (Joel Slebodnick) [RHEL-5705]
- clk: Switch from __clk_determine_rate to clk_core_round_rate_nolock (Joel Slebodnick) [RHEL-5705]
- clk: Add our request boundaries in clk_core_init_rate_req (Joel Slebodnick) [RHEL-5705]
- clk: Introduce clk_hw_init_rate_request() (Joel Slebodnick) [RHEL-5705]
- clk: Move clk_core_init_rate_req() from clk_core_round_rate_nolock() to its caller (Joel Slebodnick) [RHEL-5705]
- clk: Change clk_core_init_rate_req prototype (Joel Slebodnick) [RHEL-5705]
- clk: Set req_rate on reparenting (Joel Slebodnick) [RHEL-5705]
- clk: Take into account uncached clocks in clk_set_rate_range() (Joel Slebodnick) [RHEL-5705]
- clk: tests: Add some tests for orphan with multiple parents (Joel Slebodnick) [RHEL-5705]
- clk: tests: Add tests for mux with multiple parents (Joel Slebodnick) [RHEL-5705]
- clk: tests: Add tests for single parent mux (Joel Slebodnick) [RHEL-5705]
- clk: tests: Add tests for uncached clock (Joel Slebodnick) [RHEL-5705]
- clk: tests: Add reference to the orphan mux bug report (Joel Slebodnick) [RHEL-5705]
- clk: tests: Add test suites description (Joel Slebodnick) [RHEL-5705]
- clk: Clarify clk_get_rate() expectations (Joel Slebodnick) [RHEL-5705]
- clk: Skip clamping when rounding if there's no boundaries (Joel Slebodnick) [RHEL-5705]
- clk: Drop the rate range on clk_put() (Joel Slebodnick) [RHEL-5705]
- clk: test: Switch to clk_hw_get_clk (Joel Slebodnick) [RHEL-5705]
- clk: imx: scu: fix memleak on platform_device_add() fails (Joel Slebodnick) [RHEL-5705]
- clk: imx8mp: tune the order of enet_qos_root_clk (Joel Slebodnick) [RHEL-5705]
- clk: nxp: fix typo in comment (Joel Slebodnick) [RHEL-5705]
- clkdev: Simplify devm_clk_hw_register_clkdev() function (Joel Slebodnick) [RHEL-5705]
- clkdev: Remove never used devm_clk_release_clkdev() (Joel Slebodnick) [RHEL-5705]
- clk: Remove never used devm_of_clk_del_provider() (Joel Slebodnick) [RHEL-5705]
- clk: do not initialize ret (Joel Slebodnick) [RHEL-5705]
- clk: remove extra empty line (Joel Slebodnick) [RHEL-5705]
- clk: Fix comment typo (Joel Slebodnick) [RHEL-5705]
- clk: move from strlcpy with unused retval to strscpy (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx6sx: remove the SET_RATE_PARENT flag for QSPI clocks (Joel Slebodnick) [RHEL-5705]
- Revert "clk: core: Honor CLK_OPS_PARENT_ENABLE for clk gate ops" (Joel Slebodnick) [RHEL-5705]
- clk: core: Fix runtime PM sequence in clk_core_unprepare() (Joel Slebodnick) [RHEL-5705]
- clk: core: Honor CLK_OPS_PARENT_ENABLE for clk gate ops (Joel Slebodnick) [RHEL-5705]
- clk: Remove never used devm_clk_*unregister() (Joel Slebodnick) [RHEL-5705]
- clk: fixed-factor: Introduce *clk_hw_register_fixed_factor_parent_hw() (Joel Slebodnick) [RHEL-5705]
- clk: fixed: Remove Allwinner A10 special-case logic (Joel Slebodnick) [RHEL-5705]
- treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_56.RULE (part 2) (Joel Slebodnick) [RHEL-5705]
- clk: imx: scu: Fix kfree() of static memory on setting driver_override (Joel Slebodnick) [RHEL-5705]
- clk: imx8mp: fix usb_root_clk parent (Joel Slebodnick) [RHEL-5705]
- clk: imx: scu: Use pm_runtime_resume_and_get to fix pm_runtime_get_sync() usage (Joel Slebodnick) [RHEL-5705]
- clk: imx: scu: fix a potential memory leak in __imx_clk_gpr_scu() (Joel Slebodnick) [RHEL-5705]
- clk: imx8mn: add GPT support (Joel Slebodnick) [RHEL-5705]
- clk: imx8m: check mcore_booted before register clk (Joel Slebodnick) [RHEL-5705]
- clk: mux: remove redundant initialization of variable width (Joel Slebodnick) [RHEL-5705]
- clk: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Joel Slebodnick) [RHEL-5705]
- Revert "clk: Drop the rate range on clk_put()" (Joel Slebodnick) [RHEL-5705]
- clk: Drop the rate range on clk_put() (Joel Slebodnick) [RHEL-5705]
- clk: test: Test clk_set_rate_range on orphan mux (Joel Slebodnick) [RHEL-5705]
- clk: Initialize orphan req_rate (Joel Slebodnick) [RHEL-5705]
- clk: Add clk_drop_range (Joel Slebodnick) [RHEL-5705]
- clk: Always set the rate on clk_set_range_rate (Joel Slebodnick) [RHEL-5705]
- clk: Use clamp instead of open-coding our own (Joel Slebodnick) [RHEL-5705]
- clk: Always clamp the rounded rate (Joel Slebodnick) [RHEL-5705]
- clk: Enforce that disjoints limits are invalid (Joel Slebodnick) [RHEL-5705]
- clk: Fix clk_hw_get_clk() when dev is NULL (Joel Slebodnick) [RHEL-5705]
- clk: imx: remove redundant re-assignment of pll->base (Joel Slebodnick) [RHEL-5705]
- clk: imx: pll14xx: Support dynamic rates (Joel Slebodnick) [RHEL-5705]
- clk: imx: pll14xx: Add pr_fmt (Joel Slebodnick) [RHEL-5705]
- clk: imx: pll14xx: explicitly return lowest rate (Joel Slebodnick) [RHEL-5705]
- clk: imx: pll14xx: name variables after usage (Joel Slebodnick) [RHEL-5705]
- clk: imx: pll14xx: consolidate rate calculation (Joel Slebodnick) [RHEL-5705]
- clk: imx: pll14xx: Use FIELD_GET/FIELD_PREP (Joel Slebodnick) [RHEL-5705]
- clk: imx: pll14xx: Drop wrong shifting (Joel Slebodnick) [RHEL-5705]
- clk: imx: pll14xx: Use register defines consistently (Joel Slebodnick) [RHEL-5705]
- clk: imx8mp: remove SYS PLL 1/2 clock gates (Joel Slebodnick) [RHEL-5705]
- clk: imx8mn: remove SYS PLL 1/2 clock gates (Joel Slebodnick) [RHEL-5705]
- clk: imx8mm: remove SYS PLL 1/2 clock gates (Joel Slebodnick) [RHEL-5705]
- clk: imx: off by one in imx_lpcg_parse_clks_from_dt() (Joel Slebodnick) [RHEL-5705]
- clk: imx7d: Remove audio_mclk_root_clk (Joel Slebodnick) [RHEL-5705]
- clk: imx8mp: Add missing IMX8MP_CLK_MEDIA_MIPI_PHY1_REF_ROOT clock (Joel Slebodnick) [RHEL-5705]
- clk: imx: Add imx8dxl clk driver (Joel Slebodnick) [RHEL-5705]
- clk: imx: Add initial support for i.MXRT1050 clock driver (Joel Slebodnick) [RHEL-5705]
- clk: Mark clk_core_evict_parent_cache_subtree() 'target' const (Joel Slebodnick) [RHEL-5705]
- clk: Mark 'all_lists' as const (Joel Slebodnick) [RHEL-5705]
- clk: mux: Declare u32 *table parameter as const (Joel Slebodnick) [RHEL-5705]
- clk: nxp: Declare mux table parameter as const u32 * (Joel Slebodnick) [RHEL-5705]
- clk: nxp: Remove unused variable (Joel Slebodnick) [RHEL-5705]
- clk: fixed-factor: Introduce devm_clk_hw_register_fixed_factor_index() (Joel Slebodnick) [RHEL-5705]
- clk: imx8mp: Fix the parent clk of the audio_root_clk (Joel Slebodnick) [RHEL-5705]
- clk: imx8mn: Fix imx8mn_clko1_sels (Joel Slebodnick) [RHEL-5705]
- clk: imx: Use div64_ul instead of do_div (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx8ulp: set suppress_bind_attrs to true (Joel Slebodnick) [RHEL-5705]
- clk: Enable/Disable runtime PM for clk_summary (Joel Slebodnick) [RHEL-5705]
- clk: Emit a stern warning with writable debugfs enabled (Joel Slebodnick) [RHEL-5705]
- clk: Add write operation for clk_parent debugfs node (Joel Slebodnick) [RHEL-5705]
- clk: __clk_core_init() never takes NULL (Joel Slebodnick) [RHEL-5705]
- clk: clk_core_get() can also return NULL (Joel Slebodnick) [RHEL-5705]
- clk: gate: Add devm_clk_hw_register_gate() (Joel Slebodnick) [RHEL-5705]
- clk: imx: pllv1: fix kernel-doc notation for struct clk_pllv1 (Joel Slebodnick) [RHEL-5705]
- clk: Don't parent clks until the parent is fully registered (Joel Slebodnick) [RHEL-5705]
- clk: imx: use module_platform_driver (Joel Slebodnick) [RHEL-5705]
- clk: imx8m: Do not set IMX_COMPOSITE_CORE for non-regular composites (Joel Slebodnick) [RHEL-5705]
- clk: use clk_core_get_rate_recalc() in clk_rate_get() (Joel Slebodnick) [RHEL-5705]
- clk: imx: Make CLK_IMX8ULP select MXC_CLK (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx6ul: Fix csi clk gate register (Joel Slebodnick) [RHEL-5705]
- clk: imx: imx6ul: Move csi_sel mux to correct base register (Joel Slebodnick) [RHEL-5705]
- clk: imx: Fix the build break when clk-imx8ulp build as module (Joel Slebodnick) [RHEL-5705]
- clk: imx: Add the pcc reset controller support on imx8ulp (Joel Slebodnick) [RHEL-5705]
- clk: imx: Add clock driver for imx8ulp (Joel Slebodnick) [RHEL-5705]
- clk: imx: Update the pfdv2 for 8ulp specific support (Joel Slebodnick) [RHEL-5705]
- clk: imx: disable the pfd when set pfdv2 clock rate (Joel Slebodnick) [RHEL-5705]
- clk: imx: Add 'CLK_SET_RATE_NO_REPARENT' for composite-7ulp (Joel Slebodnick) [RHEL-5705]
- clk: imx: disable i.mx7ulp composite clock during initialization (Joel Slebodnick) [RHEL-5705]
- clk: imx: Update the compsite driver to support imx8ulp (Joel Slebodnick) [RHEL-5705]
- clk: imx: Update the pllv4 to support imx8ulp (Joel Slebodnick) [RHEL-5705]
- clk: imx: Rework imx_clk_hw_pll14xx wrapper (Joel Slebodnick) [RHEL-5705]
- clk: imx: Rework all imx_clk_hw_composite wrappers (Joel Slebodnick) [RHEL-5705]
- clk: imx: Rework all clk_hw_register_divider wrappers (Joel Slebodnick) [RHEL-5705]
- clk: imx: Rework all clk_hw_register_mux wrappers (Joel Slebodnick) [RHEL-5705]
- clk: imx: Rework all clk_hw_register_gate2 wrappers (Joel Slebodnick) [RHEL-5705]
- clk: imx: Rework all clk_hw_register_gate wrappers (Joel Slebodnick) [RHEL-5705]
- clk: imx: Make mux/mux2 clk based helpers use clk_hw based ones (Joel Slebodnick) [RHEL-5705]
- clk: imx: Remove unused helpers (Joel Slebodnick) [RHEL-5705]
- clk: composite: export clk_register_composite (Joel Slebodnick) [RHEL-5705]
- clk: imx8mn: use correct mux type for clkout path (Joel Slebodnick) [RHEL-5705]
- clk: imx8mm: use correct mux type for clkout path (Joel Slebodnick) [RHEL-5705]
- clk: fractional-divider: Document the arithmetics used behind the code (Joel Slebodnick) [RHEL-5705]
- clk: fractional-divider: Hide clk_fractional_divider_ops from wide audience (Joel Slebodnick) [RHEL-5705]
- clk: fractional-divider: Export approximation algorithm to the CCF users (Joel Slebodnick) [RHEL-5705]
Resolves: RHEL-23643, RHEL-24947, RHEL-25860, RHEL-27739, RHEL-29035, RHEL-30140, RHEL-30141, RHEL-31916, RHEL-32264, RHEL-32292, RHEL-5705

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-04-17 10:21:31 -03:00
Lucas Zampieri
f72f33d252
kernel-5.14.0-439.el9
* Fri Apr 12 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-439.el9]
- PCI/MSI: Prevent MSI hardware interrupt number truncation (Myron Stowe) [RHEL-21453]
- redhat/configs: Add CONFIG_CRYPTO_DEV_QAT_420XX (Vladis Dronov) [RHEL-17715]
- crypto: qat - make ring to service map common for QAT GEN4 (Vladis Dronov) [RHEL-17715]
- crypto: qat - fix ring to service map for dcc in 420xx (Vladis Dronov) [RHEL-17715]
- crypto: qat - fix ring to service map for dcc in 4xxx (Vladis Dronov) [RHEL-17715]
- crypto: qat - fix comment structure (Vladis Dronov) [RHEL-17715]
- crypto: qat - remove unnecessary description from comment (Vladis Dronov) [RHEL-17715]
- crypto: qat - remove double initialization of value (Vladis Dronov) [RHEL-17715]
- crypto: qat - avoid division by zero (Vladis Dronov) [RHEL-17715]
- crypto: qat - removed unused macro in adf_cnv_dbgfs.c (Vladis Dronov) [RHEL-17715]
- crypto: qat - remove unused macros in qat_comp_alg.c (Vladis Dronov) [RHEL-17715]
- crypto: qat - uninitialized variable in adf_hb_error_inject_write() (Vladis Dronov) [RHEL-17715]
- Documentation: qat: fix auto_reset section (Vladis Dronov) [RHEL-17715]
- crypto: qat - resolve race condition during AER recovery (Vladis Dronov) [RHEL-17715]
- crypto: qat - change SLAs cleanup flow at shutdown (Vladis Dronov) [RHEL-17715]
- crypto: qat - improve aer error reset handling (Vladis Dronov) [RHEL-17715]
- crypto: qat - limit heartbeat notifications (Vladis Dronov) [RHEL-17715]
- crypto: qat - add auto reset on error (Vladis Dronov) [RHEL-17715]
- crypto: qat - add fatal error notification (Vladis Dronov) [RHEL-17715]
- crypto: qat - re-enable sriov after pf reset (Vladis Dronov) [RHEL-17715]
- crypto: qat - update PFVF protocol for recovery (Vladis Dronov) [RHEL-17715]
- crypto: qat - disable arbitration before reset (Vladis Dronov) [RHEL-17715]
- crypto: qat - add fatal error notify method (Vladis Dronov) [RHEL-17715]
- crypto: qat - add heartbeat error simulator (Vladis Dronov) [RHEL-17715]
- crypto: qat - use kcalloc_node() instead of kzalloc_node() (Vladis Dronov) [RHEL-17715]
- crypto: qat - avoid memcpy() overflow warning (Vladis Dronov) [RHEL-17715]
- crypto: qat - fix arbiter mapping generation algorithm for QAT 402xx (Vladis Dronov) [RHEL-17715]
- crypto: qat - generate dynamically arbiter mappings (Vladis Dronov) [RHEL-17715]
- crypto: qat - add support for ring pair level telemetry (Vladis Dronov) [RHEL-17715]
- crypto: qat - add support for device telemetry (Vladis Dronov) [RHEL-17715]
- crypto: qat - add admin msgs for telemetry (Vladis Dronov) [RHEL-17715]
- crypto: qat - include pci.h for GET_DEV() (Vladis Dronov) [RHEL-17715]
- crypto: qat - add support for 420xx devices (Vladis Dronov) [RHEL-17715]
- crypto: qat - move fw config related structures (Vladis Dronov) [RHEL-17715]
- crypto: qat - relocate portions of qat_4xxx code (Vladis Dronov) [RHEL-17715]
- crypto: qat - change signature of uof_get_num_objs() (Vladis Dronov) [RHEL-17715]
- crypto: qat - relocate and rename get_service_enabled() (Vladis Dronov) [RHEL-17715]
- seq_file: add helper macro to define attribute for rw file (Vladis Dronov) [RHEL-17715]
- minmax: Introduce {min,max}_array() (Vladis Dronov) [RHEL-17715]
- vfio/fsl-mc: Block calling interrupt handler without trigger (Alex Williamson) [RHEL-30023]
- vfio/platform: Create persistent IRQ handlers (Alex Williamson) [RHEL-30023]
- vfio/platform: Disable virqfds on cleanup (Alex Williamson) [RHEL-30023]
- vfio/pci: Create persistent INTx handler (Alex Williamson) [RHEL-30023]
- vfio: Introduce interface to flush virqfd inject workqueue (Alex Williamson) [RHEL-30023]
- vfio/pci: Lock external INTx masking ops (Alex Williamson) [RHEL-30023]
- vfio/pci: Disable auto-enable of exclusive INTx IRQ (Alex Williamson) [RHEL-30023]
- vfio/mbochs: make mbochs_class constant (Alex Williamson) [RHEL-30023]
- vfio/mdpy: make mdpy_class constant (Alex Williamson) [RHEL-30023]
- vfio: amba: Rename pl330_ids[] to vfio_amba_ids[] (Alex Williamson) [RHEL-30023]
- vfio/pci: rename and export range_intersect_range (Alex Williamson) [RHEL-30023]
- vfio/pci: rename and export do_io_rw() (Alex Williamson) [RHEL-30023]
- vfio/pci: WARN_ON driver_override kasprintf failure (Alex Williamson) [RHEL-30023]
- vfio/pci: Expose vfio_pci_core_iowrite/read##size() (Alex Williamson) [RHEL-30023]
- vfio/pci: Expose vfio_pci_core_setup_barmap() (Alex Williamson) [RHEL-30023]
- vfio/type1: account iommu allocations (Alex Williamson) [RHEL-30023]
- redhat/configs: Disable CONFIG_VFIO_DEBUGFS (Alex Williamson) [RHEL-30023]
- MAINTAINERS: Add vfio debugfs interface doc link (Alex Williamson) [RHEL-30023]
- Documentation: add debugfs description for vfio (Alex Williamson) [RHEL-30023]
- vfio/migration: Add debugfs to live migration driver (Alex Williamson) [RHEL-30023]
- vfio: Drop vfio_file_iommu_group() stub to fudge around a KVM wart (Alex Williamson) [RHEL-30023]
- iommufd/iova_bitmap: Move symbols to IOMMUFD namespace (Alex Williamson) [RHEL-30023]
- vfio: Move iova_bitmap into iommufd (Alex Williamson) [RHEL-30023]
- vfio/iova_bitmap: Export more API symbols (Alex Williamson) [RHEL-30023]
- thermal: sysfs: Fix trip_point_hyst_store() (Jennifer Berringer) [RHEL-31231]
- ring-buffer: Do not attempt to read past "commit" (Jerome Marchand) [RHEL-29064] {CVE-2023-52501}
- Upstream has changed the APIs to suspend the array. (Nigel Croxon) [RHEL-26279]
- md/raid5: fix deadlock that raid5d() wait for itself to clear MD_SB_CHANGE_PENDING (Nigel Croxon) [RHEL-26279]
- Revert "Revert "md/raid5: Wait for MD_SB_CHANGE_PENDING in raid5d"" (Nigel Croxon) [RHEL-26279]
- Revert "raid5: read data is wrong when recovery happens" (Nigel Croxon) [RHEL-26279]
- md: add a mddev_is_dm helper (Nigel Croxon) [RHEL-26279]
- md: add a mddev_add_trace_msg helper (Nigel Croxon) [RHEL-26279]
- md: add a mddev_trace_remap helper (Nigel Croxon) [RHEL-26279]
- md/raid1-10: add a helper raid1_check_read_range() (Nigel Croxon) [RHEL-26279]
- md/raid1: factor out helpers to add rdev to conf (Nigel Croxon) [RHEL-26279]
- md: add a new helper rdev_has_badblock() (Nigel Croxon) [RHEL-26279]
- md/raid5: fix atomicity violation in raid5_cache_count (Nigel Croxon) [RHEL-26279]
- dm-raid: fix lockdep waring in "pers->hot_add_disk" (Nigel Croxon) [RHEL-26279]
- md/dm-raid: don't call md_reap_sync_thread() directly (Nigel Croxon) [RHEL-26279]
- dm-raid456, md/raid456: fix a deadlock for dm-raid456 while io concurrent with reshape (Nigel Croxon) [RHEL-26279]
- dm-raid: add a new helper prepare_suspend() in md_personality (Nigel Croxon) [RHEL-26279]
- dm-raid: really frozen sync_thread during suspend (Nigel Croxon) [RHEL-26279]
- md: add a new helper reshape_interrupted() (Nigel Croxon) [RHEL-26279]
- md: export helper md_is_rdwr() (Nigel Croxon) [RHEL-26279]
- md: export helpers to stop sync_thread (Nigel Croxon) [RHEL-26279]
- md: don't clear MD_RECOVERY_FROZEN for new dm-raid until resume (Nigel Croxon) [RHEL-26279]
- md/md-bitmap: fix incorrect usage for sb_index (Nigel Croxon) [RHEL-26279]
- md: check mddev->pers before calling md_set_readonly() (Nigel Croxon) [RHEL-26279]
- md: clean up openers check in do_md_stop() and md_set_readonly() (Nigel Croxon) [RHEL-26279]
- md: sync blockdev before stopping raid or setting readonly (Nigel Croxon) [RHEL-26279]
- md: factor out a helper to sync mddev (Nigel Croxon) [RHEL-26279]
- md: Don't clear MD_CLOSING when the raid is about to stop (Nigel Croxon) [RHEL-26279]
- md: return directly before setting did_set_md_closing (Nigel Croxon) [RHEL-26279]
- md: clean up invalid BUG_ON in md_ioctl (Nigel Croxon) [RHEL-26279]
- md: changed the switch of RAID_VERSION to if (Nigel Croxon) [RHEL-26279]
- md: merge the check of capabilities into md_ioctl_valid() (Nigel Croxon) [RHEL-26279]
- md: Don't suspend the array for interrupted reshape (Nigel Croxon) [RHEL-26279]
- md: Don't register sync_thread for reshape directly (Nigel Croxon) [RHEL-26279]
- md: Make sure md_do_sync() will set MD_RECOVERY_DONE (Nigel Croxon) [RHEL-26279]
- md: Don't ignore read-only array in md_check_recovery() (Nigel Croxon) [RHEL-26279]
- md: Don't ignore suspended array in md_check_recovery() (Nigel Croxon) [RHEL-26279]
- md: Fix missing release of 'active_io' for flush (Nigel Croxon) [RHEL-26279]
- md: fix kmemleak of rdev->serial (Nigel Croxon) [RHEL-26279]
- md: use RCU lock to protect traversal in md_spares_need_change() (Nigel Croxon) [RHEL-26279]
- md: get rdev->mddev with READ_ONCE() (Nigel Croxon) [RHEL-26279]
- md: remove redundant md_wakeup_thread() (Nigel Croxon) [RHEL-26279]
- md: remove redundant check of 'mddev->sync_thread' (Nigel Croxon) [RHEL-26279]
- md: fix a suspicious RCU usage warning (Nigel Croxon) [RHEL-26279]
- md/raid1: Use blk_opf_t for read and write operations (Nigel Croxon) [RHEL-26279]
- md: Fix md_seq_ops() regressions (Nigel Croxon) [RHEL-26279]
- md/raid1: support read error check (Nigel Croxon) [RHEL-26279]
- md: factor out a helper exceed_read_errors() to check read_errors (Nigel Croxon) [RHEL-26279]
- md: Whenassemble the array, consult the superblock of the freshest device (Nigel Croxon) [RHEL-26279]
- md/raid1: remove unnecessary null checking (Nigel Croxon) [RHEL-26279]
- md: split MD_RECOVERY_NEEDED out of mddev_resume (Nigel Croxon) [RHEL-26279]
- md: fix stopping sync thread (Nigel Croxon) [RHEL-26279]
- md: don't leave 'MD_RECOVERY_FROZEN' in error path of md_set_readonly() (Nigel Croxon) [RHEL-26279]
- md: fix missing flush of sync_work (Nigel Croxon) [RHEL-26279]
- md: synchronize flush io with array reconfiguration (Nigel Croxon) [RHEL-26279]
- md/raid6: use valid sector values to determine if an I/O should wait on the reshape (Nigel Croxon) [RHEL-26279]
- md/raid5: remove rcu protection to access rdev from conf (Nigel Croxon) [RHEL-26279]
- md/raid1: remove rcu protection to access rdev from conf (Nigel Croxon) [RHEL-26279]
- md/raid10: remove rcu protection to access rdev from conf (Nigel Croxon) [RHEL-26279]
- md: remove flag RemoveSynchronized (Nigel Croxon) [RHEL-26279]
- Revert "md/raid5: Wait for MD_SB_CHANGE_PENDING in raid5d" (Nigel Croxon) [RHEL-26279]
- md: bypass block throttle for superblock update (Nigel Croxon) [RHEL-26279]
- md: fix bi_status reporting in md_end_clone_io (Nigel Croxon) [RHEL-26279]
- md: cleanup pers->prepare_suspend() (Nigel Croxon) [RHEL-26279]
- md-cluster: check for timeout while a new disk adding (Nigel Croxon) [RHEL-26279]
- md: rename __mddev_suspend/resume() back to mddev_suspend/resume() (Nigel Croxon) [RHEL-26279]
- md/dm-raid: use new apis to suspend array (Nigel Croxon) [RHEL-26279]
- md: remove old apis to suspend the array (Nigel Croxon) [RHEL-26279]
- md: suspend array in md_start_sync() if array need reconfiguration (Nigel Croxon) [RHEL-26279]
- md/raid5: replace suspend with quiesce() callback (Nigel Croxon) [RHEL-26279]
- md: cleanup mddev_create/destroy_serial_pool() (Nigel Croxon) [RHEL-26279]
- md: use new apis to suspend array before mddev_create/destroy_serial_pool (Nigel Croxon) [RHEL-26279]
- md: use new apis to suspend array for ioctls involed array reconfiguration (Nigel Croxon) [RHEL-26279]
- md: use new apis to suspend array for adding/removing rdev from state_store() (Nigel Croxon) [RHEL-26279]
- md: use new apis to suspend array for sysfs apis (Nigel Croxon) [RHEL-26279]
- md/raid5: use new apis to suspend array (Nigel Croxon) [RHEL-26279]
- md/raid5-cache: use new apis to suspend array (Nigel Croxon) [RHEL-26279]
- md/md-bitmap: use new apis to suspend array for location_store() (Nigel Croxon) [RHEL-26279]
- md: add new helpers to suspend/resume and lock/unlock array (Nigel Croxon) [RHEL-26279]
- md: add new helpers to suspend/resume array (Nigel Croxon) [RHEL-26279]
- md: replace is_md_suspended() with 'mddev->suspended' in md_check_recovery() (Nigel Croxon) [RHEL-26279]
- md/raid5-cache: use READ_ONCE/WRITE_ONCE for 'conf->log' (Nigel Croxon) [RHEL-26279]
- md: use READ_ONCE/WRITE_ONCE for 'suspend_lo' and 'suspend_hi' (Nigel Croxon) [RHEL-26279]
- md/raid1: don't split discard io for write behind (Nigel Croxon) [RHEL-26279]
- md: do not require mddev_lock() for all options in array_state_store() (Nigel Croxon) [RHEL-26279]
- md: simplify md_seq_ops (Nigel Croxon) [RHEL-26279]
- md: factor out a helper from mddev_put() (Nigel Croxon) [RHEL-26279]
- ext4: check if ext4_blkdev_get() returns NULL (Ming Lei) [RHEL-30965]
- libceph: init the cursor when preparing the sparse read (Xiubo Li) [RHEL-19813]
- libceph: just wait for more data to be available on the socket (Xiubo Li) [RHEL-19813]
- libceph: rename read_sparse_msg_*() to read_partial_sparse_msg_*() (Xiubo Li) [RHEL-19813]
- libceph: fail sparse-read if the data length doesn't match (Xiubo Li) [RHEL-19813]
- ceph: fix incorrect revoked caps assert in ceph_fill_file_size() (Xiubo Li) [RHEL-19813]
- ceph: remove unnecessary IS_ERR() check in ceph_fname_to_usr() (Xiubo Li) [RHEL-19813]
- ceph: remove unnecessary check for NULL in parse_longname() (Xiubo Li) [RHEL-19813]
- ceph: switch ceph_lookup/atomic_open() to use new fscrypt helper (Xiubo Li) [RHEL-19813]
- ceph: fix updating i_truncate_pagecache_size for fscrypt (Xiubo Li) [RHEL-19813]
- ceph: wait for OSD requests' callbacks to finish when unmounting (Xiubo Li) [RHEL-19813]
- ceph: drop messages from MDS when unmounting (Xiubo Li) [RHEL-19813]
- ceph: prevent snapshot creation in encrypted locked directories (Xiubo Li) [RHEL-19813]
- ceph: add support for encrypted snapshot names (Xiubo Li) [RHEL-19813]
- ceph: invalidate pages when doing direct/sync writes (Xiubo Li) [RHEL-19813]
- ceph: plumb in decryption during reads (Xiubo Li) [RHEL-19813]
- ceph: add encryption support to writepage and writepages (Xiubo Li) [RHEL-19813]
- ceph: redirty the page for writepage on failure (Xiubo Li) [RHEL-19813]
- ceph: add read/modify/write to ceph_sync_write (Xiubo Li) [RHEL-19813]
- ceph: align data in pages in ceph_sync_write (Xiubo Li) [RHEL-19813]
- ceph: don't use special DIO path for encrypted inodes (Xiubo Li) [RHEL-19813]
- ceph: don't get the inline data for new creating files (Xiubo Li) [RHEL-19813]
- ceph: add truncate size handling support for fscrypt (Xiubo Li) [RHEL-19813]
- ceph: add object version support for sync read (Xiubo Li) [RHEL-19813]
- libceph: allow ceph_osdc_new_request to accept a multi-op read (Xiubo Li) [RHEL-19813]
- libceph: add CEPH_OSD_OP_ASSERT_VER support (Xiubo Li) [RHEL-19813]
- ceph: add infrastructure for file encryption and decryption (Xiubo Li) [RHEL-19813]
- ceph: handle fscrypt fields in cap messages from MDS (Xiubo Li) [RHEL-19813]
- ceph: size handling in MClientRequest, cap updates and inode traces (Xiubo Li) [RHEL-19813]
- ceph: mark directory as non-complete after loading key (Xiubo Li) [RHEL-19813]
- ceph: allow encrypting a directory while not having Ax caps (Xiubo Li) [RHEL-19813]
- ceph: add some fscrypt guardrails (Xiubo Li) [RHEL-19813]
- ceph: create symlinks with encrypted and base64-encoded targets (Xiubo Li) [RHEL-19813]
- ceph: add support to readdir for encrypted names (Xiubo Li) [RHEL-19813]
- ceph: pass the request to parse_reply_info_readdir() (Xiubo Li) [RHEL-19813]
- ceph: make ceph_fill_trace and ceph_get_name decrypt names (Xiubo Li) [RHEL-19813]
- ceph: add helpers for converting names for userland presentation (Xiubo Li) [RHEL-19813]
- ceph: make d_revalidate call fscrypt revalidator for encrypted dentries (Xiubo Li) [RHEL-19813]
- ceph: set DCACHE_NOKEY_NAME flag in ceph_lookup/atomic_open() (Xiubo Li) [RHEL-19813]
- ceph: decode alternate_name in lease info (Xiubo Li) [RHEL-19813]
- ceph: send alternate_name in MClientRequest (Xiubo Li) [RHEL-19813]
- ceph: encode encrypted name in ceph_mdsc_build_path and dentry release (Xiubo Li) [RHEL-19813]
- ceph: add base64 endcoding routines for encrypted names (Xiubo Li) [RHEL-19813]
- ceph: make ioctl cmds more readable in debug log (Xiubo Li) [RHEL-19813]
- ceph: add fscrypt ioctls and ceph.fscrypt.auth vxattr (Xiubo Li) [RHEL-19813]
- ceph: implement -o test_dummy_encryption mount option (Xiubo Li) [RHEL-19813]
- ceph: fscrypt_auth handling for ceph (Xiubo Li) [RHEL-19813]
- ceph: use osd_req_op_extent_osd_iter for netfs reads (Xiubo Li) [RHEL-19813]
- libceph: add new iov_iter-based ceph_msg_data_type and ceph_osd_data_type (Xiubo Li) [RHEL-19813]
- ceph: make ceph_msdc_build_path use ref-walk (Xiubo Li) [RHEL-19813]
- ceph: preallocate inode for ops that may create one (Xiubo Li) [RHEL-19813]
- fs: change test in inode_insert5 for adding to the sb list (Xiubo Li) [RHEL-19813]
- ceph: add new mount option to enable sparse reads (Xiubo Li) [RHEL-19813]
- libceph: add sparse read support to OSD client (Xiubo Li) [RHEL-19813]
- libceph: add sparse read support to msgr1 (Xiubo Li) [RHEL-19813]
- libceph: support sparse reads on msgr2 secure codepath (Xiubo Li) [RHEL-19813]
- libceph: new sparse_read op, support sparse reads on msgr2 crc codepath (Xiubo Li) [RHEL-19813]
- libceph: define struct ceph_sparse_extent and add some helpers (Xiubo Li) [RHEL-19813]
- libceph: add spinlock around osd->o_requests (Xiubo Li) [RHEL-19813]
- ceph: try to check caps immediately after async creating finishes (Xiubo Li) [RHEL-19813]
- ceph: remove useless session parameter for check_caps() (Xiubo Li) [RHEL-19813]
- ceph: flush the dirty caps immediatelly when quota is approaching (Xiubo Li) [RHEL-19813]
- ceph: fix NULL pointer dereference for req->r_session (Xiubo Li) [RHEL-19813]
- ceph: fix a NULL vs IS_ERR() check when calling ceph_lookup_inode() (Xiubo Li) [RHEL-19813]
- ceph: fix incorrectly showing the .snap size for stat (Xiubo Li) [RHEL-19813]
- ceph: fail the open_by_handle_at() if the dentry is being unlinked (Xiubo Li) [RHEL-19813]
- ceph: increment i_version when doing a setattr with caps (Xiubo Li) [RHEL-19813]
- ceph: Use kcalloc for allocating multiple elements (Xiubo Li) [RHEL-19813]
- ceph: no need to wait for transition RDCACHE|RD -> RD (Xiubo Li) [RHEL-19813]
- ceph: fail the request if the peer MDS doesn't support getvxattr op (Xiubo Li) [RHEL-19813]
- ceph: wake up the waiters if any new caps comes (Xiubo Li) [RHEL-19813]
- libceph: drop last_piece flag from ceph_msg_data_cursor (Xiubo Li) [RHEL-19813]
- fscrypt: Replace 1-element array with flexible array (Xiubo Li) [RHEL-19813]
- fscrypt: optimize fscrypt_initialize() (Xiubo Li) [RHEL-19813]
- fscrypt: use WARN_ON_ONCE instead of WARN_ON (Xiubo Li) [RHEL-19813]
- fscrypt: check for NULL keyring in fscrypt_put_master_key_activeref() (Xiubo Li) [RHEL-19813]
- fscrypt: improve fscrypt_destroy_keyring() documentation (Xiubo Li) [RHEL-19813]
- fscrypt: destroy keyring after security_sb_delete() (Xiubo Li) [RHEL-19813]
- fscrypt: support decrypting data from large folios (Xiubo Li) [RHEL-19813]
- fscrypt: clean up fscrypt_add_test_dummy_key() (Xiubo Li) [RHEL-19813]
- fs/super.c: stop calling fscrypt_destroy_keyring() from __put_super() (Xiubo Li) [RHEL-19813]
- ext4: stop calling fscrypt_add_test_dummy_key() (Xiubo Li) [RHEL-19813]
- fscrypt: add the test dummy encryption key on-demand (Xiubo Li) [RHEL-19813]
- fscrypt: stop using PG_error to track error status (Xiubo Li) [RHEL-19813]
- fscrypt: remove fscrypt_set_test_dummy_encryption() (Xiubo Li) [RHEL-19813]
- fscrypt: align Base64 encoding with RFC 4648 base64url (Xiubo Li) [RHEL-19813]
- fscrypt: new helper function - fscrypt_prepare_lookup_partial() (Xiubo Li) [RHEL-19813]
- fscrypt: add fscrypt_context_for_new_inode (Xiubo Li) [RHEL-19813]
- fscrypt: export fscrypt_fname_encrypt and fscrypt_fname_encrypted_size (Xiubo Li) [RHEL-19813]
- fscrypt: split up FS_CRYPTO_BLOCK_SIZE (Xiubo Li) [RHEL-19813]
- fscrypt: update documentation for direct I/O support (Xiubo Li) [RHEL-19813]
- fscrypt: add functions for direct I/O support (Xiubo Li) [RHEL-19813]
- fscrypt: add additional documentation for SM4 support (Xiubo Li) [RHEL-19813]
- fscrypt: remove unused Speck definitions (Xiubo Li) [RHEL-19813]
- fscrypt: Add SM4 XTS/CTS symmetric algorithm support (Xiubo Li) [RHEL-19813]
- blk-crypto: Add support for SM4-XTS blk crypto mode (Xiubo Li) [RHEL-19813]
- fscrypt: add comment for fscrypt_valid_enc_modes_v1() (Xiubo Li) [RHEL-19813]
- fscrypt: pass super_block to fscrypt_put_master_key_activeref() (Xiubo Li) [RHEL-19813]
- fscrypt: Add HCTR2 support for filename encryption (Xiubo Li) [RHEL-19813]
- fscrypt: allow 256-bit master keys with AES-256-XTS (Xiubo Li) [RHEL-19813]
- fscrypt: improve a few comments (Xiubo Li) [RHEL-19813]
- fscrypt: improve documentation for inline encryption (Xiubo Li) [RHEL-19813]
- fscrypt: clean up comments in bio.c (Xiubo Li) [RHEL-19813]
- crypto: iaa - mark tech preview (Vladis Dronov) [RHEL-29685]
- crypto: iaa - Fix nr_cpus < nr_iaa case (Vladis Dronov) [RHEL-29685]
- crypto: iaa - fix the missing CRYPTO_ALG_ASYNC in cra_flags (Vladis Dronov) [RHEL-29685]
- crypto: iaa - Fix comp/decomp delay statistics (Vladis Dronov) [RHEL-29685]
- crypto: iaa - Fix async_disable descriptor leak (Vladis Dronov) [RHEL-29685]
- crypto: iaa - Remove unnecessary debugfs_create_dir() error check in iaa_crypto_debugfs_init() (Vladis Dronov) [RHEL-29685]
- crypto: iaa - Remove header table code (Vladis Dronov) [RHEL-29685]
- ice: fix enabling RX VLAN filtering (Petr Oros) [RHEL-28837]
Resolves: RHEL-17715, RHEL-19813, RHEL-21453, RHEL-26279, RHEL-29064, RHEL-29685, RHEL-30023, RHEL-30965, RHEL-31231

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-04-12 15:43:45 -03:00
Lucas Zampieri
8fea50e477
kernel-5.14.0-438.el9
* Wed Apr 10 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-438.el9]
- ipmi: Remove usage of the deprecated ida_simple_xx() API (Tony Camuso) [RHEL-25927]
- ipmi: Use regspacings passed as a module parameter (Tony Camuso) [RHEL-25927]
- ipmi: si: Use device_get_match_data() (Tony Camuso) [RHEL-25927]
- ipmi: refactor deprecated strncpy (Tony Camuso) [RHEL-25927]
- efivarfs: import symbols in EFIVAR namespace (Eric Chanudet) [RHEL-31861]
- stackdepot: use variable size records for non-evictable entries (Waiman Long) [RHEL-28060]
- stackdepot: make fast paths lock-less again (Waiman Long) [RHEL-28060]
- stackdepot: add stats counters exported via debugfs (Waiman Long) [RHEL-28060]
- lib/stackdepot: fix comment in include/linux/stackdepot.h (Waiman Long) [RHEL-28060]
- lib/stackdepot: add printk_deferred_enter/exit guards (Waiman Long) [RHEL-28060]
- lib/stackdepot: adjust DEPOT_POOLS_CAP for KMSAN (Waiman Long) [RHEL-28060]
- kasan: use stack_depot_put for tag-based modes (Waiman Long) [RHEL-28060]
- kasan: check object_size in kasan_complete_mode_report_info (Waiman Long) [RHEL-28060]
- kasan: remove atomic accesses to stack ring entries (Waiman Long) [RHEL-28060]
- lib/stackdepot: allow users to evict stack traces (Waiman Long) [RHEL-28060]
- lib/stackdepot: add refcount for records (Waiman Long) [RHEL-28060]
- lib/stackdepot, kasan: add flags to __stack_depot_save and rename (Waiman Long) [RHEL-28060]
- lib/stackdepot: use list_head for stack record links (Waiman Long) [RHEL-28060]
- lib/stackdepot: use read/write lock (Waiman Long) [RHEL-28060]
- lib/stackdepot: store free stack records in a freelist (Waiman Long) [RHEL-28060]
- lib/stackdepot: store next pool pointer in new_pool (Waiman Long) [RHEL-28060]
- lib/stackdepot: rename next_pool_required to new_pool_required (Waiman Long) [RHEL-28060]
- lib/stackdepot: rework helpers for depot_alloc_stack (Waiman Long) [RHEL-28060]
- lib/stackdepot: fix and clean-up atomic annotations (Waiman Long) [RHEL-28060]
- redhat/configs: Add CONFIG_STACKDEPOT_MAX_FRAMES (Waiman Long) [RHEL-28060]
- lib/stackdepot: use fixed-sized slots for stack records (Waiman Long) [RHEL-28060]
- lib/stackdepot: add depot_fetch_stack helper (Waiman Long) [RHEL-28060]
- lib/stackdepot: drop valid bit from handles (Waiman Long) [RHEL-28060]
- lib/stackdepot: simplify __stack_depot_save (Waiman Long) [RHEL-28060]
- lib/stackdepot: check disabled flag when fetching (Waiman Long) [RHEL-28060]
- lib/stackdepot: print disabled message only if truly disabled (Waiman Long) [RHEL-28060]
- mm/kmemleak: use object_cache instead of kmemleak_initialized to check in set_track_prepare() (Waiman Long) [RHEL-28060]
- kasan,kmsan: remove __GFP_KSWAPD_RECLAIM usage from kasan/kmsan (Waiman Long) [RHEL-28060]
- kmsan: fix a stale comment in kmsan_save_stack_with_flags() (Waiman Long) [RHEL-28060]
- lib/stackdepot: kmsan: mark API outputs as initialized (Waiman Long) [RHEL-28060]
- lib/stackdepot: move documentation comments to stackdepot.h (Waiman Long) [RHEL-28060]
- lib/stackdepot: various comments clean-ups (Waiman Long) [RHEL-28060]
- lib/stackdepot: annotate racy pool_index accesses (Waiman Long) [RHEL-28060]
- lib/stacktrace, kasan, kmsan: rework extra_bits interface (Waiman Long) [RHEL-28060]
- lib/stackdepot: rename next_pool_inited to next_pool_required (Waiman Long) [RHEL-28060]
- lib/stackdepot: annotate depot_init_pool and depot_alloc_stack (Waiman Long) [RHEL-28060]
- lib/stacktrace: drop impossible WARN_ON for depot_init_pool (Waiman Long) [RHEL-28060]
- lib/stackdepot: rename init_stack_pool (Waiman Long) [RHEL-28060]
- lib/stackdepot: rename handle and pool constants (Waiman Long) [RHEL-28060]
- lib/stackdepot: rename slab to pool (Waiman Long) [RHEL-28060]
- lib/stackdepot: rename hash table constants and variables (Waiman Long) [RHEL-28060]
- lib/stackdepot: reorder and annotate global variables (Waiman Long) [RHEL-28060]
- lib/stackdepot: lower the indentation in stack_depot_init (Waiman Long) [RHEL-28060]
- lib/stackdepot: annotate init and early init functions (Waiman Long) [RHEL-28060]
- lib/stackdepot: rename stack_depot_disable (Waiman Long) [RHEL-28060]
- lib/stackdepot, mm: rename stack_depot_want_early_init (Waiman Long) [RHEL-28060]
- lib/stackdepot: use pr_fmt to define message format (Waiman Long) [RHEL-28060]
- lib/stackdepot: put functions in logical order (Waiman Long) [RHEL-28060]
- mm: use stack_depot_early_init for kmemleak (Waiman Long) [RHEL-28060]
- mm: use stack_depot for recording kmemleak's backtrace (Waiman Long) [RHEL-28060]
- redhat/configs: Remove CONFIG_STACK_HASH_ORDER (Waiman Long) [RHEL-28060]
- lib/stackdepot: replace CONFIG_STACK_HASH_ORDER with automatic sizing (Waiman Long) [RHEL-28060]
- Add CONFIG_PER_VMA_LOCK_STATS to RHEL configs collection (Chris von Recklinghausen) [RHEL-27736]
- mmap: fix do_brk_flags() modifying obviously incorrect VMAs (Chris von Recklinghausen) [RHEL-27736]
- mm: do not BUG_ON missing brk mapping, because userspace can unmap it (Chris von Recklinghausen) [RHEL-27736]
- mm/page_alloc: leave IRQs enabled for per-cpu page allocations (Chris von Recklinghausen) [RHEL-27736]
- mm/page_alloc: always remove pages from temporary list (Chris von Recklinghausen) [RHEL-27736]
- mm: extend FAULT_FLAG_UNSHARE support to anything in a COW mapping (Chris von Recklinghausen) [RHEL-27736]
- mm: rework handling in do_wp_page() based on private vs. shared mappings (Chris von Recklinghausen) [RHEL-27736]
- hugetlb: remove duplicate mmu notifications (Chris von Recklinghausen) [RHEL-27736]
- mm: teach release_pages() to take an array of encoded page pointers too (Chris von Recklinghausen) [RHEL-27736]
- mm: introduce 'encoded' page pointers with embedded extra bits (Chris von Recklinghausen) [RHEL-27736]
- mm/hugetlb_vmemmap: remap head page to newly allocated page (Chris von Recklinghausen) [RHEL-27736]
- mm: mmap: fix documentation for vma_mas_szero (Chris von Recklinghausen) [RHEL-27736]
- mm/mmap: fix memory leak in mmap_region() (Chris von Recklinghausen) [RHEL-27736]
- fs/userfaultfd: Fix maple tree iterator in userfaultfd_unregister() (Chris von Recklinghausen) [RHEL-27736]
- drm/i915/userptr: restore probe_range behaviour (Chris von Recklinghausen) [RHEL-27736]
- mmap: fix remap_file_pages() regression (Chris von Recklinghausen) [RHEL-27736]
- mm: /proc/pid/smaps_rollup: fix maple tree search (Chris von Recklinghausen) [RHEL-27736]
- mm/mmap: fix MAP_FIXED address return on VMA merge (Chris von Recklinghausen) [RHEL-27736]
- mm/mmap.c: __vma_adjust(): suppress uninitialized var warning (Chris von Recklinghausen) [RHEL-27736]
- mm/mmap: undo ->mmap() when mas_preallocate() fails (Chris von Recklinghausen) [RHEL-27736]
- mm/mempolicy: fix mbind_range() arguments to vma_merge() (Chris von Recklinghausen) [RHEL-27736]
- mm/mmap: undo ->mmap() when arch_validate_flags() fails (Chris von Recklinghausen) [RHEL-27736]
- mm/mmap: preallocate maple nodes for brk vma expansion (Chris von Recklinghausen) [RHEL-27736]
- mm: more vma cache removal (Chris von Recklinghausen) [RHEL-27736]
- mm: memcontrol: use memcg_kmem_enabled in count_objcg_event (Chris von Recklinghausen) [RHEL-27736]
- mm: fs: initialize fsdata passed to write_begin/write_end interface (Chris von Recklinghausen) [RHEL-27736]
- ksm: add the ksm prefix to the names of the ksm private structures (Chris von Recklinghausen) [RHEL-27736]
- ksm: count allocated ksm rmap_items for each process (Chris von Recklinghausen) [RHEL-27736]
- mm: reduce noise in show_mem for lowmem allocations (Chris von Recklinghausen) [RHEL-27736]
- mm: refactor of vma_merge() (Chris von Recklinghausen) [RHEL-27736]
- mm/mlock: drop dead code in count_mm_mlocked_page_nr() (Chris von Recklinghausen) [RHEL-27736]
- mm/mmap.c: pass in mapping to __vma_link_file() (Chris von Recklinghausen) [RHEL-27736]
- mm/mmap: drop range_has_overlap() function (Chris von Recklinghausen) [RHEL-27736]
- mm: remove the vma linked list (Chris von Recklinghausen) [RHEL-27736]
- mm/vmscan: use vma iterator instead of vm_next (Chris von Recklinghausen) [RHEL-27736]
- nommu: remove uses of VMA linked list (Chris von Recklinghausen) [RHEL-27736]
- i915: use the VMA iterator (Chris von Recklinghausen) [RHEL-27736]
- mm/swapfile: use vma iterator instead of vma linked list (Chris von Recklinghausen) [RHEL-27736]
- mm/pagewalk: use vma_find() instead of vma linked list (Chris von Recklinghausen) [RHEL-27736]
- mm/oom_kill: use vma iterators instead of vma linked list (Chris von Recklinghausen) [RHEL-27736]
- mm/msync: use vma_find() instead of vma linked list (Chris von Recklinghausen) [RHEL-27736]
- mm/mremap: use vma_find_intersection() instead of vma linked list (Chris von Recklinghausen) [RHEL-27736]
- mm/mprotect: use maple tree navigation instead of VMA linked list (Chris von Recklinghausen) [RHEL-27736]
- mm/mlock: use vma iterator and maple state instead of vma linked list (Chris von Recklinghausen) [RHEL-27736]
- mm/mempolicy: use vma iterator & maple state instead of vma linked list (Chris von Recklinghausen) [RHEL-27736]
- mm/memcontrol: stop using mm->highest_vm_end (Chris von Recklinghausen) [RHEL-27736]
- mm/madvise: use vma_find() instead of vma linked list (Chris von Recklinghausen) [RHEL-27736]
- mm/ksm: use vma iterators instead of vma linked list (Chris von Recklinghausen) [RHEL-27736]
- mm/khugepaged: stop using vma linked list (Chris von Recklinghausen) [RHEL-27736]
- mm/gup: use maple tree navigation instead of linked list (Chris von Recklinghausen) [RHEL-27736]
- fork: use VMA iterator (Chris von Recklinghausen) [RHEL-27736]
- sched: use maple tree iterator to walk VMAs (Chris von Recklinghausen) [RHEL-27736]
- perf: use VMA iterator (Chris von Recklinghausen) [RHEL-27736]
- acct: use VMA iterator instead of linked list (Chris von Recklinghausen) [RHEL-27736]
- ipc/shm: use VMA iterator instead of linked list (Chris von Recklinghausen) [RHEL-27736]
- userfaultfd: use maple tree iterator to iterate VMAs (Chris von Recklinghausen) [RHEL-27736]
- fs/proc/task_mmu: stop using linked list and highest_vm_end (Chris von Recklinghausen) [RHEL-27736]
- fs/proc/base: use the vma iterators in place of linked list (Chris von Recklinghausen) [RHEL-27736]
- exec: use VMA iterator instead of linked list (Chris von Recklinghausen) [RHEL-27736]
- coredump: remove vma linked list walk (Chris von Recklinghausen) [RHEL-27736]
- cxl: remove vma linked list walk (Chris von Recklinghausen) [RHEL-27736]
- x86: remove vma linked list walks (Chris von Recklinghausen) [RHEL-27736]
- s390: remove vma linked list walks (Chris von Recklinghausen) [RHEL-27736]
- powerpc: remove mmap linked list walks (Chris von Recklinghausen) [RHEL-27736]
- arm64: Change elfcore for_each_mte_vma() to use VMA iterator (Chris von Recklinghausen) [RHEL-27736]
- arm64: remove mmap linked list from vdso (Chris von Recklinghausen) [RHEL-27736]
- mm/mmap: change do_brk_munmap() to use do_mas_align_munmap() (Chris von Recklinghausen) [RHEL-27736]
- mm/mmap: reorganize munmap to use maple states (Chris von Recklinghausen) [RHEL-27736]
- mm/mmap: move mmap_region() below do_munmap() (Chris von Recklinghausen) [RHEL-27736]
- mm: convert vma_lookup() to use mtree_load() (Chris von Recklinghausen) [RHEL-27736]
- mm: remove vmacache (Chris von Recklinghausen) [RHEL-27736]
- mm/mmap: use advanced maple tree API for mmap_region() (Chris von Recklinghausen) [RHEL-27736]
- mm: use maple tree operations for find_vma_intersection() (Chris von Recklinghausen) [RHEL-27736]
- mm/mmap: change do_brk_flags() to expand existing VMA and add do_brk_munmap() (Chris von Recklinghausen) [RHEL-27736]
- mm/khugepaged: optimize collapse_pte_mapped_thp() by using vma_lookup() (Chris von Recklinghausen) [RHEL-27736]
- mm: optimize find_exact_vma() to use vma_lookup() (Chris von Recklinghausen) [RHEL-27736]
- mmap: change zeroing of maple tree in __vma_adjust() (Chris von Recklinghausen) [RHEL-27736]
- mm: remove rb tree. (Chris von Recklinghausen) [RHEL-27736]
- damon: convert __damon_va_three_regions to use the VMA iterator (Chris von Recklinghausen) [RHEL-27736]
- kernel/fork: use maple tree for dup_mmap() during forking (Chris von Recklinghausen) [RHEL-27736]
- mm/mmap: use maple tree for unmapped_area{_topdown} (Chris von Recklinghausen) [RHEL-27736]
- mm/mmap: use the maple tree for find_vma_prev() instead of the rbtree (Chris von Recklinghausen) [RHEL-27736]
- mm/mmap: use the maple tree in find_vma() instead of the rbtree. (Chris von Recklinghausen) [RHEL-27736]
- mmap: use the VMA iterator in count_vma_pages_range() (Chris von Recklinghausen) [RHEL-27736]
- mm: add VMA iterator (Chris von Recklinghausen) [RHEL-27736]
- mm: start tracking VMAs with maple tree (Chris von Recklinghausen) [RHEL-27736]
- mm/smaps: don't access young/dirty bit if pte unpresent (Chris von Recklinghausen) [RHEL-27736]
- mm/huge_memory: fix comment of page_deferred_list (Chris von Recklinghausen) [RHEL-27736]
- buffer: Remove check for PageError (Chris von Recklinghausen) [RHEL-27736]
- mpage: Convert do_mpage_readpage() to use a folio (Chris von Recklinghausen) [RHEL-27736]
- Revert "arm64: Change elfcore for_each_mte_vma() to use VMA iterator" (Chris von Recklinghausen) [RHEL-27736]
- arm64: Change elfcore for_each_mte_vma() to use VMA iterator (Chris von Recklinghausen) [RHEL-27736]
- iommu/vt-d: Support enforce_cache_coherency only for empty domains (Jerry Snitselaar) [RHEL-31083]
- iommu/vt-d: Add MTL to quirk list to skip TE disabling (Jerry Snitselaar) [RHEL-31083]
- iommu/vt-d: Make context clearing consistent with context mapping (Jerry Snitselaar) [RHEL-31083]
- iommu/vt-d: Disable PCI ATS in legacy passthrough mode (Jerry Snitselaar) [RHEL-31083]
- iommu/vt-d: Omit devTLB invalidation requests when TES=0 (Jerry Snitselaar) [RHEL-31083]
- gitlab-ci: allow coverage template to disable debug builds again (Michael Hofmann)
- usb: dwc3-qcom: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-28809]
- vfio/type1: use const struct bus_type ** (Desnes Nunes) [RHEL-28809]
- Revert "usb: typec: tcpm: reset counter when enter into unattached state after try role" (Desnes Nunes) [RHEL-28809]
- USB: serial: option: fix FM101R-GL defines (Desnes Nunes) [RHEL-28809]
- Revert "usb: phy: add usb phy notify port status API" (Desnes Nunes) [RHEL-28809]
- usb: typec: tcpm: Fix sink caps op current check (Desnes Nunes) [RHEL-28809]
- usb: phy: mxs: remove CONFIG_USB_OTG condition for mxs_phy_is_otg_host() (Desnes Nunes) [RHEL-28809]
- media: dvb-usb-v2: af9035: fix missing unlock (Desnes Nunes) [RHEL-28809]
- USB: serial: option: add Fibocom to DELL custom modem FM101R-GL (Desnes Nunes) [RHEL-28809]
- USB: serial: option: add entry for Sierra EM9191 with new firmware (Desnes Nunes) [RHEL-28809]
- USB: serial: option: add Telit LE910C4-WWX 0x1035 composition (Desnes Nunes) [RHEL-28809]
- usb: typec: ucsi: Fix missing link removal (Desnes Nunes) [RHEL-28809]
- usb: typec: altmodes/displayport: Signal hpd low when exiting mode (Desnes Nunes) [RHEL-28809]
- thunderbolt: Call tb_switch_put() once DisplayPort bandwidth request is finished (Desnes Nunes) [RHEL-28809]
- xhci: Preserve RsvdP bits in ERSTBA register correctly (Desnes Nunes) [RHEL-28809]
- xhci: Clear EHB bit only at end of interrupt handler (Desnes Nunes) [RHEL-28809]
- xhci: track port suspend state correctly in unsuccessful resume cases (Desnes Nunes) [RHEL-28809]
- usb: xhci: xhci-ring: Use sysdev for mapping bounce buffer (Desnes Nunes) [RHEL-28809]
- usb: typec: ucsi: Clear EVENT_PENDING bit if ucsi_send_command fails (Desnes Nunes) [RHEL-28809]
- usb: hub: Guard against accesses to uninitialized BOS descriptors (Desnes Nunes) [RHEL-28809]
- net: thunderbolt: Fix TCPv6 GSO checksum calculation (Desnes Nunes) [RHEL-28809]
- media: uvcvideo: Fix OOB read (Desnes Nunes) [RHEL-28809]
- usb: typec: ucsi: Fix NULL pointer dereference (Desnes Nunes) [RHEL-28809]
- dt-bindings: usb: Add V3s compatible string for OHCI (Desnes Nunes) [RHEL-28809]
- dt-bindings: usb: Add V3s compatible string for EHCI (Desnes Nunes) [RHEL-28809]
- r8152: add vendor/device ID pair for D-Link DUB-E250 (Desnes Nunes) [RHEL-28809]
- tcpm: Avoid soft reset when partner does not support get_status (Desnes Nunes) [RHEL-28809]
- usb: typec: tcpm: reset counter when enter into unattached state after try role (Desnes Nunes) [RHEL-28809]
- usb: typec: tcpm: set initial svdm version based on pd revision (Desnes Nunes) [RHEL-28809]
- USB: serial: option: add FOXCONN T99W368/T99W373 product (Desnes Nunes) [RHEL-28809]
- USB: serial: option: add Quectel EM05G variant (0x030e) (Desnes Nunes) [RHEL-28809]
- USB: cdc-acm: support flushing write buffers (TCOFLUSH) (Desnes Nunes) [RHEL-28809]
- usb: typec: qcom-pmic-typec: register drm_bridge (Desnes Nunes) [RHEL-28809]
- usb: typec: qcom: fix return value check in qcom_pmic_typec_probe() (Desnes Nunes) [RHEL-28809]
- usb: typec: altmodes/displayport: add support for embedded DP cases (Desnes Nunes) [RHEL-28809]
- usb: dwc3: remove unnecessary platform_set_drvdata() (Desnes Nunes) [RHEL-28809]
- usb: dwc3-keystone: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-28809]
- usb: dwc3-am62: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-28809]
- usb: cdc-acm: move ldisc dcd notification outside of acm's read lock (Desnes Nunes) [RHEL-28809]
- usb: typec: tcpci: clear the fault status bit (Desnes Nunes) [RHEL-28809]
- usb: typec: bus: verify partner exists in typec_altmode_attention (Desnes Nunes) [RHEL-28809]
- usb: core: Use module_led_trigger macro to simplify the code (Desnes Nunes) [RHEL-28809]
- usb: host: ehci-sched: try to turn on io watchdog as long as periodic_count > 0 (Desnes Nunes) [RHEL-28809]
- USB: ohci-sm501: remove unnecessary check of mem (Desnes Nunes) [RHEL-28809]
- usb: ohci-sm501: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-28809]
- media: usb: pvrusb2: fix inconsistent indenting (Desnes Nunes) [RHEL-28809]
- usb: chipidea: add workaround for chipidea PEC bug (Desnes Nunes) [RHEL-28809]
- usb: ehci: add workaround for chipidea PORTSC.PEC bug (Desnes Nunes) [RHEL-28809]
- usb: typec: ucsi: Add debugfs for ucsi commands (Desnes Nunes) [RHEL-28809]
- usb: cdc-acm: add PPS support (Desnes Nunes) [RHEL-28809]
- Documentation/ABI: thunderbolt: Replace 01.org in contact (Desnes Nunes) [RHEL-28809]
- thunderbolt: Check Intel vendor ID in tb_switch_get_generation() (Desnes Nunes) [RHEL-28809]
- thunderbolt: Log a warning if device links are not found (Desnes Nunes) [RHEL-28809]
- usb: chipidea: udc: Remove an unnecessary NULL value (Desnes Nunes) [RHEL-28809]
- USB: misc: Remove unnecessary NULL values (Desnes Nunes) [RHEL-28809]
- USB: cytherm: Correct the code style issue of redundant spaces (Desnes Nunes) [RHEL-28809]
- usb: typec: tcpm: not sink vbus if operational current is 0mA (Desnes Nunes) [RHEL-28809]
- dt-bindings: usb: ci-hdrc-usb2: Fix clocks/clock-names maxItems (Desnes Nunes) [RHEL-28809]
- dt-bindings: usb: ci-hdrc-usb2: Add the "fsl,imx35-usb" entry (Desnes Nunes) [RHEL-28809]
- usb: typec: tcpm: Refactor the PPS APDO selection (Desnes Nunes) [RHEL-28809]
- usb: host: Do not check for 0 return after calling platform_get_irq() (Desnes Nunes) [RHEL-28809]
- thunderbolt: Set variable tmu_params storage class specifier to static (Desnes Nunes) [RHEL-28809]
- dt-bindings: usb: ehci: Add atmel at91sam9g45-ehci compatible (Desnes Nunes) [RHEL-28809]
- dt-bindings: usb: connector: disallow additional properties (Desnes Nunes) [RHEL-28809]
- dt-bindings: chrome: google,cros-ec-typec: restrict allowed properties (Desnes Nunes) [RHEL-28809]
- usb: uhci-platform: Use devm_platform_get_and_ioremap_resource() (Desnes Nunes) [RHEL-28809]
- usb: uhci-platform: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-28809]
- usb: ehci-sh: Use devm_platform_get_and_ioremap_resource() (Desnes Nunes) [RHEL-28809]
- usb: ehci-sh: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-28809]
- usb: ehci-platform: Use devm_platform_get_and_ioremap_resource() (Desnes Nunes) [RHEL-28809]
- usb: chipidea/core: Use devm_platform_get_and_ioremap_resource() (Desnes Nunes) [RHEL-28809]
- usb: xhci: tegra: Add shutdown callback for Tegra XUSB (Desnes Nunes) [RHEL-28809]
- dt-bindings: usb: samsung-hsotg: remove bindings already part of dwc2 (Desnes Nunes) [RHEL-28809]
- usb: phy: add usb phy notify port status API (Desnes Nunes) [RHEL-28809]
- usb: phy: mxs: disconnect line when USB charger is attached (Desnes Nunes) [RHEL-28809]
- usb: phy: mxs: fix getting wrong state with mxs_phy_is_otg_host() (Desnes Nunes) [RHEL-28809]
- usb: chipidea: add USB PHY event (Desnes Nunes) [RHEL-28809]
- USB: document ioctl USBDEVFS_GET_SPEED (Desnes Nunes) [RHEL-28809]
- dt-bindings: usb: qcom,dwc3: correct SDM660 clocks (Desnes Nunes) [RHEL-28809]
- dt-bindings: usb: qcom,dwc3: drop assigned-clocks (Desnes Nunes) [RHEL-28809]
- usb: chipidea: imx: add one fsl picophy parameter tuning implementation (Desnes Nunes) [RHEL-28809]
- dt-bindings: usb: ci-hdrc-usb2: add fsl,picophy-rise-fall-time-adjust property (Desnes Nunes) [RHEL-28809]
- usb: chipidea: imx: improve logic if samsung,picophy-* parameter is 0 (Desnes Nunes) [RHEL-28809]
- usb: typec: intel_pmc_mux: Configure Active and Retimer Cable type (Desnes Nunes) [RHEL-28809]
- dt-bindings: usb: dwc3: Add IPQ5332 compatible (Desnes Nunes) [RHEL-28809]
- usb: Explicitly include correct DT includes (Desnes Nunes) [RHEL-28809]
- USB: make usb class a const structure (Desnes Nunes) [RHEL-28809]
- USB: mark all struct bus_type as const (Desnes Nunes) [RHEL-28809]
- driver core: device.h: make struct bus_type a const * (Desnes Nunes) [RHEL-28809]
- ARM/dma-mapping: const a pointer to bus_type in arm_iommu_create_mapping() (Desnes Nunes) [RHEL-28809]
- driver core: make the bus_type in struct device_driver constant (Desnes Nunes) [RHEL-28809]
- media: dvb-usb-v2: gl861: Fix null-ptr-deref in gl861_i2c_master_xfer (Desnes Nunes) [RHEL-28809]
- media: az6007: Fix null-ptr-deref in az6007_i2c_xfer() (Desnes Nunes) [RHEL-28809]
- media: anysee: fix null-ptr-deref in anysee_master_xfer (Desnes Nunes) [RHEL-28809]
- media: dvb-usb-v2: af9035: Fix null-ptr-deref in af9035_i2c_master_xfer (Desnes Nunes) [RHEL-28809]
- media: ttusb-dec: remove unnecessary (void*) conversions (Desnes Nunes) [RHEL-28809]
- drivers: usb: smsusb: fix error handling code in smsusb_init_device (Desnes Nunes) [RHEL-28809]
- media: pvrusb2: use sysfs_emit() to instead of scnprintf() (Desnes Nunes) [RHEL-28809]
- usb: convert to ctime accessor functions (Desnes Nunes) [RHEL-28809]
- fs: add ctime accessors infrastructure (Desnes Nunes) [RHEL-28809]
- misc: rtsx_usb: set return value in rsp_buf alloc err path (Desnes Nunes) [RHEL-28809]
- misc: rtsx_usb: use separate command and response buffers (Desnes Nunes) [RHEL-28809]
- misc: rtsx_usb: fix use of dma mapped buffer for usb bulk transfer (Desnes Nunes) [RHEL-28809]
- misc: rtsx: set NULL intfdata when probe fails (Desnes Nunes) [RHEL-28809]
- mmc: rtsx_usb_sdmmc: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-28809]
- mmc: rtsx_usb_sdmmc: fix return value check of mmc_add_host() (Desnes Nunes) [RHEL-28809]
- mmc: rtsx_usb_sdmmc: Remove the unneeded result variable (Desnes Nunes) [RHEL-28809]
- dt-bindings: phy: mxs-usb-phy: add imx8ulp and imx8qm compatible (Desnes Nunes) [RHEL-28809]
- dt-bindings: phy: mxs-usb-phy: convert to DT schema format (Desnes Nunes) [RHEL-28809]
- doc: dt-binding: mxs-usb-phy: fix fsl,tx-cal-45-dn-ohms max and min value (Desnes Nunes) [RHEL-28809]
- dt-bindings: phy: mxs-usb-phy: Add i.MX8DXL compatible string (Desnes Nunes) [RHEL-28809]
- dt-bindings: Fix incomplete if/then/else schemas (Desnes Nunes) [RHEL-28809]
- dt-bindings: iio: dac: adi,ad5360: Add missing binding document (Desnes Nunes) [RHEL-28809]
- dt-bindings: phy: tegra20-usb-phy: Document properties needed for OTG mode (Desnes Nunes) [RHEL-28809]
- dt-bindings: phy: tegra20-usb-phy: Convert to schema (Desnes Nunes) [RHEL-28809]
Resolves: RHEL-25927, RHEL-27736, RHEL-28060, RHEL-28809, RHEL-31083, RHEL-31861

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-04-10 15:58:02 -03:00
Lucas Zampieri
8b2f16e511
kernel-5.14.0-437.el9
* Mon Apr 08 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-437.el9]
- net: usb: smsc75xx: Fix uninit-value access in __smsc75xx_read_reg (Jose Ignacio Tornos Martinez) [RHEL-28017] {CVE-2023-52528}
- thermal: ACPI: Include the right header file (Mark Langsdorf) [RHEL-26871]
- ACPI: utils: Refine acpi_handle_list_equal() slightly (Mark Langsdorf) [RHEL-26871]
- ACPI: utils: Return bool from acpi_evaluate_reference() (Mark Langsdorf) [RHEL-26871]
- ACPI: utils: Rearrange in acpi_evaluate_reference() (Mark Langsdorf) [RHEL-26871]
- ACPI: utils: Fix white space in struct acpi_handle_list definition (Mark Langsdorf) [RHEL-26871]
- ACPI: Fix ARM32 platforms compile issue introduced by fw_table changes (Mark Langsdorf) [RHEL-26871]
- lib/fw_table: Remove acpi_parse_entries_array() export (Mark Langsdorf) [RHEL-26871]
- thermal: trip: Remove lockdep assertion from for_each_thermal_trip() (Mark Langsdorf) [RHEL-26871]
- thermal: trip: Drop lockdep assertion from thermal_zone_trip_id() (Mark Langsdorf) [RHEL-26871]
- x86/numa: Fix the sort compare func used in numa_fill_memblks() (Mark Langsdorf) [RHEL-26871]
- x86/numa: Fix the address overlap check in numa_fill_memblks() (Mark Langsdorf) [RHEL-26871]
- thermal: core: Drop thermal_zone_device_exec() (Mark Langsdorf) [RHEL-26871]
- thermal: core: Fix disabled trip point check in handle_thermal_trip() (Mark Langsdorf) [RHEL-26871]
- coresight: platform: acpi: Ignore the absence of graph (Mark Langsdorf) [RHEL-26871]
- ACPI: processor: Provide empty stub of acpi_proc_quirk_mwait_check() (Mark Langsdorf) [RHEL-26871]
- ACPICA: struct acpi_resource_vendor: Replace 1-element array with flexible array (Mark Langsdorf) [RHEL-26871]
- ACPICA: Avoid undefined behavior: member access within null pointer (Mark Langsdorf) [RHEL-26871]
- ACPICA: ACPI 6.5: MADT: add support for trace buffer extension in GICC (Mark Langsdorf) [RHEL-26871]
- ACPI: video: Use acpi_video_device for cooling-dev driver data (Mark Langsdorf) [RHEL-26871]
- ACPI: resource: Skip IRQ override on ASUS ExpertBook B1402CVA (Mark Langsdorf) [RHEL-26871]
- ACPI: video: Use acpi_device_fix_up_power_children() (Mark Langsdorf) [RHEL-26871]
- ACPI: resource: Add TongFang GM6BGEQ, GM6BG5Q and GM6BG0Q to irq1_edge_low_force_override[] (Mark Langsdorf) [RHEL-26871]
- ACPI: video: Add acpi_backlight=vendor quirk for Toshiba Portégé R100 (Mark Langsdorf) [RHEL-26871]
- ACPI: video: Fix NULL pointer dereference in acpi_video_bus_add() (Mark Langsdorf) [RHEL-26871]
- ACPI: video: Add "vendor" quirks for 3 Lenovo x86 Android tablets (Mark Langsdorf) [RHEL-26871]
- ACPI: video: Move Xiaomi Mi Pad 2 quirk to its own section (Mark Langsdorf) [RHEL-26871]
- ACPI: video: Add backlight=native DMI quirk for Apple iMac12,1 and iMac12,2 (Mark Langsdorf) [RHEL-26871]
- ACPI: video: Put ACPI video and its child devices into D0 on boot (Mark Langsdorf) [RHEL-26871]
- ACPI: video: Add backlight=native DMI quirk for Lenovo Ideapad Z470 (Mark Langsdorf) [RHEL-26871]
- ACPI: video: Install Notify() handler directly (Mark Langsdorf) [RHEL-26871]
- ACPI: video: Add backlight=native DMI quirk for Dell Studio 1569 (Mark Langsdorf) [RHEL-26871]
- ACPI: video: Add backlight=native DMI quirk for Lenovo ThinkPad X131e (3371 AMD version) (Mark Langsdorf) [RHEL-26871]
- ACPI: video: Add backlight=native DMI quirk for Apple iMac11,3 (Mark Langsdorf) [RHEL-26871]
- ACPI: video: Remove desktops without backlight DMI quirks (Mark Langsdorf) [RHEL-26871]
- ACPI: utils: Fix error path in acpi_evaluate_reference() (Mark Langsdorf) [RHEL-26871]
- iommu: Avoid more races around device probe (Mark Langsdorf) [RHEL-26871]
- ACPI: PM: Add acpi_device_fix_up_power_children() function (Mark Langsdorf) [RHEL-26871]
- ACPI: processor_idle: use raw_safe_halt() in acpi_idle_play_dead() (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Fix acpi_thermal_unregister_thermal_zone() cleanup (Mark Langsdorf) [RHEL-26871]
- acpi: Move common tables helper functions to common lib (Mark Langsdorf) [RHEL-26871]
- ACPI: resource: Do IRQ override on TongFang GMxXGxx (Mark Langsdorf) [RHEL-26871]
- ACPI: EC: Add quirk for HP 250 G7 Notebook PC (Mark Langsdorf) [RHEL-26871]
- ACPI: x86: use acpi_dev_uid_match() for matching _UID (Mark Langsdorf) [RHEL-26871]
- ACPI: utils: use acpi_dev_uid_match() for matching _UID (Mark Langsdorf) [RHEL-26871]
- ACPI: sysfs: Clean up create_pnp_modalias() and create_of_modalias() (Mark Langsdorf) [RHEL-26871]
- ACPI: sysfs: Fix create_pnp_modalias() and create_of_modalias() (Mark Langsdorf) [RHEL-26871]
- ACPI: acpi_pad: Use dev groups for sysfs (Mark Langsdorf) [RHEL-26871]
- ACPI: acpi_pad: Rename ACPI device from device to adev (Mark Langsdorf) [RHEL-26871]
- ACPI: acpi_pad: Replace acpi_driver with platform_driver (Mark Langsdorf) [RHEL-26871]
- ACPI: APEI: Use ERST timeout for slow devices (Mark Langsdorf) [RHEL-26871]
- arm64, irqchip/gic-v3, ACPI: Move MADT GICC enabled check into a helper (Mark Langsdorf) [RHEL-26871]
- ACPI: scan: Rename acpi_scan_device_not_present() to be about enumeration (Mark Langsdorf) [RHEL-26871]
- ACPI: NFIT: Install Notify() handler before getting NFIT table (Mark Langsdorf) [RHEL-26871]
- ACPI: sysfs: use acpi_device_uid() for fetching _UID (Mark Langsdorf) [RHEL-26871]
- ACPI: scan: Use the acpi_device_is_present() helper in more places (Mark Langsdorf) [RHEL-26871]
- ACPI: bus: Move acpi_arm_init() to the place of after acpi_ghes_init() (Mark Langsdorf) [RHEL-26871]
- ACPI: irq: Fix incorrect return value in acpi_register_gsi() (Mark Langsdorf) [RHEL-26871]
- ACPI: AC: Use string_choices API instead of ternary operator (Mark Langsdorf) [RHEL-26871]
- ACPI: AC: Remove redundant checks (Mark Langsdorf) [RHEL-26871]
- acpi,mm: fix typo sibiling -> sibling (Mark Langsdorf) [RHEL-26871]
- ACPI: bus: Add context argument to acpi_dev_install_notify_handler() (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Use thermal_zone_for_each_trip() for updating trips (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Combine passive and active trip update functions (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Move get_active_temp() (Mark Langsdorf) [RHEL-26871]
- thermal: core: Add function to walk trips under zone lock (Mark Langsdorf) [RHEL-26871]
- cpuidle, ACPI: Evaluate LPI arch_flags for broadcast timer (Mark Langsdorf) [RHEL-26871]
- ACPI: PRM: Annotate struct prm_module_info with __counted_by (Mark Langsdorf) [RHEL-26871]
- ACPI: FPDT: properly handle invalid FPDT subtables (Mark Langsdorf) [RHEL-26871]
- ACPI: utils: Remove redundant braces around individual statement (Mark Langsdorf) [RHEL-26871]
- ACPI: utils: Fix up white space in a few places (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Fix up function header formatting in two places (Mark Langsdorf) [RHEL-26871]
- ACPI: OSL: Add empty lines after local variable declarations (Mark Langsdorf) [RHEL-26871]
- ACPI: OSL: Remove redundant parentheses in return statements (Mark Langsdorf) [RHEL-26871]
- ACPI: OSL: Fix up white space in parameter lists (Mark Langsdorf) [RHEL-26871]
- ACPI: property: Document the _DSD data buffer GUID (Mark Langsdorf) [RHEL-26871]
- ACPI: property: Allow _DSD buffer data only for byte accessors (Mark Langsdorf) [RHEL-26871]
- ACPI: x86: s2idle: Switch to use acpi_evaluate_dsm_typed() (Mark Langsdorf) [RHEL-26871]
- ACPI: PCI: Switch to use acpi_evaluate_dsm_typed() (Mark Langsdorf) [RHEL-26871]
- ACPI: LPSS: drop BayTrail and Lynxpoint pinctrl HIDs (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Drop list of device ACPI handles from struct acpi_thermal (Mark Langsdorf) [RHEL-26871]
- ACPI: utils: Dynamically determine acpi_handle_list size (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Rename structure fields holding temperature in deci-Kelvin (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Drop critical_valid and hot_valid trip flags (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Do not use trip indices for cooling device binding (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Mark uninitialized active trips as invalid (Mark Langsdorf) [RHEL-26871]
- thermal: core: Allow trip pointers to be used for cooling device binding (Mark Langsdorf) [RHEL-26871]
- thermal: core: Store trip pointer in struct thermal_instance (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Merge trip initialization functions (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Collapse trip devices update function wrappers (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Collapse trip devices update functions (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Add device list to struct acpi_thermal_trip (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Fix a small leak in acpi_thermal_add() (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Drop valid flag from struct acpi_thermal_trip (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Drop redundant trip point flags (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Untangle initialization and updates of active trips (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Untangle initialization and updates of the passive trip (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Simplify critical and hot trips representation (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Create and populate trip points table earlier (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Determine the number of trip points earlier (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Fold acpi_thermal_get_info() into its caller (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Simplify initialization of critical and hot trips (Mark Langsdorf) [RHEL-26871]
- ACPI: NFIT: Fix incorrect calculation of idt size (Mark Langsdorf) [RHEL-26871]
- ACPI: EC: Add quirk for the HP Pavilion Gaming 15-dk1xxx (Mark Langsdorf) [RHEL-26871]
- ACPI: OSI: refactor deprecated strncpy() (Mark Langsdorf) [RHEL-26871]
- ACPI: OSL: add __printf format attribute to acpi_os_vprintf() (Mark Langsdorf) [RHEL-26871]
- ACPI: APEI: Fix AER info corruption when error status data has multiple sections (Mark Langsdorf) [RHEL-26871]
- ACPI: resource: Drop .ident values from dmi_system_id tables (Mark Langsdorf) [RHEL-26871]
- ACPI: resource: Consolidate IRQ trigger-type override DMI tables (Mark Langsdorf) [RHEL-26871]
- ACPI/NUMA: Apply SRAT proximity domain to entire CFMWS window (Mark Langsdorf) [RHEL-26871]
- ACPI: resource: Skip IRQ override on ASUS ExpertBook B1402CBA (Mark Langsdorf) [RHEL-26871]
- ACPI: processor: Fix uninitialized access of buf in acpi_set_pdc_bits() (Mark Langsdorf) [RHEL-26871]
- mm/memory_hotplug: allow memmap on memory hotplug request to fallback (Mark Langsdorf) [RHEL-26871]
- ACPI: x86: s2idle: Add a function to get LPS0 constraint for a device (Mark Langsdorf) [RHEL-26871]
- ACPI: x86: s2idle: Add for_each_lpi_constraint() helper (Mark Langsdorf) [RHEL-26871]
- ACPI: x86: s2idle: Add more debugging for AMD constraints parsing (Mark Langsdorf) [RHEL-26871]
- ACPI: x86: s2idle: Fix a logic error parsing AMD constraints table (Mark Langsdorf) [RHEL-26871]
- ACPI: x86: s2idle: Catch multiple ACPI_TYPE_PACKAGE objects (Mark Langsdorf) [RHEL-26871]
- ACPI: x86: s2idle: Post-increment variables when getting constraints (Mark Langsdorf) [RHEL-26871]
- ACPI: resource: Fix IRQ override quirk for PCSpecialist Elimina Pro 16 M (Mark Langsdorf) [RHEL-26871]
- ACPI: TAD: Install SystemCMOS address space handler for ACPI000E (Mark Langsdorf) [RHEL-26871]
- ACPI: Remove assorted unused declarations of functions (Mark Langsdorf) [RHEL-26871]
- ACPI: extlog: Fix finding the generic error data for v3 structure (Mark Langsdorf) [RHEL-26871]
- ACPI: scan: Defer enumeration of devices with a _DEP pointing to IVSC device (Mark Langsdorf) [RHEL-26871]
- thermal: core: Drop unused .get_trip_*() callbacks (Mark Langsdorf) [RHEL-26871]
- thermal: core: Rework .get_trend() thermal zone callback (Mark Langsdorf) [RHEL-26871]
- thermal/drivers/ti-soc: Switch to new of API (Mark Langsdorf) [RHEL-26871]
- thermal/drivers/ti-soc-thermal: Remove unused function ti_thermal_get_temp() (Mark Langsdorf) [RHEL-26871]
- thermal: intel: intel_soc_dts_iosf: Use struct thermal_trip (Mark Langsdorf) [RHEL-26871]
- thermal: intel: intel_soc_dts_iosf: Rework critical trip setup (Mark Langsdorf) [RHEL-26871]
- thermal: intel: intel_soc_dts_iosf: Add helper for resetting trip points (Mark Langsdorf) [RHEL-26871]
- thermal: intel: intel_soc_dts_iosf: Change initialization ordering (Mark Langsdorf) [RHEL-26871]
- thermal: intel: intel_soc_dts_iosf: Pass sensors to update_trip_temp() (Mark Langsdorf) [RHEL-26871]
- thermal: intel: intel_soc_dts_iosf: Untangle update_trip_temp() (Mark Langsdorf) [RHEL-26871]
- thermal: intel: intel_soc_dts_iosf: Always assume notification support (Mark Langsdorf) [RHEL-26871]
- thermal: intel: intel_soc_dts_iosf: Drop redundant symbol definition (Mark Langsdorf) [RHEL-26871]
- thermal: intel: intel_soc_dts_iosf: Always use 2 trips (Mark Langsdorf) [RHEL-26871]
- thermal: intel: intel_pch: Use generic trip points (Mark Langsdorf) [RHEL-26871]
- thermal/drivers/intel: Use generic thermal_zone_get_trip() function (Mark Langsdorf) [RHEL-26871]
- thermal: intel: int340x: Improve int340x_thermal_set_trip_temp() (Mark Langsdorf) [RHEL-26871]
- thermal: intel: int340x: Drop pointless cast to unsigned long (Mark Langsdorf) [RHEL-26871]
- thermal: intel: int340x: Rename variable in int340x_thermal_zone_add() (Mark Langsdorf) [RHEL-26871]
- thermal: intel: int340x: Assorted minor cleanups (Mark Langsdorf) [RHEL-26871]
- thermal: intel: int340x: Use generic trip points table (Mark Langsdorf) [RHEL-26871]
- thermal: intel: int340x: Use zone lock for synchronization (Mark Langsdorf) [RHEL-26871]
- thermal: intel: int340x: Rework updating trip points (Mark Langsdorf) [RHEL-26871]
- thermal: intel: int340x: Add locking to int340x_thermal_get_trip_type() (Mark Langsdorf) [RHEL-26871]
- thermal: intel: int340x: Protect trip temperature from concurrent updates (Mark Langsdorf) [RHEL-26871]
- thermal/intel/int340x: Replace parameter to simplify (Mark Langsdorf) [RHEL-26871]
- thermal: intel: processor_thermal_device_pci: Use generic trip point (Mark Langsdorf) [RHEL-26871]
- thermal: ACPI: Initialize trips if temperature is out of range (Mark Langsdorf) [RHEL-26871]
- thermal: ACPI: Add ACPI trip point routines (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Eliminate code duplication from acpi_thermal_notify() (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Drop unnecessary thermal zone callbacks (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Rework thermal_get_trend() (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Use trip point table to register thermal zones (Mark Langsdorf) [RHEL-26871]
- thermal: core: Rework and rename __for_each_thermal_trip() (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Introduce struct acpi_thermal_trip (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Carry out trip point updates under zone lock (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Clean up acpi_thermal_register_thermal_zone() (Mark Langsdorf) [RHEL-26871]
- thermal: core: Add priv pointer to struct thermal_trip (Mark Langsdorf) [RHEL-26871]
- thermal: core: Introduce thermal_zone_device_exec() (Mark Langsdorf) [RHEL-26871]
- thermal: core: Do not handle trip points with invalid temperature (Mark Langsdorf) [RHEL-26871]
- thermal/drivers/mellanox: Use generic thermal_zone_get_trip() function (Mark Langsdorf) [RHEL-26871]
- thermal/drivers/cxgb4: Use generic thermal_zone_get_trip() function (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Drop redundant local variable from acpi_thermal_resume() (Mark Langsdorf) [RHEL-26871]
- ACPI: resource: Add IRQ override quirk for PCSpecialist Elimina Pro 16 M (Mark Langsdorf) [RHEL-26871]
- ACPI: resource: Honor MADT INT_SRC_OVR settings for IRQ1 on AMD Zen (Mark Langsdorf) [RHEL-26871]
- ACPI: resource: Always use MADT override IRQ settings for all legacy non i8042 IRQs (Mark Langsdorf) [RHEL-26871]
- ACPI: Move AMBA bus scan handling into arm64 specific directory (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Do not attach private data to ACPI handles (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Drop enabled flag from struct acpi_thermal_active (Mark Langsdorf) [RHEL-26871]
- ACPI: scan: Create platform device for CS35L56 (Mark Langsdorf) [RHEL-26871]
- platform/x86: serial-multi-instantiate: Auto detect IRQ resource for CSC3551 (Mark Langsdorf) [RHEL-26871]
- ACPI/IORT: Remove erroneous id_count check in iort_node_get_rmr_info() (Mark Langsdorf) [RHEL-26871]
- coresight: etm4x: Add ACPI support in platform driver (Mark Langsdorf) [RHEL-26871]
- ACPI: processor: Refine messages in acpi_early_processor_control_setup() (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Drop nocrt parameter (Mark Langsdorf) [RHEL-26871]
- ACPI: processor: Remove acpi_hwp_native_thermal_lvt_osc() (Mark Langsdorf) [RHEL-26871]
- ACPI: processor: Use _OSC to convey OSPM processor support information (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Install Notify() handler directly (Mark Langsdorf) [RHEL-26871]
- ACPI: NFIT: Remove unnecessary .remove callback (Mark Langsdorf) [RHEL-26871]
- ACPI: NFIT: Install Notify() handler directly (Mark Langsdorf) [RHEL-26871]
- ACPI: HED: Install Notify() handler directly (Mark Langsdorf) [RHEL-26871]
- ACPI: battery: Install Notify() handler directly (Mark Langsdorf) [RHEL-26871]
- ACPI: AC: Install Notify() handler directly (Mark Langsdorf) [RHEL-26871]
- ACPI: bus: Set driver_data to NULL every time .add() fails (Mark Langsdorf) [RHEL-26871]
- ACPI: bus: Introduce wrappers for ACPICA notify handler install/remove (Mark Langsdorf) [RHEL-26871]
- ACPI: processor: Introduce acpi_processor_osc() (Mark Langsdorf) [RHEL-26871]
- ACPI: processor: Set CAP_SMP_T_SWCOORD in arch_acpi_set_proc_cap_bits() (Mark Langsdorf) [RHEL-26871]
- ACPI: processor: Clear C_C2C3_FFH and C_C1_FFH in arch_acpi_set_proc_cap_bits() (Mark Langsdorf) [RHEL-26871]
- ACPI: processor: Rename ACPI_PDC symbols (Mark Langsdorf) [RHEL-26871]
- ACPI: processor: Refactor arch_acpi_set_pdc_bits() (Mark Langsdorf) [RHEL-26871]
- ACPI: processor: Move processor_physically_present() to acpi_processor.c (Mark Langsdorf) [RHEL-26871]
- ACPI: processor: Move MWAIT quirk out of acpi_processor.c (Mark Langsdorf) [RHEL-26871]
- ACPICA: Add AML_NO_OPERAND_RESOLVE flag to Timer (Mark Langsdorf) [RHEL-26871]
- ACPICA: Slightly simplify an error message in acpi_ds_result_push() (Mark Langsdorf) [RHEL-26871]
- ACPICA: Add interrupt command to acpiexec (Mark Langsdorf) [RHEL-26871]
- ACPICA: Detect GED device and keep track of _EVT (Mark Langsdorf) [RHEL-26871]
- ACPICA: Add support for _DSC as per ACPI 6.5 (Mark Langsdorf) [RHEL-26871]
- ACPICA: Modify ACPI_STATE_COMMON (Mark Langsdorf) [RHEL-26871]
- ACPICA: Fix GCC 12 dangling-pointer warning (Mark Langsdorf) [RHEL-26871]
- ACPICA: exserial.c: replace ternary operator with ACPI_MIN() (Mark Langsdorf) [RHEL-26871]
- ACPI: scan: Use the acpi_match_acpi_device() helper (Mark Langsdorf) [RHEL-26871]
- ACPI: platform: Move SMB0001 HID to the header and reuse (Mark Langsdorf) [RHEL-26871]
- ACPI: platform: Ignore SMB0001 only when it has resources (Mark Langsdorf) [RHEL-26871]
- ACPI: bus: Introduce acpi_match_acpi_device() helper (Mark Langsdorf) [RHEL-26871]
- ACPI: scan: fix undeclared variable warnings by including sleep.h (Mark Langsdorf) [RHEL-26871]
- ACPI: bus: Constify acpi_companion_match() returned value (Mark Langsdorf) [RHEL-26871]
- ACPI: scan: Move acpi_root to internal header (Mark Langsdorf) [RHEL-26871]
- ACPI: EC: Fix acpi_ec_dispatch_gpe() (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Drop struct acpi_thermal_flags (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Drop struct acpi_thermal_state (Mark Langsdorf) [RHEL-26871]
- ACPI: bus: Simplify installation and removal of notify callback (Mark Langsdorf) [RHEL-26871]
- ACPI: button: Use different notify handlers for lid and buttons (Mark Langsdorf) [RHEL-26871]
- ACPI: button: Eliminate the driver notify callback (Mark Langsdorf) [RHEL-26871]
- ACPI: x86: Add pm_debug_messages for LPS0 _DSM state tracking (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Eliminate struct acpi_thermal_state_flags (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Move acpi_thermal_driver definition (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Move symbol definitions to one place (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Drop redundant ACPI_TRIPS_REFRESH_DEVICES symbol (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Use BIT() macro for defining flags (Mark Langsdorf) [RHEL-26871]
- ACPI: FFH: Drop the inclusion of linux/arm-smccc.h (Mark Langsdorf) [RHEL-26871]
- ACPI: PAD: mark Zhaoxin CPUs NONSTOP TSC correctly (Mark Langsdorf) [RHEL-26871]
- ACPI: APEI: mark bert_disable as __initdata (Mark Langsdorf) [RHEL-26871]
- ACPI: EC: Clear GPE on interrupt handling only (Mark Langsdorf) [RHEL-26871]
- ACPI: bus: Consolidate all arm specific initialisation into acpi_arm_init() (Mark Langsdorf) [RHEL-26871]
- ACPI: x86: s2idle: Adjust Microsoft LPS0 _DSM handling sequence (Mark Langsdorf) [RHEL-26871]
- ACPI: PM: s2idle: fix section mismatch warning (Mark Langsdorf) [RHEL-26871]
- ACPI: APEI: GHES: Remove unused ghes_estatus_pool_size_request() (Mark Langsdorf) [RHEL-26871]
- ACPI: NFIT: Add declaration in a local header (Mark Langsdorf) [RHEL-26871]
- ACPI: scan: Reduce overhead related to devices with dependencies (Mark Langsdorf) [RHEL-26871]
- efi: fix missing prototype warnings (Mark Langsdorf) [RHEL-26871]
- ACPI: LPSS: Add pwm_lookup_table entry for second PWM on CHT/BSW devices (Mark Langsdorf) [RHEL-26871]
- ACPI: x86: Add ACPI_QUIRK_UART1_SKIP for Lenovo Yoga Book yb1-x90f/l (Mark Langsdorf) [RHEL-26871]
- ACPI: button: Add lid disable DMI quirk for Nextbook Ares 8A (Mark Langsdorf) [RHEL-26871]
- ACPI: x86: Add skip i2c clients quirk for Nextbook Ares 8A (Mark Langsdorf) [RHEL-26871]
- ACPI: resource: Add IRQ override quirk for LG UltraPC 17U70P (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Move to dedicated function sysfs extra attr creation (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Use thermal_zone_device() (Mark Langsdorf) [RHEL-26871]
- thermal: intel: pch_thermal: Use thermal driver device to write a trace (Mark Langsdorf) [RHEL-26871]
- thermal/core: Add thermal_zone_device structure 'type' accessor (Mark Langsdorf) [RHEL-26871]
- thermal: core: Encapsulate tz->device field (Mark Langsdorf) [RHEL-26871]
- ACPI: property: Support strings in Apple _DSM props (Mark Langsdorf) [RHEL-26871]
- ACPI: x86: utils: Remove Lenovo Yoga Tablet 2's MAGN0001 (Mark Langsdorf) [RHEL-26871]
- ACPI: PM: Do not turn of unused power resources on the Toshiba Click Mini (Mark Langsdorf) [RHEL-26871]
- ACPI: LPSS: Add 80862289 ACPI _HID for second PWM controller on Cherry Trail (Mark Langsdorf) [RHEL-26871]
- ACPI: bus: Ensure that notify handlers are not running after removal (Mark Langsdorf) [RHEL-26871]
- ACPI: bus: Add missing braces to acpi_sb_notify() (Mark Langsdorf) [RHEL-26871]
- ACPICA: Update version to 20230331 (Mark Langsdorf) [RHEL-26871]
- ACPICA: ACPICA: check null return of ACPI_ALLOCATE_ZEROED in acpi_db_display_objects (Mark Langsdorf) [RHEL-26871]
- ACPICA: acpi_resource_irq: Replace 1-element arrays with flexible array (Mark Langsdorf) [RHEL-26871]
- ACPICA: acpi_madt_oem_data: Fix flexible array member definition (Mark Langsdorf) [RHEL-26871]
- ACPICA: acpi_dmar_andd: Replace 1-element array with flexible array (Mark Langsdorf) [RHEL-26871]
- ACPICA: acpi_pci_routing_table: Replace fixed-size array with flex array member (Mark Langsdorf) [RHEL-26871]
- ACPICA: struct acpi_resource_dma: Replace 1-element array with flexible array (Mark Langsdorf) [RHEL-26871]
- ACPICA: Introduce ACPI_FLEX_ARRAY (Mark Langsdorf) [RHEL-26871]
- ACPICA: struct acpi_nfit_interleave: Replace 1-element array with flexible array (Mark Langsdorf) [RHEL-26871]
- ACPICA: actbl1: Replace 1-element arrays with flexible arrays (Mark Langsdorf) [RHEL-26871]
- ACPICA: add support for ClockInput resource (v6.5) (Mark Langsdorf) [RHEL-26871]
- ACPICA: Avoid undefined behavior: member access within misaligned address (Mark Langsdorf) [RHEL-26871]
- ACPICA: Update all copyrights/signons to 2023 (Mark Langsdorf) [RHEL-26871]
- ACPICA: Avoid undefined behavior: applying zero offset to null pointer (Mark Langsdorf) [RHEL-26871]
- ACPICA: Avoid undefined behavior: member access within misaligned address (Mark Langsdorf) [RHEL-26871]
- ACPICA: Avoid undefined behavior: load of misaligned address (Mark Langsdorf) [RHEL-26871]
- ACPICA: Avoid undefined behavior: member access within misaligned address (Mark Langsdorf) [RHEL-26871]
- ACPICA: Avoid undefined behavior: member access within misaligned address (Mark Langsdorf) [RHEL-26871]
- ACPICA: Avoid undefined behavior: load of misaligned address (Mark Langsdorf) [RHEL-26871]
- ACPICA: actbl2: Replace 1-element arrays with flexible arrays (Mark Langsdorf) [RHEL-26871]
- ACPICA: Add missing macro ACPI_FUNCTION_TRACE() for acpi_ns_repair_HID() (Mark Langsdorf) [RHEL-26871]
- ACPICA: acpisrc: Add missing tables to astable (Mark Langsdorf) [RHEL-26871]
- ACPI: VIOT: Initialize the correct IOMMU fwspec (Mark Langsdorf) [RHEL-26871]
- ACPI: thermal: Replace ternary operator with min_t() (Mark Langsdorf) [RHEL-26871]
- ACPI: EC: Limit explicit removal of query handlers to custom query handlers (Mark Langsdorf) [RHEL-26871]
- ACPI: SBS: Fix handling of Smart Battery Selectors (Mark Langsdorf) [RHEL-26871]
- ACPI: EC: Fix oops when removing custom query handlers (Mark Langsdorf) [RHEL-26871]
- ACPI: SPCR: Amend indentation (Mark Langsdorf) [RHEL-26871]
- ACPI: property: Refactor acpi_data_prop_read_single() (Mark Langsdorf) [RHEL-26871]
- ACPI: APEI: EINJ: warn on invalid argument when explicitly indicated by platform (Mark Langsdorf) [RHEL-26871]
- ACPI: sysfs: Enable ACPI sysfs support for CCEL records (Mark Langsdorf) [RHEL-26871]
- ACPI: SPCR: Prefix error messages with FW_BUG (Mark Langsdorf) [RHEL-26871]
- ACPI: processor: Fix evaluating _PDC method when running as Xen dom0 (Mark Langsdorf) [RHEL-26871]
- ACPI: APEI: EINJ: Add CXL error types (Mark Langsdorf) [RHEL-26871]
- ACPI: s2idle: Log when enabling wakeup IRQ fails (Mark Langsdorf) [RHEL-26871]
- ACPI: processor: Check for null return of devm_kzalloc() in fch_misc_setup() (Mark Langsdorf) [RHEL-26871]
- ACPI: CPPC: Add min and max perf register writing support (Mark Langsdorf) [RHEL-26871]
- thermal/core: Use the thermal zone 'devdata' accessor in remaining drivers (Mark Langsdorf) [RHEL-26871]
- Input: sun4i-ts - switch to new of thermal API (Mark Langsdorf) [RHEL-26871]
- iio/drivers/sun4i_gpadc: Switch to new of thermal API (Mark Langsdorf) [RHEL-26871]
- thermal: Add a thermal zone id accessor (Mark Langsdorf) [RHEL-26871]
- Enable CONFIG_USB_ONBOARD_HUB (Charles Mirabile) [RHEL-21064]
- usb: misc: onboard_usb_hub: Add support for Cypress CY7C6563x (Charles Mirabile) [RHEL-21064]
- usb: misc: onboard_usb_hub: Add support for clock input (Charles Mirabile) [RHEL-21064]
- usb: misc: onboard_usb_hub: Print symbolic error names (Charles Mirabile) [RHEL-21064]
- usb: misc: onboard-hub: add support for Microchip USB5744 (Charles Mirabile) [RHEL-21064]
- dt-bindings: usb: microchip,usb5744: Add second supply (Charles Mirabile) [RHEL-21064]
- usb: misc: onboard_usb_hub: add Genesys Logic gl3510 hub support (Charles Mirabile) [RHEL-21064]
- dt-bindings: usb: add device for Genesys Logic hub gl3510 (Charles Mirabile) [RHEL-21064]
- usb: misc: onboard_hub: add support for Microchip USB2412 USB 2.0 hub (Charles Mirabile) [RHEL-21064]
- dt-bindings: usb: Add binding for Cypress HX3 USB 3.0 family (Charles Mirabile) [RHEL-21064]
- usb: misc: onboard-hub: add support for Cypress HX3 USB 3.0 family (Charles Mirabile) [RHEL-21064]
- usb: misc: onboard-hub: support multiple power supplies (Charles Mirabile) [RHEL-21064]
- usb: misc: onboard_usb_hub: add Genesys Logic GL3523 hub support (Charles Mirabile) [RHEL-21064]
- dt-bindings: usb: Add binding for Genesys Logic GL3523 hub (Charles Mirabile) [RHEL-21064]
- usb: misc: onboard_hub: Don't warn twice about problems during remove (Charles Mirabile) [RHEL-21064]
- dt-bindings: usb: Add support for Microchip usb5744 hub controller (Charles Mirabile) [RHEL-21064]
- usb: misc: onboard-hub: add support for Microchip USB2517 USB 2.0 hub (Charles Mirabile) [RHEL-21064]
- dt-bindings: usb: vialab,vl817: Cleanup compatible, reset-gpios and required (Charles Mirabile) [RHEL-21064]
- arm64: defconfig: Enable USB onboard HUB driver (Charles Mirabile) [RHEL-21064]
- usb: misc: onboard_usb_hub: add VIA LAB VL817 hub support (Charles Mirabile) [RHEL-21064]
- arm64: dts: amlogic: Used onboard usb hub reset on odroid c4 (Charles Mirabile) [RHEL-21064]
- dt-bindings: usb: Add binding for Via lab VL817 hub controller (Charles Mirabile) [RHEL-21064]
- vendor-prefixes: Add VIA Labs, Inc. (Charles Mirabile) [RHEL-21064]
- usb: misc: onboard_usb_hub: add Genesys Logic GL852G hub support (Charles Mirabile) [RHEL-21064]
- arm64: dts: amlogic: Used onboard usb hub reset on odroid c2 (Charles Mirabile) [RHEL-21064]
- ARM: dts: amlogic: Used onboard usb hub reset to enable usb hub (Charles Mirabile) [RHEL-21064]
- dt-bindings: usb: Add device id for Genesys Logic hub controller (Charles Mirabile) [RHEL-21064]
- usb: misc: onboard_usb_hub: add Genesys Logic GL850G hub support (Charles Mirabile) [RHEL-21064]
- dt-bindings: usb: Add binding for Genesys Logic GL850G hub controller (Charles Mirabile) [RHEL-21064]
- dt-bindings: vendor-prefixes: add Genesys Logic (Charles Mirabile) [RHEL-21064]
- mm: migrate high-order folios in swap cache correctly (Nico Pache) [RHEL-23654]
- MAINTAINERS: Add Siddharth Vadapalli as PCI TI DRA7XX/J721E reviewer (Myron Stowe) [RHEL-28627]
- PCI: dwc: Clean up dw_pcie_ep_raise_msi_irq() alignment (Myron Stowe) [RHEL-28627]
- PCI: dwc: Fix a 64bit bug in dw_pcie_ep_raise_msix_irq() (Myron Stowe) [RHEL-28627]
- MAINTAINERS: Add Manivannan Sadhasivam as PCI Endpoint maintainer (Myron Stowe) [RHEL-28627]
- PCI: Fix kernel-doc issues (Myron Stowe) [RHEL-28627]
- misc: pci_endpoint_test: Use a unique test pattern for each BAR (Myron Stowe) [RHEL-28627]
- docs: PCI: Fix typos (Myron Stowe) [RHEL-28627]
- PCI: Remove unused 'node' member from struct pci_driver (Myron Stowe) [RHEL-28627]
- dt-bindings: PCI: qcom: Document the SM8650 PCIe Controller (Myron Stowe) [RHEL-28627]
- dt-bindings: PCI: dwc: rockchip: Document optional PCIe reference clock input (Myron Stowe) [RHEL-28627]
- dt-bindings: PCI: qcom: Correct reset-names property (Myron Stowe) [RHEL-28627]
- dt-bindings: PCI: qcom: Correct clocks for SM8150 (Myron Stowe) [RHEL-28627]
- dt-bindings: PCI: qcom: Correct clocks for SC8180x (Myron Stowe) [RHEL-28627]
- dt-bindings: PCI: qcom: Adjust iommu-map for different SoC (Myron Stowe) [RHEL-28627]
- dw-xdata: Remove usage of the deprecated ida_simple_*() API (Myron Stowe) [RHEL-28627]
- misc: pci_endpoint_test: Remove usage of the deprecated ida_simple_*() API (Myron Stowe) [RHEL-28627]
- PCI: endpoint: pci-epf-test: Make struct pci_epf_ops const (Myron Stowe) [RHEL-28627]
- PCI: endpoint: pci-epf-vntb: Make struct pci_epf_ops const (Myron Stowe) [RHEL-28627]
- PCI: endpoint: pci-epf-ntb: Make struct pci_epf_ops const (Myron Stowe) [RHEL-28627]
- PCI: endpoint: pci-epf-mhi: Make structs pci_epf_ops and pci_epf_event_ops const (Myron Stowe) [RHEL-28627]
- PCI: endpoint: Make struct pci_epf_ops in pci_epf_driver const (Myron Stowe) [RHEL-28627]
- PCI: xilinx-nwl: Use INTX instead of legacy (Myron Stowe) [RHEL-28627]
- PCI: rockchip-host: Rename rockchip_pcie_legacy_int_handler() (Myron Stowe) [RHEL-28627]
- PCI: rockchip-ep: Use INTX instead of legacy (Myron Stowe) [RHEL-28627]
- PCI: uniphier: Use INTX instead of legacy (Myron Stowe) [RHEL-28627]
- PCI: tegra194: Use INTX instead of legacy (Myron Stowe) [RHEL-28627]
- PCI: dw-rockchip: Rename rockchip_pcie_legacy_int_handler() (Myron Stowe) [RHEL-28627]
- PCI: keystone: Use INTX instead of legacy (Myron Stowe) [RHEL-28627]
- PCI: dwc: Rename dw_pcie_ep_raise_legacy_irq() (Myron Stowe) [RHEL-28627]
- PCI: cadence: Use INTX instead of legacy (Myron Stowe) [RHEL-28627]
- PCI: dra7xx: Rename dra7xx_pcie_raise_legacy_irq() (Myron Stowe) [RHEL-28627]
- misc: pci_endpoint_test: Use INTX instead of LEGACY (Myron Stowe) [RHEL-28627]
- PCI: endpoint: Rename LEGACY to INTX in test function driver (Myron Stowe) [RHEL-28627]
- PCI: endpoint: Use INTX instead of legacy (Myron Stowe) [RHEL-28627]
- PCI: endpoint: Drop PCI_EPC_IRQ_XXX definitions (Myron Stowe) [RHEL-28627]
- PCI: Rename PCI_IRQ_LEGACY to PCI_IRQ_INTX (Myron Stowe) [RHEL-28627]
- PCI: kirin: Convert to platform remove callback returning void (Myron Stowe) [RHEL-28627]
- PCI: keystone: Convert to platform remove callback returning void (Myron Stowe) [RHEL-28627]
- PCI: exynos: Convert to platform remove callback returning void (Myron Stowe) [RHEL-28627]
- PCI: vmd: Remove usage of the deprecated ida_simple_xx() API (Myron Stowe) [RHEL-28627]
- PCI: rcar-host: Add support for optional regulators (Myron Stowe) [RHEL-28627]
- dt-bindings: PCI: rcar-pci-host: Add optional regulators (Myron Stowe) [RHEL-28627]
- PCI: rcar-gen4: Replace of_device.h with explicit of.h include (Myron Stowe) [RHEL-28627]
- PCI: mediatek-gen3: Fix translation window size calculation (Myron Stowe) [RHEL-28627]
- PCI: mediatek: Clear interrupt status before dispatching handler (Myron Stowe) [RHEL-28627]
- PCI: layerscape: Add suspend/resume for ls1043a (Myron Stowe) [RHEL-28627]
- PCI: layerscape(ep): Rename pf_* as pf_lut_* (Myron Stowe) [RHEL-28627]
- PCI: layerscape: Add suspend/resume for ls1021a (Myron Stowe) [RHEL-28627]
- PCI: layerscape: Add function pointer for exit_from_l2() (Myron Stowe) [RHEL-28627]
- PCI: kirin: Use devm_kasprintf() to dynamically allocate clock names (Myron Stowe) [RHEL-28627]
- PCI: keystone: Fix race condition when initializing PHYs (Myron Stowe) [RHEL-28627]
- PCI: rcar-gen4: Fix -Wvoid-pointer-to-enum-cast error (Myron Stowe) [RHEL-28627]
- PCI: iproc: Fix -Wvoid-pointer-to-enum-cast warning (Myron Stowe) [RHEL-28627]
- PCI: dwc: Add dw_pcie_ep_{read,write}_dbi[2] helpers (Myron Stowe) [RHEL-28627]
- PCI: dwc: Rename .func_conf_select to .get_dbi_offset in struct dw_pcie_ep_ops (Myron Stowe) [RHEL-28627]
- PCI: dwc: Rename .ep_init to .init in struct dw_pcie_ep_ops (Myron Stowe) [RHEL-28627]
- PCI: dwc: Drop host prefix from struct dw_pcie_host_ops members (Myron Stowe) [RHEL-28627]
- PCI: dwc: endpoint: Fix dw_pcie_ep_raise_msix_irq() alignment support (Myron Stowe) [RHEL-28627]
- PCI: dwc: Convert SOC_SIFIVE to ARCH_SIFIVE (Myron Stowe) [RHEL-28627]
- PCI: j721e: Make TI J721E depend on ARCH_K3 (Myron Stowe) [RHEL-28627]
- PCI: j721e: Add TI J784S4 PCIe configuration (Myron Stowe) [RHEL-28627]
- PCI: j721e: Add PCIe 4x lane selection support (Myron Stowe) [RHEL-28627]
- PCI: j721e: Add per platform maximum lane settings (Myron Stowe) [RHEL-28627]
- dt-bindings: PCI: ti,j721e-pci-*: Add j784s4-pci-* compatible strings (Myron Stowe) [RHEL-28627]
- dt-bindings: PCI: ti,j721e-pci-*: Add checks for num-lanes (Myron Stowe) [RHEL-28627]
- PCI: brcmstb: Configure HW CLKREQ# mode appropriate for downstream device (Myron Stowe) [RHEL-28627]
- dt-bindings: PCI: brcmstb: Add property "brcm,clkreq-mode" (Myron Stowe) [RHEL-28627]
- PCI: Add ACS quirk for more Zhaoxin Root Ports (Myron Stowe) [RHEL-28627]
- PCI/P2PDMA: Remove reference to pci_p2pdma_map_sg() (Myron Stowe) [RHEL-28627]
- PCI: Log bridge info when first enumerating bridge (Myron Stowe) [RHEL-28627]
- PCI: Log bridge windows conditionally (Myron Stowe) [RHEL-28627]
- PCI: Supply bridge device, not secondary bus, to read window details (Myron Stowe) [RHEL-28627]
- PCI: Move pci_read_bridge_windows() below individual window accessors (Myron Stowe) [RHEL-28627]
- PCI: Use resource names in PCI log messages (Myron Stowe) [RHEL-28627]
- PCI: Update BAR # and window messages (Myron Stowe) [RHEL-28627]
- PCI: Log device type during enumeration (Myron Stowe) [RHEL-28627]
- PCI: Fix 64GT/s effective data rate calculation (Myron Stowe) [RHEL-28627]
- x86/pci: Clean up open-coded PCIBIOS return code mangling (Myron Stowe) [RHEL-28627]
- scsi: lpfc: Use PCI_HEADER_TYPE_MFD instead of literal (Myron Stowe) [RHEL-28627]
- powerpc/fsl-pci: Use PCI_HEADER_TYPE_MASK instead of literal (Myron Stowe) [RHEL-28627]
- x86/pci: Use PCI_HEADER_TYPE_* instead of literals (Myron Stowe) [RHEL-28627]
- PCI: Only override AMD USB controller if required (Myron Stowe) [RHEL-28627]
- PCI: host-generic: Convert to platform remove callback returning void (Myron Stowe) [RHEL-28627]
- x86/pci: Reorder pci_mmcfg_arch_map() definition before calls (Myron Stowe) [RHEL-28627]
- x86/pci: Return pci_mmconfig_add() failure early (Myron Stowe) [RHEL-28627]
- x86/pci: Comment pci_mmconfig_insert() obscure MCFG dependency (Myron Stowe) [RHEL-28627]
- x86/pci: Rename pci_mmcfg_check_reserved() to pci_mmcfg_reserved() (Myron Stowe) [RHEL-28627]
- x86/pci: Rename acpi_mcfg_check_entry() to acpi_mcfg_valid_entry() (Myron Stowe) [RHEL-28627]
- x86/pci: Rename 'MMCONFIG' to 'ECAM', use pr_fmt (Myron Stowe) [RHEL-28627]
- x86/pci: Add MCFG debug logging (Myron Stowe) [RHEL-28627]
- x86/pci: Reword ECAM EfiMemoryMappedIO logging to avoid 'reserved' (Myron Stowe) [RHEL-28627]
- x86/pci: Reserve ECAM if BIOS didn't include it in PNP0C02 _CRS (Myron Stowe) [RHEL-28627]
- PCI/AER: Use explicit register sizes for struct members (Myron Stowe) [RHEL-28627]
- PCI/AER: Decode Requester ID when no error info found (Myron Stowe) [RHEL-28627]
- PCI/AER: Use 'Correctable' and 'Uncorrectable' spec terms for errors (Myron Stowe) [RHEL-28627]
- ACPI: APEI: Fix AER info corruption when error status data has multiple sections (Myron Stowe) [RHEL-28627]
- PCI/AER: Export pcie_aer_is_native() (Myron Stowe) [RHEL-28627]
- dt-bindings: PCI: dwc: rockchip: Add missing legacy-interrupt-controller (Myron Stowe) [RHEL-28627]
- dt-bindings: PCI: dwc: rockchip: Use generic binding (Myron Stowe) [RHEL-28627]
- dt-bindings: PCI: dwc: rockchip: Fix interrupt-names issue (Myron Stowe) [RHEL-28627]
- dt-bindings: PCI: dwc: improve msi handling (Myron Stowe) [RHEL-28627]
- dt-bindings: PCI: dwc: rockchip: Update for RK3588 (Myron Stowe) [RHEL-28627]
- dt-bindings: PCI: dwc: Add rk3588 compatible (Myron Stowe) [RHEL-28627]
- PCI: imx6: Add i.MX8MP PCIe EP support (Myron Stowe) [RHEL-28627]
- PCI: imx6: Add i.MX8MM PCIe EP support (Myron Stowe) [RHEL-28627]
- PCI: imx6: Add i.MX8MQ PCIe EP support (Myron Stowe) [RHEL-28627]
- PCI: imx6: Add i.MX PCIe EP mode support (Myron Stowe) [RHEL-28627]
- exfat: free the sbi and iocharset in ->kill_sb (Pavel Reichl) [RHEL-30614]
- exfat: don't RCU-free the sbi (Pavel Reichl) [RHEL-30614]
- exfat: use kvmalloc_array/kvfree instead of kmalloc_array/kfree (Pavel Reichl) [RHEL-30614]
- exfat: ensure that ctime is updated whenever the mtime is (Pavel Reichl) [RHEL-30614]
- sched/rt: Change the type of 'sysctl_sched_rt_period' from 'unsigned int' to 'int' (Phil Auld) [RHEL-29436]
- sched/rt/docs: Use 'real-time' instead of 'realtime' (Phil Auld) [RHEL-29436]
- sched/rt/docs: Clarify & fix sched_rt_* sysctl docs (Phil Auld) [RHEL-29436]
- sched/rt: Disallow writing invalid values to sched_rt_period_us (Phil Auld) [RHEL-29436]
- sched/rt: sysctl_sched_rr_timeslice show default timeslice after reset (Phil Auld) [RHEL-29436]
- sched/rt: Fix sysctl_sched_rr_timeslice intial value (Phil Auld) [RHEL-29436]
- mm/sparsemem: fix race in accessing memory_section->usage (Waiman Long) [RHEL-28877 RHEL-28878] {CVE-2023-52489}
- Revert "[redhat] kabi: add symbol __scsi_execute to stablelist" (Ewan D. Milne) [RHEL-30725]
- xfs: fix SEEK_HOLE/DATA for regions with active COW extents (Bill O'Donnell) [RHEL-29365]
- NFS: remove sync_mode test from nfs_writepage_locked() (Jeffrey Layton) [RHEL-28630]
- nfs: Remove writepage (Jeffrey Layton) [RHEL-28630]
Resolves: RHEL-21064, RHEL-23654, RHEL-26871, RHEL-28017, RHEL-28627, RHEL-29436, RHEL-30614

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-04-08 17:03:54 -03:00
Scott Weaver
4a8d0f136f kernel-5.14.0-435.el9
* Fri Mar 29 2024 Scott Weaver <scweaver@redhat.com> [5.14.0-435.el9]
- PCI: Avoid potential out-of-bounds read in pci_dev_for_each_resource() (Myron Stowe) [RHEL-26742 RHEL-26743] {CVE-2023-52466}
- smb: client: do not start laundromat thread on nohandlecache (Paulo Alcantara) [RHEL-28739]
- fs/nls: make load_nls() take a const parameter (Paulo Alcantara) [RHEL-28739]
- smb3: do not start laundromat thread when dir leases disabled (Paulo Alcantara) [RHEL-28739]
- smb3: display network namespace in debug information (Paulo Alcantara) [RHEL-28739]
- cifs: Release folio lock on fscache read hit. (Paulo Alcantara) [RHEL-28739]
- cifs: add missing return value check for cifs_sb_tlink (Paulo Alcantara) [RHEL-28739]
- cifs: fix charset issue in reconnection (Paulo Alcantara) [RHEL-28739]
- cifs: update internal module version number for cifs.ko (Paulo Alcantara) [RHEL-28739]
- cifs: allow dumping keys for directories too (Paulo Alcantara) [RHEL-28739]
- cifs: fix mid leak during reconnection after timeout threshold (Paulo Alcantara) [RHEL-28739]
- cifs: is_network_name_deleted should return a bool (Paulo Alcantara) [RHEL-28739]
- cifs: if deferred close is disabled then close files immediately (Paulo Alcantara) [RHEL-28739]
- cifs: Add a laundromat thread for cached directories (Paulo Alcantara) [RHEL-28739]
- smb: client: remove redundant pointer 'server' (Paulo Alcantara) [RHEL-28739]
- cifs: new dynamic tracepoint to track ses not found errors (Paulo Alcantara) [RHEL-28739]
- cifs: log session id when a matching ses is not found (Paulo Alcantara) [RHEL-28739]
- smb: client: improve DFS mount check (Paulo Alcantara) [RHEL-28739]
- smb: client: fix parsing of source mount option (Paulo Alcantara) [RHEL-28739]
- cifs: print client_guid in DebugData (Paulo Alcantara) [RHEL-28739]
- smb: client: fix warning in generic_ip_connect() (Paulo Alcantara) [RHEL-28739]
- smb: client: fix warning in CIFSFindNext() (Paulo Alcantara) [RHEL-28739]
- smb: client: fix warning in CIFSFindFirst() (Paulo Alcantara) [RHEL-28739]
- smb3: do not reserve too many oplock credits (Paulo Alcantara) [RHEL-28739]
- cifs: print more detail when invalidate_inode_mapping fails (Paulo Alcantara) [RHEL-28739]
- smb: client: fix warning in cifs_smb3_do_mount() (Paulo Alcantara) [RHEL-28739]
- smb: client: fix warning in cifs_match_super() (Paulo Alcantara) [RHEL-28739]
- cifs: print nosharesock value while dumping mount options (Paulo Alcantara) [RHEL-28739]
- scripts/gdb: fix SB_* constants parsing (Ming Lei) [RHEL-29262]
- super: wait for nascent superblocks (Ming Lei) [RHEL-29262]
- super: use locking helpers (Ming Lei) [RHEL-29262]
- fs: fix undefined behavior in bit shift for SB_NOUSER (Ming Lei) [RHEL-29262]
- block: fix kernel-doc for disk_force_media_change() (Ming Lei) [RHEL-29262]
- nbd: don't call blk_mark_disk_dead nbd_clear_sock_ioctl (Ming Lei) [RHEL-29262]
- zram: take device and not only bvec offset into account (Ming Lei) [RHEL-29262]
- ext4: Convert to bdev_open_by_dev() (Ming Lei) [RHEL-29262]
- xfs: Convert to bdev_open_by_path() (Ming Lei) [RHEL-29262]
- nfs/blocklayout: Convert to use bdev_open_by_dev/path() (Ming Lei) [RHEL-29262]
- jfs: Convert to bdev_open_by_dev() (Ming Lei) [RHEL-29262]
- fs: Convert to bdev_open_by_dev() (Ming Lei) [RHEL-29262]
- mm/swap: Convert to use bdev_open_by_dev() (Ming Lei) [RHEL-29262]
- PM: hibernate: Drop unused snapshot_test argument (Ming Lei) [RHEL-29262]
- PM: hibernate: Convert to bdev_open_by_dev() (Ming Lei) [RHEL-29262]
- PM: hibernate: Rename function parameter from snapshot_test to exclusive (Ming Lei) [RHEL-29262]
- s390/dasd: Convert to bdev_open_by_path() (Ming Lei) [RHEL-29262]
- scsi: target: Convert to bdev_open_by_path() (Ming Lei) [RHEL-29262]
- nvmet: Convert to bdev_open_by_path() (Ming Lei) [RHEL-29262]
- mtd: block2mtd: Convert to bdev_open_by_dev/path() (Ming Lei) [RHEL-29262]
- md: Convert to bdev_open_by_dev() (Ming Lei) [RHEL-29262]
- dm: Convert to bdev_open_by_dev() (Ming Lei) [RHEL-29262]
- zram: Convert to use bdev_open_by_dev() (Ming Lei) [RHEL-29262]
- xen/blkback: Convert to bdev_open_by_dev() (Ming Lei) [RHEL-29262]
- pktcdvd: Convert to bdev_open_by_dev() (Ming Lei) [RHEL-29262]
- drdb: Convert to use bdev_open_by_path() (Ming Lei) [RHEL-29262]
- block: Use bdev_open_by_dev() in disk_scan_partitions() and blkdev_bszset() (Ming Lei) [RHEL-29262]
- block: Use bdev_open_by_dev() in blkdev_open() (Ming Lei) [RHEL-29262]
- block: Provide bdev_open_* functions (Ming Lei) [RHEL-29262]
- fs: remove get_super (Ming Lei) [RHEL-29262]
- block: call into the file system for ioctl BLKFLSBUF (Ming Lei) [RHEL-29262]
- block: call into the file system for bdev_mark_dead (Ming Lei) [RHEL-29262]
- block: consolidate __invalidate_device and fsync_bdev (Ming Lei) [RHEL-29262]
- block: drop the "busy inodes on changed media" log message (Ming Lei) [RHEL-29262]
- dasd: also call __invalidate_device when setting the device offline (Ming Lei) [RHEL-29262]
- amiflop: don't call fsync_bdev in FDFMTBEG (Ming Lei) [RHEL-29262]
- floppy: call disk_force_media_change when changing the format (Ming Lei) [RHEL-29262]
- block: simplify the disk_force_media_change interface (Ming Lei) [RHEL-29262]
- nbd: call blk_mark_disk_dead in nbd_clear_sock_ioctl (Ming Lei) [RHEL-29262]
- xfs use fs_holder_ops for the log and RT devices (Ming Lei) [RHEL-29262]
- xfs: drop s_umount over opening the log and RT devices (Ming Lei) [RHEL-29262]
- ext4: use fs_holder_ops for the log device (Ming Lei) [RHEL-29262]
- ext4: drop s_umount over opening the log device (Ming Lei) [RHEL-29262]
- fs: export fs_holder_ops (Ming Lei) [RHEL-29262]
- fs: stop using get_super in fs_mark_dead (Ming Lei) [RHEL-29262]
- fs: use the super_block as holder when mounting file systems (Ming Lei) [RHEL-29262]
- ext4: make the IS_EXT2_SB/IS_EXT3_SB checks more robust (Ming Lei) [RHEL-29262]
- nilfs2: use setup_bdev_super to de-duplicate the mount code (Ming Lei) [RHEL-29262]
- fs: export setup_bdev_super (Ming Lei) [RHEL-29262]
- fs: open block device after superblock creation (Ming Lei) [RHEL-29262]
- exfat: free the sbi and iocharset in ->kill_sb (Ming Lei) [RHEL-29262]
- exfat: don't RCU-free the sbi (Ming Lei) [RHEL-29262]
- ext4: close the external journal device in ->kill_sb (Ming Lei) [RHEL-29262]
- ext4: ext4_put_super: Remove redundant checking for 'sbi->s_journal_bdev' (Ming Lei) [RHEL-29262]
- ext4: Fix reusing stale buffer heads from last failed mounting (Ming Lei) [RHEL-29262]
- xfs: document the invalidate_bdev call in invalidate_bdev (Ming Lei) [RHEL-29262]
- xfs: close the external block devices in xfs_mount_free (Ming Lei) [RHEL-29262]
- xfs: close the RT and log block devices in xfs_free_buftarg (Ming Lei) [RHEL-29262]
- xfs: remove xfs_blkdev_put (Ming Lei) [RHEL-29262]
- xfs: free the xfs_mount in ->kill_sb (Ming Lei) [RHEL-29262]
- xfs: remove a superfluous s_fs_info NULL check in xfs_fs_put_super (Ming Lei) [RHEL-29262]
- xfs: reformat the xfs_fs_free prototype (Ming Lei) [RHEL-29262]
- fs, block: remove bdev->bd_super (Ming Lei) [RHEL-29262]
- ocfs2: stop using bdev->bd_super for journal error logging (Ming Lei) [RHEL-29262]
- ext4: don't use bdev->bd_super in __ext4_journal_get_write_access (Ming Lei) [RHEL-29262]
- fs: stop using bdev->bd_super in mark_buffer_write_io_error (Ming Lei) [RHEL-29262]
- fuse: retire block-device-based superblock on force unmount (Ming Lei) [RHEL-29262]
- vfs: function to prevent re-use of block-device-based superblocks (Ming Lei) [RHEL-29262]
- block: don't allow a disk link holder to itself (Ming Lei) [RHEL-29262]
- block: store the holder kobject in bd_holder_disk (Ming Lei) [RHEL-29262]
- block: fix use after free for bd_holder_dir (Ming Lei) [RHEL-29262]
- block: remove delayed holder registration (Ming Lei) [RHEL-29262]
- dm: track per-add_disk holder relations in DM (Ming Lei) [RHEL-29262]
- dm: make sure create and remove dm device won't race with open and close table (Ming Lei) [RHEL-29262]
- dm: cleanup close_table_device (Ming Lei) [RHEL-29262]
- dm: cleanup open_table_device (Ming Lei) [RHEL-29262]
- dm: remove free_table_devices (Ming Lei) [RHEL-29262]
- zram: return errors from read_from_bdev_sync (Ming Lei) [RHEL-29262]
- zram: fix synchronous reads (Ming Lei) [RHEL-29262]
- zram: don't return errors from read_from_bdev_async (Ming Lei) [RHEL-29262]
- zram: pass a page to read_from_bdev (Ming Lei) [RHEL-29262]
- zram: refactor zram_bdev_write (Ming Lei) [RHEL-29262]
- zram: don't pass a bvec to __zram_bvec_write (Ming Lei) [RHEL-29262]
- zram: refactor zram_bdev_read (Ming Lei) [RHEL-29262]
- zram: directly call zram_read_page in writeback_store (Ming Lei) [RHEL-29262]
- zram: use bvec_set_page to initialize bvecs (Ming Lei) [RHEL-29262]
- zram: rename __zram_bvec_read to zram_read_page (Ming Lei) [RHEL-29262]
- zram: don't use highmem for the bounce buffer in zram_bvec_{read,write} (Ming Lei) [RHEL-29262]
- zram: refactor highlevel read and write handling (Ming Lei) [RHEL-29262]
- zram: return early on error in zram_bvec_rw (Ming Lei) [RHEL-29262]
- zram: move discard handling to zram_submit_bio (Ming Lei) [RHEL-29262]
- zram: simplify bvec iteration in __zram_make_request (Ming Lei) [RHEL-29262]
- zram: make zram_bio_discard more self-contained (Ming Lei) [RHEL-29262]
- zram: remove valid_io_request (Ming Lei) [RHEL-29262]
- zram: always compile read_from_bdev_sync (Ming Lei) [RHEL-29262]
- zram: remove unused stats fields (Ming Lei) [RHEL-29262]
- block: remove ->rw_page (Ming Lei) [RHEL-29262]
- mm: factor out a swap_writepage_bdev helper (Ming Lei) [RHEL-29262]
- mm: remove the __swap_writepage return value (Ming Lei) [RHEL-29262]
- mm: use an on-stack bio for synchronous swapin (Ming Lei) [RHEL-29262]
- mm: factor out a swap_readpage_bdev helper (Ming Lei) [RHEL-29262]
- mm: remove the swap_readpage return value (Ming Lei) [RHEL-29262]
- mpage: stop using bdev_{read,write}_page (Ming Lei) [RHEL-29262]
- zram: add incompressible flag to read_block_state() (Ming Lei) [RHEL-29262]
- zram: add incompressible writeback (Ming Lei) [RHEL-29262]
- documentation: add zram recompression documentation (Ming Lei) [RHEL-29262]
- zram: add algo parameter support to zram_recompress() (Ming Lei) [RHEL-29262]
- zram: remove redundant checks from zram_recompress() (Ming Lei) [RHEL-29262]
- zram: add size class equals check into recompression (Ming Lei) [RHEL-29262]
- zram: use IS_ERR_VALUE() to check for zs_malloc() errors (Ming Lei) [RHEL-29262]
- zram: clarify writeback_store() comment (Ming Lei) [RHEL-29262]
- zram: add recompress flag to read_block_state() (Ming Lei) [RHEL-29262]
- redhat: configs: add zram ZRAM_MULTI_COMP (Ming Lei) [RHEL-29262]
- zram: introduce recompress sysfs knob (Ming Lei) [RHEL-29262]
- zram: factor out WB and non-WB zram read functions (Ming Lei) [RHEL-29262]
- zram: add recompression algorithm sysfs knob (Ming Lei) [RHEL-29262]
- zram: preparation for multi-zcomp support (Ming Lei) [RHEL-29262]
- zram: add a huge_idle writeback mode (Ming Lei) [RHEL-29262]
- docs: Fix wording in optional zram feature docs (Ming Lei) [RHEL-29262]
- redhat/configs: Remove HOTPLUG_CPU0 configs (Prarit Bhargava) [RHEL-22073]
- remoteproc: qcom_q6v5_adsp: Convert to dev_pm_domain_attach|detach_list() (Radu Rendec) [RHEL-29555]
- remoteproc: imx_rproc: Convert to dev_pm_domain_attach|detach_list() (Radu Rendec) [RHEL-29555]
- remoteproc: imx_dsp_rproc: Convert to dev_pm_domain_attach|detach_list() (Radu Rendec) [RHEL-29555]
- PM: domains: Add helper functions to attach/detach multiple PM domains (Radu Rendec) [RHEL-29555]
- remoteproc: qcom_q6v5_adsp: Fix iommu_map() compatibility (Radu Rendec) [RHEL-29555]
- remoteproc: qcom: using pm_runtime_resume_and_get to simplify the code (Radu Rendec) [RHEL-29555]
- remoteproc: qcom: Add support for memory sandbox (Radu Rendec) [RHEL-29555]
- remoteproc: qcom: Add efuse evb selection control (Radu Rendec) [RHEL-29555]
- remoteproc: qcom: Replace hard coded values with macros (Radu Rendec) [RHEL-29555]
- remoteproc: qcom: Update rproc parse firmware callback (Radu Rendec) [RHEL-29555]
- remoteproc: qcom: Add compatible name for SC7280 ADSP (Radu Rendec) [RHEL-29555]
- remoteproc: qcom: Add flag in adsp private data structure (Radu Rendec) [RHEL-29555]
- dt-bindings: remoteproc: qcom: Add SC7280 ADSP support (Radu Rendec) [RHEL-29555]
- memory: tegra: Skip SID programming if SID registers aren't set (Robert Foss) [RHEL-23656]
- memory: tegra: Add SID override programming for MC clients (Robert Foss) [RHEL-23656]
- iommu: Don't reserve 0-length IOVA region (Robert Foss) [RHEL-23656]
- powerpc/hv-gpci: Fix the H_GET_PERF_COUNTER_INFO hcall return value checks (Mamatha Inamdar) [RHEL-29448]
- thermal: core: Fix NULL pointer dereference in zone registration error path (David Arcari) [RHEL-26922 RHEL-26923] {CVE-2023-52473}
- hwrng: core - Fix page fault dead lock on mmap-ed hwrng (Steve Best) [RHEL-29487 RHEL-29488] {CVE-2023-52615}
- cpufreq: intel_pstate: Add Emerald Rapids support in no-HWP mode (David Arcari) [RHEL-29653]
- RDMA/core: Fix uninit-value access in ib_get_eth_speed() (Kamal Heib) [RHEL-23034]
- RDMA/core: Get IB width and speed from netdev (Kamal Heib) [RHEL-23034]
- x86/cpu: Add model number for another Intel Arrow Lake mobile processor (Steve Best) [RHEL-30728]
- x86/cpu: Add model number for Intel Clearwater Forest processor (Steve Best) [RHEL-30728]
- dm-integrity: align the outgoing bio in integrity_recheck (Benjamin Marzinski) [RHEL-29679]
- dm-integrity: fix a memory leak when rechecking the data (Benjamin Marzinski) [RHEL-29679]
- NFSv4: fairly test all delegations on a SEQ4_ revocation (Benjamin Coddington) [RHEL-7976]
- NFS: Read unlock folio on nfs_page_create_from_folio() error (Benjamin Coddington) [RHEL-18029]
- i40e: Fix VF MAC filter removal (Ivan Vecera) [RHEL-15701]
- i40e: Fix firmware version comparison function (Ivan Vecera) [RHEL-15701]
- i40e: disable NAPI right after disabling irqs when handling xsk_pool (Ivan Vecera) [RHEL-15701]
- i40e: take into account XDP Tx queues when stopping rings (Ivan Vecera) [RHEL-15701]
- i40e: avoid double calling i40e_pf_rxq_wait() (Ivan Vecera) [RHEL-15701]
- i40e: Fix wrong mask used during DCB config (Ivan Vecera) [RHEL-15701]
- i40e: Fix waiting for queues of all VSIs to be disabled (Ivan Vecera) [RHEL-15701]
- i40e: Do not allow untrusted VF to remove administratively set MAC (Ivan Vecera) [RHEL-15701]
- net: intel: fix old compiler regressions (Ivan Vecera) [RHEL-15701]
- i40e: update xdp_rxq_info::frag_size for ZC enabled Rx queue (Ivan Vecera) [RHEL-15701]
- i40e: set xdp_rxq_info::frag_size (Ivan Vecera) [RHEL-15701]
- intel: xsk: initialize skb_frag_t::bv_offset in ZC drivers (Ivan Vecera) [RHEL-15701]
- i40e: handle multi-buffer packets that are shrunk by xdp prog (Ivan Vecera) [RHEL-15701]
- i40e: Include types.h to some headers (Ivan Vecera) [RHEL-15701]
- i40e: Restore VF MSI-X state during PCI reset (Ivan Vecera) [RHEL-15701]
- i40e: fix use-after-free in i40e_aqc_add_filters() (Ivan Vecera) [RHEL-15701]
- i40e: Avoid unnecessary use of comma operator (Ivan Vecera) [RHEL-15701]
- i40e: Fix VF disable behavior to block all traffic (Ivan Vecera) [RHEL-15701]
- i40e: Fix filter input checks to prevent config with invalid values (Ivan Vecera) [RHEL-15701]
- i40e: field get conversion (Ivan Vecera) [RHEL-15701]
- i40e: field prep conversion (Ivan Vecera) [RHEL-15701]
- intel: add bit macro includes where needed (Ivan Vecera) [RHEL-15701]
- i40e: remove fake support of rx-frames-irq (Ivan Vecera) [RHEL-15701]
- i40e: Fix ST code value for Clause 45 (Ivan Vecera) [RHEL-15701]
- i40e: Fix unexpected MFS warning message (Ivan Vecera) [RHEL-15701]
- i40e: Remove queue tracking fields from i40e_adminq_ring (Ivan Vecera) [RHEL-15701]
- i40e: Remove AQ register definitions for VF types (Ivan Vecera) [RHEL-15701]
- i40e: Delete unused and useless i40e_pf fields (Ivan Vecera) [RHEL-15701]
- i40e: Fix adding unsupported cloud filters (Ivan Vecera) [RHEL-15701]
- i40e: Delete unused i40e_mac_info fields (Ivan Vecera) [RHEL-15701]
- i40e: Move inline helpers to i40e_prototype.h (Ivan Vecera) [RHEL-15701]
- i40e: Remove VF MAC types (Ivan Vecera) [RHEL-15701]
- i40e: Use helpers to check running FW and AQ API versions (Ivan Vecera) [RHEL-15701]
- i40e: Add other helpers to check version of running firmware and AQ API (Ivan Vecera) [RHEL-15701]
- i40e: Move i40e_is_aq_api_ver_ge helper (Ivan Vecera) [RHEL-15701]
- i40e: Initialize hardware capabilities at single place (Ivan Vecera) [RHEL-15701]
- i40e: Consolidate hardware capabilities (Ivan Vecera) [RHEL-15701]
- i40e: Use DECLARE_BITMAP for flags field in i40e_hw (Ivan Vecera) [RHEL-15701]
- i40e: Use DECLARE_BITMAP for flags and hw_features fields in i40e_pf (Ivan Vecera) [RHEL-15701]
- i40e: Remove _t suffix from enum type names (Ivan Vecera) [RHEL-15701]
- i40e: Remove unused flags (Ivan Vecera) [RHEL-15701]
- i40e: add an error code check in i40e_vsi_setup (Ivan Vecera) [RHEL-15701]
- i40e: increase max descriptors for XL710 (Ivan Vecera) [RHEL-15701]
- i40e: Fix wrong check for I40E_TXR_FLAGS_WB_ON_ITR (Ivan Vecera) [RHEL-15701]
- i40e: sync next_to_clean and next_to_process for programming status desc (Ivan Vecera) [RHEL-15701]
- i40e: Fix I40E_FLAG_VF_VLAN_PRUNING value (Ivan Vecera) [RHEL-15701]
- i40e: xsk: remove count_mask (Ivan Vecera) [RHEL-15701]
- i40e: use scnprintf over strncpy+strncat (Ivan Vecera) [RHEL-15701]
- intel: fix format warnings (Ivan Vecera) [RHEL-15701]
- i40e: Refactor and rename i40e_read_pba_string() (Ivan Vecera) [RHEL-15701]
- i40e: Split and refactor i40e_nvm_version_str() (Ivan Vecera) [RHEL-15701]
- i40e: prevent crash on probe if hw registers have invalid values (Ivan Vecera) [RHEL-15701]
- i40e: Move DDP specific macros and structures to i40e_ddp.c (Ivan Vecera) [RHEL-15701]
- i40e: Remove circular header dependencies and fix headers (Ivan Vecera) [RHEL-15701]
- i40e: Split i40e_osdep.h (Ivan Vecera) [RHEL-15701]
- i40e: Move memory allocation structures to i40e_alloc.h (Ivan Vecera) [RHEL-15701]
- i40e: Simplify memory allocation functions (Ivan Vecera) [RHEL-15701]
- i40e: Refactor I40E_MDIO_CLAUSE* macros (Ivan Vecera) [RHEL-15701]
- i40e: Move I40E_MASK macro to i40e_register.h (Ivan Vecera) [RHEL-15701]
- i40e: Remove back pointer from i40e_hw structure (Ivan Vecera) [RHEL-15701]
- i40e: Add rx_missed_errors for buffer exhaustion (Ivan Vecera) [RHEL-15701]
- net: Tree wide: Replace xdp_do_flush_map() with xdp_do_flush(). (Ivan Vecera) [RHEL-15701]
- i40e: fix potential memory leaks in i40e_remove() (Ivan Vecera) [RHEL-15701]
- i40e: fix potential NULL pointer dereferencing of pf->vf i40e_sync_vsi_filters() (Ivan Vecera) [RHEL-15701]
- i40e: fix misleading debug logs (Ivan Vecera) [RHEL-15701]
- i40e: Replace one-element array with flex-array member in struct i40e_profile_aq_section (Ivan Vecera) [RHEL-15701]
- i40e: Replace one-element array with flex-array member in struct i40e_section_table (Ivan Vecera) [RHEL-15701]
- i40e: Replace one-element array with flex-array member in struct i40e_profile_segment (Ivan Vecera) [RHEL-15701]
- i40e: Replace one-element array with flex-array member in struct i40e_package_header (Ivan Vecera) [RHEL-15701]
- i40e: Remove unused function declarations (Ivan Vecera) [RHEL-15701]
- i40e: remove i40e_status (Ivan Vecera) [RHEL-15701]
- i40e: Fix an NULL vs IS_ERR() bug for debugfs_create_dir() (Ivan Vecera) [RHEL-15701]
- i40e: xsk: add TX multi-buffer support (Ivan Vecera) [RHEL-15701]
- i40e: xsk: add RX multi-buffer support (Ivan Vecera) [RHEL-15701]
- i40e, xsk: fix comment typo (Ivan Vecera) [RHEL-15701]
- i40e: remove unnecessary check for old MAC == new MAC (Ivan Vecera) [RHEL-15701]
- i40e: fix i40e_setup_misc_vector() error handling (Ivan Vecera) [RHEL-15701]
- i40e: fix accessing vsi->active_filters without holding lock (Ivan Vecera) [RHEL-15701]
- i40e: Add support for VF to specify its primary MAC address (Ivan Vecera) [RHEL-15701]
- i40e: fix registers dump after run ethtool adapter self test (Ivan Vecera) [RHEL-15701]
- i40e: fix flow director packet filter programming (Ivan Vecera) [RHEL-15701]
- i40e: add support for XDP multi-buffer Rx (Ivan Vecera) [RHEL-15701]
- i40e: add xdp_buff to i40e_ring struct (Ivan Vecera) [RHEL-15701]
- i40e: introduce next_to_process to i40e_ring (Ivan Vecera) [RHEL-15701]
- i40e: use frame_sz instead of recalculating truesize for building skb (Ivan Vecera) [RHEL-15701]
- i40e: Change size to truesize when using i40e_rx_buffer_flip() (Ivan Vecera) [RHEL-15701]
- i40e: add pre-xdp page_count in rx_buffer (Ivan Vecera) [RHEL-15701]
- i40e: change Rx buffer size for legacy-rx to support XDP multi-buffer (Ivan Vecera) [RHEL-15701]
- i40e: consolidate maximum frame size calculation for vsi (Ivan Vecera) [RHEL-15701]
- i40e: check vsi type before setting xdp_features flag (Ivan Vecera) [RHEL-15701]
- drivers: net: turn on XDP features (Ivan Vecera) [RHEL-15701]
- i40e: add xdp frags support to ndo_xdp_xmit (Ivan Vecera) [RHEL-15701]
- dmaengine: idxd: Ensure safe user copy of completion record (Jerry Snitselaar) [RHEL-28511]
- dmaengine: idxd: Remove shadow Event Log head stored in idxd (Jerry Snitselaar) [RHEL-28511]
- dmaengine: idxd: Move dma_free_coherent() out of spinlocked context (Jerry Snitselaar) [RHEL-28511]
Resolves: RHEL-22073, RHEL-23034, RHEL-23656, RHEL-26742, RHEL-26922, RHEL-28739, RHEL-29262, RHEL-29448, RHEL-29487, RHEL-29555, RHEL-29653, RHEL-30728, RHEL-26923, RHEL-26743, RHEL-29488

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2024-03-29 10:41:43 -04:00
Scott Weaver
a90b4e88d6 kernel-5.14.0-434.el9
* Tue Mar 26 2024 Scott Weaver <scweaver@redhat.com> [5.14.0-434.el9]
- redhat: Fix RT kernel kvm subpackage requires (Juri Lelli) [RHEL-23390]
- KVM: x86: Add X86EMUL_F_INVLPG and pass it in em_invlpg() (Prarit Bhargava) [RHEL-25415]
- KVM: x86: Add an emulation flag for implicit system access (Prarit Bhargava) [RHEL-25415]
- KVM: x86: Consolidate flags for __linearize() (Prarit Bhargava) [RHEL-25415]
- x86/MCE/AMD: Add new MA_LLC, USR_DP, and USR_CP bank types (Prarit Bhargava) [RHEL-25415]
- x86/Kconfig: Remove obsolete config X86_32_SMP (Prarit Bhargava) [RHEL-25415]
- x86/xen: add CPU dependencies for 32-bit build (Prarit Bhargava) [RHEL-25415]
- x86/xen: fix percpu vcpu_info allocation (Prarit Bhargava) [RHEL-25415]
- x86/mce/amd, EDAC/mce_amd: Move long names to decoder module (Prarit Bhargava) [RHEL-25415]
- x86/cpu/intel_epb: Don't rely on link order (Prarit Bhargava) [RHEL-25415]
- x86/ioapic: Remove unfinished sentence from comment (Prarit Bhargava) [RHEL-25415]
- x86/io: Remove the unused 'bw' parameter from the BUILDIO() macro (Prarit Bhargava) [RHEL-25415]
- x86/apic: Drop struct local_apic (Prarit Bhargava) [RHEL-25415]
- x86/apic: Drop enum apic_delivery_modes (Prarit Bhargava) [RHEL-25415]
- x86/apic: Drop apic::delivery_mode (Prarit Bhargava) [RHEL-25415]
- x86/microcode/intel: Set new revision only after a successful update (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Rework early revisions reporting (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Remove the driver announcement and version (Prarit Bhargava) [RHEL-25415]
- x86/entry: Harden return-to-user (Prarit Bhargava) [RHEL-25415]
- x86/entry: Optimize common_interrupt_return() (Prarit Bhargava) [RHEL-25415]
- perf/x86/intel: Correct incorrect 'or' operation for PMU capabilities (Prarit Bhargava) [RHEL-25415]
- x86/mtrr: Document missing function parameters in kernel-doc (Prarit Bhargava) [RHEL-25415]
- x86/lib: Fix overflow when counting digits (Prarit Bhargava) [RHEL-25415]
- perf/x86/intel/cstate: Add Grand Ridge support (Prarit Bhargava) [RHEL-25415]
- perf/x86/intel/cstate: Add Sierra Forest support (Prarit Bhargava) [RHEL-25415]
- x86/smp: Export symbol cpu_clustergroup_mask() (Prarit Bhargava) [RHEL-25415]
- perf/x86/intel/cstate: Cleanup duplicate attr_groups (Prarit Bhargava) [RHEL-25415]
- x86/mce: Remove redundant check from mce_device_create() (Prarit Bhargava) [RHEL-25415]
- x86/paravirt: Make the struct paravirt_patch_site packed (Prarit Bhargava) [RHEL-25415]
- x86/paravirt: Use relative reference for the original instruction offset (Prarit Bhargava) [RHEL-25415]
- tools headers x86 cpufeatures: Sync with the kernel sources to pick TDX, Zen, APIC MSR fence changes (Prarit Bhargava) [RHEL-25415]
- x86/barrier: Do not serialize MSR accesses on AMD (Prarit Bhargava) [RHEL-25415]
- x86/mce: Mark fatal MCE's page as poison to avoid panic in the kdump kernel (Prarit Bhargava) [RHEL-25415]
- x86/setup: Make relocated_ramdisk a local variable of relocate_initrd() (Prarit Bhargava) [RHEL-25415]
- x86/acpi: Handle bogus MADT APIC tables gracefully (Prarit Bhargava) [RHEL-25415]
- x86/acpi: Ignore invalid x2APIC entries (Prarit Bhargava) [RHEL-25415]
- x86/i8259: Skip probing when ACPI/MADT advertises PCAT compatibility (Prarit Bhargava) [RHEL-25415]
- x86/defconfig: Enable CONFIG_DEBUG_ENTRY=y (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Prepare for minimal revision check (Prarit Bhargava) [RHEL-25415]
- x86/microcode/intel: Add a minimum required revision for late loading (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Handle "offline" CPUs correctly (Prarit Bhargava) [RHEL-25415]
- x86/apic: Provide apic_force_nmi_on_cpu() (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Protect against instrumentation (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Rendezvous and load in NMI (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Replace the all-in-one rendevous handler (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Provide new control functions (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Get rid of the schedule work indirection (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Mop up early loading leftovers (Prarit Bhargava) [RHEL-25415]
- x86/microcode/amd: Use cached microcode for AP load (Prarit Bhargava) [RHEL-25415]
- x86/microcode/amd: Cache builtin/initrd microcode early (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Remove pointless apply() invocation (Prarit Bhargava) [RHEL-25415]
- x86/microcode/amd: Cache builtin microcode too (Prarit Bhargava) [RHEL-25415]
- x86/microcode/amd: Use correct per CPU ucode_cpu_info (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Add per CPU control field (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Add per CPU result state (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Sanitize __wait_for_cpus() (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Clarify the late load logic (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Handle "nosmt" correctly (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Clean up mc_cpu_down_prep() (Prarit Bhargava) [RHEL-25415]
- x86: Enable IBT in Rust if enabled in C (Prarit Bhargava) [RHEL-25415]
- x86/callthunks: Delete unused "struct thunk_desc" (Prarit Bhargava) [RHEL-25415]
- x86/vdso: Run objtool on vdso32-setup.o (Prarit Bhargava) [RHEL-25415]
- x86/pti: Fix kernel warnings for pti= and nopti cmdline options (Prarit Bhargava) [RHEL-25415]
- x86/mm: Drop the 4 MB restriction on minimal NUMA node memory size (Prarit Bhargava) [RHEL-25415]
- KVM: x86: remove the unused assigned_dev_head from kvm_arch (Prarit Bhargava) [RHEL-25415]
- KVM: x86/mmu: Remove unnecessary ‘NULL’ values from sptep (Prarit Bhargava) [RHEL-25415]
- KVM: x86: remove always-false condition in kvmclock_sync_fn (Prarit Bhargava) [RHEL-25415]
- x86/boot/32: Temporarily map initrd for microcode loading (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Provide CONFIG_MICROCODE_INITRD32 (Prarit Bhargava) [RHEL-25415]
- x86/boot/32: Restructure mk_early_pgtbl_32() (Prarit Bhargava) [RHEL-25415]
- x86/boot/32: De-uglify the 2/3 level paging difference in mk_early_pgtbl_32() (Prarit Bhargava) [RHEL-25415]
- x86/boot: Use __pa_nodebug() in mk_early_pgtbl_32() (Prarit Bhargava) [RHEL-25415]
- x86/boot/32: Disable stackprotector and tracing for mk_early_pgtbl_32() (Prarit Bhargava) [RHEL-25415]
- UML: remove unused cmd_vdso_install (Prarit Bhargava) [RHEL-25415]
- KVM: x86: Update the variable naming in kvm_x86_ops.sched_in() (Prarit Bhargava) [RHEL-25415]
- x86/microcode/amd: Fix snprintf() format string warning in W=1 build (Prarit Bhargava) [RHEL-25415]
- KVM: x86: Use octal for file permission (Prarit Bhargava) [RHEL-25415]
- x86/head/64: Move the __head definition to <asm/init.h> (Prarit Bhargava) [RHEL-25415]
- vgacon: remove screen_info dependency (Prarit Bhargava) [RHEL-25415]
- x86/mce: Cleanup mce_usable_address() (Prarit Bhargava) [RHEL-25415]
- x86/mce: Define amd_mce_usable_address() (Prarit Bhargava) [RHEL-25415]
- x86/MCE/AMD: Split amd_mce_is_memory_error() (Prarit Bhargava) [RHEL-25415]
- x86/head/64: Add missing __head annotation to startup_64_load_idt() (Prarit Bhargava) [RHEL-25415]
- x86/head/64: Mark 'startup_gdt[]' and 'startup_gdt_descr' as __initdata (Prarit Bhargava) [RHEL-25415]
- x86/entry/32: Clean up syscall fast exit tests (Prarit Bhargava) [RHEL-25415]
- x86/entry/64: Use TASK_SIZE_MAX for canonical RIP test (Prarit Bhargava) [RHEL-25415]
- x86/entry/64: Convert SYSRET validation tests to C (Prarit Bhargava) [RHEL-25415]
- x86/alternatives: Disable KASAN in apply_alternatives() (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Fix the AMD Fam 17h, Fam 19h, Zen2 and Zen4 MSR enumerations (Prarit Bhargava) [RHEL-25415]
- hardening: x86: drop reference to removed config AMD_IOMMU_V2 (Prarit Bhargava) [RHEL-25415]
- hardening: Provide Kconfig fragments for basic options (Prarit Bhargava) [RHEL-25415]
- sched/topology: Rename 'DIE' domain to 'PKG' (Prarit Bhargava) [RHEL-25415]
- x86/nmi: Fix out-of-order NMI nesting checks & false positive warning (Prarit Bhargava) [RHEL-25415]
- x86/msi: Fix compile error caused by CONFIG_GENERIC_MSI_IRQ=y && !CONFIG_X86_LOCAL_APIC (Prarit Bhargava) [RHEL-25415]
- cpu-hotplug: Provide prototypes for arch CPU registration (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Fix AMD erratum #1485 on Zen4-based CPUs (Prarit Bhargava) [RHEL-25415]
- x86/sev: Drop unneeded #include (Prarit Bhargava) [RHEL-25415]
- KVM: VMX: drop IPAT in memtype when CD=1 for KVM_X86_QUIRK_CD_NW_CLEARED (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Provide debug interface (Prarit Bhargava) [RHEL-25415]
- x86/cpu/topology: Cure the abuse of cpuinfo for persisting logical ids (Prarit Bhargava) [RHEL-25415]
- x86/apic, x86/hyperv: Use u32 in hv_snp_boot_ap() too (Prarit Bhargava) [RHEL-25415]
- x86/apic: Use u32 for wakeup_secondary_cpu[_64]() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Use u32 for [gs]et_apic_id() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Use u32 for phys_pkg_id() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Use u32 for cpu_present_to_apicid() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Use u32 for check_apicid_used() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Use u32 for APIC IDs in global data (Prarit Bhargava) [RHEL-25415]
- x86/apic: Use BAD_APICID consistently (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Move cpu_l[l2]c_id into topology info (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Move logical package and die IDs into topology info (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Remove pointless evaluation of x86_coreid_bits (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Move cu_id into topology info (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Move cpu_core_id into topology info (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Move cpu_die_id into topology info (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Move phys_proc_id into topology info (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Encapsulate topology information in cpuinfo_x86 (Prarit Bhargava) [RHEL-25415]
- x86/cpu/hygon: Fix the CPU topology evaluation for real (Prarit Bhargava) [RHEL-25415]
- KVM: x86/mmu: Zap SPTEs on MTRR update iff guest MTRRs are honored (Prarit Bhargava) [RHEL-25415]
- KVM: x86/mmu: Zap SPTEs when CR0.CD is toggled iff guest MTRRs are honored (Prarit Bhargava) [RHEL-25415]
- locking/atomic/x86: Introduce arch_sync_try_cmpxchg() (Prarit Bhargava) [RHEL-25415]
- perf/x86/cstate: Allow reading the package statistics from local CPU (Prarit Bhargava) [RHEL-25415]
- perf/x86/intel/pt: Fix kernel-doc comments (Prarit Bhargava) [RHEL-25415]
- perf/x86/rapl: Annotate 'struct rapl_pmus' with __counted_by (Prarit Bhargava) [RHEL-25415]
- x86/entry/32: Remove SEP test for SYSEXIT (Prarit Bhargava) [RHEL-25415]
- x86/entry/32: Convert do_fast_syscall_32() to bool return type (Prarit Bhargava) [RHEL-25415]
- x86/entry/compat: Combine return value test from syscall handler (Prarit Bhargava) [RHEL-25415]
- x86/entry/64: Remove obsolete comment on tracing vs. SYSRET (Prarit Bhargava) [RHEL-25415]
- KVM: x86/xen: ignore the VCPU_SSHOTTMR_future flag (Prarit Bhargava) [RHEL-25415]
- KVM: x86: Add SBPB support (Prarit Bhargava) [RHEL-25415]
- KVM: x86: Add IBPB_BRTYPE support (Prarit Bhargava) [RHEL-25415]
- KVM: x86/xen: Use fast path for Xen timer delivery (Prarit Bhargava) [RHEL-25415]
- locking/local, arch: Rewrite local_add_unless() as a static inline function (Prarit Bhargava) [RHEL-25415]
- x86/lib: Address kernel-doc warnings (Prarit Bhargava) [RHEL-25415]
- x86/fpu/xstate: Address kernel-doc warning (Prarit Bhargava) [RHEL-25415]
- perf/x86/rapl: Use local64_try_cmpxchg in rapl_event_update() (Prarit Bhargava) [RHEL-25415]
- perf/x86/rapl: Stop doing cpu_relax() in the local64_cmpxchg() loop in rapl_event_update() (Prarit Bhargava) [RHEL-25415]
- syscalls: Cleanup references to sys_lookup_dcookie() (Prarit Bhargava) [RHEL-25415]
- x86/sev: Make boot_ghcb_page[] static (Prarit Bhargava) [RHEL-25415]
- x86/boot: Harmonize the style of array-type parameter for fixup_pointer() calls (Prarit Bhargava) [RHEL-25415]
- x86/boot: Fix incorrect startup_gdt_descr.size (Prarit Bhargava) [RHEL-25415]
- x86/numa: Add Devicetree support (Prarit Bhargava) [RHEL-25415]
- x86/of: Move the x86_flattree_get_config() call out of x86_dtb_init() (Prarit Bhargava) [RHEL-25415]
- x86/cpu/amd: Remove redundant 'break' statement (Prarit Bhargava) [RHEL-25415]
- x86/sev-es: Allow copy_from_kernel_nofault() in earlier boot (Prarit Bhargava) [RHEL-25415]
- x86/boot: Compile boot code with -std=gnu11 too (Prarit Bhargava) [RHEL-25415]
- x86/srso: Add SRSO mitigation for Hygon processors (Prarit Bhargava) [RHEL-25415]
- KVM: x86: Force TLB flush on userspace changes to special registers (Prarit Bhargava) [RHEL-25415]
- KVM: x86: Remove redundant vcpu->arch.cr0 assignments (Prarit Bhargava) [RHEL-25415]
- x86/entry: Fix typos in comments (Prarit Bhargava) [RHEL-25415]
- x86/entry: Remove unused argument %%rsi passed to exc_nmi() (Prarit Bhargava) [RHEL-25415]
- iov_iter, x86: Be consistent about the __user tag on copy_mc_to_user() (Prarit Bhargava) [RHEL-25415]
- x86_64: Show CR4.PSE on auxiliaries like on BSP (Prarit Bhargava) [RHEL-25415]
- x86/platform/uv: Annotate struct uv_rtc_timer_head with __counted_by (Prarit Bhargava) [RHEL-25415]
- x86/kgdb: Fix a kerneldoc warning when build with W=1 (Prarit Bhargava) [RHEL-25415]
- x86/speculation, objtool: Use absolute relocations for annotations (Prarit Bhargava) [RHEL-25415]
- x86/bitops: Remove unused __sw_hweight64() assembly implementation on x86-32 (Prarit Bhargava) [RHEL-25415]
- x86/mm: Move arch_memory_failure() and arch_is_platform_page() definitions from <asm/processor.h> to <asm/pgtable.h> (Prarit Bhargava) [RHEL-25415]
- x86/platform/uv/apic: Clean up inconsistent indenting (Prarit Bhargava) [RHEL-25415]
- x86/percpu: Do not clobber %%rsi in percpu_{try_,}cmpxchg{64,128}_op (Prarit Bhargava) [RHEL-25415]
- x86/unwind/orc: Remove redundant initialization of 'mid' pointer in __orc_find() (Prarit Bhargava) [RHEL-25415]
- bpf: Disable exceptions when CONFIG_UNWINDER_FRAME_POINTER=y (Prarit Bhargava) [RHEL-25415]
- x86/xen: allow nesting of same lazy mode (Prarit Bhargava) [RHEL-25415]
- x86/xen: move paravirt lazy code (Prarit Bhargava) [RHEL-25415]
- xen/efi: refactor deprecated strncpy (Prarit Bhargava) [RHEL-25415]
- xen: simplify evtchn_do_upcall() call maze (Prarit Bhargava) [RHEL-25415]
- locking/lockref/x86: Enable ARCH_USE_CMPXCHG_LOCKREF for X86_CMPXCHG64 (Prarit Bhargava) [RHEL-25415]
- x86/mm, kexec, ima: Use memblock_free_late() from ima_free_kexec_buffer() (Prarit Bhargava) [RHEL-25415]
- x86/platform/uv: Rework NMI "action" modparam handling (Prarit Bhargava) [RHEL-25415]
- x86/purgatory: Remove LTO flags (Prarit Bhargava) [RHEL-25415]
- arch/x86: Implement arch_bpf_stack_walk (Prarit Bhargava) [RHEL-25415]
- bpf: Use bpf_is_subprog to check for subprogs (Prarit Bhargava) [RHEL-25415]
- x86/percpu: Use raw_cpu_try_cmpxchg() in preempt_count_set() (Prarit Bhargava) [RHEL-25415]
- x86/percpu: Define raw_cpu_try_cmpxchg and this_cpu_try_cmpxchg() (Prarit Bhargava) [RHEL-25415]
- x86/percpu: Define {raw,this}_cpu_try_cmpxchg{64,128} (Prarit Bhargava) [RHEL-25415]
- x86/numa: Introduce numa_fill_memblks() (Prarit Bhargava) [RHEL-25415]
- bpf, x64: Comment tail_call_cnt initialisation (Prarit Bhargava) [RHEL-25415]
- x86/ibt: Avoid duplicate ENDBR in __put_user_nocheck*() (Prarit Bhargava) [RHEL-25415]
- x86/ibt: Suppress spurious ENDBR (Prarit Bhargava) [RHEL-25415]
- perf/x86/intel: Extend the ref-cycles event to GP counters (Prarit Bhargava) [RHEL-25415]
- perf/x86/intel: Fix broken fixed event constraints extension (Prarit Bhargava) [RHEL-25415]
- efi/x86: Move EFI runtime call setup/teardown helpers out of line (Prarit Bhargava) [RHEL-25415]
- efi/x86: Ensure that EFI_RUNTIME_MAP is enabled for kexec (Prarit Bhargava) [RHEL-25415]
- x86/asm/bitops: Use __builtin_clz{l|ll} to evaluate constant expressions (Prarit Bhargava) [RHEL-25415]
- x86: Remove the arch_calc_vm_prot_bits() macro from the UAPI (Prarit Bhargava) [RHEL-25415]
- x86/build: Fix linker fill bytes quirk/incompatibility for ld.lld (Prarit Bhargava) [RHEL-25415]
- x86/irq/i8259: Fix kernel-doc annotation warning (Prarit Bhargava) [RHEL-25415]
- x86/audit: Fix -Wmissing-variable-declarations warning for ia32_xyz_class (Prarit Bhargava) [RHEL-25415]
- perf/x86/intel: Add common intel_pmu_init_hybrid() (Prarit Bhargava) [RHEL-25415]
- perf/x86/intel: Clean up the hybrid CPU type handling code (Prarit Bhargava) [RHEL-25415]
- perf/x86/intel: Apply the common initialization code for ADL (Prarit Bhargava) [RHEL-25415]
- perf/x86/intel: Factor out the initialization code for ADL e-core (Prarit Bhargava) [RHEL-25415]
- perf/x86/intel: Factor out the initialization code for SPR (Prarit Bhargava) [RHEL-25415]
- perf/x86/intel: Use the common uarch name for the shared functions (Prarit Bhargava) [RHEL-25415]
- um: Hard-code the result of 'uname -s' (Prarit Bhargava) [RHEL-25415]
- um: Use the x86 checksum implementation on 32-bit (Prarit Bhargava) [RHEL-25415]
- um: Remove unsued extern declaration ldt_host_info() (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Remove remaining references to CONFIG_MICROCODE_AMD (Prarit Bhargava) [RHEL-25415]
- x86/platform/uv: Refactor code using deprecated strncpy() interface to use strscpy() (Prarit Bhargava) [RHEL-25415]
- x86/hpet: Refactor code using deprecated strncpy() interface to use strscpy() (Prarit Bhargava) [RHEL-25415]
- x86/platform/uv: Refactor code using deprecated strcpy()/strncpy() interfaces to use strscpy() (Prarit Bhargava) [RHEL-25415]
- efi/runtime-wrappers: Remove duplicated macro for service returning void (Prarit Bhargava) [RHEL-25415]
- sections: move and rename core_kernel_data() to is_kernel_core_data() (Prarit Bhargava) [RHEL-25415]
- x86/xen: Make virt_to_pfn() a static inline (Prarit Bhargava) [RHEL-25415]
- xen: remove a confusing comment on auto-translated guest I/O (Prarit Bhargava) [RHEL-25415]
- x86/kernel: increase kcov coverage under arch/x86/kernel folder (Prarit Bhargava) [RHEL-25415]
- range.h: Move resource API and constant to respective files (Prarit Bhargava) [RHEL-25415]
- genetlink: replace custom CONCATENATE() implementation (Prarit Bhargava) [RHEL-25415]
- x86/MCE: Always save CS register on AMD Zen IF Poison errors (Prarit Bhargava) [RHEL-25415]
- x86/ibt: Convert IBT selftest to asm (Prarit Bhargava) [RHEL-25415]
- x86/mm: Remove unused microcode.h include (Prarit Bhargava) [RHEL-25415]
- locking: remove spin_lock_prefetch (Prarit Bhargava) [RHEL-25415]
- x86/mm: Fix VDSO and VVAR placement on 5-level paging machines (Prarit Bhargava) [RHEL-25415]
- ACPI: resource: Honor MADT INT_SRC_OVR settings for IRQ1 on AMD Zen (Prarit Bhargava) [RHEL-25415]
- x86/apic: Wrap IPI calls into helper functions (Prarit Bhargava) [RHEL-25415]
- x86/apic: Turn on static calls (Prarit Bhargava) [RHEL-25415]
- x86/apic: Provide static call infrastructure for APIC callbacks (Prarit Bhargava) [RHEL-25415]
- x86/apic: Mark all hotpath APIC callback wrappers __always_inline (Prarit Bhargava) [RHEL-25415]
- x86/xen/apic: Mark apic __ro_after_init (Prarit Bhargava) [RHEL-25415]
- x86/apic: Convert other overrides to apic_update_callback() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Replace acpi_wake_cpu_handler_update() and apic_set_eoi_cb() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Provide apic_update_callback() (Prarit Bhargava) [RHEL-25415]
- x86/xen/apic: Use standard apic driver mechanism for Xen PV (Prarit Bhargava) [RHEL-25415]
- x86/apic: Nuke ack_APIC_irq() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Provide common init infrastructure (Prarit Bhargava) [RHEL-25415]
- x86/apic: Wrap apic->native_eoi() into a helper (Prarit Bhargava) [RHEL-25415]
- x86/apic: Remove pointless arguments from [native_]eoi_write() (Prarit Bhargava) [RHEL-25415]
- x86/apic/noop: Tidy up the code (Prarit Bhargava) [RHEL-25415]
- x86/apic: Remove pointless NULL initializations (Prarit Bhargava) [RHEL-25415]
- x86/apic: Sanitize APIC ID range validation (Prarit Bhargava) [RHEL-25415]
- x86/apic: Prepare x2APIC for using apic::max_apic_id (Prarit Bhargava) [RHEL-25415]
- x86/apic: Add max_apic_id member (Prarit Bhargava) [RHEL-25415]
- x86/apic: Simplify X2APIC ID validation (Prarit Bhargava) [RHEL-25415]
- x86/apic: Wrap APIC ID validation into an inline (Prarit Bhargava) [RHEL-25415]
- x86/apic/64: Uncopypaste probing (Prarit Bhargava) [RHEL-25415]
- x86/apic/x2apic: Share all common IPI functions (Prarit Bhargava) [RHEL-25415]
- x86/apic/uv: Get rid of wrapper callbacks (Prarit Bhargava) [RHEL-25415]
- x86/apic: Move safe wait_icr_idle() next to apic_mem_wait_icr_idle() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Allow apic::safe_wait_icr_idle() to be NULL (Prarit Bhargava) [RHEL-25415]
- x86/apic: Allow apic::wait_icr_idle() to be NULL (Prarit Bhargava) [RHEL-25415]
- x86/apic: Consolidate wait_icr_idle() implementations (Prarit Bhargava) [RHEL-25415]
- x86/apic/ipi: Tidy up the code and fixup comments (Prarit Bhargava) [RHEL-25415]
- x86/apic: Mop up apic::apic_id_registered() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Mop up *setup_apic_routing() (Prarit Bhargava) [RHEL-25415]
- x86/ioapic/32: Decrapify phys_id_present_map operation (Prarit Bhargava) [RHEL-25415]
- x86/apic: Nuke apic::apicid_to_cpu_present() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Nuke empty init_apic_ldr() callbacks (Prarit Bhargava) [RHEL-25415]
- x86/apic/32: Decrapify the def_bigsmp mechanism (Prarit Bhargava) [RHEL-25415]
- x86/apic/32: Remove bigsmp_cpu_present_to_apicid() (Prarit Bhargava) [RHEL-25415]
- x86/apic/32: Remove pointless default_acpi_madt_oem_check() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Mop up early_per_cpu() abuse (Prarit Bhargava) [RHEL-25415]
- x86/apic/ipi: Code cleanup (Prarit Bhargava) [RHEL-25415]
- x86/apic/32: Remove x86_cpu_to_logical_apicid (Prarit Bhargava) [RHEL-25415]
- x86/apic/32: Sanitize logical APIC ID handling (Prarit Bhargava) [RHEL-25415]
- x86/apic: Remove check_phys_apicid_present() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Get rid of apic_phys (Prarit Bhargava) [RHEL-25415]
- x86/apic: Nuke another processor check (Prarit Bhargava) [RHEL-25415]
- x86/apic: Sanitize num_processors handling (Prarit Bhargava) [RHEL-25415]
- x86/xen/pv: Pretend that it found SMP configuration (Prarit Bhargava) [RHEL-25415]
- x86/apic: Sanitize APIC address setup (Prarit Bhargava) [RHEL-25415]
- x86/apic: Split register_apic_address() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Make some APIC init functions bool (Prarit Bhargava) [RHEL-25415]
- x86/of: Fix the APIC address registration (Prarit Bhargava) [RHEL-25415]
- x86/apic: Remove mpparse 'apicid' variable (Prarit Bhargava) [RHEL-25415]
- x86/apic: Remove the pointless APIC version check (Prarit Bhargava) [RHEL-25415]
- x86/apic: Register boot CPU APIC early (Prarit Bhargava) [RHEL-25415]
- x86/apic: Consolidate boot_cpu_physical_apicid initialization sites (Prarit Bhargava) [RHEL-25415]
- x86/apic: Nuke unused apic::inquire_remote_apic() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Remove unused max_physical_apicid (Prarit Bhargava) [RHEL-25415]
- x86/apic: Get rid of hard_smp_processor_id() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Remove pointless x86_bios_cpu_apicid (Prarit Bhargava) [RHEL-25415]
- x86/apic/ioapic: Rename skip_ioapic_setup (Prarit Bhargava) [RHEL-25415]
- x86/apic: Rename disable_apic (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Make identify_boot_cpu() static (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Remove unused physid_*() nonsense (Prarit Bhargava) [RHEL-25415]
- x86/linkage: Fix typo of BUILD_VDSO in asm/linkage.h (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Remove microcode_mutex (Prarit Bhargava) [RHEL-25415]
- x86/vdso: Choose the right GDT_ENTRY_CPUNODE for 32-bit getcpu() on 64-bit kernel (Prarit Bhargava) [RHEL-25415]
- x86/vector: Replace IRQ_MOVE_CLEANUP_VECTOR with a timer callback (Prarit Bhargava) [RHEL-25415]
- x86/vector: Rename send_cleanup_vector() to vector_schedule_cleanup() (Prarit Bhargava) [RHEL-25415]
- x86/MSR: make msr_class a static const structure (Prarit Bhargava) [RHEL-25415]
- x86/cpuid: make cpuid_class a static const structure (Prarit Bhargava) [RHEL-25415]
- x86/paravirt: Fix tlb_remove_table function callback prototype warning (Prarit Bhargava) [RHEL-25415]
- x86/qspinlock-paravirt: Fix missing-prototype warning (Prarit Bhargava) [RHEL-25415]
- x86/paravirt: Silence unused native_pv_lock_init() function warning (Prarit Bhargava) [RHEL-25415]
- x86/alternative: Add a __alt_reloc_selftest() prototype (Prarit Bhargava) [RHEL-25415]
- x86/purgatory: Include header for warn() declaration (Prarit Bhargava) [RHEL-25415]
- efi: memmap: Remove kernel-doc warnings (Prarit Bhargava) [RHEL-25415]
- x86/asm: Avoid unneeded __div64_32 function definition (Prarit Bhargava) [RHEL-25415]
- x86/kbuild: Fix Documentation/ reference (Prarit Bhargava) [RHEL-25415]
- x86: Add PTRACE interface for shadow stack (Prarit Bhargava) [RHEL-25415]
- x86/cpufeatures: Enable CET CR4 bit for shadow stack (Prarit Bhargava) [RHEL-25415]
- x86: Expose thread features in /proc/$PID/status (Prarit Bhargava) [RHEL-25415]
- x86/fpu: Add helper for modifying xstate (Prarit Bhargava) [RHEL-25415]
- x86/fpu/xstate: Introduce CET MSR and XSAVES supervisor states (Prarit Bhargava) [RHEL-25415]
- x86: always initialize xen-swiotlb when xen-pcifront is enabling (Prarit Bhargava) [RHEL-25415]
- xen/pci: add flag for PCI passthrough being possible (Prarit Bhargava) [RHEL-25415]
- x86/apic: Hide unused safe_smp_processor_id() on 32-bit UP (Prarit Bhargava) [RHEL-25415]
- x86/APM: drop the duplicate APM_MINOR_DEV macro (Prarit Bhargava) [RHEL-25415]
- arch/*/configs/*defconfig: Replace AUTOFS4_FS by AUTOFS_FS (Prarit Bhargava) [RHEL-25415]
- x86/smp: Remove a non-existent function declaration (Prarit Bhargava) [RHEL-25415]
- x86/smpboot: Remove a stray comment about CPU hotplug (Prarit Bhargava) [RHEL-25415]
- x86/hyperv: Disable IBT when hypercall page lacks ENDBR instruction (Prarit Bhargava) [RHEL-25415]
- x86/MCE/AMD: Decrement threshold_bank refcount when removing threshold blocks (Prarit Bhargava) [RHEL-25415]
- x86/mm: Fix PAT bit missing from page protection modify mask (Prarit Bhargava) [RHEL-25415]
- x86/PCI: Use struct_size() in pirq_convert_irt_table() (Prarit Bhargava) [RHEL-25415]
- x86/mm: Introduce MAP_ABOVE4G (Prarit Bhargava) [RHEL-25415]
- x86/mm: Warn if create Write=0,Dirty=1 with raw prot (Prarit Bhargava) [RHEL-25415]
- x86/mm: Remove _PAGE_DIRTY from kernel RO pages (Prarit Bhargava) [RHEL-25415]
- mm: Make pte_mkwrite() take a VMA (Prarit Bhargava) [RHEL-25415]
- x86/mm: Start actually marking _PAGE_SAVED_DIRTY (Prarit Bhargava) [RHEL-25415]
- x86/mm: Update ptep/pmdp_set_wrprotect() for _PAGE_SAVED_DIRTY (Prarit Bhargava) [RHEL-25415]
- x86/mm: Introduce _PAGE_SAVED_DIRTY (Prarit Bhargava) [RHEL-25415]
- x86/mm: Move pmd_write(), pud_write() up in the file (Prarit Bhargava) [RHEL-25415]
- x86/cpufeatures: Add CPU feature flags for shadow stacks (Prarit Bhargava) [RHEL-25415]
- x86/traps: Move control protection handler to separate file (Prarit Bhargava) [RHEL-25415]
- x86/shstk: Add Kconfig option for shadow stack (Prarit Bhargava) [RHEL-25415]
- mm: Move pte/pmd_mkwrite() callers with no VMA to _novma() (Prarit Bhargava) [RHEL-25415]
- mm: Rename arch pte_mkwrite()'s to pte_mkwrite_novma() (Prarit Bhargava) [RHEL-25415]
- x86/cfi: Only define poison_cfi() if CONFIG_X86_KERNEL_IBT=y (Prarit Bhargava) [RHEL-25415]
- locking/generic: Wire up local{,64}_try_cmpxchg() (Prarit Bhargava) [RHEL-25415]
- x86/ftrace: Remove unsued extern declaration ftrace_regs_caller_ret() (Prarit Bhargava) [RHEL-25415]
- locking/arch: Avoid variable shadowing in local_try_cmpxchg() (Prarit Bhargava) [RHEL-25415]
- perf/x86: Use local64_try_cmpxchg (Prarit Bhargava) [RHEL-25415]
- x86/fineibt: Poison ENDBR at +0 (Prarit Bhargava) [RHEL-25415]
- x86/32: Remove schedule_tail_wrapper() (Prarit Bhargava) [RHEL-25415]
- x86/cfi: Extend ENDBR sealing to kCFI (Prarit Bhargava) [RHEL-25415]
- x86/cfi: Extend {JMP,CAKK}_NOSPEC comment (Prarit Bhargava) [RHEL-25415]
- x86/alternative: Rename apply_ibt_endbr() (Prarit Bhargava) [RHEL-25415]
- x86/Xen: tidy xen-head.S (Prarit Bhargava) [RHEL-25415]
- x86: xen: add missing prototypes (Prarit Bhargava) [RHEL-25415]
- x86/xen: add prototypes for paravirt mmu functions (Prarit Bhargava) [RHEL-25415]
- iscsi_ibft: Fix finding the iBFT under Xen Dom 0 (Prarit Bhargava) [RHEL-25415]
- xen: xen_debug_interrupt prototype to global header (Prarit Bhargava) [RHEL-25415]
- x86/acpi: Remove unused extern declaration acpi_copy_wakeup_routine() (Prarit Bhargava) [RHEL-25415]
- x86/ftrace: Enable HAVE_FUNCTION_GRAPH_RETVAL (Prarit Bhargava) [RHEL-25415]
- x86/smp: Split sending INIT IPI out into a helper function (Prarit Bhargava) [RHEL-25415]
- x86/smp: Cure kexec() vs. mwait_play_dead() breakage (Prarit Bhargava) [RHEL-25415]
- x86/smp: Use dedicated cache-line for mwait_play_dead() (Prarit Bhargava) [RHEL-25415]
- x86/smp: Remove pointless wmb()s from native_stop_other_cpus() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Fix kernel panic when booting with intremap=off and x2apic_phys (Prarit Bhargava) [RHEL-25415]
- x86/mm: Avoid using set_pgd() outside of real PGD pages (Prarit Bhargava) [RHEL-25415]
- x86/build: Avoid relocation information in final vmlinux (Prarit Bhargava) [RHEL-25415]
- x86/alternative: PAUSE is not a NOP (Prarit Bhargava) [RHEL-25415]
- x86/alternatives: Add cond_resched() to text_poke_bp_batch() (Prarit Bhargava) [RHEL-25415]
- x86/purgatory: remove PGO flags (Prarit Bhargava) [RHEL-25415]
- thread_info: move function declarations to linux/thread_info.h (Prarit Bhargava) [RHEL-25415]
- x86/orc: Make the is_callthunk() definition depend on CONFIG_BPF_JIT=y (Prarit Bhargava) [RHEL-25415]
- x86/mm: Remove Xen-PV leftovers from init_32.c (Prarit Bhargava) [RHEL-25415]
- x86/irq: Add hardcoded hypervisor interrupts to /proc/stat (Prarit Bhargava) [RHEL-25415]
- x86/entry: Move thunk restore code into thunk functions (Prarit Bhargava) [RHEL-25415]
- x86/mm: Remove repeated word in comments (Prarit Bhargava) [RHEL-25415]
- x86/lib/msr: Clean up kernel-doc notation (Prarit Bhargava) [RHEL-25415]
- x86/MCE/AMD, EDAC/mce_amd: Decode UMC_V2 ECC errors (Prarit Bhargava) [RHEL-25415]
- locking/atomic: treewide: delete arch_atomic_*() kerneldoc (Prarit Bhargava) [RHEL-25415]
- crypto: x86/ghash - use le128 instead of u128 (Prarit Bhargava) [RHEL-25415]
- cyrpto/b128ops: Remove struct u128 (Prarit Bhargava) [RHEL-25415]
- locking/atomic: x86: add preprocessor symbols (Prarit Bhargava) [RHEL-25415]
- percpu: Wire up cmpxchg128 (Prarit Bhargava) [RHEL-25415]
- types: Introduce [us]128 (Prarit Bhargava) [RHEL-25415]
- arch: Introduce arch_{,try_}_cmpxchg128{,_local}() (Prarit Bhargava) [RHEL-25415]
- x86/lib: Make get/put_user() exception handling a visible symbol (Prarit Bhargava) [RHEL-25415]
- x86/mm: Only check uniform after calling mtrr_type_lookup() (Prarit Bhargava) [RHEL-25415]
- x86/nospec: Shorten RESET_CALL_DEPTH (Prarit Bhargava) [RHEL-25415]
- x86/alternatives: Add longer 64-bit NOPs (Prarit Bhargava) [RHEL-25415]
- x86/csum: Fix clang -Wuninitialized in csum_partial() (Prarit Bhargava) [RHEL-25415]
- redhat/configs: Enable checksum_kunit (Prarit Bhargava) [RHEL-25415]
- kunit: Fix checksum tests on big endian CPUs (Prarit Bhargava) [RHEL-25415]
- x86/csum: Improve performance of `csum_partial` (Prarit Bhargava) [RHEL-25415]
- x86/platform: Avoid missing-prototype warnings for OLPC (Prarit Bhargava) [RHEL-25415]
- x86/usercopy: Include arch_wb_cache_pmem() declaration (Prarit Bhargava) [RHEL-25415]
- x86/vdso: Include vdso/processor.h (Prarit Bhargava) [RHEL-25415]
- x86/mce: Add copy_mc_fragile_handle_tail() prototype (Prarit Bhargava) [RHEL-25415]
- x86/fbdev: Include asm/fb.h as needed (Prarit Bhargava) [RHEL-25415]
- x86/entry: Add do_SYSENTER_32() prototype (Prarit Bhargava) [RHEL-25415]
- x86/quirks: Include linux/pnp.h for arch_pnpbios_disabled() (Prarit Bhargava) [RHEL-25415]
- x86/mm: Include asm/numa.h for set_highmem_pages_init() (Prarit Bhargava) [RHEL-25415]
- x86: Avoid missing-prototype warnings for doublefault code (Prarit Bhargava) [RHEL-25415]
- x86/fpu: Include asm/fpu/regset.h (Prarit Bhargava) [RHEL-25415]
- x86: Add dummy prototype for mk_early_pgtbl_32() (Prarit Bhargava) [RHEL-25415]
- x86/pci: Mark local functions as 'static' (Prarit Bhargava) [RHEL-25415]
- x86/ftrace: Move prepare_ftrace_return prototype to header (Prarit Bhargava) [RHEL-25415]
- efivarfs: fix statfs() on efivarfs (Prarit Bhargava) [RHEL-25415]
- efivarfs: expose used and total size (Prarit Bhargava) [RHEL-25415]
- procfs: consolidate arch_report_meminfo declaration (Prarit Bhargava) [RHEL-25415]
- x86/unwind/orc: Add 'unwind_debug' cmdline option (Prarit Bhargava) [RHEL-25415]
- x86/unwind/orc: Use swap() instead of open coding it (Prarit Bhargava) [RHEL-25415]
- x86/MCE: Check a hw error's address to determine proper recovery action (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Remove X86_FEATURE_NAMES (Prarit Bhargava) [RHEL-25415]
- x86/Kconfig: Make X86_FEATURE_NAMES non-configurable in prompt (Prarit Bhargava) [RHEL-25415]
- x86/alternatives: Fix section mismatch warnings (Prarit Bhargava) [RHEL-25415]
- x86/alternative: Complicate optimize_nops() some more (Prarit Bhargava) [RHEL-25415]
- x86/alternative: Rewrite optimize_nops() some (Prarit Bhargava) [RHEL-25415]
- efi: x86: make kobj_type structure constant (Prarit Bhargava) [RHEL-25415]
- x86/lib/memmove: Decouple ERMS from FSRM (Prarit Bhargava) [RHEL-25415]
- x86/alternatives: Disable interrupts and sync when optimizing NOPs in place (Prarit Bhargava) [RHEL-25415]
- x86/alternative: Support relocations in alternatives (Prarit Bhargava) [RHEL-25415]
- x86/alternative: Make debug-alternative selective (Prarit Bhargava) [RHEL-25415]
- x86/coco: Define cc_vendor without CONFIG_ARCH_HAS_CC_PLATFORM (Prarit Bhargava) [RHEL-25415]
- x86/coco: Get rid of accessor functions (Prarit Bhargava) [RHEL-25415]
- x86/mm: Fix __swp_entry_to_pte() for Xen PV guests (Prarit Bhargava) [RHEL-25415]
- x86/sev: Get rid of special sev_es_enable_key (Prarit Bhargava) [RHEL-25415]
- Change DEFINE_SEMAPHORE() to take a number argument (Prarit Bhargava) [RHEL-25415]
- fbdev: Simplify fb_is_primary_device for x86 (Prarit Bhargava) [RHEL-25415]
- efi: Add mixed-mode thunk recipe for GetMemoryAttributes (Prarit Bhargava) [RHEL-25415]
- x86: Suppress KMSAN reports in arch_within_stack_frames() (Prarit Bhargava) [RHEL-25415]
- efi: x86: Move EFI runtime map sysfs code to arch/x86 (Prarit Bhargava) [RHEL-25415]
- efi: xen: Set EFI_PARAVIRT for Xen dom0 boot on all architectures (Prarit Bhargava) [RHEL-25415]
- objtool: Add --mnop as an option to --mcount (Prarit Bhargava) [RHEL-25415]
- stackprotector: actually use get_random_canary() (Prarit Bhargava) [RHEL-25415]
- stackprotector: move get_random_canary() into stackprotector.h (Prarit Bhargava) [RHEL-25415]
- xen/virtio: enable grant based virtio on x86 (Prarit Bhargava) [RHEL-25415]
- x86/xen: Add support for HVMOP_set_evtchn_upcall_vector (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Use MSR_IA32_MISC_ENABLE constants (Prarit Bhargava) [RHEL-25415]
- x86/rdrand: Remove "nordrand" flag in favor of "random.trust_cpu" (Prarit Bhargava) [RHEL-25415]
- jump_label: s390: avoid pointless initial NOP patching (Prarit Bhargava) [RHEL-25415]
- s390/jump_label: rename __jump_label_transform() (Prarit Bhargava) [RHEL-25415]
- jump_label: make initial NOP patching the special case (Prarit Bhargava) [RHEL-25415]
- jump_label: mips: move module NOP patching into arch code (Prarit Bhargava) [RHEL-25415]
- arch: make TRACE_IRQFLAGS_NMI_SUPPORT generic (Prarit Bhargava) [RHEL-25415]
- x86/vmware: Use BIT() macro for shifting (Prarit Bhargava) [RHEL-25415]
- x86/pmem: Fix platform-device leak in error path (Prarit Bhargava) [RHEL-25415]
- efi: Make code to find mirrored memory ranges generic (Prarit Bhargava) [RHEL-25415]
- x86/crypto: Remove stray comment terminator (Prarit Bhargava) [RHEL-25415]
- treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_179.RULE (Prarit Bhargava) [RHEL-25415]
- x86: Fix comment for X86_FEATURE_ZEN (Prarit Bhargava) [RHEL-25415]
- fork: Generalize PF_IO_WORKER handling (Prarit Bhargava) [RHEL-25415]
- x86/split-lock: Remove unused TIF_SLD bit (Prarit Bhargava) [RHEL-25415]
- crypto: x86 - eliminate anonymous module_init & module_exit (Prarit Bhargava) [RHEL-25415]
- xen/x2apic: enable x2apic mode when supported for HVM (Prarit Bhargava) [RHEL-25415]
- locking/atomic: Add generic try_cmpxchg{,64}_local() support (Prarit Bhargava) [RHEL-25415]
- x86/pci/xen: populate MSI sysfs entries (Prarit Bhargava) [RHEL-25415]
- x86-64: mm: clarify the 'positive addresses' user address rules (Prarit Bhargava) [RHEL-25415]
- x86: mm: remove architecture-specific 'access_ok()' define (Prarit Bhargava) [RHEL-25415]
- locking/x86: Define arch_try_cmpxchg_local() (Prarit Bhargava) [RHEL-25415]
- locking/arch: Wire up local_try_cmpxchg() (Prarit Bhargava) [RHEL-25415]
- um: make stub data pages size tweakable (Prarit Bhargava) [RHEL-25415]
- x86: set FSRS automatically on AMD CPUs that have FSRM (Prarit Bhargava) [RHEL-25415]
- tools headers: Update the copy of x86's mem{cpy,set}_64.S used in 'perf bench' (Prarit Bhargava) [RHEL-25415]
- scsi: message: fusion: Mark mpt_halt_firmware() __noreturn (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Mark {hlt,resume}_play_dead() __noreturn (Prarit Bhargava) [RHEL-25415]
- objtool: Include weak functions in global_noreturns check (Prarit Bhargava) [RHEL-25415]
- cpu: Mark nmi_panic_self_stop() __noreturn (Prarit Bhargava) [RHEL-25415]
- cpu: Mark panic_smp_self_stop() __noreturn (Prarit Bhargava) [RHEL-25415]
- arm64/cpu: Mark cpu_park_loop() and friends __noreturn (Prarit Bhargava) [RHEL-25415]
- x86/head: Mark *_start_kernel() __noreturn (Prarit Bhargava) [RHEL-25415]
- init: Mark start_kernel() __noreturn (Prarit Bhargava) [RHEL-25415]
- init: Mark [arch_call_]rest_init() __noreturn (Prarit Bhargava) [RHEL-25415]
- x86/linkage: Fix padding for typed functions (Prarit Bhargava) [RHEL-25415]
- x86/mm/dump_pagetables: remove MODULE_LICENSE in non-modules (Prarit Bhargava) [RHEL-25415]
- crypto: blake2s: remove module_init and module.h inclusion (Prarit Bhargava) [RHEL-25415]
- crypto: remove MODULE_LICENSE in non-modules (Prarit Bhargava) [RHEL-25415]
- x86/ioapic: Don't return 0 from arch_dynirq_lower_bound() (Prarit Bhargava) [RHEL-25415]
- purgatory: fix disabling debug info (Prarit Bhargava) [RHEL-25415]
- x86/apic: Fix atomic update of offset in reserve_eilvt_offset() (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Add model number for Intel Arrow Lake processor (Prarit Bhargava) [RHEL-25415]
- x86,objtool: Introduce ORC_TYPE_* (Prarit Bhargava) [RHEL-25415]
- objtool: Change UNWIND_HINT() argument order (Prarit Bhargava) [RHEL-25415]
- objtool: Use relative pointers for annotations (Prarit Bhargava) [RHEL-25415]
- x86/arch_prctl: Add AMX feature numbers as ABI constants (Prarit Bhargava) [RHEL-25415]
- x86: Simplify one-level sysctl registration for itmt_kern_table (Prarit Bhargava) [RHEL-25415]
- x86: Simplify one-level sysctl registration for abi_table2 (Prarit Bhargava) [RHEL-25415]
- x86/ACPI/boot: Improve __acpi_acquire_global_lock (Prarit Bhargava) [RHEL-25415]
- x86/platform/intel-mid: Remove unused definitions from intel-mid.h (Prarit Bhargava) [RHEL-25415]
- vdso: Improve cmd_vdso_check to check all dynamic relocations (Prarit Bhargava) [RHEL-25415]
- ftrace: selftest: remove broken trace_direct_tramp (Prarit Bhargava) [RHEL-25415]
- x86/smpboot: Reference count on smpboot_setup_warm_reset_vector() (Prarit Bhargava) [RHEL-25415]
- x86/paravirt: Convert simple paravirt functions to asm (Prarit Bhargava) [RHEL-25415]
- x86/uaccess: Remove memcpy_page_flushcache() (Prarit Bhargava) [RHEL-25415]
- x86/mm: Fix use of uninitialized buffer in sme_enable() (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Expose arch_cpu_idle_dead()'s prototype definition (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Mark play_dead() __noreturn (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Make sure play_dead() doesn't return (Prarit Bhargava) [RHEL-25415]
- KVM: x86: Give a hint when Win2016 might fail to boot due to XSAVES erratum (Prarit Bhargava) [RHEL-25415]
- x86/CPU/AMD: Disable XSAVES on AMD family 0x17 (Prarit Bhargava) [RHEL-25415]
- x86/mce: Always inline old MCA stubs (Prarit Bhargava) [RHEL-25415]
- x86/MCE/AMD: Make kobj_type structure constant (Prarit Bhargava) [RHEL-25415]
- x86/paravirt: Merge activate_mm() and dup_mmap() callbacks (Prarit Bhargava) [RHEL-25415]
- x86/entry: Change stale function name in comment to error_return() (Prarit Bhargava) [RHEL-25415]
- xen: update arch/x86/include/asm/xen/cpuid.h (Prarit Bhargava) [RHEL-25415]
- x86/alternatives: Do not use integer constant suffixes in inline asm (Prarit Bhargava) [RHEL-25415]
- um: Only disable SSE on clang to work around old GCC bugs (Prarit Bhargava) [RHEL-25415]
- x86/PVH: avoid 32-bit build warning when obtaining VGA console info (Prarit Bhargava) [RHEL-25415]
- x86/PVH: obtain VGA console info in Dom0 (Prarit Bhargava) [RHEL-25415]
- ftrace,kcfi: Define ftrace_stub_graph conditionally (Prarit Bhargava) [RHEL-25415]
- arm64: ftrace: Define ftrace_stub_graph only with FUNCTION_GRAPH_TRACER (Prarit Bhargava) [RHEL-25415]
- objtool: Fix ORC 'signal' propagation (Prarit Bhargava) [RHEL-25415]
- x86: Fix FILL_RETURN_BUFFER (Prarit Bhargava) [RHEL-25415]
- x86/xen/time: cleanup xen_tsc_safe_clocksource (Prarit Bhargava) [RHEL-25415]
- x86/kprobes: Fix arch_check_optimized_kprobe check within optimized_kprobe range (Prarit Bhargava) [RHEL-25415]
- x86/kprobes: Fix __recover_optprobed_insn check optimizing logic (Prarit Bhargava) [RHEL-25415]
- x86/Xen: drop leftover VM-assist uses (Prarit Bhargava) [RHEL-25415]
- x86/build: Make 64-bit defconfig the default (Prarit Bhargava) [RHEL-25415]
- x86/hotplug: Remove incorrect comment about mwait_play_dead() (Prarit Bhargava) [RHEL-25415]
- char/agp: consolidate {alloc,free}_gatt_pages() (Prarit Bhargava) [RHEL-25415]
- um: Support LTO (Prarit Bhargava) [RHEL-25415]
- x86/xen/time: prefer tsc as clocksource when it is invariant (Prarit Bhargava) [RHEL-25415]
- x86/xen: mark xen_pv_play_dead() as __noreturn (Prarit Bhargava) [RHEL-25415]
- tick/nohz: Remove unused tick_nohz_idle_stop_tick_protected() (Prarit Bhargava) [RHEL-25415]
- x86/xen: don't let xen_pv_play_dead() return (Prarit Bhargava) [RHEL-25415]
- x86/entry: Fix unwinding from kprobe on PUSH/POP instruction (Prarit Bhargava) [RHEL-25415]
- x86/unwind/orc: Add 'signal' field to ORC metadata (Prarit Bhargava) [RHEL-25415]
- x86/cacheinfo: Remove unused trace variable (Prarit Bhargava) [RHEL-25415]
- x86: um: vdso: Add '%%rcx' and '%%r11' to the syscall clobber list (Prarit Bhargava) [RHEL-25415]
- rust: arch/um: Disable FP/SIMD instruction to match x86 (Prarit Bhargava) [RHEL-25415]
- efi: x86: Wire up IBT annotation in memory attributes table (Prarit Bhargava) [RHEL-25415]
- efi: Discover BTI support in runtime services regions (Prarit Bhargava) [RHEL-25415]
- x86/vdso: Fix -Wmissing-prototypes warnings (Prarit Bhargava) [RHEL-25415]
- x86/vdso: Fake 32bit VDSO build on 64bit compile for vgetcpu (Prarit Bhargava) [RHEL-25415]
- x86/vdso: Provide getcpu for x86-32. (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Provide the full setup for getcpu() on x86-32 (Prarit Bhargava) [RHEL-25415]
- kbuild: remove --include-dir MAKEFLAG from top Makefile (Prarit Bhargava) [RHEL-25415]
- efi: Drop minimum EFI version check at boot (Prarit Bhargava) [RHEL-25415]
- x86/mm: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE also on 32bit (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Allow only "1" as a late reload trigger value (Prarit Bhargava) [RHEL-25415]
- x86/static_call: Add support for Jcc tail-calls (Prarit Bhargava) [RHEL-25415]
- x86/alternatives: Teach text_poke_bp() to patch Jcc.d32 instructions (Prarit Bhargava) [RHEL-25415]
- x86/alternatives: Introduce int3_emulate_jcc() (Prarit Bhargava) [RHEL-25415]
- x86/atomics: Always inline arch_atomic64*() (Prarit Bhargava) [RHEL-25415]
- x86/debug: Fix stack recursion caused by wrongly ordered DR7 accesses (Prarit Bhargava) [RHEL-25415]
- sh: checksum: add missing linux/uaccess.h include (Prarit Bhargava) [RHEL-25415]
- net: checksum: drop the linux/uaccess.h include (Prarit Bhargava) [RHEL-25415]
- x86/ACPI/boot: Use try_cmpxchg() in __acpi_{acquire,release}_global_lock() (Prarit Bhargava) [RHEL-25415]
- x86/PAT: Use try_cmpxchg() in set_page_memtype() (Prarit Bhargava) [RHEL-25415]
- x86/boot/compressed: prefer cc-option for CFLAGS additions (Prarit Bhargava) [RHEL-25415]
- x86/vdso: Move VDSO image init to vdso2c generated code (Prarit Bhargava) [RHEL-25415]
- x86/Kconfig: Fix spellos & punctuation (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Use cpu_feature_enabled() when checking global pages support (Prarit Bhargava) [RHEL-25415]
- kbuild: allow to combine multiple V= levels (Prarit Bhargava) [RHEL-25415]
- x86/build: Move '-mindirect-branch-cs-prefix' out of GCC-only block (Prarit Bhargava) [RHEL-25415]
- x86/nmi: Print reasons why backtrace NMIs are ignored (Prarit Bhargava) [RHEL-25415]
- redhat/configs: Disable CONFIG_NMI_CHECK_CPU (Prarit Bhargava) [RHEL-25415]
- x86/nmi: Accumulate NMI-progress evidence in exc_nmi() (Prarit Bhargava) [RHEL-25415]
- x86/microcode: Use the DEVICE_ATTR_RO() macro (Prarit Bhargava) [RHEL-25415]
- x86/aperfmperf: Erase stale arch_freq_scale values when disabling frequency invariance readings (Prarit Bhargava) [RHEL-25415]
- x86/signal: Fix the value returned by strict_sas_size() (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Remove misleading comment (Prarit Bhargava) [RHEL-25415]
- x86/gsseg: Add the new <asm/gsseg.h> header to <asm/asm-prototypes.h> (Prarit Bhargava) [RHEL-25415]
- tools headers: Update the copy of x86's mem{cpy,set}_64.S used in 'perf bench' (Prarit Bhargava) [RHEL-25415]
- tools headers: Update the copy of x86's mem{cpy,set}_64.S used in 'perf bench' (Prarit Bhargava) [RHEL-25415]
- cpuidle, ACPI: Make noinstr clean (Prarit Bhargava) [RHEL-25415]
- cpuidle, nospec: Make mds_idle_clear_cpu_buffers() noinstr clean (Prarit Bhargava) [RHEL-25415]
- cpuidle, xenpv: Make more PARAVIRT_XXL noinstr clean (Prarit Bhargava) [RHEL-25415]
- cpuidle, mwait: Make the mwait code noinstr clean (Prarit Bhargava) [RHEL-25415]
- x86/perf/amd: Remove tracing from perf_lopwr_cb() (Prarit Bhargava) [RHEL-25415]
- x86/gsseg: Use the LKGS instruction if available for load_gs_index() (Prarit Bhargava) [RHEL-25415]
- x86/gsseg: Move load_gs_index() to its own new header file (Prarit Bhargava) [RHEL-25415]
- x86/gsseg: Make asm_load_gs_index() take an u16 (Prarit Bhargava) [RHEL-25415]
- x86/opcode: Add the LKGS instruction to x86-opcode-map (Prarit Bhargava) [RHEL-25415]
- x86/cpufeature: Add the CPU feature bit for LKGS (Prarit Bhargava) [RHEL-25415]
- x86/bugs: Reset speculation control settings on init (Prarit Bhargava) [RHEL-25415]
- x86/setup: Move duplicate boot_cpu_data definition out of the ifdeffery (Prarit Bhargava) [RHEL-25415]
- x86/boot/e820: Fix typo in e820.c comment (Prarit Bhargava) [RHEL-25415]
- x86/boot: Avoid using Intel mnemonics in AT&T syntax asm (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Remove redundant extern x86_read_arch_cap_msr() (Prarit Bhargava) [RHEL-25415]
- x86/kprobes: Use switch-case for 0xFF opcodes in prepare_emulation (Prarit Bhargava) [RHEL-25415]
- x86/mce: Mask out non-address bits from machine check bank (Prarit Bhargava) [RHEL-25415]
- x86/kprobes: Drop removed INT3 handling code (Prarit Bhargava) [RHEL-25415]
- x86/mce/dev-mcelog: use strscpy() to instead of strncpy() (Prarit Bhargava) [RHEL-25415]
- x86/rtc: Simplify PNP ids check (Prarit Bhargava) [RHEL-25415]
- x86/signal/compat: Move sigaction_compat_abi() to signal_64.c (Prarit Bhargava) [RHEL-25415]
- x86/signal: Move siginfo field tests (Prarit Bhargava) [RHEL-25415]
- elfcore: Add a cprm parameter to elf_core_extra_{phdrs,data_size} (Prarit Bhargava) [RHEL-25415]
- x86/alternatives: Add alt_instr.flags (Prarit Bhargava) [RHEL-25415]
- x86/bugs: Flush IBP in ib_prctl_set() (Prarit Bhargava) [RHEL-25415]
- x86/lib: Fix compiler and kernel-doc warnings (Prarit Bhargava) [RHEL-25415]
- x86/asm: Fix an assembler warning with current binutils (Prarit Bhargava) [RHEL-25415]
- x86/lib: Include <asm/misc.h> to fix a missing prototypes warning at build time (Prarit Bhargava) [RHEL-25415]
- x86/kexec: Fix double-free of elf header buffer (Prarit Bhargava) [RHEL-25415]
- s390/mm: implement set_memory_rox() (Prarit Bhargava) [RHEL-25415]
- s390: make use of CONFIG_FUNCTION_ALIGNMENT (Prarit Bhargava) [RHEL-25415]
- x86/xen: Remove the unused function p2m_index() (Prarit Bhargava) [RHEL-25415]
- x86/mm: Ensure forced page table splitting (Prarit Bhargava) [RHEL-25415]
- x86/mm: Rename __change_page_attr_set_clr(.checkalias) (Prarit Bhargava) [RHEL-25415]
- x86/mm: Inhibit _PAGE_NX changes from cpa_process_alias() (Prarit Bhargava) [RHEL-25415]
- x86/mm: Untangle __change_page_attr_set_clr(.checkalias) (Prarit Bhargava) [RHEL-25415]
- x86/mm: Add a few comments (Prarit Bhargava) [RHEL-25415]
- x86/mm: Fix CR3_ADDR_MASK (Prarit Bhargava) [RHEL-25415]
- x86/mm: Implement native set_memory_rox() (Prarit Bhargava) [RHEL-25415]
- x86/mm/pae: Get rid of set_64bit() (Prarit Bhargava) [RHEL-25415]
- x86/mm: Remove P*D_PAGE_MASK and P*D_PAGE_SIZE macros (Prarit Bhargava) [RHEL-25415]
- x86/mm/pae: Be consistent with pXXp_get_and_clear() (Prarit Bhargava) [RHEL-25415]
- x86/mm/pae: Use WRITE_ONCE() (Prarit Bhargava) [RHEL-25415]
- x86/mm/pae: Don't (ab)use atomic64 (Prarit Bhargava) [RHEL-25415]
- mm: Introduce set_memory_rox() (Prarit Bhargava) [RHEL-25415]
- x86/ftrace: Remove SYSTEM_BOOTING exceptions (Prarit Bhargava) [RHEL-25415]
- x86/mm: Do verify W^X at boot up (Prarit Bhargava) [RHEL-25415]
- kbuild: add test-{ge,gt,le,lt} macros (Prarit Bhargava) [RHEL-25415]
- Makefile.compiler: replace cc-ifversion with compiler-specific macros (Prarit Bhargava) [RHEL-25415]
- Makefile.extrawarn: re-enable -Wformat for clang; take 2 (Prarit Bhargava) [RHEL-25415]
- x86/mm/kmmio: Remove redundant preempt_disable() (Prarit Bhargava) [RHEL-25415]
- x86/mm/kmmio: Use rcu_read_lock_sched_notrace() (Prarit Bhargava) [RHEL-25415]
- x86/mm/kmmio: Switch to arch_spin_lock() (Prarit Bhargava) [RHEL-25415]
- ftrace/x86: Add back ftrace_expected for ftrace bug reports (Prarit Bhargava) [RHEL-25415]
- x86/xen: Fix memory leak in xen_init_lock_cpu() (Prarit Bhargava) [RHEL-25415]
- x86/xen: Fix memory leak in xen_smp_intr_init{_pv}() (Prarit Bhargava) [RHEL-25415]
- uprobes/x86: Allow to probe a NOP instruction with 0x66 prefix (Prarit Bhargava) [RHEL-25415]
- x86/of: Add support for boot time interrupt delivery mode configuration (Prarit Bhargava) [RHEL-25415]
- x86/of: Replace printk(KERN_LVL) with pr_lvl() (Prarit Bhargava) [RHEL-25415]
- x86/of: Remove unused early_init_dt_add_memory_arch() (Prarit Bhargava) [RHEL-25415]
- x86/apic: Handle no CONFIG_X86_X2APIC on systems with x2APIC enabled by BIOS (Prarit Bhargava) [RHEL-25415]
- x86/asm/32: Remove setup_once() (Prarit Bhargava) [RHEL-25415]
- x86/alternative: Remove noinline from __ibt_endbr_seal[_end]() stubs (Prarit Bhargava) [RHEL-25415]
- mm/pgtable: Fix multiple -Wstringop-overflow warnings (Prarit Bhargava) [RHEL-25415]
- vdso/timens: Refactor copy-pasted find_timens_vvar_page() helper into one copy (Prarit Bhargava) [RHEL-25415]
- mm/uffd: sanity check write bit for uffd-wp protected ptes (Prarit Bhargava) [RHEL-25415]
- mm/mglru: add dummy pmd_dirty() (Prarit Bhargava) [RHEL-25415]
- mm: add dummy pmd_young() for architectures not having it (Prarit Bhargava) [RHEL-25415]
- x86/boot: Remove x86_32 PIC using %%ebx workaround (Prarit Bhargava) [RHEL-25415]
- x86/boot: Skip realmode init code when running as Xen PV guest (Prarit Bhargava) [RHEL-25415]
- uninline elf_core_copy_task_fpregs() (and lose pt_regs argument) (Prarit Bhargava) [RHEL-25415]
- x86/paravirt: Use common macro for creating simple asm paravirt functions (Prarit Bhargava) [RHEL-25415]
- ACPI: make remove callback of ACPI driver void (Prarit Bhargava) [RHEL-25415]
- platform/x86: intel-vbtn: Move to intel sub-directory (Prarit Bhargava) [RHEL-25415]
- platform/x86: intel_oaktrail: Move to intel sub-directory (Prarit Bhargava) [RHEL-25415]
- platform/x86: intel_int0002_vgpio: Move to intel sub-directory (Prarit Bhargava) [RHEL-25415]
- platform/x86: intel_atomisp2: Move to intel sub-directory (Prarit Bhargava) [RHEL-25415]
- platform/x86: intel_turbo_max_3: Move to intel sub-directory (Prarit Bhargava) [RHEL-25415]
- platform/x86: intel-smartconnect: Move to intel sub-directory (Prarit Bhargava) [RHEL-25415]
- platform/x86: intel_telemetry: Move to intel sub-directory (Prarit Bhargava) [RHEL-25415]
- platform/x86: intel-rst: Move to intel sub-directory (Prarit Bhargava) [RHEL-25415]
- x86/alternative: Consistently patch SMP locks in vmlinux and modules (Prarit Bhargava) [RHEL-25415]
- x86/ioremap: Fix page aligned size calculation in __ioremap_caller() (Prarit Bhargava) [RHEL-25415]
- x86/kaslr: Fix process_mem_region()'s return value (Prarit Bhargava) [RHEL-25415]
- x86/debug: Include percpu.h in debugreg.h to get DECLARE_PER_CPU() et al (Prarit Bhargava) [RHEL-25415]
- x86/acpi/cstate: Optimize ARB_DISABLE on Centaur CPUs (Prarit Bhargava) [RHEL-25415]
- x86: Disable split lock penalty on RHEL (Prarit Bhargava) [RHEL-25415]
- x86/split_lock: Add sysctl to control the misery mode (Prarit Bhargava) [RHEL-25415]
- Documentation/x86: Update split lock documentation (Prarit Bhargava) [RHEL-25415]
- x86/split_lock: Make life miserable for split lockers (Prarit Bhargava) [RHEL-25415]
- mm: remove kern_addr_valid() completely (Prarit Bhargava) [RHEL-25415]
- x86/uaccess: instrument copy_from_user_nmi() (Prarit Bhargava) [RHEL-25415]
- x86: Fix misc small issues (Prarit Bhargava) [RHEL-25415]
- x86/Kconfig: Enable kernel IBT by default (Prarit Bhargava) [RHEL-25415]
- x86,pm: Force out-of-line memcpy() (Prarit Bhargava) [RHEL-25415]
- x86/epb: Fix Gracemont uarch (Prarit Bhargava) [RHEL-25415]
- x86/intel_epb: Set Alder Lake N and Raptor Lake P normal EPB (Prarit Bhargava) [RHEL-25415]
- x86/boot: Repair kernel-doc for boot_kstrtoul() (Prarit Bhargava) [RHEL-25415]
- x86/mem: Move memmove to out of line assembler (Prarit Bhargava) [RHEL-25415]
- x86: Improve formatting of user_regset arrays (Prarit Bhargava) [RHEL-25415]
- x86: Separate out x86_regset for 32 and 64 bit (Prarit Bhargava) [RHEL-25415]
- kbuild: upgrade the orphan section warning to an error if CONFIG_WERROR is set (Prarit Bhargava) [RHEL-25415]
- kbuild: Disable GCOV for *.mod.o (Prarit Bhargava) [RHEL-25415]
- x86/cfi: Add boot time hash randomization (Prarit Bhargava) [RHEL-25415]
- x86/cfi: Boot time selection of CFI scheme (Prarit Bhargava) [RHEL-25415]
- x86/ibt: Implement FineIBT (Prarit Bhargava) [RHEL-25415]
- x86/mce: Use severity table to handle uncorrected errors in kernel (Prarit Bhargava) [RHEL-25415]
- x86/i8259: Make default_legacy_pic static (Prarit Bhargava) [RHEL-25415]
- x86/purgatory: disable KMSAN instrumentation (Prarit Bhargava) [RHEL-25415]
- x86/mm: Do not verify W^X at boot up (Prarit Bhargava) [RHEL-25415]
- x86/syscall: Include asm/ptrace.h in syscall_wrapper header (Prarit Bhargava) [RHEL-25415]
- kill extern of vsyscall32_sysctl (Prarit Bhargava) [RHEL-25415]
- Merge branch 'x86/urgent' into x86/core, to resolve conflict (Prarit Bhargava) [RHEL-25415]
- x86/unwind/orc: Fix unreliable stack dump with gcov (Prarit Bhargava) [RHEL-25415]
- x86/signal/64: Move 64-bit signal code to its own file (Prarit Bhargava) [RHEL-25415]
- x86/signal/32: Merge native and compat 32-bit signal code (Prarit Bhargava) [RHEL-25415]
- x86/signal: Add ABI prefixes to frame setup functions (Prarit Bhargava) [RHEL-25415]
- x86/signal: Merge get_sigframe() (Prarit Bhargava) [RHEL-25415]
- x86: Remove __USER32_DS (Prarit Bhargava) [RHEL-25415]
- x86/signal: Remove sigset_t parameter from frame setup functions (Prarit Bhargava) [RHEL-25415]
- x86/signal: Remove sig parameter from frame setup functions (Prarit Bhargava) [RHEL-25415]
- x86/Kconfig: Drop check for -mabi=ms for CONFIG_EFI_STUB (Prarit Bhargava) [RHEL-25415]
- x86: Remove CONFIG_ARCH_NR_GPIO (Prarit Bhargava) [RHEL-25415]
- x86/paravirt: Remove clobber bitmask from .parainstructions (Prarit Bhargava) [RHEL-25415]
- x86: kmsan: pgtable: reduce vmalloc space (Prarit Bhargava) [RHEL-25415]
- scripts: Remove ICC-related dead code (Prarit Bhargava) [RHEL-25415]
- s390/checksum: always use cksm instruction (Prarit Bhargava) [RHEL-25415]
- docs: programming-language: remove mention of the Intel compiler (Prarit Bhargava) [RHEL-25415]
- Remove Intel compiler support (Prarit Bhargava) [RHEL-25415]
- scripts: handle BrokenPipeError for python scripts (Prarit Bhargava) [RHEL-25415]
- s390/checksum: support GENERIC_CSUM, enable it for KASAN (Prarit Bhargava) [RHEL-25415]
- x86/xen: Use kstrtobool() instead of strtobool() (Prarit Bhargava) [RHEL-25415]
- x86/xen: simplify sysenter and syscall setup (Prarit Bhargava) [RHEL-25415]
- x86/xen: silence smatch warning in pmu_msr_chk_emulated() (Prarit Bhargava) [RHEL-25415]
- ftrace,kcfi: Separate ftrace_stub() and ftrace_stub_graph() (Prarit Bhargava) [RHEL-25415]
- xen/pv: support selecting safe/unsafe msr accesses (Prarit Bhargava) [RHEL-25415]
- xen/pv: refactor msr access functions to support safe and unsafe accesses (Prarit Bhargava) [RHEL-25415]
- xen/pv: fix vendor checks for pmu emulation (Prarit Bhargava) [RHEL-25415]
- xen/pv: add fault recovery control to pmu msr accesses (Prarit Bhargava) [RHEL-25415]
- x86: fs: kmsan: disable CONFIG_DCACHE_WORD_ACCESS (Prarit Bhargava) [RHEL-25415]
- x86: add missing include to sparsemem.h (Prarit Bhargava) [RHEL-25415]
- x86/mm: Add prot_sethuge() helper to abstract out _PAGE_PSE handling (Prarit Bhargava) [RHEL-25415]
- x86: enable initial Rust support (Prarit Bhargava) [RHEL-25415]
- scripts: add `generate_rust_target.rs` (Prarit Bhargava) [RHEL-25415]
- docs: add Rust documentation (Prarit Bhargava) [RHEL-25415]
- x86/alternative: Fix race in try_get_desc() (Prarit Bhargava) [RHEL-25415]
- x86: kprobes: Remove unused macro stack_addr (Prarit Bhargava) [RHEL-25415]
- x86/paravirt: add extra clobbers with ZERO_CALL_USED_REGS enabled (Prarit Bhargava) [RHEL-25415]
- x86/paravirt: clean up typos and grammaros (Prarit Bhargava) [RHEL-25415]
- x86/entry: Work around Clang __bdos() bug (Prarit Bhargava) [RHEL-25415]
- x86/kprobes: Remove unused arch_kprobe_override_function() declaration (Prarit Bhargava) [RHEL-25415]
- x86/ftrace: Remove unused modifying_ftrace_code declaration (Prarit Bhargava) [RHEL-25415]
- x86: Add support for CONFIG_CFI_CLANG (Prarit Bhargava) [RHEL-25415]
- x86/purgatory: Disable CFI (Prarit Bhargava) [RHEL-25415]
- crypto: x86/sm4 - fix crash with CFI enabled (Prarit Bhargava) [RHEL-25415]
- crypto: x86/sha512 - fix possible crash with CFI enabled (Prarit Bhargava) [RHEL-25415]
- crypto: x86/sha256 - fix possible crash with CFI enabled (Prarit Bhargava) [RHEL-25415]
- crypto: x86/sha1 - fix possible crash with CFI enabled (Prarit Bhargava) [RHEL-25415]
- crypto: x86/aegis128 - fix possible crash with CFI enabled (Prarit Bhargava) [RHEL-25415]
- cfi: Add type helper macros (Prarit Bhargava) [RHEL-25415]
- x86: Add types to indirectly called assembly functions (Prarit Bhargava) [RHEL-25415]
- x86/tools/relocs: Ignore __kcfi_typeid_ relocations (Prarit Bhargava) [RHEL-25415]
- treewide: Filter out CC_FLAGS_CFI (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Include the header of init_ia32_feat_ctl()'s prototype (Prarit Bhargava) [RHEL-25415]
- x86/paravirt: Ensure proper alignment (Prarit Bhargava) [RHEL-25415]
- arch: um: Mark the stack non-executable to fix a binutils warning (Prarit Bhargava) [RHEL-25415]
- x86/dumpstack: Don't mention RIP in "Code: " (Prarit Bhargava) [RHEL-25415]
- x86/asm/bitops: Use __builtin_ctzl() to evaluate constant expressions (Prarit Bhargava) [RHEL-25415]
- x86/asm/bitops: Use __builtin_ffs() to evaluate constant expressions (Prarit Bhargava) [RHEL-25415]
- lib/find_bit: add find_next{,_and}_bit_wrap (Prarit Bhargava) [RHEL-25415]
- cpumask: fix incorrect cpumask scanning result checks (Prarit Bhargava) [RHEL-25415]
- cpumask: be more careful with 'cpumask_setall()' (Prarit Bhargava) [RHEL-25415]
- cpumask: relax sanity checking constraints (Prarit Bhargava) [RHEL-25415]
- cpumask: re-introduce constant-sized cpumask optimizations (Prarit Bhargava) [RHEL-25415]
- lib/bitmap: introduce for_each_set_bit_wrap() macro (Prarit Bhargava) [RHEL-25415]
- cpumask: switch for_each_cpu{,_not} to use for_each_bit() (Prarit Bhargava) [RHEL-25415]
- lib/cpumask: deprecate nr_cpumask_bits (Prarit Bhargava) [RHEL-25415]
- lib/cpumask: delete misleading comment (Prarit Bhargava) [RHEL-25415]
- smp: don't declare nr_cpu_ids if NR_CPUS == 1 (Prarit Bhargava) [RHEL-25415]
- smp: add set_nr_cpu_ids() (Prarit Bhargava) [RHEL-25415]
- um: Cleanup compiler warning in arch/x86/um/tls_32.c (Prarit Bhargava) [RHEL-25415]
- um: Cleanup syscall_handler_t cast in syscalls_32.h (Prarit Bhargava) [RHEL-25415]
- kernel: exit: cleanup release_thread() (Prarit Bhargava) [RHEL-25415]
- EDAC/i10nm: Add driver decoder for Ice Lake and Tremont CPUs (Prarit Bhargava) [RHEL-25415]
- x86/defconfig: Enable CONFIG_DEBUG_WX=y (Prarit Bhargava) [RHEL-25415]
- x86/defconfig: Refresh the defconfigs (Prarit Bhargava) [RHEL-25415]
- x86/Kconfig: Specify idle=poll instead of no-hlt (Prarit Bhargava) [RHEL-25415]
- x86/apic: Don't disable x2APIC if locked (Prarit Bhargava) [RHEL-25415]
- x86/earlyprintk: Clean up pciserial (Prarit Bhargava) [RHEL-25415]
- asm goto: eradicate CC_HAS_ASM_GOTO (Prarit Bhargava) [RHEL-25415]
- x86/unwind/orc: Unwind ftrace trampolines with correct ORC entry (Prarit Bhargava) [RHEL-25415]
- efi/x86-mixed: move unmitigated RET into .rodata (Prarit Bhargava) [RHEL-25415]
- x86/uaccess: Improve __try_cmpxchg64_user_asm() for x86_32 (Prarit Bhargava) [RHEL-25415]
- x86/boot: Remove superfluous type casting from arch/x86/boot/bitops.h (Prarit Bhargava) [RHEL-25415]
- powerpc/vdso: link with -z noexecstack (Prarit Bhargava) [RHEL-25415]
- xen: x86: remove setting the obsolete config XEN_MAX_DOMAIN_MEMORY (Prarit Bhargava) [RHEL-25415]
- x86/kprobes: Fix JNG/JNLE emulation (Prarit Bhargava) [RHEL-25415]
- x86: link vdso and boot with -z noexecstack --no-warn-rwx-segments (Prarit Bhargava) [RHEL-25415]
- x86/mm: Rename set_memory_present() to set_memory_p() (Prarit Bhargava) [RHEL-25415]
- x86/acrn: Set up timekeeping (Prarit Bhargava) [RHEL-25415]
- x86/bus_lock: Don't assume the init value of DEBUGCTLMSR.BUS_LOCK_DETECT to be zero (Prarit Bhargava) [RHEL-25415]
- x86/kprobes: Update kcb status flag after singlestepping (Prarit Bhargava) [RHEL-25415]
- ftrace/x86: Add back ftrace_expected assignment (Prarit Bhargava) [RHEL-25415]
- profile: setup_profiling_timer() is moslty not implemented (Prarit Bhargava) [RHEL-25415]
- x86/purgatory: Hard-code obj-y in Makefile (Prarit Bhargava) [RHEL-25415]
- x86,nospec: Simplify {JMP,CALL}_NOSPEC (Prarit Bhargava) [RHEL-25415]
- x86/cacheinfo: move shared cache map definitions (Prarit Bhargava) [RHEL-25415]
- um: include linux/stddef.h for __always_inline (Prarit Bhargava) [RHEL-25415]
- um: x86: print RIP with symbol (Prarit Bhargava) [RHEL-25415]
- x86/um: Kconfig: Fix indentation (Prarit Bhargava) [RHEL-25415]
- x86/olpc: fix 'logical not is only applied to the left hand side' (Prarit Bhargava) [RHEL-25415]
- x86/setup: Use rng seeds from setup_data (Prarit Bhargava) [RHEL-25415]
- x86/build: Remove unused OBJECT_FILES_NON_STANDARD_test_nx.o (Prarit Bhargava) [RHEL-25415]
- x86/Kconfig: Fix CONFIG_CC_HAS_SANE_STACKPROTECTOR when cross compiling with clang (Prarit Bhargava) [RHEL-25415]
- x86/kexec: Carry forward IMA measurement log on kexec (Prarit Bhargava) [RHEL-25415]
- rcu: Remove rcu_is_idle_cpu() (Prarit Bhargava) [RHEL-25415]
- scripts: headers_install.sh: Update config leak ignore entries (Prarit Bhargava) [RHEL-25415]
- x86: Clear .brk area at early boot (Prarit Bhargava) [RHEL-25415]
- x86/xen: Use clear_bss() for Xen PV guests (Prarit Bhargava) [RHEL-25415]
- redhat/configs: Disable CONFIG_XEN_VIRTIO (Prarit Bhargava) [RHEL-25415]
- xen/virtio: Fix potential deadlock when accessing xen_grant_dma_devices (Prarit Bhargava) [RHEL-25415]
- virtio: replace restricted mem access flag with callback (Prarit Bhargava) [RHEL-25415]
- xen/virtio: Fix n_pages calculation in xen_grant_dma_map(unmap)_page() (Prarit Bhargava) [RHEL-25415]
- xen: don't require virtio with grants for non-PV guests (Prarit Bhargava) [RHEL-25415]
- xen/grant-dma-ops: Retrieve the ID of backend's domain for DT devices (Prarit Bhargava) [RHEL-25415]
- xen/grant-dma-ops: Add option to restrict memory access under Xen (Prarit Bhargava) [RHEL-25415]
- xen/virtio: Enable restricted memory access using Xen grant mappings (Prarit Bhargava) [RHEL-25415]
- virtio: replace arch_has_restricted_virtio_memory_access() (Prarit Bhargava) [RHEL-25415]
- ftrace/fgraph: fix increased missing-prototypes warnings (Prarit Bhargava) [RHEL-25415]
- kernel: add platform_has() infrastructure (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Elide KCSAN for cpu_has() and friends (Prarit Bhargava) [RHEL-25415]
- objtool: Add CONFIG_HAVE_UACCESS_VALIDATION (Prarit Bhargava) [RHEL-25415]
- um: Fix out-of-bounds read in LDT setup (Prarit Bhargava) [RHEL-25415]
- x86/traceponit: Fix comment about irq vector tracepoints (Prarit Bhargava) [RHEL-25415]
- ftrace: Remove return value of ftrace_arch_modify_*() (Prarit Bhargava) [RHEL-25415]
- x86/idt: Remove unused headers (Prarit Bhargava) [RHEL-25415]
- x86/Kconfig: Fix indentation of arch/x86/Kconfig.debug (Prarit Bhargava) [RHEL-25415]
- x86/Kconfig: Fix indentation and add endif comments to arch/x86/Kconfig (Prarit Bhargava) [RHEL-25415]
- x86/setup: Use strscpy() to replace deprecated strlcpy() (Prarit Bhargava) [RHEL-25415]
- x86/entry: Fixup objtool/ibt validation (Prarit Bhargava) [RHEL-25415]
- notifier: Add atomic_notifier_call_chain_is_empty() (Prarit Bhargava) [RHEL-25415]
- kernel/reboot: Add do_kernel_power_off() (Prarit Bhargava) [RHEL-25415]
- kernel/reboot: Add kernel_can_power_off() (Prarit Bhargava) [RHEL-25415]
- x86: Use do_kernel_power_off() (Prarit Bhargava) [RHEL-25415]
- xen/x86: Use do_kernel_power_off() (Prarit Bhargava) [RHEL-25415]
- kernel/reboot: Change registration order of legacy power-off handler (Prarit Bhargava) [RHEL-25415]
- kernel/reboot: Wrap legacy power-off callbacks into sys-off handlers (Prarit Bhargava) [RHEL-25415]
- x86: xen: remove STACK_FRAME_NON_STANDARD from xen_cpuid (Prarit Bhargava) [RHEL-25415]
- x86/tsc: Use fallback for random_get_entropy() instead of zero (Prarit Bhargava) [RHEL-25415]
- mm: page_table_check: add hooks to public helpers (Prarit Bhargava) [RHEL-25415]
- x86/vsyscall: Remove CONFIG_LEGACY_VSYSCALL_EMULATE (Prarit Bhargava) [RHEL-25415]
- randstruct: Split randstruct Makefile and CFLAGS (Prarit Bhargava) [RHEL-25415]
- sancov: Split plugin build from plugin CFLAGS (Prarit Bhargava) [RHEL-25415]
- swiotlb-xen: fix DMA_ATTR_NO_KERNEL_MAPPING on arm (Prarit Bhargava) [RHEL-25415]
- x86: ACPI: Make mp_config_acpi_gsi() a void function (Prarit Bhargava) [RHEL-25415]
- redhat/configs: Enable CONFIG_RANDSTRUCT_NONE (Prarit Bhargava) [RHEL-25415]
- randstruct: Reorganize Kconfigs and attribute macros (Prarit Bhargava) [RHEL-25415]
- fork: Pass struct kernel_clone_args into copy_thread (Prarit Bhargava) [RHEL-25415]
- x86/mm: Cleanup the control_va_addr_alignment() __setup handler (Prarit Bhargava) [RHEL-25415]
- x86/entry: Convert SWAPGS to swapgs and remove the definition of SWAPGS (Prarit Bhargava) [RHEL-25415]
- net: unexport csum_and_copy_{from,to}_user (Prarit Bhargava) [RHEL-25415]
- ftrace: cleanup ftrace_graph_caller enable and disable (Prarit Bhargava) [RHEL-25415]
- x86/aperfmperf: Integrate the fallback code from show_cpuinfo() (Prarit Bhargava) [RHEL-25415]
- x86/aperfmperf: Replace arch_freq_get_on_cpu() (Prarit Bhargava) [RHEL-25415]
- x86/aperfmperf: Replace aperfmperf_get_khz() (Prarit Bhargava) [RHEL-25415]
- x86/aperfmperf: Store aperf/mperf data for cpu frequency reads (Prarit Bhargava) [RHEL-25415]
- asm-generic: compat: Cleanup duplicate definitions (Prarit Bhargava) [RHEL-25415]
- fs: stat: compat: Add __ARCH_WANT_COMPAT_STAT (Prarit Bhargava) [RHEL-25415]
- arch: Add SYSVIPC_COMPAT for all architectures (Prarit Bhargava) [RHEL-25415]
- compat: consolidate the compat_flock{,64} definition (Prarit Bhargava) [RHEL-25415]
- uapi: always define F_GETLK64/F_SETLK64/F_SETLKW64 in fcntl.h (Prarit Bhargava) [RHEL-25415]
- x86/mce: Add messages for panic errors in AMD's MCE grading (Prarit Bhargava) [RHEL-25415]
- x86/mce: Simplify AMD severity grading logic (Prarit Bhargava) [RHEL-25415]
- x86/asm: Merge load_gs_index() (Prarit Bhargava) [RHEL-25415]
- x86/process: Fix kernel-doc warning due to a changed function name (Prarit Bhargava) [RHEL-25415]
- x86/crash: Fix minor typo/bug in debug message (Prarit Bhargava) [RHEL-25415]
- x86/apic: Clarify i82489DX bit overlap in APIC_LVT0 (Prarit Bhargava) [RHEL-25415]
- x86/ACPI: Preserve ACPI-table override during hibernation (Prarit Bhargava) [RHEL-25415]
- x86/32: Simplify ELF_CORE_COPY_REGS (Prarit Bhargava) [RHEL-25415]
- x86/xen: Allow to retry if cpu_initialize_context() failed. (Prarit Bhargava) [RHEL-25415]
- x86: Remove a.out support (Prarit Bhargava) [RHEL-25415]
- x86/PCI: Fix coding style in PIRQ table verification (Prarit Bhargava) [RHEL-25415]
- x86/PCI: Add $IRT PIRQ routing table support (Prarit Bhargava) [RHEL-25415]
- x86/PCI: Handle PIRQ routing tables with no router device given (Prarit Bhargava) [RHEL-25415]
- x86/PCI: Add PIRQ routing table range checks (Prarit Bhargava) [RHEL-25415]
- x86/PCI: Add support for the SiS85C497 PIRQ router (Prarit Bhargava) [RHEL-25415]
- x86/PCI: Disambiguate SiS85C503 PIRQ router code entities (Prarit Bhargava) [RHEL-25415]
- x86/PCI: Handle IRQ swizzling with PIRQ routers (Prarit Bhargava) [RHEL-25415]
- x86/PCI: Also match function number in $PIR table (Prarit Bhargava) [RHEL-25415]
- x86/PCI: Include function number in $PIR table dump (Prarit Bhargava) [RHEL-25415]
- x86/PCI: Show the physical address of the $PIR table (Prarit Bhargava) [RHEL-25415]
- x86/configs: Add x86 debugging Kconfig fragment plus docs (Prarit Bhargava) [RHEL-25415]
- x86/MCE/AMD: Fix memory leak when threshold_create_bank() fails (Prarit Bhargava) [RHEL-25415]
- x86/delay: Fix the wrong asm constraint in delay_loop() (Prarit Bhargava) [RHEL-25415]
- x86/pkeys: Remove __arch_set_user_pkey_access() declaration (Prarit Bhargava) [RHEL-25415]
- x86/pkeys: Clean up arch_set_user_pkey_access() declaration (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Remove "noclflush" (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Remove "noexec" (Prarit Bhargava) [RHEL-25415]
- s390: remove "noexec" option (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Remove "nosmep" (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Remove CONFIG_X86_SMAP and "nosmap" (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Remove "nosep" (Prarit Bhargava) [RHEL-25415]
- x86/cpu: Allow feature bit names from /proc/cpuinfo in clearcpuid= (Prarit Bhargava) [RHEL-25415]
- x86/mm: Force-inline __phys_addr_nodebug() (Prarit Bhargava) [RHEL-25415]
- task_stack, x86/cea: Force-inline stack helpers (Prarit Bhargava) [RHEL-25415]
- x86: __memcpy_flushcache: fix wrong alignment if size > 2^32 (Prarit Bhargava) [RHEL-25415]
- x86/Kconfig: fix the spelling of 'becoming' in X86_KERNEL_IBT config (Prarit Bhargava) [RHEL-25415]
- x86/unwind/orc: Recheck address range after stack info was updated (Prarit Bhargava) [RHEL-25415]
- stat: fix inconsistency between struct stat and struct compat_stat (Prarit Bhargava) [RHEL-25415]
- x86/msi: Fix msi message data shadow struct (Prarit Bhargava) [RHEL-25415]
- Revert "locking/local_lock: Make the empty local_lock_*() function a macro." (Prarit Bhargava) [RHEL-25415]
- x86/percpu: Remove volatile from arch_raw_cpu_ptr(). (Prarit Bhargava) [RHEL-25415]
- static_call: Remove __DEFINE_STATIC_CALL macro (Prarit Bhargava) [RHEL-25415]
- static_call: Properly initialise DEFINE_STATIC_CALL_RET0() (Prarit Bhargava) [RHEL-25415]
- powerpc/32: Add support for out-of-line static calls (Prarit Bhargava) [RHEL-25415]
- arch: syscalls: simplify uapi/kapi directory creation (Prarit Bhargava) [RHEL-25415]
- x86/config: Make the x86 defconfigs a bit more usable (Prarit Bhargava) [RHEL-25415]
- xen: fix is_xen_pmu() (Prarit Bhargava) [RHEL-25415]
- x86/defconfig: Enable WERROR (Prarit Bhargava) [RHEL-25415]
- x86/Kconfig: Only allow CONFIG_X86_KERNEL_IBT with ld.lld >= 14.0.0 (Prarit Bhargava) [RHEL-25415]
- x86/nmi: Remove the 'strange power saving mode' hint from unknown NMI handler (Prarit Bhargava) [RHEL-25415]
- arch:x86:xen: Remove unnecessary assignment in xen_apic_read() (Prarit Bhargava) [RHEL-25415]
- x86/Kconfig: Do not allow CONFIG_X86_X32_ABI=y with llvm-objcopy (Prarit Bhargava) [RHEL-25415]
- redhat/configs: Disable CONFIG_X86_X32_ABI (Prarit Bhargava) [RHEL-25415]
- x86: Remove toolchain check for X32 ABI capability (Prarit Bhargava) [RHEL-25415]
- um: Cleanup syscall_handler_t definition/cast, fix warning (Prarit Bhargava) [RHEL-25415]
- x86/xen: Fix kerneldoc warning (Prarit Bhargava) [RHEL-25415]
- xen: delay xen_hvm_init_time_ops() if kdump is boot on vcpu>=32 (Prarit Bhargava) [RHEL-25415]
- ACPI / x86: Work around broken XSDT on Advantech DAC-BJ01 board (Prarit Bhargava) [RHEL-25415]
- redhat/configs: Switch CONFIG_I8K to built-in (Prarit Bhargava) [RHEL-25415]
- hwmon: (dell-smm) rewrite CONFIG_I8K description (Prarit Bhargava) [RHEL-25415]
- x86/Kconfig: move and modify CONFIG_I8K (Prarit Bhargava) [RHEL-25415]
- x86/mce: Work around an erratum on fast string copy instructions (Prarit Bhargava) [RHEL-25415]
- shmbuf.h: add asm/shmbuf.h to UAPI compile-test coverage (Prarit Bhargava) [RHEL-25415]
- signal.h: add linux/signal.h and asm/signal.h to UAPI compile-test coverage (Prarit Bhargava) [RHEL-25415]
- x86/ptrace: Always inline v8086_mode() for instrumentation (Prarit Bhargava) [RHEL-25415]
- x86/head64: Add missing __head annotation to sme_postprocess_startup() (Prarit Bhargava) [RHEL-25415]
- x86/cpu: X86_FEATURE_INTEL_PPIN finally has a CPUID bit (Prarit Bhargava) [RHEL-25415]
- x86/CPU/AMD: Use default_groups in kobj_type (Prarit Bhargava) [RHEL-25415]
- uml/x86: use x86 load_unaligned_zeropad() (Prarit Bhargava) [RHEL-25415]
- asm/user.h: killed unused macros (Prarit Bhargava) [RHEL-25415]
- x86/paravirt: Use %%rip-relative addressing in hook calls (Prarit Bhargava) [RHEL-25415]
- x86/Kconfig: Select ARCH_SELECT_MEMORY_MODEL only if FLATMEM and SPARSEMEM are possible (Prarit Bhargava) [RHEL-25415]
- x86/fpu/xstate: Fix PKRU covert channel (Vitaly Kuznetsov) [RHEL-25415]
- x86/mm: fix poking_init() for Xen PV guests (Vitaly Kuznetsov) [RHEL-25415]
- x86/sev: Move sev_setup_arch() to mem_encrypt.c (Vitaly Kuznetsov) [RHEL-25415]
- x86/mem_encrypt: Remove stale mem_encrypt_init() declaration (Vitaly Kuznetsov) [RHEL-25415]
- x86/mem_encrypt: Unbreak the AMD_MEM_ENCRYPT=n build (Vitaly Kuznetsov) [RHEL-25415]
- init, x86: Move mem_encrypt_init() into arch_cpu_finalize_init() (Vitaly Kuznetsov) [RHEL-25415]
- x86/fpu: Mark init functions __init (Vitaly Kuznetsov) [RHEL-25415]
- x86/fpu: Set X86_FEATURE_OSXSAVE feature after enabling OSXSAVE in CR4 (Vitaly Kuznetsov) [RHEL-25415]
- x86/xen: Fix secondary processors' FPU initialization (Vitaly Kuznetsov) [RHEL-25415]
- x86/efi: Make efi_set_virtual_address_map IBT safe (Vitaly Kuznetsov) [RHEL-25415]
- x86/fpu: Move FPU initialization into arch_cpu_finalize_init() (Vitaly Kuznetsov) [RHEL-25415]
- init: Invoke arch_cpu_finalize_init() earlier (Vitaly Kuznetsov) [RHEL-25415]
- x86/init: Initialize signal frame size late (Vitaly Kuznetsov) [RHEL-25415]
- x86/fpu: Remove cpuinfo argument from init functions (Vitaly Kuznetsov) [RHEL-25415]
- x86/mm: Initialize text poking earlier (Vitaly Kuznetsov) [RHEL-25415]
- x86/mm: Use mm_alloc() in poking_init() (Vitaly Kuznetsov) [RHEL-25415]
- mm: Move mm_cachep initialization to mm_init() (Vitaly Kuznetsov) [RHEL-25415]
- init: consolidate prototypes in linux/init.h (Vitaly Kuznetsov) [RHEL-25415]
Resolves: RHEL-23390, RHEL-25415

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2024-03-26 11:43:48 -04:00
Scott Weaver
890d3aa79a kernel-5.14.0-433.el9
* Mon Mar 25 2024 Scott Weaver <scweaver@redhat.com> [5.14.0-433.el9]
- arm64: dts: ti: k3-j721e-sk: fix PMIC interrupt number (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am69-sk: fix PMIC interrupt number (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62p5-sk: Enable CPSW MDIO node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62p-mcu/wakeup: Disable MCU and wakeup R5FSS nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am69-sk: remove assigned-clock-parents for unused VP (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62p: Fix memory ranges for DMSS (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add common1 register space for AM62x SoC (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-common-proc-board: Remove Pinmux for CTS and RTS in wkup_uart0 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-common-proc-board: Remove clock-frequency from mcu_uart0 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-common-proc-board: Modify Pinmux for wkup_uart0 and mcu_uart0 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-evm: Remove Pinmux for CTS and RTS in wkup_uart0 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: am65x: Fix dtbs_install for Rocktech OLDI overlay (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Fix power domain for VTM node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4: Fix power domain for VTM node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62-main: disable usb lpm (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-main: Add Itap Delay Value For DDR50 speed mode (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-main: Add Itap Delay Value For DDR50 speed mode (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-main: Add Itap Delay Value For DDR52 speed mode (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am6*: Add additional regs for DMA components (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7*: Add additional regs for DMA components (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Add additional regs for DMA components (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62-main: Add GPU device node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-evm: Add overlay for PCIE1 Endpoint Mode (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-evm: Add overlay for PCIE0 Endpoint Mode (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-sk: Add TPS6594 family PMICs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am69-sk: Add support for TPS6594 PMIC (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-evm: Add support for TPS6594 PMIC (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-som-p0: Add TP6594 family PMICs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-som-p0: Add TP6594 family PMICs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-som-p0: Add TP6594 family PMICs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add verdin am62 mallow board (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: verdin-am62: Improve spi1 chip-select pinctrl (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-phyboard-lyra-rdk: Remove HDMI Reset Line Name (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-phyboard-lyra-rdk: Add HDMI support (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-phyboard-lyra-rdk: Lower I2C1 frequency (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: phycore-am64: Add R5F DMA Region and Mailboxes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Use OF_ALL_DTBS for combined blobs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62x: Add overlay for IMX219 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a7-sk: Enable camera peripherals (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62x: Add overlays for OV5640 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62x-sk: Enable camera peripherals (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-beagleplay: Add overlays for OV5640 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a-main: Enable CSI2-RX (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62-main: Enable CSI2-RX (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Add AM652 dtsi file (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-beagleplay: Use UART name in pinmux name (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62-main: Add gpio-ranges properties (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-verdin: Enable Verdin UART2 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Enable SDHCI nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Enable SDHCI nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Add full compatible to dss-oldi-io-ctrl node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Add chipid node to wkup_conf bus (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Add chipid node to wkup_conf bus (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Add chipid node to wkup_conf bus (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4: Add chipid node to wkup_conf bus (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Add chipid node to wkup_conf bus (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a7-sk: Add interrupt support for IO Expander (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am68-sk-base-board: Add alias for MCU CPSW2G (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: iot2050: Add icssg-prueth nodes for PG2 devices (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: iot2050: Refactor the m.2 and minipcie power pin (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: iot2050: Definitions for runtime pinmuxing (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: iot2050: Drop unused ecap0 PWM (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: iot2050: Re-add aliases (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62x-sk-common: Mark mcu gpio and mcu_gpio_intr as reserved (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62p5-sk: Mark mcu gpio and mcu_gpio_intr as reserved (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-evm/sk: Mark mcu_gpio_intr as reserved (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-main: Fix typo in epwm_tbclk node name (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65-main: Fix DSS irq trigger type (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: minor whitespace cleanup around '=' (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a-main: Fix GPIO pin count in DT nodes (Andrew Halaney) [RHEL-25014]
- dts: ti: k3-am625-beagleplay: Add beaglecc1352 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am654-idk: Add ICSSG Ethernet ports (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am654-icssg2: add ICSSG2 Ethernet support (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65-main: Add ICSSG IEP nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62p5-sk: Updates for SK EVM (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62p: Add nodes for more IPs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am69-sk: Add DP and HDMI support (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-evm: Enable DisplayPort-0 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-main: Add DSS and DP-bridge node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-main: Add WIZ and SERDES PHY nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-main: Add system controller and SERDES lane mux (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j712s2-mcu: Add the mcu domain watchdog instances (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-main: Add the main domain watchdog instances (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-mcu: Add the mcu domain watchdog instances (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-main: Add the main domain watchdog instances (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Add MCU domain ESM instance (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4: Add ESM instances (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Add ESM instances (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-main: Add BCDMA instance for CSI2RX (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-main: Add BCDMA instance for CSI2RX (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-*: Convert NAVSS to simple-bus (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-*: Convert DMSS to simple-bus (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Fix HDMI Audio overlay in Makefile (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a7-sk: Enable audio on AM62A (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a7-sk: Add support for TPS6593 PMIC (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a7-sk: Drop i2c-1 to 100Khz (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a7-sk: Split vcc_3v3 regulators (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a-main: Add nodes for McASP (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-tqma64xxl-mbax4xxl: update gpio-led configuration (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-tqma64xxl-mbax4xxl: add chassis-type (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-tqma64xxl-mbax4xxl: add muxing for GPIOs on pin headers (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-tqma64xxl: add supply regulator for I2C devices (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am69-sk: Add DDR carveout memory nodes for C71x DSP (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am69-sk: Add DDR carveout memory nodes for R5F (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am68-sk-som: Add DDR carveout memory nodes for C71x DSP (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am68-sk-som: Add DDR carveout memory nodes for R5F (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-som-p0: Add DDR carveout memory nodes for C71x DSPs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-som-p0: Add DDR carveout memory nodes for R5F (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-main: Add C7x remote processsor nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-main: Add MAIN R5F remote processsor nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-mcu: Add MCU R5F cluster nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-mcu-wakeup: Add MCU domain ESM instance (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-beagleplay: Fix typo in ramoops reg (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Add GPIO expander on I2C0 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am68-sk: Add DT node for USB (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am68-sk: Add DT node for PCIe (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add USB Type C swap defines for J721S2 SoC (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: verdin-am62: disable MIPI DSI bridge (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am654-base-board: Add I2C I/O expander (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: phycore-am64: Add RTC interrupt pin (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Fix indentation in watchdog nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-evm-gesi: Specify base dtb for overlay file (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-sk: Add boot phase tags marking (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-evm: Add boot phase tags marking (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Add phase tags marking (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-sk: Add boot phase tags marking (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-beagleplay: Add boot phase tags marking (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625: Add boot phase tags marking (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: verdin-am62: add iw416 based bluetooth (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: verdin-am62: Add DSI display support (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add support for the AM62P5 Starter Kit (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Introduce AM62P5 family of SoCs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am69-sk: Add phase tags marking (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-evm: Add phase tags marking (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4: Add phase tags marking (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-beagleplay: Add HDMI support (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: am62x-sk: Add overlay for HDMI audio (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62x-sk-common: Add HDMI support (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62-main: Add node for DSS (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62x-sk-common: Update main-i2c1 frequency (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Enable C6x DSP nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4: Enable C7x DSP nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Enable C7x DSP nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-*: fix fss node dtbs check warnings (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Enable TSCADC nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Enable TSCADC nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Enable TSCADC nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Enable GPIO nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Enable GPIO nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Enable GPIO nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Enable OSPI nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Enable OSPI nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Enable OSPI nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Enable OSPI nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Enable SDHCI nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Enable SDHCI nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Enable SDHCI nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4: Fix interrupt ranges for wkup & main gpio (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3: Add cfg reg region to ringacc node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-evm: Correct Pin mux offset for ADC (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: verdin-am62: dahlia: add sound card (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: verdin-am62: dev: add sound card (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: verdin-am62: Set I2S_1 MCLK rate (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: Enable AUDIO_REFCLKx (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: correct pinmux offset for ospi (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-evm: Correct Pin mux offset for ospi (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a7: Add MCU MCAN nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am68-sk-base-board: Add HDMI support (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-main: Add DSS node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3: Fix epwm_tbclk node name to generic name (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Merge the two main_conf nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a: Remove syscon compatible from epwm_tbclk (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a7-sk: Enable dual role support for Type-C port (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-verdin: enable CAN_2 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: Add MCU MCAN nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3: Fixup remaining pin group node names for make dtbs checks (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-tqma64xxl-mbax4xxl: add SD-card and WLAN overlays (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add TQ-Systems TQMa64XxL SoM and MBaX4XxL carrier board Device Trees (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Add overlay to enable main CPSW2G with GESI (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-main: Add main CPSW2G devicetree node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-evm: Add Support for UFS peripheral (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-main: Add DT node for UFS (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Add support for CAN instances 3 and 5 in main domain (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Add overlay to enable CPSW9G ports with GESI (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-main: Add dts nodes for EHRPWMs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-pinctrl: Introduce debounce select mux macros (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62-main: Remove power-domains from crypto node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Use local header for SERDES MUX idle-state values (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-som-p0: Remove Duplicated wkup_i2c0 node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Fix compatible of ti,*-ehrpwm-tbclk (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: add missing space before { (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: minor whitespace cleanup around '=' (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Unify pin group node names for make dtbs checks (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: add verdin am62 yavia (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: add verdin am62 dahlia (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: add verdin am62 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add basic support for phyBOARD-Lyra-AM625 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-som-p0: Enable wakeup_i2c0 and eeprom (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-som-p0: Enable wakeup_i2c0 and eeprom (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Add ESM support (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: Add ESM support (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Add ESM support (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Add ESM support (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-mcu-wakeup: Remove 0x unit address prefix from nodename (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-common-proc-board: Add uart pinmux (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am68-sk-som: Enable wakeup_i2c0 and eeprom (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am68-sk-base-board: Add uart pinmux (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am68-sk-base-board: Add pinmux for RPi Header (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Fix wkup pinmux range (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Drop SoC level aliases (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-common-proc-board: Define aliases at board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-common-proc-board: Add uart pinmux (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-common-proc-board: remove duplicate main_i2c0 pin mux (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Configure pinctrl for timer IO pads (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Add general purpose timers (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am69-sk: Add pinmux for RPi Header (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Use phandle to stdout UART node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Only set UART baud for used ports (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Drop SoC level aliases (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-common-proc-board: Define aliases at board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-sk: Define aliases at board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-beagleboneai64: Add wakeup_uart pinmux (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-som-p0: Enable wakeup_i2c0 and eeprom (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: j721e-common-proc-board: Add uart pinmux (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: j721e-som/common-proc-board: Add product links (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-sk: Enable wakeup_i2c0 and eeprom (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-sk: Add missing uart pinmuxes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am68-sk-base-board: Fixup reference to phandles array (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am654-base-board: Fixup reference to phandles array (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65-iot*: Fixup reference to phandles array (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-sk: Fixup reference to phandles array (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-evm: Fixup reference to phandles array (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-sk: Fixup reference to phandles array (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am69-sk: Add eMMC mmc0 support (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Change CPTS clock parent (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am69-sk: Enable wakeup_i2c0 and eeprom (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am69-sk: Add mcu and wakeup uarts (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am69-sk: Enable mcu network port (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am69-sk: Fix main_i2c0 alias (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-evm: Enable wakeup_i2c0 and eeprom (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-evm: Add mcu and wakeup uarts (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-evm: Fix main_i2c0 alias (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4: Fix wakeup pinmux range and pinctrl node offsets (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-common-proc-board: Fixup reference to phandles array (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-som/common-proc-board: Fixup reference to phandles array (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-phyboard-electra-rdk: Fixup reference to phandles array (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-beagleboneai64: Fixup reference to phandles array (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-beagleboneai64: Move eeprom WP gpio pinctrl to eeprom node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-beagleboneai64: Move camera gpio pinctrl to gpio node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-som-p0/common-proc-board: Fixup reference to phandles array (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-sk: Fixup reference to phandles array (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4: Configure pinctrl for timer IO (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4: Add general purpose timers (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Configure pinctrl for timer IO (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Add general purpose timers (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Configure pinctrl for timer IO (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Add general purpose timers (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-mcu-wakeup: Add sa3_secproxy and mcu_sec_proxy (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-mcu-wakeup: Add sa3_secproxy and mcu_sec_proxy (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-mcu: Add mcu_secproxy (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65-iot2050-common: Rename rtc8564 nodename (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65-main: Drop deprecated ti,otap-del-sel property (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65-main: Fix mcan node name (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-mcu: Add mcu_secproxy (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65-mcu: Add mcu_secproxy (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a-main: Add sa3_secproxy (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62-main: Add sa3_secproxy (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-sk/evm: Describe OSPI flash partition info (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am654-baseboard: Describe OSPI flash partition info (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-som: Describe OSPI and Hyperflash partition info (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-sk: Describe OSPI flash partition info (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Describe OSPI and QSPI flash partition info (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-common-proc-board: Add OSPI/Hyperflash select pinmux (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add LED controller to phyBOARD-Electra (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-evm: Add support for OSPI and QSPI flashes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-mcu-wakeup: Add FSS OSPI0 and FSS OSPI1 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Enable MDIO nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Enable Mailbox nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Enable PCIe nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Remove PCIe endpoint nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-beagleboneai64: Fix mailbox node status (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-common-proc-board: Add OSPI/Hyperflash select pinmux (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-som-p0: Add HyperFlash node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-mcu-wakeup: Add HyperBus node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: am65x: Add Rocktech OLDI panel DT overlay (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-main: Enable support for high speed modes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-evm: Add pinmux information for ADC (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-mcu-wakeup: Add support for ADC nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-main: Update delay select values for MMC subsystems (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62x-sk-common: Improve documentation of mcasp1_pins (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62x-sk-common: Add eeprom (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62x-sk-common: Describe main_uart1 and wkup_uart (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62x-sk-common: Drop extra EoL (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3: j721s2/j784s4: Switch to https links (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: j721s2: Add VTM node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: j7200: Add VTM node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: j721e: Add VTM node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: j784s4: Add VTM node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a-wakeup: add VTM node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62-wakeup: add VTM node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-main: add VTM node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-common-proc-board: Enable PCIe (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-main: Add PCIe device tree node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Add support for OSPI Flashes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-common-proc-board: Add USB support (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-common-proc-board: Enable SERDES0 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-mcu-wakeup: Add support of OSPI (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-main: Add SERDES and WIZ device tree node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-main: Add support for USB (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625: Enable Type-C port for USB0 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-evm: Reserve memory for remote proc IPC (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-main: Add C71x DSP nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-main: Add R5F cluster nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a7-sk: Describe main_uart1 and wkup_uart (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-som: Enable I2C (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Fix physical address of pin (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65-main: Remove "syscon" nodes added for pcieX_ctrl (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: add missing cache properties (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Drop aliases (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am654-base-board: Add aliases (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am654-base-board: Add board detect eeprom (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am654-base-board: Add missing PMIC (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am654-base-board: Add VTT GPIO regulator for DDR (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am654-base-board: Rename regulator node name (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am654-base-board: Add missing pinmux wkup_uart, mcu_uart and mcu_i2c (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a: Add watchdog nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a: Add general purpose timers (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-common-proc-board: Drop bootargs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-common-proc-board: Drop bootargs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-*: Drop bootargs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65*: Drop bootargs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62x-sk-common: Drop bootargs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-sk|evm: Drop bootargs, add aliases (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-evm: Add VTT GPIO regulator for DDR (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-evm: Rename regulator node name (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-evm: Describe main_uart1 pins (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-evm: Enable main_i2c0 and eeprom (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-sk: Rename regulator node name (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-sk: Describe main_uart1 pins (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-sk: Enable main_i2c0 and eeprom (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-sk: Fix mmc1 pinmux (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Add general purpose timers (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-evm: Add eMMC mmc0 support (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Enable audio on SK-AM62(-LP) (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62-main: Add McASP nodes (Andrew Halaney) [RHEL-25014]
- arm64: ti: dts: Add support for AM62x LP SK (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Refractor AM625 SK dts (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-sk: Add ti,vbus-divider property to usbss1 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4: Add MCSPI nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Add MCSPI nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Add MCSPI nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Add MCSPI nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add k3-am625-beagleplay (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am68-sk-base-board: Update IO EXP GPIO lines for Rev E2 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Add overlay to enable CPSW5G ports in QSGMII mode (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: j7200-main: Add CPSW5G nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Add overlay to enable CPSW9G ports in QSGMII mode (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: Add watchdog nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62-wakeup: Introduce RTC node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-common-proc-board: Add pinmux information for ADC (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Add CPSW9G nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-evm: Enable MCU CPSW2G (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-mcu-wakeup: Add support for ADC nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-main: Enable crypto accelerator (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Use local header for pinctrl register values (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-sk: Remove firmware-name override for R5F (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a7: Correct L2 cache size to 512KB (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625: Correct L2 cache size to 512KB (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j784s4-*: Add 'ti,sci-dev-id' for NAVSS nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-main: Remove ti,strobe-sel property (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a7-sk: Fix DDR size to full 4GB (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62-main: Fix GPIO numbers in DT (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Makefile: Rearrange entries alphabetically (Andrew Halaney) [RHEL-25014]
- arch: arm64: dts: Add support for AM69 Starter Kit (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: iot2050: Add support for M.2 variant (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: iot2050: Add layout of OSPI flash (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Fix wakeup pinmux range (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am68-sk: Add support for AM68 SK base board (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add initial support for AM68 SK System on Module (Andrew Halaney) [RHEL-25014]
- arm64: dts: Update cache properties for ti (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add support for phyBOARD-Electra-AM642 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add support for J784S4 EVM board (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a7-sk: Enable USB1 node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a7-sk: Enable ethernet port (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a-main: Add more peripheral nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62a-mcu: Add MCU domain peripherals (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add initial support for J784S4 SoC (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-sk: Add support for USB (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62-main: Add support for USB (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62-main: Update OTAP and ITAP delay select (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62-main: Fix clocks for McSPI (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add k3-j721e-beagleboneai64 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-main: Enable crypto accelerator (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-main: Drop RNG clock (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-main: Drop RNG clock (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65-main: Drop RNG clock (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: j721e-common-proc-board: Fix sound node-name (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Fix the interrupt ranges property for main & wkup gpio intr (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-mcu-wakeup: Drop dma-coherent in crypto node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-main: Drop dma-coherent in crypto node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65-main: Drop dma-coherent in crypto node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: Add general purpose timers for am62 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Add general purpose timers for am65 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Configure pinctrl for timer IO pads (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Trim addresses to 8 digits (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-sk: Add pinmux for RPi Header (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-main: Add dts nodes for EHRPWMs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-sk: Add 1.4GHz OPP (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625: Introduce operating-points table (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Enable McASP nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Enable Mailbox nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Enable PCIe nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Enable MCAN nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Enable MDIO nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: MDIO pinmux should belong to the MDIO node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Enable ECAP nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Enable EPWM nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Enable SPI nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Enable I2C nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Enable UART nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Rename clock-names adc_tsc_fck to fck (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Enable I2C nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Enable MCAN nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Enable Mailbox nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Enable Mailbox nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Enable Mailbox nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Enable UART nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Enable I2C nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Enable UART nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Enable MCAN nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Enable MCASP nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Enable I2C nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Enable UART nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: Enable OSPI nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: Enable SDHCI nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: Enable MCAN nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: Enable MDIO nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: MDIO pinmux should belong to the MDIO node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: Enable ECAP nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: Enable EPWM nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: Enable SPI nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: Enable I2C nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: Enable UART nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Enable GPMC and ELM nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Enable MCAN nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Enable MDIO nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: MDIO pinmux should belong to the MDIO node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Enable PCIe nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Enable ECAP nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Enable EPWM nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Enable SPI nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Enable I2C nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Enable UART nodes at the board level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: fix main pinmux range (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add support for AM62A7-SK (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Introduce AM62A7 family of SoCs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-sk: Add epwm nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62-main: Add epwm nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-sk: Add DT entry for onboard LEDs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-mcu-wakeup: Add SA2UL node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65-main: Do not exclusively claim SA2UL (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65-main: Move SA2UL to unused PSI-L thread ID (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65-main: Disable RNG node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-main: Add main domain watchdog entries (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-main: Add ELM (Error Location Module) node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-main: Add GPMC memory controller node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-main: fix RNG node clock id (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-main: Enable crypto accelerator (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Add SA2UL address space to Main CBASS ranges (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-main: Add main_cpts label (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62-main: Enable crypto accelerator (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-sk: Enable ramoops (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-sk: Add pinmux corresponding to main_uart0 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Align gpio-key node names with dtschema (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Adjust whitespace around '=' (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-main: Remove support for HS400 speed mode (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Fix overlapping GICD memory region (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-sk: Enable HDMI (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-sk: Enable DisplayPort (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-common-proc-board: add DP to j7 evm (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-*: add DP & DP PHY (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: Add SA3UL ranges in cbass_main (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: Add support for MCAN (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62-mcu: Enable MCU GPIO module (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-sk: Add ECAP APWM nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am625-sk: Enable on board peripherals (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am62: Add more peripheral nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-mcu: remove incorrect UART base clock rates (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-sk: Enable WLAN connected to SDHCI0 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add support for AM62-SK (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Introduce base support for AM62x SoC (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-*: Drop address and size cells from flash nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-*: Fix whitespace around flash@0 nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Fix gic-v3 compatible regs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Fix gic-v3 compatible regs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Fix gic-v3 compatible regs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Fix gic-v3 compatible regs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Fix gic-v3 compatible regs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-mcu-wakeup: Fix the interrupt-parent for wkup_gpioX instances (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64: Add ESM0 to device memory map (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65*: Remove #address-cells/#size-cells from flash nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-main: Add RTI watchdog nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2-common-proc-board: Alias console uart to serial2 (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721s2: Move aliases to board dts (Andrew Halaney) [RHEL-25014]
- arch: arm64: ti: Add support J721S2 Common Processor Board (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add initial support for J721S2 System on Module (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add initial support for J721S2 SoC (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: iot2050: Disable mcasp nodes at dtsi level (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-evm/sk: Add support for main domain mcan nodes in EVM and disable them on SK (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-main: Add support for MCAN (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-common-proc-board: Add support for mcu and main mcan nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Add support for MCAN nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: am654-base-board/am65-iot2050-common: Disable mcan nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65-mcu: Add Support for MCAN (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-main: add timesync router node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Correct the d-cache-sets info (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: Fix the L2 cache sets (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200: Fix the L2 cache sets (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642: Fix the L2 cache sets (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: j721e-main: Fix 'dtbs_check' in serdes_ln_ctrl node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: j7200-main: Fix 'dtbs_check' serdes_ln_ctrl node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e: correct cache-sets info (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-sk: Add DDR carveout memory nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-sk: Add IPC sub-mailbox nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Add support for J721E SK (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: iot2050: Add support for product generation 2 boards (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: iot2050: Prepare for adding 2nd-generation boards (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: iot2050: Add/enabled mailboxes and carve-outs for R5F cores (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: iot2050: Disable SR2.0-only PRUs (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: iot2050: Flip mmc device ordering on Advanced devices (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j7200-common-proc-board: Add j7200-evm compatible (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-common-proc-board: Add j721e-evm compatible (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-main: Add ICSSG nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: Makefile: Collate AM64 platforms together (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65: Relocate thermal-zones to SoC specific location (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: ti-k3*: Introduce aliases for mmc nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am65-main: Cleanup "ranges" property in "pcie" DT node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: j7200-main: Add *max-virtual-functions* for pcie-ep DT node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: j7200-main: Fix "bus-range" upto 256 bus number for PCIe (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: j7200-main: Fix "vendor-id"/"device-id" properties of pcie node (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-main: Fix "bus-range" upto 256 bus number for PCIe (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-j721e-main: Fix "max-virtual-functions" in PCIe EP nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-mcu: Add pinctrl (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-sk: Add pwm nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am642-evm: Add pwm nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-main: Add ecap pwm nodes (Andrew Halaney) [RHEL-25014]
- arm64: dts: ti: k3-am64-main: Add epwm nodes (Andrew Halaney) [RHEL-25014]
- kbuild: Cleanup DT Overlay intermediate files as appropriate (Andrew Halaney) [RHEL-25014]
- kbuild: Allow DTB overlays to built into .dtbo.S files (Andrew Halaney) [RHEL-25014]
- kbuild: Allow DTB overlays to built from .dtso named source files (Andrew Halaney) [RHEL-25014]
- tools/rtla: Exit with EXIT_SUCCESS when help is invoked (John Kacur) [RHEL-28660]
- tools/rtla: Replace setting prio with nice for SCHED_OTHER (John Kacur) [RHEL-28660]
- tools/rtla: Remove unused sched_getattr() function (John Kacur) [RHEL-28660]
- tools/rtla: Fix clang warning about mount_point var size (John Kacur) [RHEL-28660]
- tools/rtla: Fix uninitialized bucket/data->bucket_size warning (John Kacur) [RHEL-28660]
- tools/rtla: Fix Makefile compiler options for clang (John Kacur) [RHEL-28660]
- tools/rv: Fix curr_reactor uninitialized variable (John Kacur) [RHEL-28660]
- tools/rv: Fix Makefile compiler options for clang (John Kacur) [RHEL-28660]
- netfs, fscache: Prevent Oops in fscache_put_cache() (Pavel Reichl) [RHEL-28890] {CVE-2024-26612}
- bnx2fc: Remove spin_lock_bh while release resources after upload. (John Meneghini) [RHEL-9662]
- ice: fold ice_ptp_read_time into ice_ptp_gettimex64 (Michal Schmidt) [RHEL-19000]
- ice: avoid the PTP hardware semaphore in gettimex64 path (Michal Schmidt) [RHEL-19000]
- ice: add ice_adapter for shared data across PFs on the same NIC (Michal Schmidt) [RHEL-19000]
- overlay: disable EVM (Coiby Xu) [RHEL-29566]
- evm: add support to disable EVM on unsupported filesystems (Coiby Xu) [RHEL-29566]
- evm: don't copy up 'security.evm' xattr (Coiby Xu) [RHEL-29566]
- mm, vmscan: remove ISOLATE_UNMAPPED (Nico Pache) [RHEL-28667]
- trace-vmscan-postprocess: sync with tracepoints updates (Nico Pache) [RHEL-28667]
- tracing: incorrect isolate_mote_t cast in mm_vmscan_lru_isolate (Nico Pache) [RHEL-28667]
- mm/mglru: skip special VMAs in lru_gen_look_around() (Nico Pache) [RHEL-28667]
- mm/mglru: reclaim offlined memcgs harder (Nico Pache) [RHEL-28667]
- mm/mglru: try to stop at high watermarks (Nico Pache) [RHEL-28667]
- mm/mglru: fix underprotected page cache (Nico Pache) [RHEL-28667]
- mm: multi-gen LRU: reuse some legacy trace events (Nico Pache) [RHEL-28667]
- mm: multi-gen LRU: improve design doc (Nico Pache) [RHEL-28667]
- mm: multi-gen LRU: clean up sysfs code (Nico Pache) [RHEL-28667]
- printk: allow disabling printk per-console device kthreads at boot (Luis Claudio R. Goncalves) [RHEL-17709]
- powerpc/pseries/iommu: IOMMU table is not initialized for kdump over SR-IOV (Mamatha Inamdar) [RHEL-25055]
- IB/hfi1: Fix sdma.h tx->num_descs off-by-one error (Daniel Vacek) [RHEL-26063]
- ASoC: Intel: soc-acpi: rt713+rt1316, no sdw-dmic config (Jaroslav Kysela) [RHEL-26456]
- hv_netvsc: Register VF in netvsc_probe if NET_DEVICE_REGISTER missed (Maxim Levitsky) [RHEL-26435]
- hv_netvsc: Fix race condition between netvsc_probe and netvsc_remove (Maxim Levitsky) [RHEL-26435]
- mlxsw: spectrum_acl_tcam: Fix stack corruption (Ivan Vecera) [RHEL-26463] {CVE-2024-26586}
Resolves: RHEL-17709, RHEL-19000, RHEL-25014, RHEL-25055, RHEL-28660, RHEL-28667, RHEL-28890, RHEL-29566, RHEL-9662, RHEL-28891

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2024-03-25 07:45:50 -04:00
Lucas Zampieri
96392e2a06 kernel-5.14.0-428.el9
* Wed Mar 06 2024 Lucas Zampieri <lzampier@redhat.com> [5.14.0-428.el9]
- x86/fpu: Stop relying on userspace for info to fault in xsave buffer (Steve Best) [RHEL-26672] {CVE-2024-26603}
- redhat: configs: Enable CONFIG_MEMTEST to enable memory test (Eric Chanudet) [RHEL-24906]
- x86/smpboot/64: Handle X2APIC BIOS inconsistency gracefully (David Arcari) [RHEL-19514]
- x86/apic: Fake primary thread mask for XEN/PV (David Arcari) [RHEL-19514]
- cpu/hotplug: Remove dependancy against cpu_primary_thread_mask (David Arcari) [RHEL-19514]
- x86/smpboot: Fix the parallel bringup decision (David Arcari) [RHEL-19514]
- x86/realmode: Make stack lock work in trampoline_compat() (David Arcari) [RHEL-19514]
- x86/smp: Initialize cpu_primary_thread_mask late (David Arcari) [RHEL-19514]
- cpu/hotplug: Fix off by one in cpuhp_bringup_mask() (David Arcari) [RHEL-19514]
- x86/apic: Fix use of X{,2}APIC_ENABLE in asm with older binutils (David Arcari) [RHEL-19514]
- x86/tsc: Defer marking TSC unstable to a worker (David Arcari) [RHEL-19514]
- cpu/hotplug: Remove unused function declaration cpu_set_state_online() (David Arcari) [RHEL-19514]
- x86/smpboot/64: Implement arch_cpuhp_init_parallel_bringup() and enable it (David Arcari) [RHEL-19514]
- x86/smpboot: Support parallel startup of secondary CPUs (David Arcari) [RHEL-19514]
- x86/apic/x2apic: Allow CPU cluster_mask to be populated in parallel (David Arcari) [RHEL-19514]
- x86/smpboot: Remove initial_gs (David Arcari) [RHEL-19514]
- x86/smpboot: Remove early_gdt_descr on 64-bit (David Arcari) [RHEL-19514]
- x86/smpboot: Implement a bit spinlock to protect the realmode stack (David Arcari) [RHEL-19514]
- x86/apic: Save the APIC virtual base address (David Arcari) [RHEL-19514]
- x86/smpboot: Remove initial_stack on 64-bit (David Arcari) [RHEL-19514]
- cpu/hotplug: Allow "parallel" bringup up to CPUHP_BP_KICK_AP_STATE (David Arcari) [RHEL-19514]
- x86/apic: Provide cpu_primary_thread mask (David Arcari) [RHEL-19514]
- x86/smpboot: Enable split CPU startup (David Arcari) [RHEL-19514]
- cpu/hotplug: Provide a split up CPUHP_BRINGUP mechanism (David Arcari) [RHEL-19514]
- cpu/hotplug: Remove unused state functions (David Arcari) [RHEL-19514]
- riscv: Switch to hotplug core state synchronization (David Arcari) [RHEL-19514]
- MIPS: SMP_CPS: Switch to hotplug core state synchronization (David Arcari) [RHEL-19514]
- arm64: smp: Switch to hotplug core state synchronization (David Arcari) [RHEL-19514]
- ARM: smp: Switch to hotplug core state synchronization (David Arcari) [RHEL-19514]
- cpu/hotplug: Remove cpu_report_state() and related unused cruft (David Arcari) [RHEL-19514]
- cpu/hotplug: Add debug printks for hotplug callback failures (David Arcari) [RHEL-19514]
- cpu/hotplug: Do not bail-out in DYING/STARTING sections (David Arcari) [RHEL-19514]
- cpu/hotplug: Initialise all cpuhp_cpu_state structs earlier (David Arcari) [RHEL-19514]
- x86/smpboot: Switch to hotplug core state synchronization (David Arcari) [RHEL-19514]
- cpu/hotplug: Add CPU state tracking and synchronization (David Arcari) [RHEL-19514]
- x86/xen/hvm: Get rid of DEAD_FROZEN handling (David Arcari) [RHEL-19514]
- x86/xen/smp_pv: Remove wait for CPU online (David Arcari) [RHEL-19514]
- x86/smpboot: Remove wait for cpu_online() (David Arcari) [RHEL-19514]
- cpu/hotplug: Rework sparse_irq locking in bringup_cpu() (David Arcari) [RHEL-19514]
- x86/smpboot: Remove cpu_callin_mask (David Arcari) [RHEL-19514]
- x86/smpboot: Make TSC synchronization function call based (David Arcari) [RHEL-19514]
- x86/smpboot: Move synchronization masks to SMP boot code (David Arcari) [RHEL-19514]
- x86/cpu/cacheinfo: Remove cpu_callout_mask dependency (David Arcari) [RHEL-19514]
- gitlab-ci: enable arm64/s390x/ppc64le debug builds (Michael Hofmann)
- PCI/ASPM: Fix deadlock when enabling ASPM (Myron Stowe) [RHEL-26162]
- MAINTAINERS: Orphan Cadence PCIe IP (Myron Stowe) [RHEL-26162]
- Revert "PCI/ASPM: Remove pcie_aspm_pm_state_change()" (Myron Stowe) [RHEL-26162]
- Revert "PCI: acpiphp: Reassign resources on bridge if necessary" (Myron Stowe) [RHEL-26162]
- PCI/ASPM: Add pci_disable_link_state_locked() lockdep assert (Myron Stowe) [RHEL-26162]
- PCI/ASPM: Clean up __pci_disable_link_state() 'sem' parameter (Myron Stowe) [RHEL-26162]
- PCI: qcom: Clean up ASPM comment (Myron Stowe) [RHEL-26162]
- PCI: qcom: Fix potential deadlock when enabling ASPM (Myron Stowe) [RHEL-26162]
- PCI: vmd: Fix potential deadlock when enabling ASPM (Myron Stowe) [RHEL-26162]
- PCI/ASPM: Add pci_enable_link_state_locked() (Myron Stowe) [RHEL-26162]
- PCI: loongson: Limit MRRS to 256 (Myron Stowe) [RHEL-26162]
- PCI: Simplify pcie_capability_clear_and_set_word() to ..._clear_word() (Myron Stowe) [RHEL-26162]
- PCI: endpoint: Fix double free in __pci_epc_create() (Myron Stowe) [RHEL-26162]
- PCI: Replace unnecessary UTF-8 in Kconfig (Myron Stowe) [RHEL-26162]
- logic_pio: Remove logic_outb(), _outw(), outl() duplicate declarations (Myron Stowe) [RHEL-26162]
- PCI: Make pci_assign_unassigned_resources() non-init (Myron Stowe) [RHEL-26162]
- PCI: Prevent xHCI driver from claiming AMD VanGogh USB3 DRD device (Myron Stowe) [RHEL-26162]
- PCI/portdrv: Use FIELD_GET() (Myron Stowe) [RHEL-26162]
- PCI/VC: Use FIELD_GET() (Myron Stowe) [RHEL-26162]
- PCI/PTM: Use FIELD_GET() (Myron Stowe) [RHEL-26162]
- PCI/PME: Use FIELD_GET() (Myron Stowe) [RHEL-26162]
- PCI/ATS: Use FIELD_GET() (Myron Stowe) [RHEL-26162]
- PCI/ATS: Show PASID Capability register width in bitmasks (Myron Stowe) [RHEL-26162]
- PCI: Use FIELD_GET() in Sapphire RX 5600 XT Pulse quirk (Myron Stowe) [RHEL-26162]
- PCI: Use FIELD_GET() (Myron Stowe) [RHEL-26162]
- PCI/MSI: Use FIELD_GET/PREP() (Myron Stowe) [RHEL-26162]
- PCI/DPC: Use defines with DPC reason fields (Myron Stowe) [RHEL-26162]
- PCI/DPC: Use defined fields with DPC_CTL register (Myron Stowe) [RHEL-26162]
- PCI/DPC: Use FIELD_GET() (Myron Stowe) [RHEL-26162]
- PCI: hotplug: Use FIELD_GET/PREP() (Myron Stowe) [RHEL-26162]
- PCI: dwc: Use FIELD_GET/PREP() (Myron Stowe) [RHEL-26162]
- PCI: cadence: Use FIELD_GET() (Myron Stowe) [RHEL-26162]
- PCI: Use FIELD_GET() to extract Link Width (Myron Stowe) [RHEL-26162]
- PCI: mvebu: Use FIELD_PREP() with Link Width (Myron Stowe) [RHEL-26162]
- PCI: tegra194: Use FIELD_GET()/FIELD_PREP() with Link Width fields (Myron Stowe) [RHEL-26162]
- scsi: ipr: Do PCI error checks on own line (Myron Stowe) [RHEL-26162]
- PCI: xgene: Do PCI error check on own line & keep return value (Myron Stowe) [RHEL-26162]
- PCI: Do error check on own line to split long "if" conditions (Myron Stowe) [RHEL-26162]
- atm: iphase: Do PCI error checks on own line (Myron Stowe) [RHEL-26162]
- sh: pci: Do PCI error check on own line (Myron Stowe) [RHEL-26162]
- alpha: Streamline convoluted PCI error handling (Myron Stowe) [RHEL-26162]
- dt-bindings: PCI: xilinx-xdma: Add schemas for Xilinx XDMA PCIe Root Port Bridge (Myron Stowe) [RHEL-26162]
- PCI: xilinx-cpm: Move IRQ definitions to a common header (Myron Stowe) [RHEL-26162]
- PCI: xilinx-nwl: Modify ECAM size to enable support for 256 buses (Myron Stowe) [RHEL-26162]
- PCI: xilinx-nwl: Rename the NWL_ECAM_VALUE_DEFAULT macro (Myron Stowe) [RHEL-26162]
- dt-bindings: PCI: xilinx-nwl: Modify ECAM size in the DT example (Myron Stowe) [RHEL-26162]
- PCI: xilinx-nwl: Remove redundant code that sets Type 1 header fields (Myron Stowe) [RHEL-26162]
- dt-bindings: PCI: xilinx-nwl: Convert to YAML schemas of Xilinx NWL PCIe Root Port Bridge (Myron Stowe) [RHEL-26162]
- PCI: tegra194: Use Mbps_to_icc() macro for setting icc speed (Myron Stowe) [RHEL-26162]
- PCI: qcom-ep: Use PCIE_SPEED2MBS_ENC() macro for encoding link speed (Myron Stowe) [RHEL-26162]
- PCI: qcom: Use PCIE_SPEED2MBS_ENC() macro for encoding link speed (Myron Stowe) [RHEL-26162]
- misc: pci_endpoint_test: Add Device ID for R-Car S4-8 PCIe controller (Myron Stowe) [RHEL-26162]
- MAINTAINERS: Update PCI DRIVER FOR RENESAS R-CAR for R-Car Gen4 (Myron Stowe) [RHEL-26162]
- PCI: rcar-gen4: Add endpoint mode support (Myron Stowe) [RHEL-26162]
- PCI: rcar-gen4: Add R-Car Gen4 PCIe controller support for host mode (Myron Stowe) [RHEL-26162]
- dt-bindings: PCI: renesas: Add R-Car Gen4 PCIe Endpoint (Myron Stowe) [RHEL-26162]
- dt-bindings: PCI: renesas: Add R-Car Gen4 PCIe Host (Myron Stowe) [RHEL-26162]
- dt-bindings: PCI: dwc: Update maxItems of reg and reg-names (Myron Stowe) [RHEL-26162]
- PCI: dwc: endpoint: Introduce .pre_init() and .deinit() (Myron Stowe) [RHEL-26162]
- PCI: dwc: Expose dw_pcie_write_dbi2() to module (Myron Stowe) [RHEL-26162]
- PCI: dwc: Expose dw_pcie_ep_exit() to module (Myron Stowe) [RHEL-26162]
- PCI: dwc: Add EDMA_UNROLL capability flag (Myron Stowe) [RHEL-26162]
- PCI: dwc: endpoint: Add multiple PFs support for dbi2 (Myron Stowe) [RHEL-26162]
- PCI: tegra194: Drop PCI_EXP_LNKSTA_NLW setting (Myron Stowe) [RHEL-26162]
- PCI: dwc: Add missing PCI_EXP_LNKCAP_MLW handling (Myron Stowe) [RHEL-26162]
- PCI: dwc: Add dw_pcie_link_set_max_link_width() (Myron Stowe) [RHEL-26162]
- PCI: Add T_PVPERL macro (Myron Stowe) [RHEL-26162]
- PCI: qcom-ep: Add dedicated callback for writing to DBI2 registers (Myron Stowe) [RHEL-26162]
- PCI: layerscape-ep: Set 64-bit DMA mask (Myron Stowe) [RHEL-26162]
- PCI: cadence: Drop unused member from struct cdns_plat_pcie (Myron Stowe) [RHEL-26162]
- PCI: qcom: Enable ASPM for platforms supporting 1.9.0 ops (Myron Stowe) [RHEL-26162]
- PCI: dwc: Add host_post_init() callback (Myron Stowe) [RHEL-26162]
- drm/qxl: Use pci_is_vga() to identify VGA devices (Myron Stowe) [RHEL-26162]
- drm/virtio: Use pci_is_vga() to identify VGA devices (Myron Stowe) [RHEL-26162]
- PCI/sysfs: Enable 'boot_vga' attribute via pci_is_vga() (Myron Stowe) [RHEL-26162]
- PCI/VGA: Select VGA devices earlier (Myron Stowe) [RHEL-26162]
- PCI/VGA: Use pci_is_vga() to identify VGA devices (Myron Stowe) [RHEL-26162]
- PCI: Add pci_is_vga() helper (Myron Stowe) [RHEL-26162]
- PCI: Lengthen reset delay for VideoPropulsion Torrent QN16e card (Myron Stowe) [RHEL-26162]
- x86/PCI: Avoid PME from D3hot/D3cold for AMD Rembrandt and Phoenix USB4 (Myron Stowe) [RHEL-26162]
- PCI/sysfs: Protect driver's D3cold preference from user space (Myron Stowe) [RHEL-26162]
- PCI/P2PDMA: Remove redundant goto (Myron Stowe) [RHEL-26162]
- PCI/P2PDMA: Fix undefined behavior bug in struct pci_p2pdma_pagemap (Myron Stowe) [RHEL-26162]
- PCI: acpiphp: Allow built-in drivers for Attention Indicators (Myron Stowe) [RHEL-26162]
- PCI: keystone: Don't discard .probe() callback (Myron Stowe) [RHEL-26162]
- PCI: keystone: Don't discard .remove() callback (Myron Stowe) [RHEL-26162]
- PCI: kirin: Don't discard .remove() callback (Myron Stowe) [RHEL-26162]
- PCI: exynos: Don't discard .remove() callback (Myron Stowe) [RHEL-26162]
- PCI/ACPI: Use acpi_evaluate_dsm_typed() (Myron Stowe) [RHEL-26162]
- drm/radeon: Use pci_get_base_class() to reduce duplicated code (Myron Stowe) [RHEL-26162]
- drm/amdgpu: Use pci_get_base_class() to reduce duplicated code (Myron Stowe) [RHEL-26162]
- drm/nouveau: Use pci_get_base_class() to reduce duplicated code (Myron Stowe) [RHEL-26162]
- ALSA: hda: Use pci_get_base_class() to reduce duplicated code (Myron Stowe) [RHEL-26162]
- PCI: Add pci_get_base_class() helper (Myron Stowe) [RHEL-26162]
- PCI: endpoint: Use IS_ERR_OR_NULL() helper function (Myron Stowe) [RHEL-26162]
- PCI/ASPM: Fix L1 substate handling in aspm_attr_store_common() (Myron Stowe) [RHEL-26162]
- Revert "PCI/ASPM: Disable only ASPM_STATE_L1 when driver, disables L1" (Myron Stowe) [RHEL-26162]
- PCI/ASPM: Convert printk() to pr_*() and add include (Myron Stowe) [RHEL-26162]
- PCI/ASPM: Remove unnecessary includes (Myron Stowe) [RHEL-26162]
- PCI/ASPM: Use FIELD_MAX() instead of literals (Myron Stowe) [RHEL-26162]
- PCI/ASPM: Use time constants (Myron Stowe) [RHEL-26162]
- PCI/ASPM: Return U32_MAX instead of bit magic construct (Myron Stowe) [RHEL-26162]
- PCI/ASPM: Use FIELD_GET/PREP() to access PCIe capability fields (Myron Stowe) [RHEL-26162]
- PCI: Add PCI_L1SS_CTL2 fields (Myron Stowe) [RHEL-26162]
- PCI/AER: Factor out interrupt toggling into helpers (Myron Stowe) [RHEL-26162]
- pinctrl: intel: use the correct _PM_OPS() export macro (David Arcari) [RHEL-26354]
- pinctrl: don't put the reference to GPIO device in pinctrl_pins_show() (David Arcari) [RHEL-26354]
- PM: Provide EXPORT_NS_GPL_DEV_SLEEP_PM_OPS (David Arcari) [RHEL-26354]
- pinctrl: intel: Add Intel Meteor Point pin controller and GPIO support (David Arcari) [RHEL-26354]
- pinctrl: core: Remove unused members from struct group_desc (David Arcari) [RHEL-26354]
- pinctrl: imx: Convert to use grp member (David Arcari) [RHEL-26354]
- pinctrl: imx: Use temporary variable to hold pins (David Arcari) [RHEL-26354]
- pinctrl: freescale: remove generic pin config core support (David Arcari) [RHEL-26354]
- pinctrl: core: Embed struct pingroup into struct group_desc (David Arcari) [RHEL-26354]
- pinctrl: core: Add a convenient define PINCTRL_GROUP_DESC() (David Arcari) [RHEL-26354]
- pinctrl: baytrail: use gpiochip_dup_line_label() (David Arcari) [RHEL-26354]
- gpiolib: provide gpiochip_dup_line_label() (David Arcari) [RHEL-26354]
- pinctrl: core: Make pins const unsigned int pointer in struct group_desc (David Arcari) [RHEL-26354]
- pinctrl: Convert unsigned to unsigned int (David Arcari) [RHEL-26354]
- pinctrl: don't include GPIOLIB private header (David Arcari) [RHEL-26354]
- pinctrl: stop using gpiod_to_chip() (David Arcari) [RHEL-26354]
- gpiolib: add gpio_device_get_label() stub for !GPIOLIB (David Arcari) [RHEL-26354]
- gpiolib: add gpio_device_get_base() stub for !GPIOLIB (David Arcari) [RHEL-26354]
- gpiolib: add gpiod_to_gpio_device() stub for !GPIOLIB (David Arcari) [RHEL-26354]
- gpiolib: Fix scope-based gpio_device refcounting (David Arcari) [RHEL-26354]
- gpiolib: provide gpio_device_get_label() (David Arcari) [RHEL-26354]
- gpiolib: provide gpio_device_get_base() (David Arcari) [RHEL-26354]
- gpiolib: provide gpiod_to_gpio_device() (David Arcari) [RHEL-26354]
- gpiolib: add support for scope-based management to gpio_device (David Arcari) [RHEL-26354]
- gpiolib: make gpio_device_get() and gpio_device_put() public (David Arcari) [RHEL-26354]
- pinctrl: baytrail: Simplify code with cleanup helpers (David Arcari) [RHEL-26354]
- pinctrl: Bulk conversion to generic_handle_domain_irq() (David Arcari) [RHEL-26354]
- pinctrl: baytrail: Move default strength assignment to a switch-case (David Arcari) [RHEL-26354]
- pinctrl: baytrail: Factor out byt_gpio_force_input_mode() (David Arcari) [RHEL-26354]
- pinctrl: baytrail: Fix types of config value in byt_pin_config_set() (David Arcari) [RHEL-26354]
- pinctrl: avoid reload of p state in list iteration (David Arcari) [RHEL-26354]
- pinctrl: intel: Add a generic Intel pin control platform driver (David Arcari) [RHEL-26354]
- devres: Provide krealloc_array (David Arcari) [RHEL-26354]
- redhat/configs: enable new intel pinctrl configs (David Arcari) [RHEL-26354]
- pinctrl: intel: Revert "Unexport intel_pinctrl_probe()" (David Arcari) [RHEL-26354]
- pinctrl: intel: allow independent COMPILE_TEST (David Arcari) [RHEL-26354]
- pinctrl: intel: Refactor intel_pinctrl_get_soc_data() (David Arcari) [RHEL-26354]
- pinctrl: intel: Move default strength assignment to a switch-case (David Arcari) [RHEL-26354]
- pinctrl: intel: Make PM ops functions static (David Arcari) [RHEL-26354]
- pinctrl: tigerlake: Switch to use Intel pin control PM ops (David Arcari) [RHEL-26354]
- pinctrl: sunrisepoint: Switch to use Intel pin control PM ops (David Arcari) [RHEL-26354]
- pinctrl: meteorlake: Switch to use Intel pin control PM ops (David Arcari) [RHEL-26354]
- pinctrl: lewisburg: Switch to use Intel pin control PM ops (David Arcari) [RHEL-26354]
- pinctrl: lakefield: Switch to use Intel pin control PM ops (David Arcari) [RHEL-26354]
- pinctrl: jasperlake: Switch to use Intel pin control PM ops (David Arcari) [RHEL-26354]
- pinctrl: icelake: Switch to use Intel pin control PM ops (David Arcari) [RHEL-26354]
- pinctrl: geminilake: Switch to use Intel pin control PM ops (David Arcari) [RHEL-26354]
- pinctrl: emmitsburg: Switch to use Intel pin control PM ops (David Arcari) [RHEL-26354]
- pinctrl: elkhartlake: Switch to use Intel pin control PM ops (David Arcari) [RHEL-26354]
- pinctrl: denverton: Switch to use Intel pin control PM ops (David Arcari) [RHEL-26354]
- pinctrl: cedarfork: Switch to use Intel pin control PM ops (David Arcari) [RHEL-26354]
- pinctrl: cannonlake: Switch to use Intel pin control PM ops (David Arcari) [RHEL-26354]
- pinctrl: broxton: Switch to use Intel pin control PM ops (David Arcari) [RHEL-26354]
- pinctrl: alderlake: Switch to use Intel pin control PM ops (David Arcari) [RHEL-26354]
- pinctrl: intel: Provide Intel pin control wide PM ops structure (David Arcari) [RHEL-26354]
- Remove custom EXPORT_NS_GPL_DEV_PM_OPS macro (David Arcari) [RHEL-26354]
- PM: Improve EXPORT_*_DEV_PM_OPS macros (David Arcari) [RHEL-26354]
- export: fix string handling of namespace in EXPORT_SYMBOL_NS (David Arcari) [RHEL-26354]
- PM: core: Add NS varients of EXPORT[_GPL]_SIMPLE_DEV_PM_OPS and runtime pm equiv (David Arcari) [RHEL-26354]
- pinctrl: change the signature of pinctrl_ready_for_gpio_range() (David Arcari) [RHEL-26354]
- pinctrl: change the signature of gpio_to_pin() (David Arcari) [RHEL-26354]
- pinctrl: change the signature of pinctrl_match_gpio_range() (David Arcari) [RHEL-26354]
- pinctrl: change the signature of pinctrl_get_device_gpio_range() (David Arcari) [RHEL-26354]
- pinctrl: change the signature of pinctrl_gpio_direction() (David Arcari) [RHEL-26354]
- treewide: rename pinctrl_gpio_set_config_new() (David Arcari) [RHEL-26354]
- treewide: rename pinctrl_gpio_direction_output_new() (David Arcari) [RHEL-26354]
- treewide: rename pinctrl_gpio_direction_input_new() (David Arcari) [RHEL-26354]
- treewide: rename pinctrl_gpio_free_new() (David Arcari) [RHEL-26354]
- treewide: rename pinctrl_gpio_request_new() (David Arcari) [RHEL-26354]
- treewide: rename pinctrl_gpio_can_use_line_new() (David Arcari) [RHEL-26354]
- gpio: cdev: use pinctrl_gpio_can_use_line_new() (David Arcari) [RHEL-26354]
- pinctrl: remove pinctrl_gpio_set_config() (David Arcari) [RHEL-26354]
- pinctrl: remove pinctrl_gpio_direction_output() (David Arcari) [RHEL-26354]
- pinctrl: remove pinctrl_gpio_direction_input() (David Arcari) [RHEL-26354]
- pinctrl: remove pinctrl_gpio_free() (David Arcari) [RHEL-26354]
- pinctrl: remove pinctrl_gpio_request() (David Arcari) [RHEL-26354]
- pinctrl: remove pinctrl_gpio_can_use_line() (David Arcari) [RHEL-26354]
- pinctrl: intel: use new pinctrl GPIO helpers (David Arcari) [RHEL-26354]
- gpio: aspeed: use new pinctrl GPIO helpers (David Arcari) [RHEL-26354]
- gpio: aspeed: fix the GPIO number passed to pinctrl_gpio_set_config() (David Arcari) [RHEL-26354]
- gpio: vf610: use new pinctrl GPIO helpers (David Arcari) [RHEL-26354]
- pinctrl: bcm: use new pinctrl GPIO helpers (David Arcari) [RHEL-26354]
- gpio: tegra: use new pinctrl GPIO helpers (David Arcari) [RHEL-26354]
- gpiolib: generic: use new pinctrl GPIO helpers (David Arcari) [RHEL-26354]
- pinctrl: provide new GPIO-to-pinctrl glue helpers (David Arcari) [RHEL-26354]
- pinctrl: intel: use acpi_dev_uid_match() for matching _UID (David Arcari) [RHEL-26354]
- ACPI: utils: Introduce acpi_dev_uid_match() for matching _UID (David Arcari) [RHEL-26354]
- pinctrl: remove unneeded extern specifiers from consumer.h (David Arcari) [RHEL-26354]
- kernel.h: Move ARRAY_SIZE() to a separate header (David Arcari) [RHEL-26354]
- Revert "pinctrl: avoid unsafe code pattern in find_pinctrl()" (David Arcari) [RHEL-26354]
- pinctrl: intel: fetch community only when we need it (David Arcari) [RHEL-26354]
- pinctrl: core: Remove unneeded {} around one line conditional body (David Arcari) [RHEL-26354]
- pinctrl: denverton: Replace MODULE_ALIAS() with MODULE_DEVICE_TABLE() (David Arcari) [RHEL-26354]
- pinctrl: broxton: Replace MODULE_ALIAS() with MODULE_DEVICE_TABLE() (David Arcari) [RHEL-26354]
- pinctrl: intel: Replace kernel.h by what is actually being used (David Arcari) [RHEL-26354]
- pinctrl: baytrail: Replace kernel.h by what is actually being used (David Arcari) [RHEL-26354]
- pinctrl: Replace kernel.h by what is actually being used (David Arcari) [RHEL-26354]
- pinctrl: baytrail: drop runtime PM support (David Arcari) [RHEL-26354]
- pinctrl: intel: refine intel_config_set_pull() function (David Arcari) [RHEL-26354]
- pinctrl: denverton: Enable platform device in the absence of ACPI enumeration (David Arcari) [RHEL-26354]
- pinctrl: intel: Simplify code with cleanup helpers (David Arcari) [RHEL-26354]
- pinctrl: avoid unsafe code pattern in find_pinctrl() (David Arcari) [RHEL-26354]
- pinctrl: baytrail: fix debounce disable case (David Arcari) [RHEL-26354]
- pinctrl: pinmux: Remove duplicate error message in pin_request() (David Arcari) [RHEL-26354]
- pinctrl: intel: consolidate ACPI dependency (David Arcari) [RHEL-26354]
- pinctrl: baytrail: Make use of pm_ptr() (David Arcari) [RHEL-26354]
- pinctrl: baytrail: reuse common functions from pinctrl-intel (David Arcari) [RHEL-26354]
- pinctrl: intel: export common pinctrl functions (David Arcari) [RHEL-26354]
- pinctrl: baytrail: consolidate common mask operation (David Arcari) [RHEL-26354]
- pinctrl: pinmux: handle radix_tree_insert() errors in pinmux_generic_add_function() (David Arcari) [RHEL-26354]
- pinctrl: core: handle radix_tree_insert() errors in pinctrl_register_one_pin() (David Arcari) [RHEL-26354]
- pinctrl: core: handle radix_tree_insert() errors in pinctrl_generic_add_group() (David Arcari) [RHEL-26354]
- pinctrl: baytrail: invert if condition (David Arcari) [RHEL-26354]
- pinctrl: baytrail: add warning for BYT_VAL_REG retrieval failure (David Arcari) [RHEL-26354]
- pinctrl: baytrail: reduce scope of spinlock in ->dbg_show() hook (David Arcari) [RHEL-26354]
- pinctrl: intel: refine ->irq_set_type() hook (David Arcari) [RHEL-26354]
- pinctrl: intel: refine ->set_mux() hook (David Arcari) [RHEL-26354]
- pinctrl: baytrail: Use str_hi_lo() helper (David Arcari) [RHEL-26354]
- pinctrl: Relax user input size in pinmux_select() (David Arcari) [RHEL-26354]
- pinctrl: Duplicate user memory in one go in pinmux_select() (David Arcari) [RHEL-26354]
- pinctrl: baytrail: Unify style of error and debug messages (David Arcari) [RHEL-26354]
- pinctrl: baytrail: Use BIT() in BYT_PULL_ASSIGN_* definitions (David Arcari) [RHEL-26354]
- pinctrl: sunrisepoint: Replace SPT_COMMUNITY() by INTEL_COMMUNITY_*() (David Arcari) [RHEL-26354]
- pinctrl: tigerlake: Replace TGL_COMMUNITY() by INTEL_COMMUNITY_GPPS() (David Arcari) [RHEL-26354]
- pinctrl: lewisburg: Replace LBG_COMMUNITY() by INTEL_COMMUNITY_SIZE() (David Arcari) [RHEL-26354]
- pinctrl: lakefield: Replace LKF_COMMUNITY() by INTEL_COMMUNITY_GPPS() (David Arcari) [RHEL-26354]
- pinctrl: jasperlake: Replace JSL_COMMUNITY() by INTEL_COMMUNITY_GPPS() (David Arcari) [RHEL-26354]
- pinctrl: icelake: Replace ICL_COMMUNITY() by INTEL_COMMUNITY_GPPS() (David Arcari) [RHEL-26354]
- pinctrl: geminilake: Replace GLK_COMMUNITY() by INTEL_COMMUNITY_SIZE() (David Arcari) [RHEL-26354]
- pinctrl: emmitsburg: Replace EBG_COMMUNITY() by INTEL_COMMUNITY_GPPS() (David Arcari) [RHEL-26354]
- pinctrl: elkhartlake: Replace EHL_COMMUNITY() by INTEL_COMMUNITY_GPPS() (David Arcari) [RHEL-26354]
- pinctrl: denverton: Replace DNV_COMMUNITY() by INTEL_COMMUNITY_GPPS() (David Arcari) [RHEL-26354]
- pinctrl: cedarfork: Replace CDF_COMMUNITY() by INTEL_COMMUNITY_GPPS() (David Arcari) [RHEL-26354]
- pinctrl: cannonlake: Replace CNL_COMMUNITY() by INTEL_COMMUNITY_GPPS() (David Arcari) [RHEL-26354]
- pinctrl: broxton: Replace BXT_COMMUNITY() by INTEL_COMMUNITY_SIZE() (David Arcari) [RHEL-26354]
- pinctrl: alderlake: Replace ADL_COMMUNITY() by INTEL_COMMUNITY_GPPS() (David Arcari) [RHEL-26354]
- pinctrl: intel: Enumerate PWM device when community has a capability (David Arcari) [RHEL-26354]
- pwm: lpss: Rename pwm_lpss_probe() --> devm_pwm_lpss_probe() (David Arcari) [RHEL-26354]
- pwm: lpss: Allow other drivers to enable PWM LPSS (David Arcari) [RHEL-26354]
- pwm: lpss: Include headers we are the direct user of (David Arcari) [RHEL-26354]
- pwm: lpss: Rename MAX_PWMS --> LPSS_MAX_PWMS (David Arcari) [RHEL-26354]
- pwm: lpss: Add a comment to the bypass field (David Arcari) [RHEL-26354]
- pwm: lpss: Move resource mapping to the glue drivers (David Arcari) [RHEL-26354]
- pwm: lpss: Deduplicate board info data structures (David Arcari) [RHEL-26354]
- pinctrl: intel: Add Intel Moorefield pin controller support (David Arcari) [RHEL-26354]
- pinctrl: sunrisepoint: Deduplicate COMMUNITY macro code (David Arcari) [RHEL-26354]
- pinctrl: tigerlake: Deduplicate COMMUNITY macro code (David Arcari) [RHEL-26354]
- pinctrl: alderlake: Deduplicate COMMUNITY macro code (David Arcari) [RHEL-26354]
- pinctrl: cannonlake: Deduplicate COMMUNITY macro code (David Arcari) [RHEL-26354]
- pinctrl: icelake: Deduplicate COMMUNITY macro code (David Arcari) [RHEL-26354]
- pinctrl: core: Use device_match_of_node() helper (David Arcari) [RHEL-26354]
- redhat/configs: intel pinctrl config cleanup (David Arcari) [RHEL-26354]
- config: wifi: enable MT7925E card (Jose Ignacio Tornos Martinez) [RHEL-14693]
- shmem: support idmapped mounts for tmpfs (Giuseppe Scrivano) [RHEL-23900]
- iommu/vt-d: Fix incorrect cache invalidation for mm notification (Jerry Snitselaar) [RHEL-26541]
- mmu_notifiers: rename invalidate_range notifier (Jerry Snitselaar) [RHEL-26541]
- mmu_notifiers: don't invalidate secondary TLBs as part of mmu_notifier_invalidate_range_end() (Jerry Snitselaar) [RHEL-26541]
- mmu_notifiers: call invalidate_range() when invalidating TLBs (Jerry Snitselaar) [RHEL-26541]
- mmu_notifiers: fixup comment in mmu_interval_read_begin() (Jerry Snitselaar) [RHEL-26541]
- mlxbf_gige: Enable the GigE port in mlxbf_gige_open (Luiz Capitulino) [RHEL-21118]
- mlxbf_gige: Fix intermittent no ip issue (Luiz Capitulino) [RHEL-21118]
- mlxbf_gige: fix receive packet race condition (Luiz Capitulino) [RHEL-21118]
- net: ethernet: mellanox: Convert to platform remove callback returning void (Luiz Capitulino) [RHEL-21118]
- mlxbf_gige: Remove two unused function declarations (Luiz Capitulino) [RHEL-21118]
- net: mellanox: mlxbf_gige: Fix skb_panic splat under memory pressure (Luiz Capitulino) [RHEL-21118]
- mlxbf_gige: fix white space in mlxbf_gige_eth_ioctl (Luiz Capitulino) [RHEL-21118]
- mlxbf_gige: add "set_link_ksettings" ethtool callback (Luiz Capitulino) [RHEL-21118]
- mlxbf_gige: support 10M/100M/1G speeds on BlueField-3 (Luiz Capitulino) [RHEL-21118]
- mlxbf_gige: add MDIO support for BlueField-3 (Luiz Capitulino) [RHEL-21118]
- net/mlxbf_gige: Fix an IS_ERR() vs NULL bug in mlxbf_gige_mdio_probe (Luiz Capitulino) [RHEL-21118]
- mlxbf_gige: clear MDIO gateway lock after read (Luiz Capitulino) [RHEL-21118]
- mlxbf_gige: compute MDIO period based on i1clk (Luiz Capitulino) [RHEL-21118]
- mlxbf_gige: remove own module name define and use KBUILD_MODNAME instead (Luiz Capitulino) [RHEL-21118]
- net/mlxbf_gige: use eth_zero_addr() to clear mac address (Luiz Capitulino) [RHEL-21118]
- mlxbf_gige: remove driver-managed interrupt counts (Luiz Capitulino) [RHEL-21118]
- mlxbf_gige: increase MDIO polling rate to 5us (Luiz Capitulino) [RHEL-21118]
- net: mellanox: mlxbf_gige: Replace non-standard interrupt handling (Luiz Capitulino) [RHEL-21118]
- mlxbf_gige: clear valid_polarity upon open (Luiz Capitulino) [RHEL-21118]
- net/mlxbf_gige: Make use of devm_platform_ioremap_resourcexxx() (Luiz Capitulino) [RHEL-21118]
- redhat: update self-test data (Scott Weaver)
- redhat: enable zstream release numbering for RHEL 9.4 (Scott Weaver)
- redhat: set default dist suffix for RHEL 9.4 (Scott Weaver)
- redhat: fix changelog copying (Jan Stancek)
- Bump RHEL_MINOR for 9.5 (Lucas Zampieri)
- redhat: do not compress the full kernel changelog in the src.rpm (Herton R. Krzesinski)
- redhat: ship all the changelog from source git into kernel-doc (Herton R. Krzesinski)
- redhat: create an empty changelog file when changing its name (Herton R. Krzesinski)
Resolves: RHEL-19514, RHEL-24906, RHEL-26162, RHEL-26354, RHEL-26672

Signed-off-by: Lucas Zampieri <lzampier@redhat.com>
2024-03-06 11:13:45 -03:00
Scott Weaver
0e70679bfc kernel-5.14.0-427.el9
* Thu Feb 22 2024 Scott Weaver <scweaver@redhat.com> [5.14.0-427.el9]
- scsi: smartpqi: Fix disable_managed_interrupts (Tomas Henzl) [RHEL-26145]
- redhat/configs: Enable Intel IAA Compression Accelerator for x86 (Vladis Dronov) [RHEL-20145]
- crypto: iaa - Account for cpu-less numa nodes (Vladis Dronov) [RHEL-20145]
- crypto: iaa - remove unneeded semicolon (Vladis Dronov) [RHEL-20145]
- crypto: iaa - Remove unneeded newline in update_max_adecomp_delay_ns() (Vladis Dronov) [RHEL-20145]
- crypto: iaa - Change desc->priv to 0 (Vladis Dronov) [RHEL-20145]
- dmaengine: idxd: Add support for device/wq defaults (Vladis Dronov) [RHEL-20145]
- crypto: iaa - Add IAA Compression Accelerator stats (Vladis Dronov) [RHEL-20145]
- crypto: iaa - Add irq support for the crypto async interface (Vladis Dronov) [RHEL-20145]
- crypto: iaa - Add support for deflate-iaa compression algorithm (Vladis Dronov) [RHEL-20145]
- crypto: iaa - Add compression mode management along with fixed mode (Vladis Dronov) [RHEL-20145]
- crypto: iaa - Add per-cpu workqueue table with rebalancing (Vladis Dronov) [RHEL-20145]
- crypto: iaa - Add Intel IAA Compression Accelerator crypto driver core (Vladis Dronov) [RHEL-20145]
- crypto: iaa - Add IAA Compression Accelerator Documentation (Vladis Dronov) [RHEL-20145]
- dmaengine: idxd: add callback support for iaa crypto (Vladis Dronov) [RHEL-20145]
- dmaengine: idxd: Add wq private data accessors (Vladis Dronov) [RHEL-20145]
- dmaengine: idxd: Export wq resource management functions (Vladis Dronov) [RHEL-20145]
- dmaengine: idxd: Export descriptor management functions (Vladis Dronov) [RHEL-20145]
- dmaengine: idxd: Rename drv_enable/disable_wq to idxd_drv_enable/disable_wq, and export (Vladis Dronov) [RHEL-20145]
- dmaengine: idxd: add external module driver support for dsa_bus_type (Vladis Dronov) [RHEL-20145]
- dmaengine: idxd: add wq driver name support for accel-config user tool (Vladis Dronov) [RHEL-20145]
Resolves: RHEL-20145, RHEL-26145

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2024-02-22 20:09:54 -05:00
Scott Weaver
e9a3bfbd4e kernel-5.14.0-425.el9
* Wed Feb 21 2024 Scott Weaver <scweaver@redhat.com> [5.14.0-425.el9]
- cgroup/cpuset: Include isolated cpuset CPUs in cpu_is_isolated() check (Waiman Long) [RHEL-21798]
- cgroup/cpuset: Expose cpuset.cpus.isolated (Waiman Long) [RHEL-21798]
- cgroup/cpuset: Take isolated CPUs out of workqueue unbound cpumask (Waiman Long) [RHEL-21798]
- cgroup/cpuset: Keep track of CPUs in isolated partitions (Waiman Long) [RHEL-21798]
- selftests/cgroup: Minor code cleanup and reorganization of test_cpuset_prs.sh (Waiman Long) [RHEL-21798]
- workqueue: Move workqueue_set_unbound_cpumask() and its helpers inside CONFIG_SYSFS (Waiman Long) [RHEL-21798]
- workqueue: Add workqueue_unbound_exclude_cpumask() to exclude CPUs from wq_unbound_cpumask (Waiman Long) [RHEL-21798]
- workqueue: Make sure that wq_unbound_cpumask is never empty (Waiman Long) [RHEL-21798]
- workqueue: Override implicit ordered attribute in workqueue_apply_unbound_cpumask() (Waiman Long) [RHEL-21798]
- workqueue: add cmdline parameter `workqueue.unbound_cpus` to further constrain wq_unbound_cpumask at boot time (Waiman Long) [RHEL-21798]
- ovl: mark xwhiteouts directory with overlay.opaque='x' (Alexander Larsson) [RHEL-25807]
- ovl: Add documentation on nesting of overlayfs mounts (Alexander Larsson) [RHEL-25807]
- Enable CONFIG_PWRSEQ_{SIMPLIE,EMMC} on aarch64 (Charles Mirabile) [RHEL-21062]
- mmc: pwrseq: Convert to platform remove callback returning void (Charles Mirabile) [RHEL-21062]
- mmc: pwrseq_simple: Convert to platform remove callback returning void (Charles Mirabile) [RHEL-21062]
- mmc: pwrseq_simple: include deferred probe reasons (Charles Mirabile) [RHEL-21062]
- mmc: pwrseq: Use bitmap_free() to free bitmap (Charles Mirabile) [RHEL-21062]
- crypto: ccp - fix memleak in ccp_init_dm_workarea (Vladis Dronov) [RHEL-14851]
- crypto: ccp/sp - Convert to platform remove callback returning void (Vladis Dronov) [RHEL-14851]
- crypto: ccp - Dump SEV command buffer registers on SEV command error (Vladis Dronov) [RHEL-14851]
- crypto: ccp - Add support for DBC over PSP mailbox (Vladis Dronov) [RHEL-14851]
- crypto: ccp - Add a macro to check capabilities register (Vladis Dronov) [RHEL-14851]
- crypto: ccp - Add a communication path abstraction for DBC (Vladis Dronov) [RHEL-14851]
- crypto: ccp - Add support for extended PSP mailbox commands (Vladis Dronov) [RHEL-14851]
- crypto: ccp - Move direct access to some PSP registers out of TEE (Vladis Dronov) [RHEL-14851]
- crypto: ccp - Get a free page to use while fetching initial nonce (Vladis Dronov) [RHEL-14851]
- crypto: ccp - Add support for getting and setting DBC parameters (Vladis Dronov) [RHEL-14851]
- crypto: ccp - Add support for setting user ID for dynamic boost control (Vladis Dronov) [RHEL-14851]
- crypto: ccp - Add support for fetching a nonce for dynamic boost control (Vladis Dronov) [RHEL-14851]
- crypto: ccp - move setting PSP master to earlier in the init (Vladis Dronov) [RHEL-14851]
- crypto: ccp - Add bootloader and TEE version offsets (Vladis Dronov) [RHEL-14851]
- crypto: ccp - Add support for displaying PSP firmware versions (Vladis Dronov) [RHEL-14851]
- crypto: ccp - Rename macro for security attributes (Vladis Dronov) [RHEL-14851]
- sched/core: Make sched_setaffinity() always return -EINVAL on empty cpumask (Waiman Long) [RHEL-21440]
- i2c: designware: Disable TX_EMPTY irq while waiting for block length byte (Charles Mirabile) [RHEL-24020]
- bpf: sockmap, updating the sg structure should also update curr (Felix Maurer) [RHEL-21459]
- bpf, x64: Fix tailcall infinite loop (Felix Maurer) [RHEL-21459]
- tty: n_gsm: initialize more members at gsm_alloc_mux() (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix race condition in gsmld_write() (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix resource allocation order in gsm_activate_mux() (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix race condition in status line change on dead connections (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: add sanity check for gsm->receive in gsm_receive_buf() (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix flow control handling in tx path (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: Debug output allocation must use GFP_ATOMIC (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix sometimes uninitialized warning in gsm_dlci_modem_output() (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix NULL pointer access due to DLCI release (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: name the debug bits (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: replace use of gsm_read_ea() with gsm_read_ea_val() (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix missing tty wakeup in convergence layer type 2 (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix wrong signal octets encoding in MSC (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix buffer over-read in gsm_dlci_data() (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix wrong modem processing in convergence layer type 2 (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix user open not possible at responder until initiator open (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: Delete gsmtty open SABM frame when config requester (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix deadlock and link starvation in outgoing data path (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix non flow control frames during mux flow off (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix reset fifo race condition (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix missing explicit ldisc flush (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix deadlock in gsmtty_open() (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: Modify CR,PF bit printk info when config requester (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix SW flow control encoding/handling (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: add parameters used with parameter negotiation (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix wrong command retry handling (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: introduce macro for minimal unit size (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix insufficient txframe size (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: introduce gsm_control_command() function (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix invalid use of MSC in advanced option (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix wrong command frame length field encoding (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix wrong tty control line for flow control (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix missing timer to handle stalled links (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix wrong queuing behavior in gsm_dlci_data_output() (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix wrong signal octet encoding in convergence layer type 2 (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: add parameter negotiation support (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix tty registration before control channel open (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix software flow control handling (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: Fix packet data hex dump output (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: Don't ignore write return value in gsmld_output() (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: name gsm tty device minors (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: stop using alloc_tty_driver (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: don't store semi-state into tty drivers (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- hvsi: don't panic on tty_register_driver failure (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- amiserial: switch rs_table to a single state (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- amiserial: expand "custom" (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- amiserial: remove serial_* strings (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- amiserial: use memset to zero serial_state (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- Revert "tty: n_gsm: fix UAF in gsm_cleanup_mux" (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix encoding of command/response bit (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix broken virtual tty handling (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix missing update of modem controls after DLCI open (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix frame reception handling (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: clean up indenting in gsm_queue() (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: Save dlci address open status when config requester (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: Modify CR,PF bit when config requester (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix the UAF caused by race condition in gsm_cleanup_mux (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix UAF in gsm_cleanup_mux (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix wrong DLCI release order (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix mux cleanup after unregister tty device (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix decoupled mux resource (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: fix restart handling via CLD command (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- tty: n_gsm: Modify gsmtty driver register method when config requester (Wander Lairson Costa) [RHEL-19959 RHEL-19971] {CVE-2023-6546}
- config: wifi: enable RTL 8852CE card (Jose Ignacio Tornos Martinez) [RHEL-22603]
Resolves: RHEL-14851, RHEL-19959, RHEL-21062, RHEL-21440, RHEL-21459, RHEL-21798, RHEL-22603, RHEL-24020, RHEL-25807, RHEL-19971

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2024-02-21 09:55:40 -05:00
Scott Weaver
18267e2505 kernel-5.14.0-424.el9
* Mon Feb 19 2024 Scott Weaver <scweaver@redhat.com> [5.14.0-424.el9]
- tunnels: fix out of bounds access when building IPv6 PMTU error (Antoine Tenart) [RHEL-21839]
- Revert "efi/arm64: libstub: avoid SetVirtualAddressMap() when possible" (Paolo Bonzini) [RHEL-23382]
- Revert "arm64: efi: Force the use of SetVirtualAddressMap() on Altra machines" (Paolo Bonzini) [RHEL-23382]
- Revert "arm64: efi: Force the use of SetVirtualAddressMap() on eMAG and Altra Max machines" (Paolo Bonzini) [RHEL-23382]
- Revert "arm64: efi: Use SMBIOS processor version to key off Ampere quirk" (Paolo Bonzini) [RHEL-23382]
- Revert "efi/libstub: smbios: Drop unused 'recsize' parameter" (Paolo Bonzini) [RHEL-23382]
- crypto: rsa - restrict plaintext/ciphertext values more (Vladis Dronov) [RHEL-24869]
- crypto: rsa - add a check for allocation failure (Vladis Dronov) [RHEL-24869]
- crypto: rsa - allow only odd e and restrict value in FIPS mode (Vladis Dronov) [RHEL-24869]
- dm-crypt, dm-verity: disable tasklets (Benjamin Marzinski) [RHEL-23572]
- dm verity: initialize fec io before freeing it (Benjamin Marzinski) [RHEL-23572]
- dm-verity: don't use blocking calls from tasklets (Benjamin Marzinski) [RHEL-23572]
- netfilter: nf_tables: bail out on mismatching dynset and set expressions (Florian Westphal) [RHEL-19016 RHEL-19017] {CVE-2023-6622}
- memory: tegra: Add Tegra234 clients for RCE and VI (Joel Slebodnick) [RHEL-16714]
- cpufreq: tegra194: remove redundant AND with cpu_online_mask (Joel Slebodnick) [RHEL-16714]
- cpufreq: tegra194: use refclk delta based loop instead of udelay (Joel Slebodnick) [RHEL-16714]
- cpufreq: tegra194: save CPU data to avoid repeated SMP calls (Joel Slebodnick) [RHEL-16714]
- i2c: tegra: Fix i2c-tegra DMA config option processing (Joel Slebodnick) [RHEL-16714]
- i2c: tegra: Fix failure during probe deferral cleanup (Joel Slebodnick) [RHEL-16714]
- firmware: tegra: bpmp: Add support for DRAM MRQ GSCs (Joel Slebodnick) [RHEL-16714]
- gpio: tegra186: Check PMC driver status before any request (Joel Slebodnick) [RHEL-16714]
- soc/tegra: fuse: Fix Tegra234 fuse size (Joel Slebodnick) [RHEL-16714]
- soc/tegra: pmc: Add AON SW Wake support for Tegra234 (Joel Slebodnick) [RHEL-16714]
- gpio: tegra186: Check GPIO pin permission before access. (Joel Slebodnick) [RHEL-16714]
- soc/tegra: fuse: Add support for Tegra264 (Joel Slebodnick) [RHEL-16714]
- soc/tegra: bpmp: Actually free memory on error path (Joel Slebodnick) [RHEL-16714]
- firmware: tegra: bpmp: Fix error paths in debugfs (Joel Slebodnick) [RHEL-16714]
- netfilter: nf_tables: check if catch-all set element is active in next generation (Florian Westphal) [RHEL-23505 RHEL-23511] {CVE-2024-1085}
- netfilter: nf_tables: reject QUEUE/DROP verdict parameters (Florian Westphal) [RHEL-23502 RHEL-23508] {CVE-2024-1086}
- RHEL: re-enable CONFIG_TCP_CONG_ILLINOIS (Davide Caratti) [RHEL-5736]
- KVM: selftests: Fix a semaphore imbalance in the dirty ring logging test (Eric Auger) [RHEL-16671 RHEL-24620]
- KVM: arm64: Add missing memory barriers when switching to pKVM's hyp pgd (Eric Auger) [RHEL-24620]
- KVM: arm64: vgic-its: Avoid potential UAF in LPI translation cache (Eric Auger) [RHEL-24620]
- KVM: arm64: vgic-v3: Reinterpret user ISPENDR writes as I{C,S}PENDR (Eric Auger) [RHEL-24620]
- KVM: arm64: vgic: Use common accessor for writes to ICPENDR (Eric Auger) [RHEL-24620]
- KVM: arm64: vgic: Use common accessor for writes to ISPENDR (Eric Auger) [RHEL-24620]
- KVM: arm64: vgic-v4: Restore pending state on host userspace write (Eric Auger) [RHEL-24620]
- KVM: arm64: Update and fix FGT register masks (Eric Auger) [RHEL-24620]
- IB: Use capital "OR" for multiple licenses in SPDX (Izabela Bakollari) [RHEL-10363]
- RDMA/rdmavt: Delete unnecessary NULL check (Izabela Bakollari) [RHEL-10363]
- IB/rdmavt: Fix target union member for rvt_post_one_wr() (Izabela Bakollari) [RHEL-10363]
- ice: add CGU info to devlink info callback (Petr Oros) [RHEL-22620]
- nvme: start keep-alive after admin queue setup (Maurizio Lombardi) [RHEL-25203]
- perf list: Fix JSON segfault by setting the used skip_duplicate_pmus callback (Michael Petlan) [RHEL-17626]
- libbpf: Use OPTS_SET() macro in bpf_xdp_query() (Viktor Malik) [RHEL-24445]
- ovl: remove privs in ovl_fallocate() (Miklos Szeredi) [RHEL-17368]
- ovl: remove privs in ovl_copyfile() (Miklos Szeredi) [RHEL-17368]
- nvme-host: fix the updating of the firmware version (Maurizio Lombardi) [RHEL-25086]
- devlink: Expose port function commands to control IPsec packet offloads (Petr Oros) [RHEL-24425]
- devlink: Expose port function commands to control IPsec crypto offloads (Petr Oros) [RHEL-24425]
Resolves: RHEL-10363, RHEL-16671, RHEL-16714, RHEL-17368, RHEL-17626, RHEL-19016, RHEL-21839, RHEL-22620, RHEL-23382, RHEL-23502, RHEL-23505, RHEL-23572, RHEL-24425, RHEL-24445, RHEL-24620, RHEL-24869, RHEL-25086, RHEL-25203, RHEL-5736, RHEL-19017, RHEL-23511, RHEL-23508

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2024-02-19 12:14:26 -05:00
Scott Weaver
2d99366a1f kernel-5.14.0-422.el9
* Thu Feb 15 2024 Scott Weaver <scweaver@redhat.com> [5.14.0-422.el9]
- sfc: Check firmware supports Ethernet PTP filter (Izabela Bakollari) [RHEL-11017]
- sfc: allocate a big enough SKB for loopback selftest packet (Izabela Bakollari) [RHEL-11017]
- sfc: fix field-spanning memcpy in selftest (Izabela Bakollari) [RHEL-11017]
- sfc: Remove vfdi.h (Izabela Bakollari) [RHEL-11017]
- sfc: Cleanups in io.h (Izabela Bakollari) [RHEL-11017]
- sfc: Miscellaneous comment removals (Izabela Bakollari) [RHEL-11017]
- sfc: Remove struct efx_special_buffer (Izabela Bakollari) [RHEL-11017]
- sfc: Filter cleanups for Falcon and Siena (Izabela Bakollari) [RHEL-11017]
- sfc: Remove some NIC type indirections that are no longer needed (Izabela Bakollari) [RHEL-11017]
- sfc: Remove PTP code for Siena (Izabela Bakollari) [RHEL-11017]
- sfc: Remove EFX_REV_SIENA_A0 (Izabela Bakollari) [RHEL-11017]
- sfc: Remove support for siena high priority queue (Izabela Bakollari) [RHEL-11017]
- sfc: Remove siena_nic_data and stats (Izabela Bakollari) [RHEL-11017]
- sfc: Remove falcon references (Izabela Bakollari) [RHEL-11017]
- sfc: support for devlink port requires MAE access (Izabela Bakollari) [RHEL-11017]
- sfc: falcon: use padding to fix alignment in loopback test (Izabela Bakollari) [RHEL-11017]
- sfc: siena: use padding to fix alignment in loopback test (Izabela Bakollari) [RHEL-11017]
- sfc: use padding to fix alignment in loopback test (Izabela Bakollari) [RHEL-11017]
- sfc: fix crash when reading stats while NIC is resetting (Izabela Bakollari) [RHEL-11017]
- sfc: keep alive neighbour entries while a TC encap action is using them (Izabela Bakollari) [RHEL-11017]
- sfc: fix uninitialized variable use (Izabela Bakollari) [RHEL-11017]
- sfc: add CONFIG_INET dependency for TC offload (Izabela Bakollari) [RHEL-11017]
- sfc: do not try to call tc functions when CONFIG_SFC_SRIOV=n (Izabela Bakollari) [RHEL-11017]
- sfc: Add devlink dev info support for EF10 (Izabela Bakollari) [RHEL-11017]
- sfc: generate encap headers for TC offload (Izabela Bakollari) [RHEL-11017]
- sfc: neighbour lookup for TC encap action offload (Izabela Bakollari) [RHEL-11017]
- sfc: MAE functions to create/update/delete encap headers (Izabela Bakollari) [RHEL-11017]
- sfc: add function to atomically update a rule in the MAE (Izabela Bakollari) [RHEL-11017]
- sfc: some plumbing towards TC encap action offload (Izabela Bakollari) [RHEL-11017]
- sfc: add fallback action-set-lists for TC offload (Izabela Bakollari) [RHEL-11017]
- sfc: fix error unwinds in TC offload (Izabela Bakollari) [RHEL-11017]
- sfc: handle VI shortage on ef100 by readjusting the channels (Izabela Bakollari) [RHEL-11017]
- sfc: fix devlink info error handling (Izabela Bakollari) [RHEL-11017]
- sfc: populate enc_ip_tos matches in MAE outer rules (Izabela Bakollari) [RHEL-11017]
- sfc: release encap match in efx_tc_flow_free() (Izabela Bakollari) [RHEL-11017]
- sfc: disable RXFCS and RXALL features by default (Izabela Bakollari) [RHEL-11017]
- sfc: add offloading of 'foreign' TC (decap) rules (Izabela Bakollari) [RHEL-11017]
- sfc: add code to register and unregister encap matches (Izabela Bakollari) [RHEL-11017]
- sfc: add functions to insert encap matches into the MAE (Izabela Bakollari) [RHEL-11017]
- sfc: handle enc keys in efx_tc_flower_parse_match() (Izabela Bakollari) [RHEL-11017]
- sfc: add notion of match on enc keys to MAE machinery (Izabela Bakollari) [RHEL-11017]
- sfc: document TC-to-EF100-MAE action translation concepts (Izabela Bakollari) [RHEL-11017]
- sfc: support offloading TC VLAN push/pop actions to the MAE (Izabela Bakollari) [RHEL-11017]
- sfc: move xdp_features configuration in efx_pci_probe_post_io() (Izabela Bakollari) [RHEL-11017]
- redhat: configs: ccimx93-dvk: enable eth network (Eric Chanudet) [RHEL-20495]
- redhat: configs: ccimx93-dvk enablement (Eric Chanudet) [RHEL-20495]
- net/mlx5: Consider VLAN interface in MACsec TX steering rules (Amir Tzin) [RHEL-20930]
- net/mlx5: Support MACsec over VLAN (Amir Tzin) [RHEL-20930]
- net/mlx5: Enable MACsec offload feature for VLAN interface (Amir Tzin) [RHEL-20930]
- firmware: arm_scmi: Specify the performance level when adding an OPP (Steve Dunnagan) [RHEL-9668]
- OPP: Extend dev_pm_opp_data with a level (Steve Dunnagan) [RHEL-9668]
- OPP: Add dev_pm_opp_add_dynamic() to allow more flexibility (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Fix frequency truncation by promoting multiplier type (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Fix possible frequency truncation when using level indexing mode (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Drop redundant ->device_domain_id() from perf ops (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Align perf ops to use domain-id as in-parameter (Steve Dunnagan) [RHEL-9668]
- cpufreq: scmi: Prepare to move OF parsing of domain-id to cpufreq (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Extend perf protocol ops to get information of a domain (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Extend perf protocol ops to get number of domains (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add SCMI v3.1 System Power extensions (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add qcom smc/hvc transport support (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Convert u32 to unsigned long to align with arm_smccc_1_1_invoke() (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add support for clock parents (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Simplify error path in scmi_dvfs_device_opps_add() (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Rename scmi_{msg_,}clock_config_{get,set}_{2,21} (Steve Dunnagan) [RHEL-9668]
- redhat/configs: add ARM SCMI configs (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add clock OEM config clock operations (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add clock .state_get support to pre-v3.2 (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add v3.2 clock CONFIG_GET support (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add clock v3.2 CONFIG_SET support (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Simplify enable/disable clock operations (Steve Dunnagan) [RHEL-9668]
- clk: scmi: Support atomic clock enable/disable API (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Fixup perf power-cost/microwatt support (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add v3.2 perf level indexing mode support (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Harden perf domain info access (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Fix chan_free cleanup on SMC (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Drop OF node reference in the transport channel setup (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Fix signed error return values handling (Steve Dunnagan) [RHEL-9668]
- firmware: smccc: Fix use of uninitialised results structure (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Augment SMC/HVC to allow optional parameters (Steve Dunnagan) [RHEL-9668]
- arm64: cpuidle: fix #ifdef for acpi functions (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Fix incorrect alloc_workqueue() invocation (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add support for unidirectional mailbox channels (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Fix xfers allocation on Rx channel (Steve Dunnagan) [RHEL-9668]
- firmware: Use of_property_present() for testing DT property presence (Steve Dunnagan) [RHEL-9668]
- firmware/psci: demote suspend-mode warning to info level (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Use the bitmap API to allocate bitmaps (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Fix device node validation for mailbox transport (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Fix raw coexistence mode behaviour on failure path (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Remove duplicate include header inclusion (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Return a literal instead of a variable (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Clean up a return statement in scmi_probe (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add per-channel raw injection support (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add the raw mode co-existence support (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Call raw mode hooks from the core stack (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Reject SCMI drivers when configured in raw mode (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add core raw transmission support (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Populate a common SCMI debugfs root (Steve Dunnagan) [RHEL-9668]
- include: trace: Add platform and channel instance references (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add internal platform/channel identifiers (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Move errors defs and code to common.h (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add xfer helpers to provide raw access (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add flags field to xfer (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Refactor scmi_wait_for_message_response (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Refactor polling helpers (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Refactor xfer in-flight registration routines (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Split bus and driver into distinct modules (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Introduce a new lifecycle for protocol devices (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Refactor device create/destroy helpers (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Move handle get/set helpers (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Refactor protocol device creation (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add common notifier helpers (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Move protocol registration helpers (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Use dedicated devices to initialize channels (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Simplify chan_available transport operation (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Set fwnode for the scmi_device (Steve Dunnagan) [RHEL-9668]
- cpuidle: drivers: firmware: psci: Dont instrument suspend code (Steve Dunnagan) [RHEL-9668]
- firmware/psci: Don't register with debugfs if PSCI isn't available (Steve Dunnagan) [RHEL-9668]
- firmware/psci: Fix MEM_PROTECT_RANGE function numbers (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Make Rx chan_setup fail on memory errors (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Make tx_prepare time out eventually (Steve Dunnagan) [RHEL-9668]
- firmware/psci: Add debugfs support to ease debugging (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Harmonize SCMI tracing message format (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Support only one single system power device (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Use new SCMI full message tracing (Steve Dunnagan) [RHEL-9668]
- include: trace: Add SCMI full message tracing (Steve Dunnagan) [RHEL-9668]
- arm64: cpuidle: remove generic cpuidle support (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Remove usage of the deprecated ida_simple_xxx API (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Fix response size warning for OPTEE transport (Steve Dunnagan) [RHEL-9668]
- cpufreq: scmi: Support the power scale in micro-Watts in SCMI v3.1 (Steve Dunnagan) [RHEL-9668]
- cpufreq: scmi: Use .register_em() to register with energy model (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Do not use !! on boolean when setting msg->flags (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add Powercap protocol enable support (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Refactor the internal powercap get/set helpers (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add debugfs ABI documentation for raw mode (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Fix virtio channels cleanup on shutdown (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Harden shared memory access in fetch_response (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Harden shared memory access in fetch_notification (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Clear stale xfer->hdr.status (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Fix deferred_tx_wq release on error paths (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Fix devres allocation device in virtio transport (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Suppress the driver's bind attributes (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Cleanup the core driver removal callback (Steve Dunnagan) [RHEL-9668]
- psci: Fix the function type for psci_initcall_t (Steve Dunnagan) [RHEL-9668]
- Revert "firmware: arm_scmi: Add clock management to the SCMI power domain" (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Fix the asynchronous reset requests (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add SCMI PM driver remove routine (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Harden accesses to the reset domains (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Harden accesses to the sensor domains (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Improve checks in the info_get operations (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Fix missing kernel-doc in optee (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Get detailed power scale from perf (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Use fast channel tracing (Steve Dunnagan) [RHEL-9668]
- include: trace: Add SCMI fast channel tracing (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add devm_protocol_acquire helper (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add SCMI v3.1 powercap fast channels support (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add SCMI v3.1 powercap protocol basic support (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Generalize the fast channel support (Steve Dunnagan) [RHEL-9668]
- firmware: arm_scmi: Add SCMI System Power Control driver (Steve Dunnagan) [RHEL-9668]
- net: wwan: move wwan_hwsim to internals rpm (Jose Ignacio Tornos Martinez) [RHEL-24618]
- Enable Intel MEI engine proxy for i915 (Mika Penttilä) [RHEL-1351]
- mei: gsc_proxy: add gsc proxy driver (Mika Penttilä) [RHEL-1351]
- mei: me: add meteor lake point M DID (Mika Penttilä) [RHEL-1351]
- mei: bus: fix unlink on bus in error path (Mika Penttilä) [RHEL-1351]
- misc/mei/hdcp: Use correct macros to initialize uuid_le (Mika Penttilä) [RHEL-1351]
- mei: pxp: Use correct macros to initialize uuid_le (Mika Penttilä) [RHEL-1351]
- mei: bus-fixup:upon error print return values of send and receive (Mika Penttilä) [RHEL-1351]
- mei: bus-fixup: change pxp mode only if message was sent (Mika Penttilä) [RHEL-1351]
- mei: add timeout to send (Mika Penttilä) [RHEL-1351]
- drm: bridge: samsung-dsim: Don't use FORCE_STOP_STATE (Mika Penttilä) [RHEL-1351]
- drm/bridge: anx7625: Ensure bridge is suspended in disable() (Mika Penttilä) [RHEL-1351]
- drm/bridge: parade-ps8640: Make sure we drop the AUX mutex in the error case (Mika Penttilä) [RHEL-1351]
- drm/bridge: parade-ps8640: Ensure bridge is suspended in .post_disable() (Mika Penttilä) [RHEL-1351]
- drm/bridge: sii902x: Fix audio codec unregistration (Mika Penttilä) [RHEL-1351]
- drm/bridge: sii902x: Fix probing race issue (Mika Penttilä) [RHEL-1351]
- drm/panel: samsung-s6d7aa0: drop DRM_BUS_FLAG_DE_HIGH for lsl080al02 (Mika Penttilä) [RHEL-1351]
- drm: panel-simple: add missing bus flags for Tianma tm070jvhg[30/33] (Mika Penttilä) [RHEL-1351]
- drm/bridge: parade-ps8640: Wait for HPD when doing an AUX transfer (Mika Penttilä) [RHEL-1351]
- drm/amdgpu/gfx11: set UNORD_DISPATCH in compute MQDs (Mika Penttilä) [RHEL-1351]
- drm/amdgpu/gfx10: set UNORD_DISPATCH in compute MQDs (Mika Penttilä) [RHEL-1351]
- drm/panel-edp: drm/panel-edp: Fix AUO B116XTN02 name (Mika Penttilä) [RHEL-1351]
- drm/panel-edp: drm/panel-edp: Fix AUO B116XAK01 name and timing (Mika Penttilä) [RHEL-1351]
- drm/panel-edp: Add AUO B116XTN02, BOE NT116WHM-N21,836X2, NV116WHM-N49 V8.0 (Mika Penttilä) [RHEL-1351]
- drm/i915/psr: Only allow PSR in LPSP mode on HSW non-ULT (Mika Penttilä) [RHEL-1351]
- drm/i915/lnl: Remove watchdog timers for PSR (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Fix uninitialized variable usage in core_link_ 'read_dpcd() & write_dpcd()' functions (Mika Penttilä) [RHEL-1351]
- drm/amdgpu/pm: Fix the power source flag error (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Fix late derefrence 'dsc' check in 'link_set_dsc_pps_packet()' (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Align the returned error code with legacy DP (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Port DENTIST hang and TDR fixes to OTG disable W/A (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Fix variable deferencing before NULL check in edp_setup_replay() (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: correct the cu count for gfx v11 (Mika Penttilä) [RHEL-1351]
- drm/bridge: nxp-ptn3460: simplify some error checking (Mika Penttilä) [RHEL-1351]
- Revert "drm/amd/display: fix bandwidth validation failure on DCN 2.1" (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Disable PSR-SU on Parade 0803 TCON again (Mika Penttilä) [RHEL-1351]
- drm/amd/display: fix bandwidth validation failure on DCN 2.1 (Mika Penttilä) [RHEL-1351]
- drm: Allow drivers to indicate the damage helpers to ignore damage clips (Mika Penttilä) [RHEL-1351]
- drm/virtio: Disable damage clipping if FB changed since last page-flip (Mika Penttilä) [RHEL-1351]
- drm: Disable the cursor plane on atomic contexts with virtualized drivers (Mika Penttilä) [RHEL-1351]
- drm/tidss: Fix atomic_flush check (Mika Penttilä) [RHEL-1351]
- drm/bridge: nxp-ptn3460: fix i2c_master_send() error checking (Mika Penttilä) [RHEL-1351]
- drm: Don't unref the same fb many times by mistake due to deadlock handling (Mika Penttilä) [RHEL-1351]
- Revert "drm/i915/dsi: Do display on sequence later on icl+" (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: Fix the null pointer when load rlc firmware (Mika Penttilä) [RHEL-1351]
- Revert "drm/amd: Enable PCIe PME from D3" (Mika Penttilä) [RHEL-1351]
- nouveau/vmm: don't set addr on the fail path to avoid warning (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: fall back to INPUT power for AVG power via INFO IOCTL (Mika Penttilä) [RHEL-1351]
- drm/amdkfd: fixes for HMM mem allocation (Mika Penttilä) [RHEL-1351]
- drm/amd: Enable PCIe PME from D3 (Mika Penttilä) [RHEL-1351]
- Revert "drm/amdkfd: Relocate TBA/TMA to opposite side of VM hole" (Mika Penttilä) [RHEL-1351]
- drm/amd/display: avoid stringop-overflow warnings for dp_decide_lane_settings() (Mika Penttilä) [RHEL-1351]
- drm/amd/pm/smu7: fix a memleak in smu7_hwmgr_backend_init (Mika Penttilä) [RHEL-1351]
- drm/amdkfd: Confirm list is non-empty before utilizing list_first_entry in kfd_topology.c (Mika Penttilä) [RHEL-1351]
- drm/amdkfd: Fix type of 'dbg_flags' in 'struct kfd_process' (Mika Penttilä) [RHEL-1351]
- drm/amd/pm: fix a double-free in amdgpu_parse_extended_power_table (Mika Penttilä) [RHEL-1351]
- gpu/drm/radeon: fix two memleaks in radeon_vm_init (Mika Penttilä) [RHEL-1351]
- drivers/amd/pm: fix a use-after-free in kv_parse_power_table (Mika Penttilä) [RHEL-1351]
- drm/amd/pm: fix a double-free in si_dpm_init (Mika Penttilä) [RHEL-1351]
- drm/amdgpu/debugfs: fix error code when smc register accessors are NULL (Mika Penttilä) [RHEL-1351]
- drm/panel: st7701: Fix AVCL calculation (Mika Penttilä) [RHEL-1351]
- drm/bridge: tc358767: Fix return value on error case (Mika Penttilä) [RHEL-1351]
- drm/bridge: cdns-mhdp8546: Fix use of uninitialized variable (Mika Penttilä) [RHEL-1351]
- drm/radeon/trinity_dpm: fix a memleak in trinity_parse_power_table (Mika Penttilä) [RHEL-1351]
- drm/radeon/dpm: fix a memleak in sumo_parse_power_table (Mika Penttilä) [RHEL-1351]
- drm/radeon: check the alloc_workqueue return value in radeon_crtc_init() (Mika Penttilä) [RHEL-1351]
- drm/drv: propagate errors from drm_modeset_register_all() (Mika Penttilä) [RHEL-1351]
- drm/radeon: check return value of radeon_ring_lock() (Mika Penttilä) [RHEL-1351]
- drm/radeon/r100: Fix integer overflow issues in r100_cs_track_check() (Mika Penttilä) [RHEL-1351]
- drm/radeon/r600_cs: Fix possible int overflows in r600_cs_check_reg() (Mika Penttilä) [RHEL-1351]
- drm/bridge: Fix typo in post_disable() description (Mika Penttilä) [RHEL-1351]
- drm/bridge: tpd12s015: Drop buggy __exit annotation for remove function (Mika Penttilä) [RHEL-1351]
- drm/nouveau/fence:: fix warning directly dereferencing a rcu pointer (Mika Penttilä) [RHEL-1351]
- drm/panel-elida-kd35t133: hold panel in reset for unprepare (Mika Penttilä) [RHEL-1351]
- drm/panel: nv3051d: Hold panel in reset for unprepare (Mika Penttilä) [RHEL-1351]
- drm/dp_mst: Fix fractional DSC bpp handling (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Pass pwrseq inst for backlight and ABM (Mika Penttilä) [RHEL-1351]
- drm/crtc: fix uninitialized variable use (Mika Penttilä) [RHEL-1351]
- drm/amd/display: get dprefclk ss info from integration info table (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Add case for dcn35 to support usb4 dmub hpd event (Mika Penttilä) [RHEL-1351]
- drm/amdkfd: svm range always mapped flag not working on APU (Mika Penttilä) [RHEL-1351]
- drm/crtc: Fix uninit-value bug in drm_mode_setcrtc (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: Add NULL checks for function pointers (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Add monitor patch for specific eDP (Mika Penttilä) [RHEL-1351]
- nouveau/tu102: flush all pdbs on vmm flush (Mika Penttilä) [RHEL-1351]
- Revert "drm/prime: Unexport helpers for fd/handle conversion" (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: Use another offset for GC 9.4.3 remap (Mika Penttilä) [RHEL-1351]
- drm/amdkfd: Free gang_ctx_bo and wptr_bo in pqm_uninit (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: Fix cat debugfs amdgpu_regs_didt causes kernel null pointer (Mika Penttilä) [RHEL-1351]
- drm/amd/display: update dcn315 lpddr pstate latency (Mika Penttilä) [RHEL-1351]
- drm/amdkfd: Use common function for IP version check (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: Do not issue gpu reset from nbio v7_9 bif interrupt (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Fix sending VSC (+ colorimetry) packets for DP/eDP displays without PSR (Mika Penttilä) [RHEL-1351]
- drm/amd/display: add nv12 bounding box (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: skip gpu_info fw loading on navi12 (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Increase frame warning limit with KASAN or KCSAN in dml (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Increase num voltage states to 40 (Mika Penttilä) [RHEL-1351]
- drm/i915: Call intel_pre_plane_updates() also for pipes getting enabled (Mika Penttilä) [RHEL-1351]
- drm/i915/perf: Update handling of MMIO triggered reports (Mika Penttilä) [RHEL-1351]
- drm/i915/dp: Fix passing the correct DPCD_REV for drm_dp_set_phy_test_pattern (Mika Penttilä) [RHEL-1351]
- drm/bridge: ps8640: Fix size mismatch warning w/ len (Mika Penttilä) [RHEL-1351]
- drm/bridge: ti-sn65dsi86: Never store more than msg->size bytes in AUX xfer (Mika Penttilä) [RHEL-1351]
- drm/bridge: parade-ps8640: Never store more than msg->size bytes in AUX xfer (Mika Penttilä) [RHEL-1351]
- drm/mgag200: Fix gamma lut not initialized for G200ER, G200EV, G200SE (Mika Penttilä) [RHEL-1351]
- drm/amd/display: pbn_div need be updated for hotplug event (Mika Penttilä) [RHEL-1351]
- drm/i915/dmc: Don't enable any pipe DMC events (Mika Penttilä) [RHEL-1351]
- drm/i915: Reject async flips with bigjoiner (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: re-create idle bo's PTE during VM state machine reset (Mika Penttilä) [RHEL-1351]
- drm/i915/mtl: Fix HDMI/DP PLL clock selection (Mika Penttilä) [RHEL-1351]
- drm/i915/hwmon: Fix static analysis tool reported issues (Mika Penttilä) [RHEL-1351]
- drm: Fix FD ownership check in drm_master_check_perm() (Mika Penttilä) [RHEL-1351]
- drm: Update file owner during use (Mika Penttilä) [RHEL-1351]
- drm/i915/edp: don't write to DP_LINK_BW_SET when using rate select (Mika Penttilä) [RHEL-1351]
- drm/i915: Introduce crtc_state->enhanced_framing (Mika Penttilä) [RHEL-1351]
- drm/i915: Fix FEC state dump (Mika Penttilä) [RHEL-1351]
- drm/amd/display: fix hw rotated modes when PSR-SU is enabled (Mika Penttilä) [RHEL-1351]
- drm/i915: Fix remapped stride with CCS on ADL+ (Mika Penttilä) [RHEL-1351]
- drm/i915: Fix intel_atomic_setup_scalers() plane_state handling (Mika Penttilä) [RHEL-1351]
- drm/i915: Fix ADL+ tiled plane stride when the POT stride is smaller than the original (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Restore guard against default backlight value < 1 nit (Mika Penttilä) [RHEL-1351]
- drm/edid: also call add modes in EDID connector update fallback (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: fix tear down order in amdgpu_vm_pt_free (Mika Penttilä) [RHEL-1351]
- drm/amdgpu/sdma5.2: add begin/end_use ring callbacks (Mika Penttilä) [RHEL-1351]
- drm/i915: Use internal class when counting engine resets (Mika Penttilä) [RHEL-1351]
- drm/i915/selftests: Fix engine reset count storage for multi-tile (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: Restrict extended wait to PSP v13.0.6 (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: update retry times for psp BL wait (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: Fix refclk reporting for SMU v13.0.6 (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: disable MCBP by default (Mika Penttilä) [RHEL-1351]
- drm/i915: Skip some timing checks on BXT/GLK DSI transcoders (Mika Penttilä) [RHEL-1351]
- drm/i915/mst: Reject modes that require the bigjoiner (Mika Penttilä) [RHEL-1351]
- drm/i915/mst: Fix .mode_valid_ctx() return values (Mika Penttilä) [RHEL-1351]
- drm/atomic-helpers: Invoke end_fb_access while owning plane state (Mika Penttilä) [RHEL-1351]
- drm/amdkfd: get doorbell's absolute offset based on the db_size (Mika Penttilä) [RHEL-1351]
- drm/amd/amdgpu/amdgpu_doorbell_mgr: Correct misdocumented param 'doorbell_index' (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: correct chunk_ptr to a pointer to chunk. (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: finalizing mem_partitions at the end of GMC v9 sw_fini (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: Do not program VF copy regs in mmhub v1.8 under SRIOV (v2) (Mika Penttilä) [RHEL-1351]
- nouveau: use an rwlock for the event lock. (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Fix MPCC 1DLUT programming (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Simplify brightness initialization (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Reduce default backlight min from 5 nits to 1 nits (Mika Penttilä) [RHEL-1351]
- drm/amd/display: refactor ILR to make it work (Mika Penttilä) [RHEL-1351]
- drm/amd/pm: fix a memleak in aldebaran_tables_init (Mika Penttilä) [RHEL-1351]
- drm/panel: nt36523: fix return value check in nt36523_probe() (Mika Penttilä) [RHEL-1351]
- drm/panel: starry-2081101qfh032011-53g: Fine tune the panel power sequence (Mika Penttilä) [RHEL-1351]
- drm/i915/gsc: Mark internal GSC engine with reserved uabi class (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Remove power sequencing check (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Refactor edp power control (Mika Penttilä) [RHEL-1351]
- dma-buf: fix check in dma_resv_add_fence (Mika Penttilä) [RHEL-1351]
- nouveau: find the smallest page allocation to cover a buffer alloc. (Mika Penttilä) [RHEL-1351]
- drm/amd/display: force toggle rate wa for first link training for a retimer (Mika Penttilä) [RHEL-1351]
- drm/amd/display: fix ABM disablement (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Update min Z8 residency time to 2100 for DCN314 (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Use DRAM speed from validation for dummy p-state (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Remove min_dst_y_next_start check for Z8 (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Include udelay when waiting for INBOX0 ACK (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: Update EEPROM I2C address for smu v13_0_0 (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: fix memory overflow in the IB test (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: Force order between a read and write to the same address (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: correct the amdgpu runtime dereference usage count (Mika Penttilä) [RHEL-1351]
- drm/amd: Enable PCIe PME from D3 (Mika Penttilä) [RHEL-1351]
- drm/i915: Also check for VGA converter in eDP probe (Mika Penttilä) [RHEL-1351]
- drm/ast: Disconnect BMC if physical connector is connected (Mika Penttilä) [RHEL-1351]
- drm/panel: boe-tv101wum-nl6: Fine tune Himax83102-j02 panel HFP and HBP (Mika Penttilä) [RHEL-1351]
- drm/i915: do not clean GT table on error path (Mika Penttilä) [RHEL-1351]
- drm/panel: simple: Fix Innolux G101ICE-L01 timings (Mika Penttilä) [RHEL-1351]
- drm/panel: simple: Fix Innolux G101ICE-L01 bus flags (Mika Penttilä) [RHEL-1351]
- drm/panel: auo,b101uan08.3: Fine tune the panel power sequence (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Change the DMCUB mailbox memory location from FB to inbox (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Clear dpcd_sink_ext_caps if not set (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Enable fast plane updates on DCN3.2 and above (Mika Penttilä) [RHEL-1351]
- drm/amd/display: fix a NULL pointer dereference in amdgpu_dm_i2c_xfer() (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Fix DSC not Enabled on Direct MST Sink (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Guard against invalid RPTR/WPTR being set (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: Fix possible null pointer dereference (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: lower CS errors to debug severity (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: fix error handling in amdgpu_bo_list_get() (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: fix error handling in amdgpu_vm_init (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: don't use ATRM for external devices (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: add a retry for IP discovery init (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: fix GRBM read timeout when do mes_self_test (Mika Penttilä) [RHEL-1351]
- drm/amdgpu/smu13: drop compute workload workaround (Mika Penttilä) [RHEL-1351]
- drm/amd/pm: Fix error of MACO flag setting code (Mika Penttilä) [RHEL-1351]
- drm/i915: Flush WC GGTT only on required platforms (Mika Penttilä) [RHEL-1351]
- drm/i915: Fix potential spectre vulnerability (Mika Penttilä) [RHEL-1351]
- drm/i915: Bump GLK CDCLK frequency when driving multiple pipes (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Add Null check for DPP resource (Mika Penttilä) [RHEL-1351]
- drm: bridge: it66121: ->get_edid callback must not return err pointers (Mika Penttilä) [RHEL-1351]
- drm/amd/pm: Handle non-terminated overdrive commands. (Mika Penttilä) [RHEL-1351]
- drm/amd/display: enable dsc_clk even if dsc_pg disabled (Mika Penttilä) [RHEL-1351]
- i915/perf: Fix NULL deref bugs with drm_dbg() calls (Mika Penttilä) [RHEL-1351]
- drm/i915/tc: Fix -Wformat-truncation in intel_tc_port_init (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: fix software pci_unplug on some chips (Mika Penttilä) [RHEL-1351]
- drm/qxl: prevent memory leak (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Avoid NULL dereference of timing generator (Mika Penttilä) [RHEL-1351]
- drm/amd: check num of link levels when update pcie param (Mika Penttilä) [RHEL-1351]
- drm/amd/display: fix num_ways overflow error (Mika Penttilä) [RHEL-1351]
- drm/amd: Disable PP_PCIE_DPM_MASK when dynamic speed switching not supported (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: Fix a null pointer access when the smc_rreg pointer is NULL (Mika Penttilä) [RHEL-1351]
- drm/amdkfd: Fix shift out-of-bounds issue (Mika Penttilä) [RHEL-1351]
- drm/panel: st7703: Pick different reset sequence (Mika Penttilä) [RHEL-1351]
- drm/amdgpu/vkms: fix a possible null pointer dereference (Mika Penttilä) [RHEL-1351]
- drm/radeon: fix a possible null pointer dereference (Mika Penttilä) [RHEL-1351]
- drm/panel/panel-tpo-tpg110: fix a possible null pointer dereference (Mika Penttilä) [RHEL-1351]
- drm/panel: fix a possible null pointer dereference (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: Fix potential null pointer derefernce (Mika Penttilä) [RHEL-1351]
- drm/amd: Fix UBSAN array-index-out-of-bounds for Polaris and Tonga (Mika Penttilä) [RHEL-1351]
- drm/amd: Fix UBSAN array-index-out-of-bounds for SMU7 (Mika Penttilä) [RHEL-1351]
- drm/amd/display: use full update for clip size increase of large plane source (Mika Penttilä) [RHEL-1351]
- drm/amd: Update `update_pcie_parameters` functions to use uint8_t arguments (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: update retry times for psp vmbx wait (Mika Penttilä) [RHEL-1351]
- drm/amdkfd: Fix a race condition of vram buffer unref in svm code (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: not to save bo in the case of RAS err_event_athub (Mika Penttilä) [RHEL-1351]
- drm/edid: Fixup h/vsync_end instead of h/vtotal (Mika Penttilä) [RHEL-1351]
- drm/amd/display: add seamless pipe topology transition check (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Don't lock phantom pipe on disabling (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Blank phantom OTG before enabling (Mika Penttilä) [RHEL-1351]
- drm/amdkfd: ratelimited SQ interrupt messages (Mika Penttilä) [RHEL-1351]
- drm/gma500: Fix call trace when psb_gem_mm_init() fails (Mika Penttilä) [RHEL-1351]
- drm/syncobj: fix DRM_SYNCOBJ_WAIT_FLAGS_WAIT_AVAILABLE (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: don't put MQDs in VRAM on ARM | ARM64 (Mika Penttilä) [RHEL-1351]
- drm/amdgpu/gfx10,11: use memcpy_to/fromio for MQDs (Mika Penttilä) [RHEL-1351]
- drm/amd/pm: Fix a memory leak on an error path (Mika Penttilä) [RHEL-1351]
- drm/bridge: lt9611uxc: fix the race in the error path (Mika Penttilä) [RHEL-1351]
- gpu: host1x: Correct allocated size for contexts (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Bail from dm_check_crtc_cursor if no relevant change (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Refactor dm_get_plane_scale helper (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Check all enabled planes in dm_check_crtc_cursor (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Fix null pointer dereference in error message (Mika Penttilä) [RHEL-1351]
- drm/amdkfd: Handle errors from svm validate and map (Mika Penttilä) [RHEL-1351]
- drm/amdkfd: Remove svm range validated_once flag (Mika Penttilä) [RHEL-1351]
- drm/amdkfd: fix some race conditions in vram buffer alloc/free of svm code (Mika Penttilä) [RHEL-1351]
- drm/amdgpu: Increase IH soft ring size for GFX v9.4.3 dGPU (Mika Penttilä) [RHEL-1351]
- drm/bridge: tc358768: Fix tc358768_ns_to_cnt() (Mika Penttilä) [RHEL-1351]
- drm/bridge: tc358768: Clean up clock period code (Mika Penttilä) [RHEL-1351]
- drm/bridge: tc358768: Rename dsibclk to hsbyteclk (Mika Penttilä) [RHEL-1351]
- drm/bridge: tc358768: Use dev for dbg prints, not priv->dev (Mika Penttilä) [RHEL-1351]
- drm/bridge: tc358768: Print logical values, not raw register values (Mika Penttilä) [RHEL-1351]
- drm/bridge: tc358768: Use struct videomode (Mika Penttilä) [RHEL-1351]
- drm/bridge: tc358768: Fix bit updates (Mika Penttilä) [RHEL-1351]
- drm/bridge: tc358768: Fix use of uninitialized variable (Mika Penttilä) [RHEL-1351]
- drm/bridge: lt8912b: Add missing drm_bridge_attach call (Mika Penttilä) [RHEL-1351]
- drm/bridge: lt8912b: Manually disable HPD only if it was enabled (Mika Penttilä) [RHEL-1351]
- drm/bridge: lt8912b: Fix crash on bridge detach (Mika Penttilä) [RHEL-1351]
- drm/bridge: lt8912b: Fix bridge_detach (Mika Penttilä) [RHEL-1351]
- drm: bridge: it66121: Fix invalid connector dereference (Mika Penttilä) [RHEL-1351]
- drm/radeon: Remove the references of radeon_gem_ pread & pwrite ioctls (Mika Penttilä) [RHEL-1351]
- drm/radeon: possible buffer overflow (Mika Penttilä) [RHEL-1351]
- drm: bridge: for GENERIC_PHY_MIPI_DPHY also select GENERIC_PHY (Mika Penttilä) [RHEL-1351]
- drm: bridge: samsung-dsim: Initialize ULPS EXIT for i.MX8M DSIM (Mika Penttilä) [RHEL-1351]
- drm/amd/display: Don't use fsleep for PSR exit waits (Mika Penttilä) [RHEL-1351]
Resolves: RHEL-11017, RHEL-1351, RHEL-20495, RHEL-20930, RHEL-24618, RHEL-9668

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2024-02-15 08:47:07 -05:00
Scott Weaver
2f553c71ed kernel-5.14.0-421.el9
* Tue Feb 13 2024 Scott Weaver <scweaver@redhat.com> [5.14.0-421.el9]
- ida: Fix crash in ida_free when the bitmap is empty (Wander Lairson Costa) [RHEL-19683 RHEL-19684] {CVE-2023-6915}
- drm/virtio: Set segment size for virtio_gpu device (Sebastian Ott) [RHEL-22710]
- arm64: module: Fix PLT counting when CONFIG_RANDOMIZE_BASE=n (Jennifer Berringer) [RHEL-1687]
- arm64: module: rework module VA range selection (Jennifer Berringer) [RHEL-1687]
- Documentation/arm64: update memory layout table. (Jennifer Berringer) [RHEL-1687]
- arm64: module: mandate MODULE_PLTS (Jennifer Berringer) [RHEL-1687]
- arm64: ftrace: fix module PLTs with mcount (Jennifer Berringer) [RHEL-1687]
- arm64: ftrace: consistently handle PLTs. (Jennifer Berringer) [RHEL-1687]
- arm64: ftrace: fix branch range checks (Jennifer Berringer) [RHEL-1687]
- arm64: module: move module randomization to module.c (Jennifer Berringer) [RHEL-1687]
- arm64: kaslr: split kaslr/module initialization (Jennifer Berringer) [RHEL-1687]
- arm64: module: remove old !KASAN_VMALLOC logic (Jennifer Berringer) [RHEL-1687]
- netfilter: conntrack: check SCTP_CID_SHUTDOWN_ACK for vtag setting in sctp_new (Xin Long) [RHEL-22341]
- ALSA: hda: Fix possible null-ptr-deref when assigning a stream (Jaroslav Kysela) [RHEL-21053]
- ALSA: hda: intel-nhlt: Ignore vbps when looking for DMIC 32 bps format (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: ipc4-loader: remove the CPC check warnings (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: topology: Use partial match for disconnecting DAI link and DAI widget (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: topology: Fix mem leak in sof_dai_load() (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: ipc4-topology: Add deep buffer size to debug prints (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: Intel: hda-codec: Delay the codec device registration (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: ipc4-topology: Correct data structures for the GAIN module (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: ipc4-topology: Correct data structures for the SRC module (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: sof-audio: Modify logic for enabling/disabling topology cores (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: ipc4-topology: Add core_mask in struct snd_sof_pipeline (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: Intel: hda-dsp: Make sure that no irq handler is pending before suspend (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: Intel: Move binding to display driver outside of deferred probe (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: Intel: Fix error handling in hda_init() (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: Intel: hda: start splitting the probe (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: core: Add probe_early and remove_late callbacks (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: IPC4: sort pipeline based on priority (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: IPC4: get pipeline priority from topology (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: sof-pci-dev: Update the ipc_type module parameter description (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: ipc4-control: Add support for ALSA enum control (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: ipc4-control: Add support for ALSA switch control (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: ipc4-topology: Add definition for generic switch/enum control (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: Intel: hda-loader: Add support for split library loading (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: ipc4: Add new message type: SOF_IPC4_GLB_LOAD_LIBRARY_PREPARE (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: Intel: hda: Add definition for SDxFIFOS.FIFOS mask (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: ipc4: Convert status code 2 and 15 to -EOPNOTSUPP (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: ipc4-pcm: fixup dailink based on copier format (Jaroslav Kysela) [RHEL-21053]
- ASoC: SOF: ipc4-topology: export sof_ipc4_copier_is_single_format (Jaroslav Kysela) [RHEL-21053]
- objtool: Add __kunit_abort() to noreturns (Nico Pache) [RHEL-19099]
- config: wifi: enable new kunit configuration options (Jose Ignacio Tornos Martinez) [RHEL-19746]
- config: wifi: disable new unsupported configuration options (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: fix a memory corruption (Jose Ignacio Tornos Martinez) [RHEL-10297 RHEL-19746]
- wifi: iwlwifi: change link id in time event to s8 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: skip adding debugfs symlink for reconfig (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: use deflink and fix typo in link ID check (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: rely on mac80211 debugfs handling for vif (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: parse all ML elements in an ML probe response (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: correct comment about MLD ID (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: fix advertised TTLM scheduling (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: assign phy_ctxt before eSR activation (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: fix out of bound copy_from_user (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: Do not warn if valid link pair was not found (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: fix the error handler of rfkill config (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7921: fix wrong 6Ghz power type (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7921: fix CLC command timeout when suspend/resume (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7996: fix mt7996_mcu_all_sta_info_event struct packing (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7915: also MT7981 is 3T3R but nss2 on 5 GHz band (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7915: fix EEPROM offset of TSSI flag on MT7981 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7996: fix alignment of sta info event (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: fix typo in mt76_get_of_eeprom_from_nvmem function (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7996: fix uninitialized variable in parsing txfree (Jose Ignacio Tornos Martinez) [RHEL-19746]
- net: qrtr: ns: Return 0 if server port is not present (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: add/remove driver debugfs entries as appropriate (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: do not re-add debugfs entries during resume (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: pcie: don't synchronize IRQs from IRQ (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: fix certs build to not depend on file order (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: fix crash with WED rx support enabled (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: pcie: avoid a NULL pointer dereference (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: mesh_plink: fix matches_local logic (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: mesh: check element parsing succeeded (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: check defragmentation succeeded (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: don't re-add debugfs during reconfig (Jose Ignacio Tornos Martinez) [RHEL-19746]
- net: rfkill: gpio: set GPIO direction (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: check if the existing link config remains unchanged (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: Add my certificate (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: pcie: add another missing bh-disable for rxq->lock (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ieee80211: don't require protected vendor action frames (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: handle 320 MHz in ieee80211_ht_cap_ie_to_sta_ht_cap (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: avoid offset calculation on NULL pointer (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: hold wiphy mutex for send_interface (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: lock wiphy mutex for rfkill poll (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: fix CQM for non-range use (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: do not pass AP_VLAN vif pointer to drivers during flush (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: fix an error code in iwl_mvm_mld_add_sta() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7925: fix typo in mt7925_init_he_caps (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: fix system commands group ordering (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7921: fix 6GHz disabled by the missing default CLC config (Jose Ignacio Tornos Martinez) [RHEL-19746]
- net: fill in MODULE_DESCRIPTION()s in kuba@'s modules (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ray_cs: Remove unnecessary (void*) conversions (Jose Ignacio Tornos Martinez) [RHEL-19746]
- Revert "wifi: ath11k: call ath11k_mac_fils_discovery() without condition" (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: Introduce and use ath12k_sta_to_arsta() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: fix htt mlo-offset event locking (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: fix dfs-radar and temperature event locking (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: fix gtk offload status event locking (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: fix htt pktlog locking (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: fix dfs radar event locking (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: fix temperature event locking (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: rename the sc naming convention to ab (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: rename the wmi_sc naming convention to wmi_ab (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: add firmware-2.bin support (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: qmi: refactor ath11k_qmi_m3_load() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: cleanup firmware elements parsing (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rt2x00: rework MT7620 PA/LNA RF calibration (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rt2x00: rework MT7620 channel config function (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rt2x00: improve MT7620 register initialization (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7921: fix kernel panic by accessing invalid 6GHz channel info (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rt2x00: fix rt2800 watchdog function (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath9k_htc: fix format-truncation warning (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: brcmfmac: fix format-truncation warnings (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: drop robust action frames before assoc (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: Allow AP/P2PGO to indicate port authorization to peer STA/P2PClient (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: bump FW API to 86 for AX/BZ/SC devices (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: read DSM func 2 for specific RF types (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: show dump even for pldr_sync (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: cycle FW link on chanctx removal (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: trace full frames with TX status request (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: empty overflow queue during flush (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: fw: Add support for UATS table in UHB (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: add a print when sending RLC command (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: debugfs for fw system stats (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: implement new firmware API for statistics (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: fix regdb initialization (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: update IGTK in mvmvif upon D3 resume (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: simplify the reorder buffer (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: disable multi rx queue for 9000 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: Return success if link could not be removed (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: fix size check for fw_link_id (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: pcie: synchronize IRQs before NAPI (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: add support for SNPS DPHYIP region type (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: fix netif csum flags (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: remove set_tim callback for MLD ops (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: api: fix center_freq label in PHY diagram (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: support link id in SESSION_PROTECTION_NOTIF (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: support link_id in SESSION_PROTECTION cmd (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: make time_events MLO aware (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: rename struct cfg80211_rx_assoc_resp to cfg80211_rx_assoc_resp_data (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: rename ieee80211_tx_status() to ieee80211_tx_status_skb() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: add support for activating UNII-1 in WW via BIOS (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: remove TDLS stations from FW (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: fix iwl_mvm_mac_flush_sta() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: change iwl_mvm_flush_sta() API (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: extend alive timeout to 2 seconds (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: fix the PHY context resolution for p2p device (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: fold the ref++ into iwl_mvm_phy_ctxt_add (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: don't add dummy phy context (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: cleanup MLO and non-MLO unification code (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: implement ROC version 3 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: send EDT table to FW (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: Fix key flags for IGTK on AP interface (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlmvm: fw: Add new OEM vendor to tas approved list (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: Fix unreachable code path (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: add new RF support for wifi7 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: fw: increase fw_version string size (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: fix change_address deadlock during unregister (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: Add __counted_by for struct ieee802_11_elems and use struct_size() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: check for kmemdup() return value in iwl_parse_tlv_firmware() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: remove unused argument of ieee80211_get_tdls_action() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: Correctly set link configuration (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: fix the rf step and flavor bits range (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: fw: Fix debugfs command sending (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: advertise support for SCS traffic description (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: Don't always bind/link the P2P Device interface (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: add start mac ctdp sum calculation debugfs handler (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: abort scan when rfkill on but device enabled (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: Add basic link selection logic (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mei: return error from register when not built (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: fix SB CFG check (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: add a per-link debugfs (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: rework debugfs handling (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: yoyo: swap cdb and jacket bits values (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: add support for new ini region types (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: Extract common prph mac/phy regions data dump logic (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: nl80211: fix doc typos (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: fix header kernel-doc typos (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: fix header kernel-doc typos (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: add link id to mgd_prepare_tx() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: Check if we had first beacon with relevant links (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: flush STA queues on unauthorization (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: purge TX queues in flush_queues flow (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: wext: convert return value to kernel-doc (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: fix a expired vs. cancel race in roc (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: make mgd_protect_tdls_discover MLO-aware (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: Fix typo in documentation (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: Fix setting vif links (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: Handle specific BSSID in 6GHz scanning (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: mesh: fix some kdoc warnings (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: Include operating class 137 in 6GHz band (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: Rename and update IEEE80211_VIF_DISABLE_SMPS_OVERRIDE (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: handle debugfs when switching to/from MLO (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: add a driver callback to add vif debugfs (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: don't recreate driver link debugfs in reconfig (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: cleanup auth_data only if association continues (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: bump FW API to 84 for AX/BZ/SC devices (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: use correct sta ID for IGTK/BIGTK (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: offload IGTK in AP if BIGTK is supported (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: fix removing pasn station for responder (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: pcie: clean up WFPM control bits (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: fix opmode start/stop race (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: skip opmode start retries on dead transport (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: pcie: propagate iwl_pcie_gen2_apm_init() error (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: update station's MFP flag after association (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: wilc1000: use vmm_table as array in wilc struct (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtlwifi: drop chk_switch_dmdp() from HAL interface (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtlwifi: drop fill_fake_txdesc() from HAL interface (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtlwifi: drop pre_fill_tx_bd_desc() from HAL interface (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: move software DCFO compensation setting to proper position (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: correct the DCFO tracking flow to improve CFO compensation (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: modify the register setting and the flow of CFO tracking (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: phy: generalize valid bit of BSS color (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: phy: change naming related BT coexistence functions (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw88: dump firmware debug information in abnormal state (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw88: debug: add to check if debug mask is enabled (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtlwifi: cleanup struct rtl_ps_ctl (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: rename the sc naming convention to ab (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: rename the wmi_sc naming convention to wmi_ab (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: Remove ath12k_base::bd_api (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: Remove ath11k_base::bd_api (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: Enable Mesh support for QCN9274 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: register EHT mesh capabilities (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: Use device_get_match_data() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mac: do bf_monitor only if WiFi 6 chips (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mac: set bf_assoc capabilities according to chip gen (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mac: set bfee_ctrl() according to chip gen (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mac: add registers of MU-EDCA parameters for WiFi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mac: generalize register of MU-EDCA switch according to chip gen (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mac: update RTS threshold according to chip gen (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtlwifi: simplify TX command fill callbacks (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: Introduce and use ath11k_sta_to_arsta() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: Remove unused struct ath11k_htc_frame (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: fix invalid m3 buffer address (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: add ath12k_qmi_free_resource() for recovery (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: configure RDDM size to MHI for device recovery (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: add parsing of phy bitmap for reg rules (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: add parsing of phy bitmap for reg rules (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: ath11k_debugfs_register(): fix format-truncation warning (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: coex: add annotation __counted_by() to struct rtw89_btc_btf_set_mon_reg (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: coex: add annotation __counted_by() for struct rtw89_btc_btf_set_slot_table (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: add EHT radiotap in monitor mode (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: show EHT rate in debugfs (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: parse TX EHT rate selected by firmware from RA C2H report (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: Add EHT rate mask as parameters of RA H2C command (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: parse EHT information from RX descriptor and PPDU status packet (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: radiotap: add bandwidth definition of EHT U-SIG (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtlwifi: use convenient list_count_nodes() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: brcmfmac: fweh: Add __counted_by for struct brcmf_fweh_queue_item and use struct_size() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw88: Remove duplicate NULL check before calling usb_kill/free_urb() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: Consistently use ath12k_vif_to_arvif() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: call ath11k_mac_fils_discovery() without condition (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: remove redundant memset() in ath12k_hal_reo_qdesc_setup() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtlwifi: use unsigned long for bt_coexist_8723 timestamp (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw88: 8821c: tweak CCK TX filter setting for SRRC regulation (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw88: regd: update regulatory map to R64-R42 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw88: 8822c: update TX power limit to V70 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw88: 8821c: update TX power limit to V67 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw88: regd: configure QATAR and UK (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtlwifi: remove unreachable code in rtl92d_dm_check_edca_turbo() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: debug: txpwr table supports Wi-Fi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: debug: show txpwr table according to chip gen (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: phy: set TX power RU limit according to chip gen (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: phy: set TX power limit according to chip gen (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: phy: set TX power offset according to chip gen (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: phy: set TX power by rate according to chip gen (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mac: get TX power control register according to chip gen (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: fix debug messages (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: fix Tx power value during active CAC (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: fix CAC running state during virtual interface start (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath10k: simplify ath10k_peer_create() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtlwifi: use unsigned long for rtl_bssid_entry timestamp (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtlwifi: fix EDCA limit set by BT coexistence (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rt2x00: fix MT7620 low RSSI issue (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: refine bandwidth 160MHz uplink OFDMA performance (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: refine uplink trigger based control mechanism (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: 8851b: update TX power tables to R34 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: 8852b: update TX power tables to R35 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: 8852c: update TX power tables to R67 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: regd: configure Thailand in regulation type (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath10k: indicate to mac80211 scan complete with aborted flag for ATH10K_SCAN_STARTING state (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath: dfs_pattern_detector: Use flex array to simplify code (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath: dfs_pattern_detector: Fix a memory initialization issue (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath10k: Don't touch the CE interrupt registers after power up (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath10k: consistently use kstrtoX_from_user() functions (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: add keep backward compatibility of PHY mode to avoid firmware crash (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: add read variant from SMBIOS for download board data (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: do not drop data frames from unassociated stations (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: mhi: fix potential memory leak in ath12k_mhi_register() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: Annotate struct mt76_rx_tid with __counted_by (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7921: update the channel usage when the regd domain changed (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7921: get regulatory information from the clc event (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7921: add 6GHz power type support for clc (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7921: enable set txpower for UNII-4 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7921: move connac nic capability handling to mt7921 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: reduce spin_lock_bh held up in mt76_dma_rx_cleanup (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7996: remove periodic MPDU TXS request (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7996: enable PPDU-TxS to host (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7996: Add mcu commands for getting sta tx statistic (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7996: get tx_retries and tx_failed from txfree (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt792x: move some common usb code in mt792x module (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt792x: move mt7921_skb_add_usb_sdio_hdr in mt792x module (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7915 add tc offloading support (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7925: add Mediatek Wi-Fi7 driver for mt7925 chips (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7921: fix the wrong rate selected in fw for the chanctx driver (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7921: fix the wrong rate pickup for the chanctx driver (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: move struct ieee80211_chanctx_conf up to struct mt76_vif (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: Drop unnecessary error check for debugfs_create_dir() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7915: fix beamforming availability check (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7915: update mpdu density capability (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: check vif type before reporting cca and csa (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: fix per-band IEEE80211_CONF_MONITOR flag comparison (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: get rid of false alamrs of tx emission issues (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: fix potential memory leak of beacon commands (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: check sta rx control frame to multibss capability (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: update beacon size limitation (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: connac: add MBSSID support for mt7996 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: fix clang-specific fortify warnings (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7921e: Support MT7992 IP in Xiaomi Redmibook 15 Pro (2023) (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: Use PTR_ERR_OR_ZERO() to simplify code (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7996: support per-band LED control (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7996: support more options for mt7996_set_bitrate_mask() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7996: only set vif teardown cmds at remove interface (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7996: fix TWT command format (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7996: fix rx rate report for CBW320-2 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7996: fix wmm queue mapping (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7996: fix beamformee ss subfield in EHT PHY cap (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7996: fix beamform mcu cmd configuration (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7996: set correct wcid in txp (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: connac: add more unified event IDs (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: connac: add more unified command IDs (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: connac: add data field in struct tlv (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: connac: add eht support for tx power (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: connac: add eht support for phy mode config (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: connac: export functions for mt7925 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt792x: support mt7925 chip init (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: connac: introduce helper for mt7925 chipset (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7915: fix monitor mode issues (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: add DMA mapping error check in mt76_alloc_txwi() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: remove unused error path in mt76_connac_tx_complete_skb (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: fix race condition related to checking tx queue fill status (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: use atomic iface iteration for pre-TBTT work (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7603: disable A-MSDU tx support on MT7628 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7603: add missing register initialization for MT7628 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7603: improve stuck beacon handling (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7603: improve watchdog reset reliablity (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mt76: mt7603: rework/fix rx pse hang check (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: add back SPDX identifier (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: fix ieee80211_drop_unencrypted_mgmt return type/value (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtlwifi: cleanup few rtlxxxx_set_hw_reg() routines (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: declare MCC in interface combination (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: 8852c: declare to support two chanctx (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: pause/proceed MCC for ROC and HW scan (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mcc: fix NoA start time when GO is auxiliary (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rt2x00: remove redundant check if u8 array element is less than zero (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: mac: fix struct ieee80211_sband_iftype_data handling (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: fix ath11k_mac_op_remain_on_channel() stack usage (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: add msdu_end structure for WCN7850 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: Set default beacon mode to burst mode (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: call ath12k_mac_fils_discovery() without condition (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: remove unnecessary (void*) conversions (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: enable IEEE80211_HW_SINGLE_SCAN_ON_ALL_BANDS for WCN7850 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: change to treat alpha code na as world wide regdomain (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: indicate scan complete for scan canceled when scan running (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: indicate to mac80211 scan complete with aborted flag for ATH12K_SCAN_STARTING state (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: fix recovery fail while firmware crash when doing channel switch (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: add support for hardware rfkill for WCN7850 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: use kstrtoul_from_user() where appropriate (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: expand __ieee80211_data_to_8023() status (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: split ieee80211_drop_unencrypted_mgmt() return value (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: remove RX_DROP_UNUSABLE (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: fix check for unusable RX result (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: add local_state_change to deauth trace (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: OWE DH IE handling offload (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ieee80211: add UL-bandwidth definition of trigger frame (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: add mapping of a periphery register crf for WH RF (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: check for iwl_mvm_mld_update_sta() errors (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: support injection antenna control (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: refactor TX rate handling (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: make pldr_sync AX210 specific (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: fail NIC access fast on dead NIC (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: add support for new wowlan_info_notif (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: pcie: (re-)assign BAR0 on driver bind (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: implement enable/disable for China 2022 regulatory (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: handle link-STA allocation in restart (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: iterate active links for STA queues (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: support set_antenna() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: reject MLO channel configuration if not supported (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: report per-link error during association (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: report per-link errors during association (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: support antenna control in injection (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: support handling of advertised TID-to-link mapping (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: add support for parsing TID to Link mapping element (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211_hwsim: Handle BSS_CHANGED_VALID_LINKS (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: Notify the low level driver on change in MLO valid links (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211_hwsim: move kernel-doc description (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: describe return values in kernel-doc (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: reg: describe return values in kernel-doc (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: allow for_each_sta_active_link() under RCU (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: relax RCU check in for_each_vif_active_link() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: don't connect to an AP while it's in a CSA process (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: update the rx_chains after set_antenna() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: use bandwidth indication element for CSA (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: split struct cfg80211_ap_settings (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: ethtool: always hold wiphy mutex (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: load TX power related tables from FW elements (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: phy: extend TX power common stuffs for Wi-Fi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: load TX power by rate when RFE parms setup (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: phy: refine helpers used for raw TX power (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: indicate TX power by rate table inside RFE parameter (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: indicate TX shape table inside RFE parameter (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: add subband index of primary channel to struct rtw89_chan (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mwifiex: followup PCIE and related cleanups (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mwifiex: simplify PCIE write operations (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: remove unused members of 'struct ath11k_base' (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: drop redundant check in ath11k_dp_rx_mon_dest_process() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: drop NULL pointer check in ath11k_update_per_peer_tx_stats() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: fix boot failure with one MSI vector (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath10k: drop HTT_DATA_TX_STATUS_DOWNLOAD_FAIL (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath10k: Annotate struct ath10k_ce_ring with __counted_by (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: Remove unnecessary (void*) conversions (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath10k: Remove unnecessary (void*) conversions (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath9k: clean up function ath9k_hif_usb_resume (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: fix DMA unmap warning on NULL DMA address (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: fix possible out-of-bound write in ath12k_wmi_ext_hal_reg_caps() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: wilc1000: add back-off algorithm to balance tx queue packets (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mwifiex: use MODULE_FIRMWARE to add firmware files metadata (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mwifiex: cleanup struct mwifiex_sdio_mpa_rx (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath10k: fix clang-specific fortify warning (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: add chip id board name while searching board-2.bin for WCN6855 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: fix possible out-of-bound read in ath12k_htt_pull_ppdu_stats() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: change to initialize recovery variables earlier in ath12k_core_reset() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: enable 320 MHz bandwidth for 6 GHz band in EHT PHY capability for WCN7850 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath9k: fix clang-specific fortify warnings (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath9k: use u32 for txgain indexes (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath9k: simplify ar9003_hw_process_ini() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: fix radar detection in 160 MHz (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: fix WARN_ON during ath12k_mac_update_vif_chan (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw88: debug: Fix the NULL vs IS_ERR() bug for debugfs_create_file() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: brcmfmac: firmware: Annotate struct brcmf_fw_request with __counted_by (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: brcmfmac: Annotate struct brcmf_gscan_config with __counted_by (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: make read-only array centers_80mhz static const (Jose Ignacio Tornos Martinez) [RHEL-19746]
- net: ethernet: mtk_wed: rename mtk_rxbm_desc in mtk_wed_bm_desc (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtl8xxxu: Add a description about the device ID 0x7392:0xb722 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: add mac_gen pointer to access mac port registers (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: consolidate registers of mac port to struct (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: add chip_info::txwd_info size to generalize TX WD submit (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: add to fill TX descriptor v2 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: add to fill TX descriptor for firmware command v2 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: add to query RX descriptor format v2 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mcc: deal with beacon NoA if GO exists (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mcc: deal with BT slot change (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mcc: deal with P2P PS change (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mcc: track beacon offset and update when needed (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mcc: update role bitmap when changed (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: 52c: rfk: disable DPK during MCC (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: rfk: disable driver tracking during MCC (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: 52c: rfk: refine MCC channel info notification (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: save power spectral density(psd) of regulatory rule (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: fix kernel-doc for wiphy_delayed_work_flush() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: don't return unset power in ieee80211_get_tx_power() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: Sanity check tx bitrate if not provided by driver (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: add a debug print when we get a BAR (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: move listen interval to constants (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: no power save during transition to D3 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: update context info structure definitions (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: fix recovery flow in CSA (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: Use FW rate for non-data frames (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: enable FILS DF Tx on non-PSC channel (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: make "pldr_sync" mode effective (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: log dropped frames (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: fw: disable firmware debug asserts (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: remove dead-code (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: pcie: enable TOP fatal error interrupt (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: pcie: give up mem read if HW is dead (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: pcie: rescan bus if no parent (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: export DFS CAC time and usable state helper functions (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: call reg_call_notifier on beacon hints (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: allow reg update by driver even if wiphy->regd is set (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: additions to change_beacon() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: nl80211: additions to NL80211_CMD_SET_BEACON (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: modify prototype for change_beacon (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: fixes in FILS discovery updates (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: nl80211: fixes to FILS discovery updates (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: lib80211: remove unused variables iv32 and iv16 (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: remove shifted rate support (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: remove scan_width support (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: wext: avoid extra calls to strlen() in ieee80211_bss() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: fix kernel-doc for wiphy_delayed_work_flush() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: increase number of RX buffers for EHT devices (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: pcie: fix RB status reading (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: reduce maximum RX A-MPDU size (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: check link more carefully (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: move RU alloc B2 placement (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: fw: reconstruct the API/CAPA enum number (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: fix kernel-doc (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: pcie: fix kernel-doc issues (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: dvm: remove kernel-doc warnings (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: queue: fix kernel-doc (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: don't use an uninitialized variable (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: fix some kernel-doc issues (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: honor the enable_ini value (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: disconnect long CSA only w/o alternative (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: increase session protection after CSA (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: iwlwifi: mvm: support CSA with MLD (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: fix channel switch link data (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: Do not force off-channel for management Tx with MLO (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: take MBSSID/EHT data also from probe resp (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: Print local link address during authentication (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: fix # of MSDU in A-MSDU calculation (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211_hwsim: clean up kernel-doc (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: reg: fix various kernel-doc issues (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: fix various kernel-doc issues (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: remove unnecessary struct forward declaration (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: add more warnings about inserting sta info (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: add ieee80211_fragment_element to public API (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: add an element parsing unit test (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: add first kunit tests, for element defrag (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: fix off-by-one in element defrag (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: add support for mld in ieee80211_chswitch_done (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: fix RCU usage warning in mesh fast-xmit (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: annotate iftype_data pointer with sparse (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: fix BA session teardown race (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211_hwsim: fix clang-specific fortify warning (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: fix TXQ error path and cleanup (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: Use flexible array in struct ieee80211_tim_ie (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: remove wdev mutex (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: set wiphy for virtual monitors (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: reduce iflist_mtx (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: remove local->mtx (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: remove ampdu_mlme.mtx (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: remove chanctx_mtx (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: remove key_mtx (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: remove sta_mtx (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: take wiphy lock for MAC addr change (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: extend wiphy lock in interface removal (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: hold wiphy_lock around concurrency checks (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: ethtool: hold wiphy mutex (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: check wiphy mutex is held for wdev mutex (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: hold wiphy lock in cfg80211_any_wiphy_oper_chan() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: sme: hold wiphy lock for wdev iteration (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: reg: hold wiphy mutex for wdev iteration (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: check wiphy mutex in ops (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: move color change finalize to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: move CSA finalize to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: move filter reconfig to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: move tspec work to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: move key tailroom work to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: move TDLS work to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: move sched-scan stop work to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: move dynamic PS to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: move link activation work to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: move offchannel works to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: lock wiphy in IP address notifier (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: move monitor work to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: move scan work to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: move radar detect work to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: move DFS CAC work to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: add more ops assertions (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: convert A-MPDU work to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: flush wiphy work where appropriate (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: add flush functions for wiphy work (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: cfg80211: check RTNL when iterating devices (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: lock wiphy for aggregation debugfs (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: hold wiphy lock in netdev/link debugfs (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: debugfs: lock wiphy instead of RTNL (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: fix SMPS status handling (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: Fix SMPS handling in the context of MLO (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: rework ack_frame_id handling a bit (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: mac80211: tx: clarify conditions in if statement (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: 8922a: set memory heap address for secure firmware (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: fw: refine download flow to support variant firmware suits (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: 8922a: add chip_ops::bb_preinit to enable BB before downloading firmware (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: fw: propagate an argument include_bb for BB MCU firmware (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: fw: add checking type for variant type of firmware (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: fw: implement supported functions of download firmware for WiFi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: fw: generalize download firmware flow by mac_gen pointers (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: fw: move polling function of firmware path ready to an individual function (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mcc: trigger FW to start/stop MCC (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: fix typo of rtw89_fw_h2c_mcc_macid_bitmap() (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mcc: decide pattern and calculate parameters (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mcc: consider and determine BT duration (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mcc: fill fundamental configurations (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: mcc: initialize start flow (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: fix tid bitmap is 0 in peer rx mu stats (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: move references from rsvd2 to info fields (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: fix undefined behavior with __fls in dp (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath12k: Ignore fragments from uninitialized peer in dp (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: ath11k: mhi: add a warning message for MHI_CB_EE_RDDM crash (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: 8852c: Fix TSSI causes transmit power inaccuracy (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw89: 8852c: Update bandedge parameters for better performance (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtl8xxxu: mark TOTOLINK N150UA V5/N150UA-B as tested (Jose Ignacio Tornos Martinez) [RHEL-19746]
- wifi: rtw88: fix typo rtw8822cu_probe (Jose Ignacio Tornos Martinez) [RHEL-19746]
- serial: 8250_dw: Add ACPI ID for Granite Rapids-D UART (David Arcari) [RHEL-22701]
- pinctrl: pinctrl-single: add ti,j7200-padconf compatible (Andrew Halaney) [RHEL-24719]
- serial: 8250_omap: Add earlycon support for the AM654 UART controller (Andrew Halaney) [RHEL-24719]
- pinctrl: single: Convert to platform remove callback returning void (Andrew Halaney) [RHEL-24719]
- pinctrl: single: Drop if block with always false condition (Andrew Halaney) [RHEL-24719]
- pinctrl: single: remove get rid of __maybe_unused (Andrew Halaney) [RHEL-24719]
- pinctrl: single: Add compatible for ti,am654-padconf (Andrew Halaney) [RHEL-24719]
- pinctrl: Use of_property_present() for testing DT property presence (Andrew Halaney) [RHEL-24719]
- pinctrl: single: fix potential NULL dereference (Andrew Halaney) [RHEL-24719]
- pinctrl: single: Fix potential division by zero (Andrew Halaney) [RHEL-24719]
- pinctrl: single: Add missing header(s) (Andrew Halaney) [RHEL-24719]
- io_uring: ensure local task_work is run on wait timeout (Jeff Moyer) [RHEL-21391]
- io_uring/rw: ensure io->bytes_done is always initialized (Jeff Moyer) [RHEL-21391]
- io_uring/kbuf: Fix an NULL vs IS_ERR() bug in io_alloc_pbuf_ring() (Jeff Moyer) [RHEL-19169 RHEL-21391 RHEL-19168] {CVE-2024-0582}
- io_uring/kbuf: recycle freed mapped buffer ring entries (Jeff Moyer) [RHEL-19169 RHEL-21391 RHEL-19168] {CVE-2024-0582}
- io_uring/cmd: fix breakage in SOCKET_URING_OP_SIOC* implementation (Jeff Moyer) [RHEL-21391]
- io_uring/af_unix: disable sending io_uring over sockets (Jeff Moyer) [RHEL-21391]
- io_uring/kbuf: check for buffer list readiness after NULL check (Jeff Moyer) [RHEL-19169 RHEL-21391 RHEL-19168] {CVE-2024-0582}
- io_uring: fix mutex_unlock with unreferenced ctx (Jeff Moyer) [RHEL-21391]
- io_uring: use fget/fput consistently (Jeff Moyer) [RHEL-21391]
- io_uring: free io_buffer_list entries via RCU (Jeff Moyer) [RHEL-19169 RHEL-21391 RHEL-19168] {CVE-2024-0582}
- io_uring/kbuf: defer release of mapped buffer rings (Jeff Moyer) [RHEL-19169 RHEL-21391 RHEL-19168] {CVE-2024-0582}
- io_uring: enable io_mem_alloc/free to be used in other parts (Jeff Moyer) [RHEL-21391]
- io_uring: don't guard IORING_OFF_PBUF_RING with SETUP_NO_MMAP (Jeff Moyer) [RHEL-21391]
- io_uring: don't allow discontig pages for IORING_SETUP_NO_MMAP (Jeff Moyer) [RHEL-21391]
- io_uring/fs: consider link->flags when getting path for LINKAT (Jeff Moyer) [RHEL-21391]
- io_uring/fdinfo: remove need for sqpoll lock for thread/pid retrieval (Jeff Moyer) [RHEL-21391]
- io_uring/net: ensure socket is marked connected on connect retry (Jeff Moyer) [RHEL-21391]
- io_uring/kbuf: prune deferred locked cache when tearing down (Jeff Moyer) [RHEL-21391]
- io_uring/kbuf: Use slab for struct io_buffer objects (Jeff Moyer) [RHEL-21391]
- io_uring/kbuf: Allow the full buffer id space for provided buffers (Jeff Moyer) [RHEL-21391]
- io_uring/kbuf: Fix check of BID wrapping in provided buffers (Jeff Moyer) [RHEL-21391]
- arm64: dts: qcom: sa8775p: Add new memory map updates to SA8775P (Eric Chanudet) [RHEL-24753]
- s390/ipl: add missing intersection check to ipl_report handling (Tobias Huschle) [RHEL-24611]
- net: stmmac: Fix ethool link settings ops for integrated PCS (Andrew Halaney) [RHEL-22484]
- net: stmmac: remove set but unused mask in stmmac_ethtool_set_link_ksettings() (Andrew Halaney) [RHEL-22484]
- net: stmmac: dwmac-qcom-ethqos: Fix drops in 10M SGMII RX (Andrew Halaney) [RHEL-22484]
- net: stmmac: Handle disabled MDIO busses from devicetree (Andrew Halaney) [RHEL-22484]
- net: stmmac: don't create a MDIO bus if unnecessary (Andrew Halaney) [RHEL-22484]
- integrity: powerpc: Do not select CA_MACHINE_KEYRING (Coiby Xu) [RHEL-11462]
- integrity: PowerVM support for loading third party code signing keys (Coiby Xu) [RHEL-11462]
- integrity: PowerVM machine keyring enablement (Coiby Xu) [RHEL-11462]
- integrity: check whether imputed trust is enabled (Coiby Xu) [RHEL-11462]
- integrity: remove global variable from machine_keyring.c (Coiby Xu) [RHEL-11462]
- integrity: ignore keys failing CA restrictions on non-UEFI platform (Coiby Xu) [RHEL-11462]
- integrity: PowerVM support for loading CA keys on machine keyring (Coiby Xu) [RHEL-11462]
- security/integrity: fix pointer to ESL data and its size on pseries (Coiby Xu) [RHEL-2431]
Resolves: RHEL-10297, RHEL-11462, RHEL-1687, RHEL-19099, RHEL-19169, RHEL-19683, RHEL-19746, RHEL-21053, RHEL-21391, RHEL-22341, RHEL-22484, RHEL-22701, RHEL-22710, RHEL-2431, RHEL-24611, RHEL-24719, RHEL-24753, RHEL-19684, RHEL-19168

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2024-02-13 10:49:31 -05:00
Scott Weaver
5255fefdd2 kernel-5.14.0-420.el9
* Fri Feb 09 2024 Scott Weaver <scweaver@redhat.com> [5.14.0-420.el9]
- macsec: Use helper macsec_netdev_priv for offload drivers (Ivan Vecera) [RHEL-22387]
- xfs: fix internal error from AGFL exhaustion (Pavel Reichl) [RHEL-22150]
- EDAC/i10nm: Add Intel Sierra Forest server support (Aristeu Rozanski) [RHEL-24446]
- mmc: sdhci_am654: Drop lookup for deprecated ti,otap-del-sel (Andrew Halaney) [RHEL-23902]
- mmc: sdhci_am654: fix start loop index for TAP value parsing (Andrew Halaney) [RHEL-23902]
- mmc: sdhci_am654: Convert to platform remove callback returning void (Andrew Halaney) [RHEL-23902]
- mmc: sdhci_am654: Properly handle failures in .remove() (Andrew Halaney) [RHEL-23902]
- mmc: sdhci_am654: Add support for PM suspend/resume (Andrew Halaney) [RHEL-23902]
- mmc: sdhci_am654: Set HIGH_SPEED_ENA for SDR12 and SDR25 (Andrew Halaney) [RHEL-23902]
- mmc: sdhci_am654: lower power-on failed message severity (Andrew Halaney) [RHEL-23902]
- mmc: sdhci_am654: Use dev_err_probe() for mmc_of_parse() return code (Andrew Halaney) [RHEL-23902]
- mmc: sdhci_am654: Fix SDHCI_RESET_ALL for CQHCI (Andrew Halaney) [RHEL-23902]
- mmc: sdhci_am654: Remove the unneeded result variable (Andrew Halaney) [RHEL-23902]
- drivers: mmc: sdhci_am654: Add the quirk to set TESTCD bit (Andrew Halaney) [RHEL-23902]
- mmc: sdhci_am654: Add Support for TI's AM62 SoC (Andrew Halaney) [RHEL-23902]
- mmc: sdhci_am654: Fix the driver data of AM64 SoC (Andrew Halaney) [RHEL-23902]
- drm/vmwgfx: Fix possible null pointer derefence with invalid contexts (Jocelyn Falempe) [RHEL-3181 RHEL-3191] {CVE-2022-38096}
- x86/hyperv: Use atomic_try_cmpxchg() to micro-optimize hv_nmi_unknown() (Maxim Levitsky) [RHEL-20318]
- x86/hyperv: Fix the detection of E820_TYPE_PRAM in a Gen2 VM (Maxim Levitsky) [RHEL-20318]
- PCI: hv: Annotate struct hv_dr_state with __counted_by (Maxim Levitsky) [RHEL-20318]
- hyperv: reduce size of ms_hyperv_info (Maxim Levitsky) [RHEL-20318]
- x86/hyperv: Add common print prefix "Hyper-V" in hv_init (Maxim Levitsky) [RHEL-20318]
- x86/hyperv: Remove hv_vtl_early_init initcall (Maxim Levitsky) [RHEL-20318]
- x86/hyperv: Restrict get_vtl to only VTL platforms (Maxim Levitsky) [RHEL-20318]
- hv: hyperv.h: Replace one-element array with flexible-array member (Maxim Levitsky) [RHEL-20318]
- Drivers: hv: vmbus: Don't dereference ACPI root object handle (Maxim Levitsky) [RHEL-20318]
- hv_balloon: Update the balloon driver to use the SBRM API (Maxim Levitsky) [RHEL-20318]
- Drivers: hv: vmbus: Remove unused extern declaration vmbus_ontimer() (Maxim Levitsky) [RHEL-20318]
- x86/hyperv: add noop functions to x86_init mpparse functions (Maxim Levitsky) [RHEL-20318]
- x86/hyperv: fix a warning in mshyperv.h (Maxim Levitsky) [RHEL-20318]
- x86/hyperv: Disable IBT when hypercall page lacks ENDBR instruction (Maxim Levitsky) [RHEL-20318]
- x86/hyperv: Improve code for referencing hyperv_pcpu_input_arg (Maxim Levitsky) [RHEL-20318]
- Drivers: hv: Change hv_free_hyperv_page() to take void * argument (Maxim Levitsky) [RHEL-20318]
- clocksource/drivers/hyper-v: Rework clocksource and sched clock setup (Maxim Levitsky) [RHEL-20318]
- clocksource: hyper-v: Provide noinstr sched_clock() (Maxim Levitsky) [RHEL-20318]
- Drivers: hv: vmbus: Fix vmbus_wait_for_unload() to scan present CPUs (Maxim Levitsky) [RHEL-20318]
- Drivers: hv: vmbus: Call hv_synic_free() if hv_synic_alloc() fails (Maxim Levitsky) [RHEL-20318]
- x86/hyperv/vtl: Add noop for realmode pointers (Maxim Levitsky) [RHEL-20318]
- Drivers: hv: move panic report code from vmbus to hv early init code (Maxim Levitsky) [RHEL-20318]
- x86/hyperv: VTL support for Hyper-V (Maxim Levitsky) [RHEL-20318]
- Drivers: hv: Kconfig: Add HYPERV_VTL_MODE (Maxim Levitsky) [RHEL-20318]
- x86/hyperv: Make hv_get_nmi_reason public (Maxim Levitsky) [RHEL-20318]
- x86/init: Make get/set_rtc_noop() public (Maxim Levitsky) [RHEL-20318]
- x86/rtc: Remove __init for runtime functions (Maxim Levitsky) [RHEL-20318]
- x86/hyperv: Exclude lazy TLB mode CPUs from enlightened TLB flushes (Maxim Levitsky) [RHEL-20318]
- x86/hyperv: Add callback filter to cpumask_to_vpset() (Maxim Levitsky) [RHEL-20318]
- clocksource: hyper-v: make sure Invariant-TSC is used if it is available (Maxim Levitsky) [RHEL-20318]
- Driver: VMBus: Add Devicetree support (Maxim Levitsky) [RHEL-20318]
- dt-bindings: bus: Add Hyper-V VMBus (Maxim Levitsky) [RHEL-20318]
- Drivers: hv: vmbus: Convert acpi_device to more generic platform_device (Maxim Levitsky) [RHEL-20318]
- ACPI: bus: Add stub acpi_sleep_state_supported() in non-ACPI cases (Maxim Levitsky) [RHEL-20318]
- drivers/clocksource/hyper-v: non ACPI support in hyperv clock (Maxim Levitsky) [RHEL-20318]
- hv: simplify sysctl registration (Maxim Levitsky) [RHEL-20318]
- x86/hyperv: Block root partition functionality in a Confidential VM (Maxim Levitsky) [RHEL-20318]
- PCI: hv: update comment in x86 specific hv_arch_irq_unmask (Maxim Levitsky) [RHEL-20318]
- drivers: convert unsupported .adjfreq to .adjfine (Maxim Levitsky) [RHEL-20318]
- Kconfig: introduce HAS_IOPORT option and select it as necessary (Desnes Nunes) [RHEL-22462]
- netfilter: nft_set_pipapo: skip inactive elements during set walk (Florian Westphal) [RHEL-19722 RHEL-19961] {CVE-2023-6817}
- net: tls, update curr on splice as well (Sabrina Dubroca) [RHEL-19066 RHEL-19067] {CVE-2024-0646}
- irqchip/gic-v3: Workaround for GIC-700 erratum 2941627 (Joel Slebodnick) [RHEL-5156]
- irqchip/gic-v3: Enable Rockchip 3588001 erratum workaround for RK3588S (Joel Slebodnick) [RHEL-5156]
- irqchip/gic-v4.1: Properly lock VPEs when doing a directLPI invalidation (Joel Slebodnick) [RHEL-5156]
- irqchip/gic-v3-its: Enable RESEND_WHEN_IN_PROGRESS for LPIs (Joel Slebodnick) [RHEL-5156]
- genirq: Allow fasteoi handler to resend interrupts on concurrent handling (Joel Slebodnick) [RHEL-5156]
- genirq: Expand doc for PENDING and REPLAY flags (Joel Slebodnick) [RHEL-5156]
- genirq: Use BIT() for the IRQD_* state flags (Joel Slebodnick) [RHEL-5156]
- irqchip/gic-v3: Work around affinity issues on ASR8601 (Joel Slebodnick) [RHEL-5156]
- irqchip/gic-v3: Improve affinity helper (Joel Slebodnick) [RHEL-5156]
- vlan: Add MACsec offload operations for VLAN interface (Ivan Vecera) [RHEL-20942]
- net: usb: ax88179_178a: avoid failed operations when device is disconnected (Jose Ignacio Tornos Martinez) [RHEL-17562]
- net: usb: ax88179_178a: avoid two consecutive device resets (Jose Ignacio Tornos Martinez) [RHEL-17562]
- net: usb: ax88179_178a: fix failed operations during ax88179_reset (Jose Ignacio Tornos Martinez) [RHEL-17562]
- net: usb: ax88179_178a: Bind only to vendor-specific interface (Jose Ignacio Tornos Martinez) [RHEL-17562]
- net: usb: ax88179_178a: wol optimizations (Jose Ignacio Tornos Martinez) [RHEL-17562]
- net: usb: ax88179_178a: move priv to driver_priv (Jose Ignacio Tornos Martinez) [RHEL-17562]
- net: usb: ax88179_178a: restore state on resume (Jose Ignacio Tornos Martinez) [RHEL-17562]
- net: usb: ax88179_178a: clean up pm calls (Jose Ignacio Tornos Martinez) [RHEL-17562]
- net: usb: ax88179_178a: remove redundant init code (Jose Ignacio Tornos Martinez) [RHEL-17562]
- net: make drivers set the TSO limit not the GSO limit (Jose Ignacio Tornos Martinez) [RHEL-17562]
- net: usb: ax88179_178a: add Allied Telesis AT-UMCs (Jose Ignacio Tornos Martinez) [RHEL-17562]
- net: usb: ax88179_178a: add TSO feature (Jose Ignacio Tornos Martinez) [RHEL-17562]
- ethernet: constify references to netdev->dev_addr in drivers (Jose Ignacio Tornos Martinez) [RHEL-17562]
Resolves: RHEL-17562, RHEL-19066, RHEL-19722, RHEL-20318, RHEL-20942, RHEL-22150, RHEL-22387, RHEL-22462, RHEL-23902, RHEL-24446, RHEL-3181, RHEL-5156, RHEL-3191, RHEL-19961, RHEL-19067

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2024-02-09 09:29:51 -05:00
Scott Weaver
3774a3eb8c kernel-5.14.0-419.el9
* Wed Feb 07 2024 Scott Weaver <scweaver@redhat.com> [5.14.0-419.el9]
- macsec: add functions to get macsec real netdevice and check offload (Ivan Vecera) [RHEL-22386]
- selftests: net: explicitly wait for listener ready (Lucas Karpinski) [RHEL-23051]
- selftests: net: List helper scripts in TEST_FILES Makefile variable (Lucas Karpinski) [RHEL-23051]
- selftests: net: Remove executable bits from library scripts (Lucas Karpinski) [RHEL-23051]
- selftests: net: included needed helper in the install targets (Lucas Karpinski) [RHEL-23051]
- selftests/net: synchronize udpgro tests' tx and rx connection (Lucas Karpinski) [RHEL-23051]
- firmware: ti_sci: Fix an off-by-one in ti_sci_debugfs_create() (Andrew Halaney) [RHEL-23901]
- firmware: ti_sci: Use device_get_match_data() (Andrew Halaney) [RHEL-23901]
- firmware: ti_sci: Mark driver as non removable (Andrew Halaney) [RHEL-23901]
- firmware: ti_sci: refactor deprecated strncpy (Andrew Halaney) [RHEL-23901]
- firmware: ti_sci: Use list_for_each_entry() helper (Andrew Halaney) [RHEL-23901]
- firmware: ti_sci: Fixup documentation errors (Andrew Halaney) [RHEL-23901]
- clk: keystone: sci-clk: check return value of kasprintf() (Andrew Halaney) [RHEL-23901]
- clk: keystone: Convert to platform remove callback returning void (Andrew Halaney) [RHEL-23901]
- irqchip/ti-sci: Fix refcount leak in ti_sci_intr_irq_domain_probe (Andrew Halaney) [RHEL-23901]
- firmware: ti_sci: inproper error handling of ti_sci_probe (Andrew Halaney) [RHEL-23901]
- firmware: ti_sci: rm: remove unneeded semicolon (Andrew Halaney) [RHEL-23901]
- soc: ti: ti_sci_inta_msi: Remove ti_sci_inta_msi_domain_free_irqs() (Andrew Halaney) [RHEL-23901]
- ext4: fix warning in ext4_dio_write_end_io() (Carlos Maiolino) [RHEL-23635]
- ext4: properly sync file size update after O_SYNC direct IO (Carlos Maiolino) [RHEL-23635]
- iomap: update ki_pos a little later in iomap_dio_complete (Carlos Maiolino) [RHEL-23635]
- Revert "ext4: properly sync file size update after O_SYNC direct IO" (Carlos Maiolino) [RHEL-23635]
- Revert "ext4: fix warning in ext4_dio_write_end_io()" (Carlos Maiolino) [RHEL-23635]
- gve: Remove dependency on 4k page size. (Joshua Washington) [RHEL-22208]
- gve: Add page size register to the register_page_list command. (Joshua Washington) [RHEL-22208]
- gve: Remove obsolete checks that rely on page size. (Joshua Washington) [RHEL-22208]
- gve: Deprecate adminq_pfn for pci revision 0x1. (Joshua Washington) [RHEL-22208]
- gve: Perform adminq allocations through a dma_pool. (Joshua Washington) [RHEL-22208]
- gve: add gve_features_check() (Joshua Washington) [RHEL-22208]
- gve: Fixes for napi_poll when budget is 0 (Joshua Washington) [RHEL-22208]
- gve: Do not fully free QPL pages on prefill errors (Joshua Washington) [RHEL-22208]
- gve: Use size_add() in call to struct_size() (Joshua Washington) [RHEL-22208]
- gve: fix frag_list chaining (Joshua Washington) [RHEL-22208]
- gve: RX path for DQO-QPL (Joshua Washington) [RHEL-22208 RHEL-9879]
- gve: Tx path for DQO-QPL (Joshua Washington) [RHEL-22208 RHEL-9879]
- gve: Control path for DQO-QPL (Joshua Washington) [RHEL-22208 RHEL-9879]
- gve: trivial spell fix Recive to Receive (Joshua Washington) [RHEL-22208]
- gve: unify driver name usage (Joshua Washington) [RHEL-22208]
- gve: Set default duplex configuration to full (Joshua Washington) [RHEL-22208]
- gve: use vmalloc_array and vcalloc (Joshua Washington) [RHEL-22208]
- gve: Support IPv6 Big TCP on DQ (Joshua Washington) [RHEL-22208]
- gve: Remove the code of clearing PBA bit (Joshua Washington) [RHEL-22208]
- gve: Secure enough bytes in the first TX desc for all TCP pkts (Joshua Washington) [RHEL-22208]
- gve: Cache link_speed value from device (Joshua Washington) [RHEL-22208]
- gve: Add AF_XDP zero-copy support for GQI-QPL format (Joshua Washington) [RHEL-22208]
- gve: Add XDP REDIRECT support for GQI-QPL format (Joshua Washington) [RHEL-22208]
- gve: Add XDP DROP and TX support for GQI-QPL format (Joshua Washington) [RHEL-22208]
- gve: Changes to add new TX queues (Joshua Washington) [RHEL-22208]
- gve: XDP support GQI-QPL: helper function changes (Joshua Washington) [RHEL-22208]
- gve: Fix gve interrupt names (Joshua Washington) [RHEL-22208]
- gve: Handle alternate miss completions (Joshua Washington) [RHEL-22208]
- gve: Adding a new AdminQ command to verify driver (Joshua Washington) [RHEL-22208]
- gve: Fix error return code in gve_prefill_rx_pages() (Joshua Washington) [RHEL-22208]
- gve: Reduce alloc and copy costs in the GQ rx path (Joshua Washington) [RHEL-22208]
- google/gve:fix repeated words in comments (Joshua Washington) [RHEL-22208]
- gve: Fix spelling mistake "droping" -> "dropping" (Joshua Washington) [RHEL-22208]
- gve: enhance no queue page list detection (Joshua Washington) [RHEL-22208]
- perf test: Basic branch counter support (Michael Petlan) [RHEL-15660]
- perf tools: Add branch counter knob (Michael Petlan) [RHEL-15660]
- perf header: Support num and width of branch counters (Michael Petlan) [RHEL-15660]
- tools headers UAPI: Sync include/uapi/linux/perf_event.h header with the kernel (Michael Petlan) [RHEL-15660]
- perf/x86/intel: Support branch counters logging (Michael Petlan) [RHEL-15660]
- perf/x86/intel: Reorganize attrs and is_visible (Michael Petlan) [RHEL-15660]
- perf: Add branch_sample_call_stack (Michael Petlan) [RHEL-15660]
- perf/x86: Add PERF_X86_EVENT_NEEDS_BRANCH_STACK flag (Michael Petlan) [RHEL-15660]
- perf: Add branch stack counters (Michael Petlan) [RHEL-15660]
- redhat/configs/x86: Set CONFIG_KVM_MAX_NR_VCPUS=4096 (Vitaly Kuznetsov) [RHEL-11579]
- KVM: x86: Add CONFIG_KVM_MAX_NR_VCPUS to allow up to 4096 vCPUs (Vitaly Kuznetsov) [RHEL-11579]
- cgroup: Avoid false cacheline sharing of read mostly rstat_cpu (Waiman Long) [RHEL-21091]
- cgroup/rstat: Optimize cgroup_rstat_updated_list() (Waiman Long) [RHEL-21091]
- cgroup/rstat: Reduce cpu_lock hold time in cgroup_rstat_flush_locked() (Waiman Long) [RHEL-21091]
- clk: composite: Fix 'switching' to same clock (Eric Chanudet) [RHEL-20493]
- clk: composite: Use rate_ops.determine_rate when also a mux is available (Eric Chanudet) [RHEL-20493]
- clk: composite: Also consider .determine_rate for rate + mux composites (Eric Chanudet) [RHEL-20493]
- clk: imx: clk-divider-gate: Switch to clk_divider.determine_rate (Eric Chanudet) [RHEL-20493]
- clk: divider: Implement and wire up .determine_rate by default (Eric Chanudet) [RHEL-20493]
- clk: imx: Add 519.75MHz frequency support for imx9 pll (Eric Chanudet) [RHEL-20493]
- clk: imx93: Add PDM IPG clk (Eric Chanudet) [RHEL-20493]
- dt-bindings: clock: imx93: Add PDM IPG clk (Eric Chanudet) [RHEL-20493]
- dt-bindings: clock: imx93: add NIC, A55 and ARM PLL CLK (Eric Chanudet) [RHEL-20493]
- clk: imx: fracn-gppll: Add 300MHz freq support for imx9 (Eric Chanudet) [RHEL-20493]
- clk: imx: fracn-gppll: support integer pll (Eric Chanudet) [RHEL-20493]
- clk: imx: fracn-gppll: disable hardware select control (Eric Chanudet) [RHEL-20493]
- clk: imx: fracn-gppll: fix the rate table (Eric Chanudet) [RHEL-20493]
- HID: intel-ish-hid: ipc: Disable and reenable ACPI GPE bit (Tony Camuso) [RHEL-15109]
- HID: intel-ish-hid: ipc: Add Arrow Lake PCI device ID (Tony Camuso) [RHEL-15109]
Resolves: RHEL-11579, RHEL-15109, RHEL-15660, RHEL-20493, RHEL-21091, RHEL-22208, RHEL-22386, RHEL-23051, RHEL-23635, RHEL-23901, RHEL-9879

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2024-02-07 13:32:29 -05:00
Scott Weaver
881028f8e0 kernel-5.14.0-415.el9
* Tue Jan 30 2024 Scott Weaver <scweaver@redhat.com> [5.14.0-415.el9]
- net: phy: mdio_device: Reset device only when necessary (Andrew Halaney) [RHEL-21837]
- r8169: prevent potential deadlock in rtl8169_close (Izabela Bakollari) [RHEL-6506]
- r8169: fix deadlock on RTL8125 in jumbo mtu mode (Izabela Bakollari) [RHEL-6506]
- r8169: fix network lost after resume on DASH systems (Izabela Bakollari) [RHEL-6506]
- r8169: add handling DASH when DASH is disabled (Izabela Bakollari) [RHEL-6506]
- r8169: respect userspace disabling IFF_MULTICAST (Izabela Bakollari) [RHEL-6506]
- r8169: fix the KCSAN reported data race in rtl_rx while reading desc->opts1 (Izabela Bakollari) [RHEL-6506]
- r8169: fix the KCSAN reported data-race in rtl_tx while reading TxDescArray[entry].opts1 (Izabela Bakollari) [RHEL-6506]
- r8169: fix the KCSAN reported data-race in rtl_tx() while reading tp->cur_tx (Izabela Bakollari) [RHEL-6506]
- r8169: fix rare issue with broken rx after link-down on RTL8125 (Izabela Bakollari) [RHEL-6506]
- r8169: use dev_err_probe in all appropriate places in rtl_init_one() (Izabela Bakollari) [RHEL-6506]
- r8169: check for PCI read error in probe (Izabela Bakollari) [RHEL-6506]
- r8169: Use a raw_spinlock_t for the register locks. (Izabela Bakollari) [RHEL-6506]
- r8169: use new macro netif_subqueue_completed_wake in the tx cleanup path (Izabela Bakollari) [RHEL-6506]
- r8169: use new macro netif_subqueue_maybe_stop in rtl8169_start_xmit (Izabela Bakollari) [RHEL-6506]
- r8169: fix ASPM-related problem for chip version 42 and 43 (Izabela Bakollari) [RHEL-6506]
- r8169: fix RTL8168H and RTL8107E rx crc error (Izabela Bakollari) [RHEL-6506]
- r8169: consolidate disabling ASPM before EPHY access (Izabela Bakollari) [RHEL-6506]
- r8169: prepare rtl_hw_aspm_clkreq_enable for usage in atomic context (Izabela Bakollari) [RHEL-6506]
- r8169: enable cfg9346 config register access in atomic context (Izabela Bakollari) [RHEL-6506]
- r8169: use spinlock to protect access to registers Config2 and Config5 (Izabela Bakollari) [RHEL-6506]
- r8169: use spinlock to protect mac ocp register access (Izabela Bakollari) [RHEL-6506]
- r8169: reset bus if NIC isn't accessible after tx timeout (Izabela Bakollari) [RHEL-6506]
- r8169: disable ASPM in case of tx timeout (Izabela Bakollari) [RHEL-6506]
- r8169: use devm_clk_get_optional_enabled() to simplify the code (Izabela Bakollari) [RHEL-6506]
- ice: alter feature support check for SRIOV and LAG (Michal Schmidt) [RHEL-21571]
- regmap: Ensure range selector registers are updated after cache sync (Jaroslav Kysela) [RHEL-22735]
- kernel/panic.c: Move the location of bust_spinlocks to prevent hanging. (Derek Barbosa) [RHEL-15897]
- bootconfig: Fix missing return check of xbc_node_compose_key function (Julio Faracco) [2217634]
- new config items (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Make TDX host depend on X86_MCE (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Disable TDX host support when kexec is enabled (Paolo Bonzini) [RHEL-15937]
- Documentation/x86: Add documentation for TDX host support (Paolo Bonzini) [RHEL-15937]
- x86/mce: Differentiate real hardware #MCs from TDX erratum ones (Paolo Bonzini) [RHEL-15937]
- x86/cpu: Detect TDX partial write machine check erratum (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Handle TDX interaction with sleep and hibernation (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Initialize all TDMRs (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Configure global KeyID on all packages (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Configure TDX module with the TDMRs and global KeyID (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Designate reserved areas for all TDMRs (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Allocate and set up PAMTs for TDMRs (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Fill out TDMRs to cover all TDX memory regions (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Add placeholder to construct TDMRs to cover all TDX memory regions (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Get module global metadata for module initialization (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Use all system memory when initializing TDX module as TDX memory (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Add skeleton to enable TDX on demand (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Add SEAMCALL error printing for module initialization (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Handle SEAMCALL no entropy error in common code (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Make INTEL_TDX_HOST depend on X86_X2APIC (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Define TDX supported page sizes as macros (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Detect TDX during kernel boot (Paolo Bonzini) [RHEL-15937]
- x86/mm: Remove "INVPCID single" feature tracking (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Make TDX_MODULE_CALL handle SEAMCALL #UD and #GP (Paolo Bonzini) [RHEL-15937]
- x86/virt/tdx: Wire up basic SEAMCALL functions (Paolo Bonzini) [RHEL-15937]
- x86/smp: Dont access non-existing CPUID leaf (Paolo Bonzini) [RHEL-15937]
- x86/smp: Make stop_other_cpus() more robust (Paolo Bonzini) [RHEL-15937]
- x86/cpu: Clear SME feature flag when not in use (Paolo Bonzini) [RHEL-15937]
- Documentation, mm/unaccepted: document accept_memory kernel parameter (Paolo Bonzini) [RHEL-15937]
- proc/kcore: do not try to access unaccepted memory (Paolo Bonzini) [RHEL-15937]
- efi/unaccepted: do not let /proc/vmcore try to access unaccepted memory (Paolo Bonzini) [RHEL-15937]
- netfilter: nf_tables: Reject tables of unsupported family (Florian Westphal) [RHEL-21420 RHEL-21421] {CVE-2023-6040}
- video/aperture: Only remove sysfb on the default vga pci device (Jocelyn Falempe) [RHEL-19939]
- video/aperture: Drop primary argument (Jocelyn Falempe) [RHEL-19939]
- video/aperture: Move vga handling to pci function (Jocelyn Falempe) [RHEL-19939]
- video/aperture: Only kick vgacon when the pdev is decoding vga (Jocelyn Falempe) [RHEL-19939]
- video/aperture: use generic code to figure out the vga default device (Jocelyn Falempe) [RHEL-19939]
- fbdev/core: Avoid uninitialized read in aperture_remove_conflicting_pci_device() (Jocelyn Falempe) [RHEL-19939]
- fbdev: Fix order of arguments to aperture_remove_conflicting_devices() (Jocelyn Falempe) [RHEL-19939]
- fbdev: Remove conflict-handling code (Jocelyn Falempe) [RHEL-19939]
- fbdev: Acquire framebuffer apertures for firmware devices (Jocelyn Falempe) [RHEL-19939]
- video/aperture: Remove conflicting VGA devices, if any (Jocelyn Falempe) [RHEL-19939]
- video: Provide constants for VGA I/O range (Jocelyn Falempe) [RHEL-19939]
- video/aperture: Disable and unregister sysfb devices via aperture helpers (Jocelyn Falempe) [RHEL-19939]
- fbdev: Remove conflicting devices on PCI bus (Jocelyn Falempe) [RHEL-19939]
- fbdev: Convert drivers to aperture helpers (Jocelyn Falempe) [RHEL-19939]
- fbdev/core: Remove remove_conflicting_pci_framebuffers() (Jocelyn Falempe) [RHEL-19939]
- fbdev: Restart conflicting fb removal loop when unregistering devices (Jocelyn Falempe) [RHEL-19939]
- fbdev: Warn in hot-unplug workaround for framebuffers without device (Jocelyn Falempe) [RHEL-19939]
- Revert "fbdev: fbmem: add a helper to determine if an aperture is used by a fw fb" (Jocelyn Falempe) [RHEL-19939]
- Revert 88b08cfb5e1595acc531fcc95964d4e44f7f2cf7 (Jocelyn Falempe) [RHEL-19939]
Resolves: RHEL-15897, RHEL-15937, RHEL-19939, RHEL-21420, RHEL-21571, RHEL-21837, RHEL-22735, RHEL-6506, rhbz#2217634, RHEL-21421

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2024-01-30 13:06:57 -05:00
Scott Weaver
afb6495e05 kernel-5.14.0-412.el9
* Wed Jan 24 2024 Scott Weaver <scweaver@redhat.com> [5.14.0-412.el9]
- ACPI: APEI: rename ghes_init() with an "acpi_" prefix (Mark Langsdorf) [RHEL-4625]
- ACPI: APEI: explicit init of HEST and GHES in apci_init() (Mark Langsdorf) [RHEL-4625]
- ACPI: AGDI: Improve error reporting for problems during .remove() (Mark Langsdorf) [RHEL-4625]
- ACPI: AGDI: Fix missing prototype warning for acpi_agdi_init() (Mark Langsdorf) [RHEL-4625]
- ACPI: AGDI: Add driver for Arm Generic Diagnostic Dump and Reset device (Mark Langsdorf) [RHEL-4625]
- redhat/configs: enable CONFIG_ACPI_AGDI for arm64 (Mark Langsdorf) [RHEL-4625]
- ACPI: tables: Add AGDI to the list of known table signatures (Mark Langsdorf) [RHEL-4625]
- powerpc/64s: Increase default stack size to 32KB (Mamatha Inamdar) [RHEL-17581]
- platform/mellanox: mlxbf-tmfifo: Fix a warning message (Luiz Capitulino) [RHEL-21099]
- platform/mellanox: tmfifo: fix kernel-doc warnings (Luiz Capitulino) [RHEL-21099]
- platform/mellanox: mlxbf-tmfifo: Convert to platform remove callback returning void (Luiz Capitulino) [RHEL-21099]
- platform/mellanox: mlxbf-tmfifo: Drop jumbo frames (Luiz Capitulino) [RHEL-21099]
- platform/mellanox: mlxbf-tmfifo: Drop the Rx packet if no more descriptors (Luiz Capitulino) [RHEL-21099]
- platform/mellanox: Fix mlxbf-tmfifo not handling all virtio CONSOLE notifications (Luiz Capitulino) [RHEL-21099]
- platform/mellanox: fix potential race in mlxbf-tmfifo driver (Luiz Capitulino) [RHEL-21099]
- platform/mellanox: Add BlueField-3 support in the tmfifo driver (Luiz Capitulino) [RHEL-21099]
- mptcp: prevent tcp diag from closing listener subflows (Davide Caratti) [RHEL-21753]
- mptcp: fix inconsistent state on fastopen race (Davide Caratti) [RHEL-21753]
- mptcp: fix possible NULL pointer dereference on close (Davide Caratti) [RHEL-21753]
- mptcp: deal with large GSO size (Davide Caratti) [RHEL-21753]
- IB/ipoib: Fix mcast list locking (Daniel Vacek) [RHEL-19700]
- RDMA/IPoIB: Fix error code return in ipoib_mcast_join (Daniel Vacek) [RHEL-19700]
- hsr: Prevent use after free in prp_create_tagged_frame() (Felix Maurer) [RHEL-21711]
- net: hsr: Add __packed to struct hsr_sup_tlv. (Felix Maurer) [RHEL-21711]
- net: hsr: Properly parse HSRv1 supervisor frames. (Felix Maurer) [RHEL-21711]
- hsr: Fix uninit-value access in fill_frame_info() (Felix Maurer) [RHEL-21711]
- Reapply "memcg: enable accounting for file lock caches" (Wander Lairson Costa) [RHEL-8487 RHEL-9039] {CVE-2022-0480}
- s390/vfio-ap: do not reset queue removed from host config (Cédric Le Goater) [RHEL-19574]
- s390/vfio-ap: reset queues associated with adapter for queue unbound from driver (Cédric Le Goater) [RHEL-19574]
- s390/vfio-ap: reset queues filtered from the guest's AP config (Cédric Le Goater) [RHEL-19574]
- s390/vfio-ap: let on_scan_complete() callback filter matrix and update guest's APCB (Cédric Le Goater) [RHEL-19574]
- s390/vfio-ap: loop over the shadow APCB when filtering guest's AP configuration (Cédric Le Goater) [RHEL-19574]
- s390/vfio-ap: always filter entire AP matrix (Cédric Le Goater) [RHEL-19574]
- KVM: s390: vsie: Fix length of facility list shadowed (Cédric Le Goater) [RHEL-19574]
- KVM: s390: vsie: Fix STFLE interpretive execution identification (Cédric Le Goater) [RHEL-19574]
- KVM: s390: vsie: fix race during shadow creation (Cédric Le Goater) [RHEL-19574]
- KVM: s390: fix cc for successful PQAP (Cédric Le Goater) [RHEL-19574]
- KVM: s390: fix setting of fpc register (Cédric Le Goater) [RHEL-19574]
- s390/vfio-ap: fix sysfs status attribute for AP queue devices (Cédric Le Goater) [RHEL-19574]
- s390/vfio-ap: unpin pages on gisc registration failure (Cédric Le Goater) [RHEL-19574]
- ext4: avoid updating the superblock on a r/o mount if not needed (Pavel Reichl) [RHEL-7953]
- ipv4: igmp: fix refcnt uaf issue when receiving igmp query packet (Guillaume Nault) [RHEL-22186]
- ipv4: Correct/silence an endian warning in __ip_do_redirect (Guillaume Nault) [RHEL-22186]
- net: set SOCK_RCU_FREE before inserting socket into hashtable (Guillaume Nault) [RHEL-22186]
- ice: fix Get link status data length (Petr Oros) [RHEL-19740]
- ice: Shut down VSI with "link-down-on-close" enabled (Petr Oros) [RHEL-19740]
- ice: Fix link_down_on_close message (Petr Oros) [RHEL-19740]
- ice: Fix some null pointer dereference issues in ice_ptp.c (Petr Oros) [RHEL-19740]
- ice: fix theoretical out-of-bounds access in ethtool link modes (Petr Oros) [RHEL-19740]
- ice: Restore fix disabling RX VLAN filtering (Petr Oros) [RHEL-19740]
- ice: change vfs.num_msix_per to vf->num_msix (Petr Oros) [RHEL-19740]
- ice: restore timestamp configuration after device reset (Petr Oros) [RHEL-19740]
- ice: unify logic for programming PFINT_TSYN_MSK (Petr Oros) [RHEL-19740]
- ice: remove ptp_tx ring parameter flag (Petr Oros) [RHEL-19740]
- ice: fix DDP package download for packages without signature segment (Petr Oros) [RHEL-19740]
- ice: dpll: fix output pin capabilities (Petr Oros) [RHEL-19740]
- ice: dpll: fix check for dpll input priority range (Petr Oros) [RHEL-19740]
- ice: dpll: fix initial lock status of dpll (Petr Oros) [RHEL-19740]
- ice: Hook up 4 E830 devices by adding their IDs (Petr Oros) [RHEL-19740]
- ice: Remove redundant zeroing of the fields. (Petr Oros) [RHEL-19740]
- ice: Add support for E830 DDP package segment (Petr Oros) [RHEL-19740]
- ice: Add ice_get_link_status_datalen (Petr Oros) [RHEL-19740]
- ice: Add 200G speed/phy type use (Petr Oros) [RHEL-19740]
- ice: Add E830 device IDs, MAC type and registers (Petr Oros) [RHEL-19740]
- ice: cleanup ice_find_netlist_node (Petr Oros) [RHEL-19740]
- ice: make ice_get_pf_c827_idx static (Petr Oros) [RHEL-19740]
- ice: manage VFs MSI-X using resource tracking (Petr Oros) [RHEL-19740]
- ice: set MSI-X vector count on VF (Petr Oros) [RHEL-19740]
- ice: add bitmap to track VF MSI-X usage (Petr Oros) [RHEL-19740]
- ice: implement num_msix field per VF (Petr Oros) [RHEL-19740]
- ice: store VF's pci_dev ptr in ice_vf (Petr Oros) [RHEL-19740]
- ice: add drop rule matching on not active lport (Petr Oros) [RHEL-19740]
- ice: remove unused ice_flow_entry fields (Petr Oros) [RHEL-19740]
- ice: Refactor finding advertised link speed (Petr Oros) [RHEL-19740]
- ice: fix linking when CONFIG_PTP_1588_CLOCK=n (Petr Oros) [RHEL-19740]
- ice: Remove the FW shared parameters (Petr Oros) [RHEL-19740]
- ice: PTP: add clock domain number to auxiliary interface (Petr Oros) [RHEL-19740]
- ice: Use PTP auxbus for all PHYs restart in E822 (Petr Oros) [RHEL-19740]
- ice: Auxbus devices & driver for E822 TS (Petr Oros) [RHEL-19740]
- ice: check netlist before enabling ICE_F_GNSS (Petr Oros) [RHEL-19740]
- ice: introduce ice_pf_src_tmr_owned (Petr Oros) [RHEL-19740]
- ice: fix pin assignment for E810-T without SMA control (Petr Oros) [RHEL-19740]
- ice: remove ICE_F_PTP_EXTTS feature flag (Petr Oros) [RHEL-19740]
- ice: PTP: move quad value check inside ice_fill_phy_msg_e822 (Petr Oros) [RHEL-19740]
- ice: PTP: Rename macros used for PHY/QUAD port definitions (Petr Oros) [RHEL-19740]
- ice: PTP: Clean up timestamp registers correctly (Petr Oros) [RHEL-19740]
- ice: introduce hw->phy_model for handling PTP PHY differences (Petr Oros) [RHEL-19740]
- ice: Support cross-timestamping for E823 devices (Petr Oros) [RHEL-19740]
- ice: retry acquiring hardware semaphore during cross-timestamp request (Petr Oros) [RHEL-19740]
- ice: prefix clock timer command enumeration values with ICE_PTP (Petr Oros) [RHEL-19740]
- s390/dasd: protect device queue against concurrent access (Tobias Huschle) [RHEL-22162]
- Enable CONFIG_MARVELL_88Q2XXX_PHY (Izabela Bakollari) [RHEL-946]
- net: phy: bcm7xxx: Add missing 16nm EPHY statistics (Izabela Bakollari) [RHEL-946]
- net: phylink: add phylink_limit_mac_speed() (Izabela Bakollari) [RHEL-946]
- gpiolib: provide and use gpiod_line_state_notify() (Izabela Bakollari) [RHEL-946]
- dp83640: Use list_for_each_entry() helper (Izabela Bakollari) [RHEL-946]
- gpiolib: add a second blocking notifier to struct gpio_device (Izabela Bakollari) [RHEL-946]
- gpiolib: rename the gpio_device notifier (Izabela Bakollari) [RHEL-946]
- net: phy: mediatek-ge-soc: support PHY LEDs (Izabela Bakollari) [RHEL-946]
- net: phy: Introduce PSGMII PHY interface mode (Izabela Bakollari) [RHEL-946]
- net: phy: Remove two unused function declarations (Izabela Bakollari) [RHEL-946]
- net: phy: move marking PHY on SFP module into SFP code (Izabela Bakollari) [RHEL-946]
- net: phy: provide phylib stubs for hardware timestamping operations (Izabela Bakollari) [RHEL-946]
- net: transfer rtnl_lock() requirement from ethtool_set_ethtool_phy_ops() to caller (Izabela Bakollari) [RHEL-946]
- net: phy: nxp-c45-tja11xx: reset PCS if the link goes down (Izabela Bakollari) [RHEL-946]
- net: phy: nxp-c45-tja11xx: read ext trig ts on TJA1120 (Izabela Bakollari) [RHEL-946]
- net: phy: nxp-c45-tja11xx: run cable test with the PHY in test mode (Izabela Bakollari) [RHEL-946]
- net: phy: nxp-c45-tja11xx: handle FUSA irq (Izabela Bakollari) [RHEL-946]
- net: phy: nxp-c45-tja11xx: read egress ts on TJA1120 (Izabela Bakollari) [RHEL-946]
- net: phy: nxp-c45-tja11xx: enable LTC sampling on both ext_ts edges (Izabela Bakollari) [RHEL-946]
- net: phy: nxp-c45-tja11xx: add TJA1120 support (Izabela Bakollari) [RHEL-946]
- net: phy: nxp-c45-tja11xx: use get_features (Izabela Bakollari) [RHEL-946]
- net: phy: nxp-c45-tja11xx: prepare the ground for TJA1120 (Izabela Bakollari) [RHEL-946]
- net: phy: nxp-c45-tja11xx: remove RX BIST frame counters (Izabela Bakollari) [RHEL-946]
- net: phy: nxp-c45-tja11xx: use phylib master/slave implementation (Izabela Bakollari) [RHEL-946]
- net: phy: smsc: add WoL support to LAN8740/LAN8742 PHYs (Izabela Bakollari) [RHEL-946]
- net: mdio_bus: validate "addr" for mdiobus_is_registered_device() (Izabela Bakollari) [RHEL-946]
- net: phylink: explicitly invalidate link_state members in mac_config (Izabela Bakollari) [RHEL-946]
- net: phylink: strip out pre-March 2020 legacy code (Izabela Bakollari) [RHEL-946]
- net: phy: motorcomm: Add pad drive strength cfg support (Izabela Bakollari) [RHEL-946]
- net: phy: marvell-88q2xxx: add driver for the Marvell 88Q2110 PHY (Izabela Bakollari) [RHEL-946]
- net: phy: c45: detect the BASE-T1 speed from the ability register (Izabela Bakollari) [RHEL-946]
- net: phy: c45: add a separate function to read BASE-T1 abilities (Izabela Bakollari) [RHEL-946]
- net: phy: c45: add support for 1000BASE-T1 forced setup (Izabela Bakollari) [RHEL-946]
- net: phy: add registers to support 1000BASE-T1 (Izabela Bakollari) [RHEL-946]
- net: phylink: remove legacy mac_an_restart() method (Izabela Bakollari) [RHEL-946]
- net: phy: mdio-bcm-unimac: Add asp v2.0 support (Izabela Bakollari) [RHEL-946]
- net: phy: bcm7xxx: Add EPHY entry for 74165 (Izabela Bakollari) [RHEL-946]
- net: mdio: add unlocked mdiobus and mdiodev bus accessors (Izabela Bakollari) [RHEL-946]
- net: phylink: add support for PCS link change notifications (Izabela Bakollari) [RHEL-946]
- net: phylink: add pcs_pre_config()/pcs_post_config() methods (Izabela Bakollari) [RHEL-946]
- net: phylink: add pcs_enable()/pcs_disable() methods (Izabela Bakollari) [RHEL-946]
- gpiolib: order includes alphabetically in gpiolib.h (Izabela Bakollari) [RHEL-946]
- gpio: mmio: handle "ngpios" properly in bgpio_init() (Izabela Bakollari) [RHEL-946]
- gpiolib: add missing include (Izabela Bakollari) [RHEL-946]
- idpf: avoid compiler introduced padding in virtchnl2_rss_key struct (Michal Schmidt) [RHEL-21928]
- idpf: fix corrupted frames and skb leaks in singleq mode (Michal Schmidt) [RHEL-21928]
- scsi: lpfc: Copyright updates for 14.2.0.16 patches (Dick Kennedy) [RHEL-17579]
- scsi: lpfc: Update lpfc version to 14.2.0.16 (Dick Kennedy) [RHEL-17579]
- scsi: lpfc: Enhance driver logging for selected discovery events (Dick Kennedy) [RHEL-17579]
- scsi: lpfc: Refactor and clean up mailbox command memory free (Dick Kennedy) [RHEL-17579]
- scsi: lpfc: Return early in lpfc_poll_eratt() when the driver is unloading (Dick Kennedy) [RHEL-17579]
- scsi: lpfc: Eliminate unnecessary relocking in lpfc_check_nlp_post_devloss() (Dick Kennedy) [RHEL-17579]
- scsi: lpfc: Fix list_entry null check warning in lpfc_cmpl_els_plogi() (Dick Kennedy) [RHEL-17579]
- scsi: lpfc: Fix possible file string name overflow when updating firmware (Dick Kennedy) [RHEL-17579]
- scsi: lpfc: Correct maximum PCI function value for RAS fw logging (Dick Kennedy) [RHEL-17579]
- scsi: lpfc: Update lpfc version to 14.2.0.15 (Dick Kennedy) [RHEL-17579]
- scsi: lpfc: Introduce LOG_NODE_VERBOSE messaging flag (Dick Kennedy) [RHEL-17579]
- scsi: lpfc: Validate ELS LS_ACC completion payload (Dick Kennedy) [RHEL-17579]
- scsi: lpfc: Reject received PRLIs with only initiator fcn role for NPIV ports (Dick Kennedy) [RHEL-17579]
- scsi: lpfc: Treat IOERR_SLI_DOWN I/O completion status the same as pci offline (Dick Kennedy) [RHEL-17579]
- scsi: lpfc: Remove unnecessary zero return code assignment in lpfc_sli4_hba_setup (Dick Kennedy) [RHEL-17579]
- scsi: lpfc: Early return after marking final NLP_DROPPED flag in dev_loss_tmo (Dick Kennedy) [RHEL-17579]
- scsi: lpfc: Fix the NULL vs IS_ERR() bug for debugfs_create_file() (Dick Kennedy) [RHEL-17579]
- vmstat: allow_direct_reclaim should use zone_page_state_snapshot (Marcelo Tosatti) [RHEL-21922]
Resolves: RHEL-17579, RHEL-17581, RHEL-19574, RHEL-19700, RHEL-19740, RHEL-21099, RHEL-21711, RHEL-21753, RHEL-21922, RHEL-21928, RHEL-22162, RHEL-22186, RHEL-4625, RHEL-7953, RHEL-8487, RHEL-946, RHEL-9039

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2024-01-24 13:13:19 -05:00
Scott Weaver
7ac2fc69ea kernel-5.14.0-411.el9
* Mon Jan 22 2024 Scott Weaver <scweaver@redhat.com> [5.14.0-411.el9]
- mmc: rtsx: add rts5264 to support sd express card (Prarit Bhargava) [RHEL-17013]
- misc: rtsx: add to support new card reader rts5264 (Prarit Bhargava) [RHEL-17013]
- misc: rtsx: add to support new card reader rts5264 new definition and function (Prarit Bhargava) [RHEL-17013]
- misc: Add PCR_SETTING_REG4 and PCR_SETTING_REG5 (Prarit Bhargava) [RHEL-17013]
- tools/build: Fix -s detection code in tools/scripts/Makefile.include (Radu Rendec) [RHEL-21819]
- tools/build: Fix -s detection code in tools/build/Makefile.build (Radu Rendec) [RHEL-21819]
- kbuild: refactor silent mode detection (Radu Rendec) [RHEL-21819]
- kbuild: Port silent mode detection to future gnu make. (Radu Rendec) [RHEL-21819]
- efi/unaccepted: Fix off-by-one when checking for overlapping ranges (Vitaly Kuznetsov) [RHEL-19178]
- x86/traps: Fix load_unaligned_zeropad() handling for shared TDX memory (Vitaly Kuznetsov) [RHEL-19178]
- x86/tdx: Fix __noreturn build warning around __tdx_hypercall_failed() (Vitaly Kuznetsov) [RHEL-19178]
- x86/tdx: Replace deprecated strncpy() with strtomem_pad() (Vitaly Kuznetsov) [RHEL-19178]
- x86/tdx: Remove 'struct tdx_hypercall_args' (Vitaly Kuznetsov) [RHEL-19178]
- x86/tdx: Reimplement __tdx_hypercall() using TDX_MODULE_CALL asm (Vitaly Kuznetsov) [RHEL-19178]
- x86/tdx: Make TDX_HYPERCALL asm similar to TDX_MODULE_CALL (Vitaly Kuznetsov) [RHEL-19178]
- x86/tdx: Extend TDX_MODULE_CALL to support more TDCALL/SEAMCALL leafs (Vitaly Kuznetsov) [RHEL-19178]
- x86/tdx: Pass TDCALL/SEAMCALL input/output registers via a structure (Vitaly Kuznetsov) [RHEL-19178]
- x86/tdx: Rename __tdx_module_call() to __tdcall() (Vitaly Kuznetsov) [RHEL-19178]
- x86/tdx: Make macros of TDCALLs consistent with the spec (Vitaly Kuznetsov) [RHEL-19178]
- x86/tdx: Skip saving output regs when SEAMCALL fails with VMFailInvalid (Vitaly Kuznetsov) [RHEL-19178]
- x86/tdx: Zero out the missing RSI in TDX_HYPERCALL macro (Vitaly Kuznetsov) [RHEL-19178]
- x86/tdx: Retry partially-completed page conversion hypercalls (Vitaly Kuznetsov) [RHEL-19178]
- x86/kvm: Do not try to disable kvmclock if it was not enabled (Vitaly Kuznetsov) [RHEL-19178]
- x86/tdx: Mark TSC reliable (Vitaly Kuznetsov) [RHEL-19178]
- x86/tdx: Allow 32-bit emulation by default (Vitaly Kuznetsov) [RHEL-19178]
- x86/entry: Do not allow external 0x80 interrupts (Vitaly Kuznetsov) [RHEL-19178]
- x86/entry: Convert INT 0x80 emulation to IDTENTRY (Vitaly Kuznetsov) [RHEL-19178]
- x86/coco: Disable 32-bit emulation by default on TDX and SEV (Vitaly Kuznetsov) [RHEL-19178]
- x86: Make IA32_EMULATION boot time configurable (Vitaly Kuznetsov) [RHEL-19178]
- x86/entry: Make IA32 syscalls' availability depend on ia32_enabled() (Vitaly Kuznetsov) [RHEL-19178]
- x86/elf: Make loading of 32bit processes depend on ia32_enabled() (Vitaly Kuznetsov) [RHEL-19178]
- x86/entry: Compile entry_SYSCALL32_ignore() unconditionally (Vitaly Kuznetsov) [RHEL-19178]
- x86/entry: Rename ignore_sysret() (Vitaly Kuznetsov) [RHEL-19178]
- x86: Introduce ia32_enabled() (Vitaly Kuznetsov) [RHEL-19178]
- lockdep: Fix block chain corruption (Joel Savitz) [RHEL-5226]
- futex: Don't include process MM in futex key on no-MMU (Joel Savitz) [RHEL-5226]
- locking/rtmutex: Fix task->pi_waiters integrity (Joel Savitz) [RHEL-5226]
- debugobjects,locking: Annotate debug_object_fill_pool() wait type violation (Joel Savitz) [RHEL-5226]
- debugobject: Ensure pool refill (again) (Joel Savitz) [RHEL-5226]
- debugobject: Prevent init race with static objects (Joel Savitz) [RHEL-5226]
- debugobjects: Print object pointer in debug_print_object() (Joel Savitz) [RHEL-5226]
- lib/debugobjects: fix stat count and optimize debug_objects_mem_init (Joel Savitz) [RHEL-5226]
- debugobjects: Convert to SPDX license identifier (Joel Savitz) [RHEL-5226]
- locking/rwsem: Add __always_inline annotation to __down_read_common() and inlined callers (Joel Savitz) [RHEL-5226]
- locktorture: Add raw_spinlock* torture tests for PREEMPT_RT kernels (Joel Savitz) [RHEL-5226]
- locktorture: With nested locks, occasionally skip main lock (Joel Savitz) [RHEL-5226]
- locktorture: Add nested locking to rtmutex torture tests (Joel Savitz) [RHEL-5226]
- locktorture: Add nested locking to mutex torture tests (Joel Savitz) [RHEL-5226]
- locktorture: Add nested_[un]lock() hooks and nlocks parameter (Joel Savitz) [RHEL-5226]
- locktorture: Make the rt_boost factor a tunable (Joel Savitz) [RHEL-5226]
- locktorture: Allow non-rtmutex lock types to be boosted (Joel Savitz) [RHEL-5226]
- locking/qspinlock: Micro-optimize pending state waiting for unlock (Joel Savitz) [RHEL-5226]
- kmsan: allow using __msan_instrument_asm_store() inside runtime (Joel Savitz) [RHEL-5226]
- lockdep: allow instrumenting lockdep.c with KMSAN (Joel Savitz) [RHEL-5226]
- tipc: Fix kernel-infoleak due to uninitialized TLV value (Xin Long) [RHEL-21488]
- tipc: Change nla_policy for bearer-related names to NLA_NUL_STRING (Xin Long) [RHEL-21488]
- tipc: Use size_add() in calls to struct_size() (Xin Long) [RHEL-21488]
- io_uring/af_unix: disable sending io_uring over sockets (Felix Maurer) [RHEL-18161 RHEL-18162] {CVE-2023-6531}
- net: page_pool: add missing free_percpu when page_pool_init fail (Felix Maurer) [RHEL-15913]
- xdp: Fix zero-size allocation warning in xskq_create() (Felix Maurer) [RHEL-15913]
- bpf, sockmap: Reject sk_msg egress redirects to non-TCP sockets (Felix Maurer) [RHEL-15913]
- bpf: reject unhashed sockets in bpf_sk_assign (Felix Maurer) [RHEL-15913]
- bpf, sockmap: Fix map type error in sock_map_del_link (Felix Maurer) [RHEL-15913]
- net: core: remove unnecessary frame_sz check in bpf_xdp_adjust_tail() (Felix Maurer) [RHEL-15913]
- cpu/hotplug: Increase the number of dynamic states (David Arcari) [RHEL-21944]
- net: add reserved fields to nh_group (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to fib_info (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to fib6_info (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to genl_small_ops (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to devlink_port (Sabrina Dubroca) [RHEL-21356]
- net: exclude BPF/XDP from kABI (Sabrina Dubroca) [RHEL-21356]
- net: exclude TC from kABI (Sabrina Dubroca) [RHEL-21356]
- net: exclude skb_ext from kABI (Sabrina Dubroca) [RHEL-21356]
- net: exclude wireless_dev from kABI (Sabrina Dubroca) [RHEL-21356]
- net: exclude sock_reuseport from kABI (Sabrina Dubroca) [RHEL-21356]
- net: exclude ndisc_ops from kABI (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to udp tunnel infrastructure (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to fib_table (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to socket_wq (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to netlink_ext_ack (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to xfrm_state_walk and xfrm_state_offload (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to rtnl_link_stats* (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to cipher_context (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to tls_crypto_context (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to ipv4_devconf (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to sk_buff using custom code (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to nla_policy (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to proto (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to sock (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to neighbour (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to lwtunnel_state (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to genl_family (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to dst_entry (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to dst_ops (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to flowi_common, flowi4, flowi6 (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to fib_rule (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to packet_type (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to netdev_rx_queue (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to netdev_queue (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to header_ops (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to ipv6_devconf (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to proto_ops (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to genl_ops (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to napi_struct (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to dcbnl_rtnl_ops (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to macsec_ops (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to l3mdev_ops (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to tlsdev_ops (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to xfrmdev_ops (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to struct rtnl_link_ops (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to struct ethtool_ops (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to struct net_device_ops (Sabrina Dubroca) [RHEL-21356]
- net: add reserved fields to struct net_device (Sabrina Dubroca) [RHEL-21356]
- redhat: kABI: add missing RH_KABI_SIZE_ALIGN_CHECKS Kconfig option (Sabrina Dubroca) [RHEL-21356]
- redhat: rh_kabi: introduce RH_KABI_EXCLUDE_WITH_SIZE (Sabrina Dubroca) [RHEL-21356]
- redhat: rh_kabi: move semicolon inside __RH_KABI_CHECK_SIZE (Sabrina Dubroca) [RHEL-21356]
Resolves: RHEL-15913, RHEL-17013, RHEL-18161, RHEL-18162, RHEL-19178, RHEL-21356, RHEL-21488, RHEL-21819, RHEL-21944, RHEL-5226

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2024-01-22 12:21:28 -05:00
Scott Weaver
ae69c0251a kernel-5.14.0-407.el9
* Wed Jan 10 2024 Scott Weaver <scweaver@redhat.com> [5.14.0-407.el9]
- net: bridge: use DEV_STATS_INC() (Ivan Vecera) [RHEL-862]
- net: Fix unwanted sign extension in netdev_stats_to_stats64() (Ivan Vecera) [RHEL-862]
- net: add atomic_long_t to net_device_stats fields (Ivan Vecera) [RHEL-862]
- selftests: forwarding: Add test case for traffic redirection from a locked port (Ivan Vecera) [RHEL-862]
- net: switchdev: Remove unused declaration switchdev_port_fwd_mark_set() (Ivan Vecera) [RHEL-862]
- net: switchdev: Remove unused typedef switchdev_obj_dump_cb_t() (Ivan Vecera) [RHEL-862]
- selftests: router_bridge_1d_lag: Add a new selftest (Ivan Vecera) [RHEL-862]
- selftests: router_bridge_lag: Add a new selftest (Ivan Vecera) [RHEL-862]
- selftests: router_bridge_vlan_upper: Add a new selftest (Ivan Vecera) [RHEL-862]
- selftests: router_bridge_1d: Add a new selftest (Ivan Vecera) [RHEL-862]
- selftests: router_bridge: Add remastering tests (Ivan Vecera) [RHEL-862]
- bridge: Remove unused declaration br_multicast_set_hash_max() (Ivan Vecera) [RHEL-862]
- net: switchdev: Add a helper to replay objects on a bridge port (Ivan Vecera) [RHEL-862]
- net: bridge: br_switchdev: Tolerate -EOPNOTSUPP when replaying MDB (Ivan Vecera) [RHEL-862]
- selftests: router_bridge_pvid_vlan_upper: Add a new selftest (Ivan Vecera) [RHEL-862]
- selftests: router_bridge_vlan_upper_pvid: Add a new selftest (Ivan Vecera) [RHEL-862]
- selftests: router_bridge_vlan: Add PVID change test (Ivan Vecera) [RHEL-862]
- selftests: router_bridge: Add tests to remove and add PVID (Ivan Vecera) [RHEL-862]
- selftests: forwarding: lib: Add ping6_, ping_test_fails() (Ivan Vecera) [RHEL-862]
- net: bridge: keep ports without IFF_UNICAST_FLT in BR_PROMISC mode (Ivan Vecera) [RHEL-862]
- selftests: forwarding: router_bridge: Use port MAC for bridge address (Ivan Vecera) [RHEL-862]
- selftests: forwarding: mirror_gre_*: Use port MAC for bridge address (Ivan Vecera) [RHEL-862]
- selftests: forwarding: mirror_gre_*: Disable IPv6 autogen on bridges (Ivan Vecera) [RHEL-862]
- selftests: forwarding: pedit_dsfield: Disable IPv6 autogen on a bridge (Ivan Vecera) [RHEL-862]
- selftests: forwarding: skbedit_priority: Disable IPv6 autogen on a bridge (Ivan Vecera) [RHEL-862]
- selftests: forwarding: dual_vxlan_bridge: Disable IPv6 autogen on bridges (Ivan Vecera) [RHEL-862]
- selftests: forwarding: q_in_vni: Disable IPv6 autogen on bridges (Ivan Vecera) [RHEL-862]
- selftests: router_bridge_vlan: Set vlan_default_pvid 0 on the bridge (Ivan Vecera) [RHEL-862]
- selftests: router_bridge_vlan: Add a diagram (Ivan Vecera) [RHEL-862]
- bridge: always declare tunnel functions (Ivan Vecera) [RHEL-862]
- selftests: net: Add bridge neighbor suppression test (Ivan Vecera) [RHEL-862]
- bridge: Allow setting per-{Port, VLAN} neighbor suppression state (Ivan Vecera) [RHEL-862]
- bridge: vlan: Allow setting VLAN neighbor suppression state (Ivan Vecera) [RHEL-862]
- bridge: Add per-{Port, VLAN} neighbor suppression data path support (Ivan Vecera) [RHEL-862]
- bridge: Encapsulate data path neighbor suppression logic (Ivan Vecera) [RHEL-862]
- bridge: Take per-{Port, VLAN} neighbor suppression into account (Ivan Vecera) [RHEL-862]
- bridge: Add internal flags for per-{Port, VLAN} neighbor suppression (Ivan Vecera) [RHEL-862]
- bridge: Pass VLAN ID to br_flood() (Ivan Vecera) [RHEL-862]
- bridge: Reorder neighbor suppression check when flooding (Ivan Vecera) [RHEL-862]
- rtnetlink: bridge: mcast: Relax group address validation in common code (Ivan Vecera) [RHEL-862]
- rtnetlink: bridge: mcast: Move MDB handlers out of bridge driver (Ivan Vecera) [RHEL-862]
- bridge: mcast: Implement MDB net device operations (Ivan Vecera) [RHEL-862]
- net: Add MDB net device operations (Ivan Vecera) [RHEL-862]
- docs: net: add an explanation of VF (and other) Representors (Ivan Vecera) [RHEL-862]
- efi/x86: Fix the missing KASLR_FLAG bit in boot_params->hdr.loadflags (Lenny Szubowicz) [RHEL-15513]
- efi/x86: Avoid physical KASLR on older Dell systems (Lenny Szubowicz) [RHEL-15513]
- x86/boot: efistub: Assign global boot_params variable (Lenny Szubowicz) [RHEL-15513]
- x86/boot: Rename conflicting 'boot_params' pointer to 'boot_params_ptr' (Lenny Szubowicz) [RHEL-15513]
- x86/efistub: Don't try to print after ExitBootService() (Lenny Szubowicz) [RHEL-15513]
- x86/boot: Increase section and file alignment to 4k/512 (Lenny Szubowicz) [RHEL-15513]
- x86/boot: Split off PE/COFF .data section (Lenny Szubowicz) [RHEL-15513]
- x86/boot: Drop PE/COFF .reloc section (Lenny Szubowicz) [RHEL-15513]
- x86/boot: Construct PE/COFF .text section from assembler (Lenny Szubowicz) [RHEL-15513]
- x86/boot: Derive file size from _edata symbol (Lenny Szubowicz) [RHEL-15513]
- x86/boot: Define setup size in linker script (Lenny Szubowicz) [RHEL-15513]
- x86/boot: Set EFI handover offset directly in header asm (Lenny Szubowicz) [RHEL-15513]
- x86/boot: Grab kernel_info offset from zoffset header directly (Lenny Szubowicz) [RHEL-15513]
- x86/boot: Drop references to startup_64 (Lenny Szubowicz) [RHEL-15513]
- x86/boot: Drop redundant code setting the root device (Lenny Szubowicz) [RHEL-15513]
- x86/boot: Omit compression buffer from PE/COFF image memory footprint (Lenny Szubowicz) [RHEL-15513]
- x86/boot: Remove the 'bugger off' message (Lenny Szubowicz) [RHEL-15513]
- x86/efi: Drop alignment flags from PE section headers (Lenny Szubowicz) [RHEL-15513]
- x86/efi: Disregard setup header of loaded image (Lenny Szubowicz) [RHEL-15513]
- x86/boot/compressed: Reserve more memory for page tables (Lenny Szubowicz) [RHEL-15513]
- x86/efi: Drop EFI stub .bss from .data section (Lenny Szubowicz) [RHEL-15513]
- x86/efistub: Avoid legacy decompressor when doing EFI boot (Lenny Szubowicz) [RHEL-15513]
- x86/efistub: Perform SNP feature test while running in the firmware (Lenny Szubowicz) [RHEL-15513]
- efi/libstub: Add limit argument to efi_random_alloc() (Lenny Szubowicz) [RHEL-15513]
- x86/decompressor: Factor out kernel decompression and relocation (Lenny Szubowicz) [RHEL-15513]
- x86/decompressor: Move global symbol references to C code (Lenny Szubowicz) [RHEL-15513]
- decompress: Use 8 byte alignment (Lenny Szubowicz) [RHEL-15513]
- x86/efistub: Prefer EFI memory attributes protocol over DXE services (Lenny Szubowicz) [RHEL-15513]
- x86/efistub: Perform 4/5 level paging switch from the stub (Lenny Szubowicz) [RHEL-15513]
- x86/decompressor: Merge trampoline cleanup with switching code (Lenny Szubowicz) [RHEL-15513]
- x86/decompressor: Pass pgtable address to trampoline directly (Lenny Szubowicz) [RHEL-15513]
- x86/decompressor: Only call the trampoline when changing paging levels (Lenny Szubowicz) [RHEL-15513]
- x86/decompressor: Call trampoline directly from C code (Lenny Szubowicz) [RHEL-15513]
- x86/decompressor: Avoid the need for a stack in the 32-bit trampoline (Lenny Szubowicz) [RHEL-15513]
- x86/decompressor: Use standard calling convention for trampoline (Lenny Szubowicz) [RHEL-15513]
- x86/decompressor: Call trampoline as a normal function (Lenny Szubowicz) [RHEL-15513]
- x86/decompressor: Assign paging related global variables earlier (Lenny Szubowicz) [RHEL-15513]
- x86/decompressor: Store boot_params pointer in callee save register (Lenny Szubowicz) [RHEL-15513]
- x86/efistub: Clear BSS in EFI handover protocol entrypoint (Lenny Szubowicz) [RHEL-15513]
- x86/decompressor: Avoid magic offsets for EFI handover entrypoint (Lenny Szubowicz) [RHEL-15513]
- x86/efistub: Simplify and clean up handover entry code (Lenny Szubowicz) [RHEL-15513]
- docs: move x86 documentation into Documentation/arch/ (Lenny Szubowicz) [RHEL-15513]
- x86/efistub: Branch straight to kernel entry point from C code (Lenny Szubowicz) [RHEL-15513]
- x86/head_64: Store boot_params pointer in callee save register (Lenny Szubowicz) [RHEL-15513]
- x86/decompressor: Don't rely on upper 32 bits of GPRs being preserved (Lenny Szubowicz) [RHEL-15513]
- x86/head/64: Switch to KERNEL_CS as soon as new GDT is installed (Lenny Szubowicz) [RHEL-15513]
- x86/boot: Robustify calling startup_{32,64}() from the decompressor code (Lenny Szubowicz) [RHEL-15513]
- x86/efi: Make the deprecated EFI handover protocol optional (Lenny Szubowicz) [RHEL-15513]
- RHEL: Enable CONFIG_EFI_HANDOVER_PROTOCOL (Lenny Szubowicz) [RHEL-15513]
- x86/boot/compressed: Only build mem_encrypt.S if AMD_MEM_ENCRYPT=y (Lenny Szubowicz) [RHEL-15513]
- x86/boot/compressed: Adhere to calling convention in get_sev_encryption_bit() (Lenny Szubowicz) [RHEL-15513]
- x86/boot/compressed: Move startup32_check_sev_cbit() out of head_64.S (Lenny Szubowicz) [RHEL-15513]
- x86/boot/compressed: Move startup32_check_sev_cbit() into .text (Lenny Szubowicz) [RHEL-15513]
- x86/boot/compressed: Move startup32_load_idt() out of head_64.S (Lenny Szubowicz) [RHEL-15513]
- x86/boot/compressed: Move startup32_load_idt() into .text section (Lenny Szubowicz) [RHEL-15513]
- x86/boot/compressed: Pull global variable reference into startup32_load_idt() (Lenny Szubowicz) [RHEL-15513]
- x86/boot/compressed: Avoid touching ECX in startup32_set_idt_entry() (Lenny Szubowicz) [RHEL-15513]
- x86/boot/compressed: Simplify IDT/GDT preserve/restore in the EFI thunk (Lenny Szubowicz) [RHEL-15513]
- x86/boot/compressed, efi: Merge multiple definitions of image_offset into one (Lenny Szubowicz) [RHEL-15513]
- x86/boot/compressed: Move efi32_pe_entry() out of head_64.S (Lenny Szubowicz) [RHEL-15513]
- x86/boot/compressed: Move efi32_entry out of head_64.S (Lenny Szubowicz) [RHEL-15513]
- x86/boot/compressed: Move efi32_pe_entry into .text section (Lenny Szubowicz) [RHEL-15513]
- x86/boot/compressed: Move bootargs parsing out of 32-bit startup code (Lenny Szubowicz) [RHEL-15513]
- x86/boot/compressed: Move 32-bit entrypoint code into .text section (Lenny Szubowicz) [RHEL-15513]
- x86/boot/compressed: Rename efi_thunk_64.S to efi-mixed.S (Lenny Szubowicz) [RHEL-15513]
- efi: x86: Wipe setup_data on pure EFI boot (Lenny Szubowicz) [RHEL-15513]
- efi: x86: Fix config name for setting the NX-compatibility flag in the PE header (Lenny Szubowicz) [RHEL-15513]
- efi: x86: Set the NX-compatibility flag in the PE header (Lenny Szubowicz) [RHEL-15513]
- cxl/port: Fix cxl_test register enumeration regression (John W. Linville) [RHEL-10040]
- cxl/port: Fix @host confusion in cxl_dport_setup_regs() (John W. Linville) [RHEL-10040]
- cxl/core/regs: Rename @dev to @host in struct cxl_register_map (John W. Linville) [RHEL-10040]
- cxl/port: Store the downstream port's Component Register mappings in struct cxl_dport (John W. Linville) [RHEL-10040]
- cxl/port: Store the port's Component Register mappings in struct cxl_port (John W. Linville) [RHEL-10040]
- cxl/pci: Early setup RCH dport component registers from RCRB (John W. Linville) [RHEL-10040]
- cxl/mem: Prepare for early RCH dport component register setup (John W. Linville) [RHEL-10040]
- cxl/regs: Remove early capability checks in Component Register setup (John W. Linville) [RHEL-10040]
- cxl/port: Remove Component Register base address from struct cxl_dport (John W. Linville) [RHEL-10040]
- cxl/acpi: Directly bind the CEDT detected CHBCR to the Host Bridge's port (John W. Linville) [RHEL-10040]
- cxl/acpi: Move add_host_bridge_uport() after cxl_get_chbs() (John W. Linville) [RHEL-10040]
- cxl/pci: Refactor component register discovery for reuse (John W. Linville) [RHEL-10040]
- cxl: Rename 'uport' to 'uport_dev' (John W. Linville) [RHEL-10040]
- cxl: Rename member @dport of struct cxl_dport to @dport_dev (John W. Linville) [RHEL-10040]
- cxl/rch: Prepare for caching the MMIO mapped PCIe AER capability (John W. Linville) [RHEL-10040]
- cxl/acpi: Probe RCRB later during RCH downstream port creation (John W. Linville) [RHEL-10040]
- cxl/core/regs: Add @dev to cxl_register_map (John W. Linville) [RHEL-10040]
- cxl/port: Fix NULL pointer access in devm_cxl_add_port() (John W. Linville) [RHEL-10040]
- cxl/acpi: Fix a use-after-free in cxl_parse_cfmws() (John W. Linville) [RHEL-10040]
- net: vmxnet3: Fix NULL pointer dereference in vmxnet3_rq_rx_complete() (Izabela Bakollari) [RHEL-17702]
Resolves: RHEL-10040, RHEL-15513, RHEL-17702, RHEL-862

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2024-01-10 13:30:50 -05:00
Scott Weaver
0981ffc880 kernel-5.14.0-405.el9
* Mon Jan 08 2024 Scott Weaver <scweaver@redhat.com> [5.14.0-405.el9]
- intel_idle: add Sierra Forest SoC support (David Arcari) [RHEL-15563]
- intel_idle: add Grand Ridge SoC support (David Arcari) [RHEL-15563]
- tools/power turbostat: version 2023.11.07 (David Arcari) [RHEL-20029]
- tools/power/turbostat: bugfix "--show IPC" (David Arcari) [RHEL-20029]
- tools/power/turbostat: Add initial support for LunarLake (David Arcari) [RHEL-20029]
- tools/power/turbostat: Add initial support for ArrowLake (David Arcari) [RHEL-20029]
- tools/power/turbostat: Add initial support for GrandRidge (David Arcari) [RHEL-20029]
- tools/power/turbostat: Add initial support for SierraForest (David Arcari) [RHEL-20037]
- tools/power/turbostat: Add initial support for GraniteRapids (David Arcari) [RHEL-20029]
- tools/power/turbostat: Add MSR_CORE_C1_RES support for spr_features (David Arcari) [RHEL-20029]
- tools/power/turbostat: Move process to root cgroup (David Arcari) [RHEL-20029]
- tools/power/turbostat: Handle cgroup v2 cpu limitation (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstrct function for parsing cpu string (David Arcari) [RHEL-20029]
- tools/power/turbostat: Handle offlined CPUs in cpu_subset (David Arcari) [RHEL-20029]
- tools/power/turbostat: Obey allowed CPUs for system summary (David Arcari) [RHEL-20029]
- tools/power/turbostat: Obey allowed CPUs for primary thread/core detection (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract several functions (David Arcari) [RHEL-20029]
- tools/power/turbostat: Obey allowed CPUs during startup (David Arcari) [RHEL-20029]
- tools/power/turbostat: Obey allowed CPUs when accessing CPU counters (David Arcari) [RHEL-20029]
- tools/power/turbostat: Introduce cpu_allowed_set (David Arcari) [RHEL-20029]
- tools/power/turbostat: Remove PC7/PC9 support on ADL/RPL (David Arcari) [RHEL-20029]
- tools/power/turbostat: Enable MSR_CORE_C1_RES on recent Intel client platforms (David Arcari) [RHEL-20029]
- tools/power/turbostat: Introduce probe_pm_features() (David Arcari) [RHEL-20029]
- tools/power/turbostat: Relocate more probing related code (David Arcari) [RHEL-20029]
- tools/power/turbostat: Reorder some functions (David Arcari) [RHEL-20029]
- tools/power/turbostat: Relocate thermal probing code (David Arcari) [RHEL-20029]
- tools/power/turbostat: Relocate lpi probing code (David Arcari) [RHEL-20029]
- tools/power/turbostat: Relocate graphics probing code (David Arcari) [RHEL-20029]
- tools/power/turbostat: Rename rapl probing function (David Arcari) [RHEL-20029]
- tools/power/turbostat: Rename uncore probing function (David Arcari) [RHEL-20029]
- tools/power/turbostat: Relocate pstate probing code (David Arcari) [RHEL-20029]
- tools/power/turbostat: Relocate cstate probing code (David Arcari) [RHEL-20029]
- tools/power/turbostat: Improve probe_platform_features() logic (David Arcari) [RHEL-20029]
- tools/power/turbostat: Delete intel_model_duplicates() (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract cstate prewake bit support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract aperf/mperf multiplier support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract extended cstate MSRs support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract MSR_KNL_CORE_C6_RESIDENCY support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract MSR_ATOM_PKG_C6_RESIDENCY support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract MSR_CC6/MC6_DEMOTION_POLICY_CONFIG support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract MSR_MODULE_C6_RES_MS support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract MSR_CORE_C1_RES support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract IRTL support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Use fine grained IRTL output (David Arcari) [RHEL-20029]
- tools/power/turbostat: Adjust cstate for is_slm()/is_knl()/is_cnl()/is_ehl() models (David Arcari) [RHEL-20029]
- tools/power/turbostat: Adjust cstate for has_c8910_msrs() models (David Arcari) [RHEL-20029]
- tools/power/turbostat: Adjust cstate for is_bdx() models (David Arcari) [RHEL-20029]
- tools/power/turbostat: Adjust cstate for is_skx()/is_icx()/is_spr() models (David Arcari) [RHEL-20029]
- tools/power/turbostat: Adjust cstate for is_dnv() models (David Arcari) [RHEL-20029]
- tools/power/turbostat: Adjust cstate for is_jvl() models (David Arcari) [RHEL-20029]
- tools/power/turbostat: Adjust cstate for has_slv_msrs() models (David Arcari) [RHEL-20029]
- tools/power/turbostat: Adjust cstate for has_snb_msrs() models (David Arcari) [RHEL-20029]
- tools/power/turbostat: Adjust cstate for models with .cst_limit set (David Arcari) [RHEL-20029]
- tools/power/turbostat: Adjust cstate for has_snb_msrs() models (David Arcari) [RHEL-20029]
- tools/power/turbostat: Adjust cstate for models with .has_nhm_msrs set (David Arcari) [RHEL-20029]
- tools/power/turbostat: Add skeleton support for cstate enumeration (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract TSC tweak support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Remove unused family/model parameters for RAPL functions (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract hardcoded TDP value (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract fixed DRAM Energy unit support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract RAPL divisor support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract Per Core RAPL support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract RAPL MSRs support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Simplify the logic for RAPL enumeration (David Arcari) [RHEL-20029]
- tools/power/turbostat: Redefine RAPL macros (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract hardcoded Crystal Clock frequency (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract Automatic Cstate Conversion support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract Perf Limit Reasons MSRs support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract TCC Offset bits support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract Config TDP MSRs support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Rename some TRL functions (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract Turbo Ratio Limit MSRs support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Rename some functions (David Arcari) [RHEL-20029]
- tools/power/turbostat: Remove a redundant check (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract Nehalem MSRs support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract Package cstate limit decoding support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract BCLK frequency support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract MSR_MISC_PWR_MGMT support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Abstract MSR_MISC_FEATURE_CONTROL support (David Arcari) [RHEL-20029]
- tools/power/turbostat: Add skeleton support for table driven feature enumeration (David Arcari) [RHEL-20029]
- tools/power/turbostat: Remove pseudo check for two models (David Arcari) [RHEL-20029]
- tools/power/turbostat: Remove redundant duplicates (David Arcari) [RHEL-20029]
- tools/power/turbostat: Replace raw value cpu model with Macro (David Arcari) [RHEL-20029]
- tools/power/turbostat: Support alternative graphics sysfs knobs (David Arcari) [RHEL-20029]
- tools/power/turbostat: Enable TCC Offset on more models (David Arcari) [RHEL-20029]
- tools/power/turbostat: Enable the C-state Pre-wake printing (David Arcari) [RHEL-20029]
- tools/power/turbostat: Fix a knl bug (David Arcari) [RHEL-20029]
- tools/power/turbostat: Fix failure with new uncore sysfs (David Arcari) [RHEL-20029]
- x86/cpu: Add model number for Intel Arrow Lake mobile processor (David Arcari) [RHEL-20029]
- x86/cpu: Update Hybrids (David Arcari) [RHEL-20029]
- x86/cpu: Add model number for Intel Arrow Lake processor (David Arcari) [RHEL-20029]
- x86/cpu: Add Lunar Lake M (David Arcari) [RHEL-20029]
- KVM: s390: Add facility 197 to the allow list (Cédric Le Goater) [RHEL-17986]
- powerpc/hv-gpci: Add return value check in affinity_domain_via_partition_show function (Mamatha Inamdar) [RHEL-20258]
- powerpc/pseries/vas: Migration suspend waits for no in-progress open windows (Mamatha Inamdar) [RHEL-20242]
- selftests/powerpc: Add more utility macros (Mamatha Inamdar) [RHEL-17382]
- octeon_ep: explicitly test for firmware ready value (Michal Schmidt) [RHEL-9308]
- octeon_ep: initialise control mbox tasks before using APIs (Michal Schmidt) [RHEL-9308]
- octeon_ep: control net API framework to support offloads (Michal Schmidt) [RHEL-9308]
- octeon_ep: set backpressure watermark for RX queues (Michal Schmidt) [RHEL-9308]
- octeon_ep: Fix error code in probe() (Michal Schmidt) [RHEL-9308]
- octeon_ep: support OCTEON CN98 devices (Michal Schmidt) [RHEL-9308]
- octeon_ep: implement device unload control net API (Michal Schmidt) [RHEL-9308]
- octeon_ep: get max rx packet length from firmware (Michal Schmidt) [RHEL-9308]
- octeon_ep: Solve style issues in control net files (Michal Schmidt) [RHEL-9308]
- octeon_ep: support Octeon CN10K devices (Michal Schmidt) [RHEL-9308]
- octeon_ep: remove atomic variable usage in Tx data path (Michal Schmidt) [RHEL-9308]
- octeon_ep: implement xmit_more in transmit (Michal Schmidt) [RHEL-9308]
- octeon_ep: remove dma sync in trasmit path (Michal Schmidt) [RHEL-9308]
- octeon_ep: add padding for small packets (Michal Schmidt) [RHEL-9308]
- octeon_ep: assert hardware structure sizes (Michal Schmidt) [RHEL-9308]
- octeon_ep: update BQL sent bytes before ringing doorbell (Michal Schmidt) [RHEL-9308]
- octeon_ep: restructured interrupt handlers (Michal Schmidt) [RHEL-9308]
- octeon_ep: support to fetch firmware info (Michal Schmidt) [RHEL-9308]
- octeon_ep: fix tx dma unmap len values in SG (Michal Schmidt) [RHEL-9308]
- octeon_ep: cancel queued works in probe error path (Michal Schmidt) [RHEL-9308]
- octeon_ep: cancel ctrl_mbox_task after intr_poll_task (Michal Schmidt) [RHEL-9308]
- octeon_ep: cancel tx_timeout_task later in remove sequence (Michal Schmidt) [RHEL-9308]
- octeon_ep: fix timeout value for waiting on mbox response (Michal Schmidt) [RHEL-9308]
- octeon_ep: Add control plane host and firmware versions. (Michal Schmidt) [RHEL-9308]
- octeon_ep: initialize mbox mutexes (Michal Schmidt) [RHEL-9308]
- octeon_ep: use vmalloc_array and vcalloc (Michal Schmidt) [RHEL-9308]
- octeon_ep: Add missing check for ioremap (Michal Schmidt) [RHEL-9308]
- octeon_ep: unlock the correct lock on error path (Michal Schmidt) [RHEL-9308]
- octeon_ep: add heartbeat monitor (Michal Schmidt) [RHEL-9308]
- octeon_ep: function id in link info and stats mailbox commands (Michal Schmidt) [RHEL-9308]
- octeon_ep: support asynchronous notifications (Michal Schmidt) [RHEL-9308]
- octeon_ep: include function id in mailbox commands (Michal Schmidt) [RHEL-9308]
- octeon_ep: add separate mailbox command and response queues (Michal Schmidt) [RHEL-9308]
- octeon_ep: control mailbox for multiple PFs (Michal Schmidt) [RHEL-9308]
- octeon_ep: poll for control messages (Michal Schmidt) [RHEL-9308]
- octeon_ep: defer probe if firmware not ready (Michal Schmidt) [RHEL-9308]
- octeon_ep: Drop redundant pci_enable_pcie_error_reporting() (Michal Schmidt) [RHEL-9308]
- octeon_ep: ensure get mac address successfully before eth_hw_addr_set() (Michal Schmidt) [RHEL-9308]
- octeon_ep: fix potential memory leak in octep_device_setup() (Michal Schmidt) [RHEL-9308]
- octeon_ep: ensure octep_get_link_status() successfully before octep_link_up() (Michal Schmidt) [RHEL-9308]
- octeon_ep: delete unnecessary napi rollback under set_queues_err in octep_open() (Michal Schmidt) [RHEL-9308]
- octeon_ep: support Octeon device CNF95N (Michal Schmidt) [RHEL-9308]
- octeon_ep: Remove useless casting value returned by vzalloc to structure (Michal Schmidt) [RHEL-9308]
- octeon_ep: use bitwise AND (Michal Schmidt) [RHEL-9308]
- octeon_ep: Fix irq releasing in the error handling path of octep_request_irqs() (Michal Schmidt) [RHEL-9308]
- octeon_ep: Fix a memory leak in the error handling path of octep_request_irqs() (Michal Schmidt) [RHEL-9308]
- octeon_ep: delete unnecessary NULL check (Michal Schmidt) [RHEL-9308]
- octeon_ep: add missing destroy_workqueue in octep_init_module (Michal Schmidt) [RHEL-9308]
- octeon_ep: Remove custom driver version (Michal Schmidt) [RHEL-9308]
- octeon_ep: fix error return code in octep_probe() (Michal Schmidt) [RHEL-9308]
- octeon_ep: Fix spelling mistake "inerrupts" -> "interrupts" (Michal Schmidt) [RHEL-9308]
- octeon_ep: add ethtool support for Octeon PCI Endpoint NIC (Michal Schmidt) [RHEL-9308]
- octeon_ep: add Tx/Rx processing and interrupt support (Michal Schmidt) [RHEL-9308]
- octeon_ep: add support for ndo ops (Michal Schmidt) [RHEL-9308]
- octeon_ep: add Tx/Rx ring resource setup and cleanup (Michal Schmidt) [RHEL-9308]
- octeon_ep: Add mailbox for control commands (Michal Schmidt) [RHEL-9308]
- octeon_ep: add hardware configuration APIs (Michal Schmidt) [RHEL-9308]
- redhat/configs: enable CONFIG_OCTEON_EP as a module (Michal Schmidt) [RHEL-9308]
- octeon_ep: Add driver framework and device initialization (Michal Schmidt) [RHEL-9308]
- netfilter: nft_payload: Remove duplicated include in nft_payload.c (Phil Sutter) [RHEL-16630]
- nf_tables: fix NULL pointer dereference in nft_expr_inner_parse() (Phil Sutter) [RHEL-16630]
- nf_tables: fix NULL pointer dereference in nft_inner_init() (Phil Sutter) [RHEL-16630]
- netfilter: nft_inner: fix IS_ERR() vs NULL check (Phil Sutter) [RHEL-16630]
- netfilter: nft_inner: fix return value check in nft_inner_parse_l2l3() (Phil Sutter) [RHEL-16630]
- netfilter: nft_payload: use __be16 to store gre version (Phil Sutter) [RHEL-16630]
- netfilter: nft_inner: set tunnel offset to GRE header offset (Phil Sutter) [RHEL-16630]
- netfilter: nft_inner: add geneve support (Phil Sutter) [RHEL-16630]
- netfilter: nft_meta: add inner match support (Phil Sutter) [RHEL-16630]
- netfilter: nft_inner: add percpu inner context (Phil Sutter) [RHEL-16630]
- netfilter: nft_inner: support for inner tunnel header matching (Phil Sutter) [RHEL-16630]
- netfilter: nft_payload: access ipip payload for inner offset (Phil Sutter) [RHEL-16630]
- netfilter: nft_payload: access GRE payload via inner offset (Phil Sutter) [RHEL-16630]
- netfilter: nft_payload: support for inner header matching / mangling (Phil Sutter) [RHEL-16630]
- x86/sched: Restore the SD_ASYM_PACKING flag in the DIE domain (Phil Auld) [RHEL-17497]
- sched/fair: Fix the decision for load balance (Phil Auld) [RHEL-17497]
- sched/fair: Fix SMT4 group_smt_balance handling (Phil Auld) [RHEL-17497]
- sched/fair: Optimize should_we_balance() for large SMT systems (Phil Auld) [RHEL-17497]
- x86/sched: Enable cluster scheduling on Hybrid (Phil Auld) [RHEL-17497]
- sched/debug: Dump domains' sched group flags (Phil Auld) [RHEL-17497]
- sched/fair: Implement prefer sibling imbalance calculation between asymmetric groups (Phil Auld) [RHEL-17497]
- sched/fair: Consider the idle state of the whole core for load balance (Phil Auld) [RHEL-17497]
- sched/fair: Determine active load balance for SMT sched groups (Phil Auld) [RHEL-17497]
- sched/topology: Record number of cores in sched group (Phil Auld) [RHEL-17497]
- x86/sched: Rewrite topology setup (Phil Auld) [RHEL-17497]
- platform/x86/intel/hid: Add some ACPI device IDs (Foggy Liu) [RHEL-19941]
- platform/x86: intel-hid: Move to intel sub-directory (Foggy Liu) [RHEL-19941]
- tools/mm: update the usage output to be more organized (Audra Mitchell) [RHEL-3910]
- tools/mm: fix the default case for page_owner_sort (Audra Mitchell) [RHEL-3910]
- tools/mm: filter out timestamps for correct collation (Audra Mitchell) [RHEL-3910]
- tools/mm: remove references to free_ts from page_owner_sort (Audra Mitchell) [RHEL-3910]
- tools/vm/page_owner_sort: free memory before exit (Audra Mitchell) [RHEL-3910]
- tools/vm/page_owner_sort.c: adjust the indent in is_need() (Audra Mitchell) [RHEL-3910]
- mm/page_owner: remove free_ts from page_owner output (Audra Mitchell) [RHEL-3910]
- x86: sme_populate_pgd() use pte_offset_kernel() (Audra Mitchell) [RHEL-15176]
- x86: allow get_locked_pte() to fail (Audra Mitchell) [RHEL-15176]
- net: add hwtstamping helpers for stackable net devices (Ivan Vecera) [RHEL-18147]
- net: add NDOs for configuring hardware timestamping (Ivan Vecera) [RHEL-18147]
- net: dsa: replace NETDEV_PRE_CHANGE_HWTSTAMP notifier with a stub (Ivan Vecera) [RHEL-18147]
- net: create a netdev notifier for DSA to reject PTP on DSA master (Ivan Vecera) [RHEL-18147]
- net: add struct kernel_hwtstamp_config and make net_hwtstamp_validate() use it (Ivan Vecera) [RHEL-18147]
- net: move copy_from_user() out of net_hwtstamp_validate() (Ivan Vecera) [RHEL-18147]
- net: promote SIOCSHWTSTAMP and SIOCGHWTSTAMP ioctls to dedicated handlers (Ivan Vecera) [RHEL-18147]
- net: simplify handling of dsa_ndo_eth_ioctl() return code (Ivan Vecera) [RHEL-18147]
- net: don't abuse "default" case for unknown ioctl in dev_ifsioc() (Ivan Vecera) [RHEL-18147]
- usb: typec: ucsi: Use GET_CAPABILITY attributes data to set power supply scope (Desnes Nunes) [RHEL-14573]
Resolves: RHEL-14573, RHEL-15176, RHEL-15563, RHEL-16630, RHEL-17382, RHEL-17497, RHEL-17986, RHEL-18147, RHEL-19941, RHEL-20029, RHEL-20037, RHEL-20242, RHEL-20258, RHEL-3910, RHEL-9308

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2024-01-08 12:21:15 -05:00
Scott Weaver
0d48b1bd3f kernel-5.14.0-404.el9
* Thu Jan 04 2024 Scott Weaver <scweaver@redhat.com> [5.14.0-404.el9]
- iavf: Fix iavf_shutdown to call iavf_remove instead iavf_close (Michal Schmidt) [RHEL-15699]
- iavf: Handle ntuple on/off based on new state machines for flow director (Michal Schmidt) [RHEL-15699]
- iavf: Introduce new state machines for flow director (Michal Schmidt) [RHEL-15699]
- iavf: validate tx_coalesce_usecs even if rx_coalesce_usecs is zero (Michal Schmidt) [RHEL-15699]
- iavf: delete the iavf client interface (Michal Schmidt) [RHEL-15699]
- iavf: add a common function for undoing the interrupt scheme (Michal Schmidt) [RHEL-15699]
- iavf: use unregister_netdev (Michal Schmidt) [RHEL-15699]
- iavf: rely on netdev's own registered state (Michal Schmidt) [RHEL-15699]
- iavf: fix the waiting time for initial reset (Michal Schmidt) [RHEL-15699]
- iavf: in iavf_down, don't queue watchdog_task if comms failed (Michal Schmidt) [RHEL-15699]
- iavf: simplify mutex_trylock+sleep loops (Michal Schmidt) [RHEL-15699]
- iavf: fix comments about old bit locks (Michal Schmidt) [RHEL-15699]
- iavf: in iavf_down, disable queues when removing the driver (Michal Schmidt) [RHEL-15699]
- iavf: initialize waitqueues before starting watchdog_task (Michal Schmidt) [RHEL-15699]
- iavf: delete unused iavf_mac_info fields (Michal Schmidt) [RHEL-15699]
- iavf: fix format warnings (Michal Schmidt) [RHEL-15699]
- iavf: fix string truncation warnings (Michal Schmidt) [RHEL-15699]
- iavf: remove "inline" functions from iavf_txrx.c (Michal Schmidt) [RHEL-15699]
- iavf: do not process adminq tasks when __IAVF_IN_REMOVE_TASK is set (Michal Schmidt) [RHEL-15699]
- iavf: Add ability to turn off CRC stripping for VF (Michal Schmidt) [RHEL-15699]
- iavf: Fix promiscuous mode configuration flow messages (Michal Schmidt) [RHEL-15699]
- iavf: fix FDIR rule fields masks validation (Michal Schmidt) [RHEL-15699]
- iavf: fix potential races for FDIR filters (Michal Schmidt) [RHEL-15699]
- iavf: check for removal state before IAVF_FLAG_PF_COMMS_FAILED (Michal Schmidt) [RHEL-15699]
- iavf: fix potential deadlock on allocation failure (Michal Schmidt) [RHEL-15699]
- xfs: use the directory name hash function for dir scrubbing (Pavel Reichl) [RHEL-8283]
- xfs: stabilize the dirent name transformation function used for ascii-ci dir hash computation (Pavel Reichl) [RHEL-8283]
- HID: logitech-hidpp: Move get_wireless_feature_index() check to hidpp_connect_event() (Benjamin Tissoires) [RHEL-1259]
- HID: logitech-hidpp: Revert "Don't restart communication if not necessary" (Benjamin Tissoires) [RHEL-1259]
- HID: logitech-hidpp: Don't restart IO, instead defer hid_connect() only (Benjamin Tissoires) [RHEL-1259]
- HID: uclogic: Fix a work->entry not empty bug in __queue_work() (Benjamin Tissoires) [RHEL-1259]
- HID: uclogic: Fix user-memory-access bug in uclogic_params_ugee_v2_init_event_hooks() (Benjamin Tissoires) [RHEL-1259]
- hid: cp2112: Fix IRQ shutdown stopping polling for all IRQs on chip (Benjamin Tissoires) [RHEL-1259]
- HID: cp2112: Make irq_chip immutable (Benjamin Tissoires) [RHEL-1259]
- hid: cp2112: Fix duplicate workqueue initialization (Benjamin Tissoires) [RHEL-1259]
- RHEL9: selftests/hid: fix broken Apple keyboards tests (Benjamin Tissoires) [RHEL-1259]
- Input: add HAS_IOPORT dependencies (Benjamin Tissoires) [RHEL-1259]
- Input: synaptics-rmi4 - fix function name in kerneldoc (Benjamin Tissoires) [RHEL-1259]
- Input: rmi4 - switch to SYSTEM_SLEEP/RUNTIME_PM_OPS() and pm_ptr() (Benjamin Tissoires) [RHEL-1259]
- Input: i8042 - add Fujitsu Lifebook E5411 to i8042 quirk table (Benjamin Tissoires) [RHEL-1259]
- Input: psmouse - fix fast_reconnect function for PS/2 mode (Benjamin Tissoires) [RHEL-1259]
- Input: i8042 - add quirk for TUXEDO Gemini 17 Gen1/Clevo PD70PN (Benjamin Tissoires) [RHEL-1259]
- Input: tests - add test to cover all input_grab_device() function (Benjamin Tissoires) [RHEL-1259]
- Input: libps2 - do not discard non-ack bytes when controlling LEDs (Benjamin Tissoires) [RHEL-1259]
- Input: libps2 - introduce common interrupt handler (Benjamin Tissoires) [RHEL-1259]
- Input: libps2 - fix aborting PS/2 commands (Benjamin Tissoires) [RHEL-1259]
- Input: libps2 - fix NAK handling (Benjamin Tissoires) [RHEL-1259]
- Input: libps2 - rework handling of command response (Benjamin Tissoires) [RHEL-1259]
- Input: libps2 - remove special handling of ACK for command byte (Benjamin Tissoires) [RHEL-1259]
- Input: libps2 - attach ps2dev instances as serio port's drvdata (Benjamin Tissoires) [RHEL-1259]
- Revert "Input: synaptics - switch touchpad on HP Laptop 15-da3001TU to RMI mode" (Benjamin Tissoires) [RHEL-1259]
- Input: synaptics - enable InterTouch for the ThinkPad P1 G3 (Benjamin Tissoires) [RHEL-1259]
- Input: psmouse - switch to using dev_groups for driver-specific attributes (Benjamin Tissoires) [RHEL-1259]
- Input: atkbd - switch to using dev_groups for driver-specific attributes (Benjamin Tissoires) [RHEL-1259]
- Input: psmouse - fix OOB access in Elantech protocol (Benjamin Tissoires) [RHEL-1259]
- Input: fix open count when closing inhibited device (Benjamin Tissoires) [RHEL-1259]
- Input: avoid calling input_set_abs_val() in the event handling core (Benjamin Tissoires) [RHEL-1259]
- Input: uinput - allow injecting event times (Benjamin Tissoires) [RHEL-1259]
- selftests: hid: fix vmtests.sh not running make headers (Benjamin Tissoires) [RHEL-1259]
- selftests: hid: Add touch tests for Wacom devices (Benjamin Tissoires) [RHEL-1259]
- selftests: hid: import hid-tools usb-crash tests (Benjamin Tissoires) [RHEL-1259]
- selftests: hid: import hid-tools hid-sony and hid-playstation tests (Benjamin Tissoires) [RHEL-1259]
- selftests: hid: import hid-tools hid-ite tests (Benjamin Tissoires) [RHEL-1259]
- selftests: hid: import hid-tools hid-apple tests (Benjamin Tissoires) [RHEL-1259]
- selftests: hid: import hid-tools wacom tests (Benjamin Tissoires) [RHEL-1259]
- selftests: hid: import hid-tools hid-multitouch and hid-tablets tests (Benjamin Tissoires) [RHEL-1259]
- selftests: hid: import hid-tools hid-mouse tests (Benjamin Tissoires) [RHEL-1259]
- selftests: hid: import hid-tools hid-keyboards tests (Benjamin Tissoires) [RHEL-1259]
- selftests: hid: import hid-tools hid-gamepad tests (Benjamin Tissoires) [RHEL-1259]
- selftests: hid: import hid-tools hid-core tests (Benjamin Tissoires) [RHEL-1259]
- selftests: hid: make vmtest rely on make (Benjamin Tissoires) [RHEL-1259]
- HID: Add quirk to ignore the touchscreen battery on HP ENVY 15-eu0556ng (Benjamin Tissoires) [RHEL-1259]
- HID: nintendo: reinitialize USB Pro Controller after resuming from suspend (Benjamin Tissoires) [RHEL-1259]
- HID: multitouch: Add required quirk for Synaptics 0xcd7e device (Benjamin Tissoires) [RHEL-1259]
- HID: holtek: fix slab-out-of-bounds Write in holtek_kbd_input_event (Benjamin Tissoires) [RHEL-1259]
- HID: logitech-hidpp: Add Bluetooth ID for the Logitech M720 Triathlon mouse (Benjamin Tissoires) [RHEL-1259]
- HID: logitech-hidpp: Fix kernel crash on receiver USB disconnect (Benjamin Tissoires) [RHEL-1259]
- HID: sony: remove duplicate NULL check before calling usb_free_urb() (Benjamin Tissoires) [RHEL-1259]
- HID: nvidia-shield: add LEDS_CLASS dependency (Benjamin Tissoires) [RHEL-1259]
- HID: nvidia-shield: Fix a missing led_classdev_unregister() in the probe error handling path (Benjamin Tissoires) [RHEL-1259]
- HID: sony: Fix a potential memory leak in sony_probe() (Benjamin Tissoires) [RHEL-1259]
- HID: logitech-hidpp: rework one more time the retries attempts (Benjamin Tissoires) [RHEL-1259]
- HID: nvidia-shield: Reference hid_device devm allocation of input_dev name (Benjamin Tissoires) [RHEL-1259]
- HID: multitouch: Correct devm device reference for hidinput input_dev name (Benjamin Tissoires) [RHEL-1259]
- HID: uclogic: Correct devm device reference for hidinput input_dev name (Benjamin Tissoires) [RHEL-1259]
- HID: logitech-dj: Fix error handling in logi_dj_recv_switch_to_dj_mode() (Benjamin Tissoires) [RHEL-1259]
- HID: nvidia-shield: Remove led_classdev_unregister in thunderstrike_create (Benjamin Tissoires) [RHEL-1259]
- HID: input: Support devices sending Eraser without Invert (Benjamin Tissoires) [RHEL-1259]
- HID: wacom: remove the battery when the EKR is off (Benjamin Tissoires) [RHEL-1259]
- HID: amd_sfh: Fix for shift-out-of-bounds (Benjamin Tissoires) [RHEL-1259]
- HID: amd_sfh: Rename the float32 variable (Benjamin Tissoires) [RHEL-1259]
- HID: input: fix mapping for camera access keys (Benjamin Tissoires) [RHEL-1259]
- HID: logitech-hidpp: Add wired USB id for Logitech G502 Lightspeed (Benjamin Tissoires) [RHEL-1259]
- HID: nvidia-shield: Pack inner/related declarations in HOSTCMD reports (Benjamin Tissoires) [RHEL-1259]
- HID: hyperv: avoid struct memcpy overrun warning (Benjamin Tissoires) [RHEL-1259]
- HID: wacom: Use ktime_t rather than int when dealing with timestamps (Benjamin Tissoires) [RHEL-1259]
- HID: hidraw: fix data race on device refcount (Benjamin Tissoires) [RHEL-1259]
- HID: nvidia-shield: Support LED functionality for Thunderstrike (Benjamin Tissoires) [RHEL-1259]
- HID: nvidia-shield: Add mappings for consumer HID USAGE buttons (Benjamin Tissoires) [RHEL-1259]
- HID: nvidia-shield: Initial driver implementation with Thunderstrike support (Benjamin Tissoires) [RHEL-1259]
- HID: add quirk for 03f0:464a HP Elite Presenter Mouse (Benjamin Tissoires) [RHEL-1259]
- HID: apple: Option to swap only left side mod keys (Benjamin Tissoires) [RHEL-1259]
- redhat: allow hid-uclogic to run kunit tests (Benjamin Tissoires) [RHEL-1259]
- HID: uclogic: Modular KUnit tests should not depend on KUNIT=y (Benjamin Tissoires) [RHEL-1259]
- HID: fix an error code in hid_check_device_match() (Benjamin Tissoires) [RHEL-1259]
- HID: logitech-hidpp: Add USB and Bluetooth IDs for the Logitech G915 TKL Keyboard (Benjamin Tissoires) [RHEL-1259]
- HID: i2c-hid: goodix: Add support for "goodix,no-reset-during-suspend" property (Benjamin Tissoires) [RHEL-1259]
- HID: microsoft: Add rumble support to latest xbox controllers (Benjamin Tissoires) [RHEL-1259]
- HID: ensure timely release of driver-allocated resources (Benjamin Tissoires) [RHEL-1259]
- HID: split apart hid_device_probe to make logic more apparent (Benjamin Tissoires) [RHEL-1259]
- HID: amd_sfh: Split sensor and HID initialization for SFH1.1 (Benjamin Tissoires) [RHEL-1259]
- HID: amd_sfh: Remove duplicate cleanup for SFH1.1 (Benjamin Tissoires) [RHEL-1259]
- HID: amd_sfh: Split sensor and HID initialization (Benjamin Tissoires) [RHEL-1259]
- HID: amd_sfh: Remove duplicate cleanup (Benjamin Tissoires) [RHEL-1259]
- HID: amd_sfh: Remove unnecessary log (Benjamin Tissoires) [RHEL-1259]
- HID: asus: reformat the hotkey mapping block (Benjamin Tissoires) [RHEL-1259]
- HID: asus: add keycodes for 0x6a, 0x4b, and 0xc7 (Benjamin Tissoires) [RHEL-1259]
- HID: asus: Add support for ASUS ROG Z13 keyboard (Benjamin Tissoires) [RHEL-1259]
- HID: wacom: Add error check to wacom_parse_and_register() (Benjamin Tissoires) [RHEL-1259]
- HID: google: add jewel USB id (Benjamin Tissoires) [RHEL-1259]
- HID: wacom: Check for string overflow from strscpy calls (Benjamin Tissoires) [RHEL-1259]
- hid/hid-picolcd_fb: Use struct fb_info.screen_buffer (Benjamin Tissoires) [RHEL-1259]
- HID: amd_sfh: Fix max supported HID devices (Benjamin Tissoires) [RHEL-1259]
- HID: Recognize "Digitizer" as a valid input application (Benjamin Tissoires) [RHEL-1259]
- HID: wacom: generic: Set battery quirk only when we see battery data (Benjamin Tissoires) [RHEL-1259]
- HID: wacom: Lazy-init batteries (Benjamin Tissoires) [RHEL-1259]
- HID: Ignore battery for ELAN touchscreen on ROG Flow X13 GV301RA (Benjamin Tissoires) [RHEL-1259]
- HID: asus: explicitly include linux/leds.h (Benjamin Tissoires) [RHEL-1259]
- HID: lg-g15: explicitly include linux/leds.h (Benjamin Tissoires) [RHEL-1259]
- HID: steelseries: explicitly include linux/leds.h (Benjamin Tissoires) [RHEL-1259]
- HID: apple: Set the tilde quirk flag on the Geyser 3 (Benjamin Tissoires) [RHEL-1259]
- HID: apple: explicitly include linux/leds.h (Benjamin Tissoires) [RHEL-1259]
- HID: mcp2221: fix get and get_direction for gpio (Benjamin Tissoires) [RHEL-1259]
- HID: mcp2221: fix report layout for gpio get (Benjamin Tissoires) [RHEL-1259]
- HID: i2c-hid-of: Add reset GPIO support to i2c-hid-of (Benjamin Tissoires) [RHEL-1259]
- HID: i2c-hid-of: Allow using i2c-hid-of on non OF platforms (Benjamin Tissoires) [RHEL-1259]
- HID: i2c-hid-of: Consistenly use dev local variable in probe() (Benjamin Tissoires) [RHEL-1259]
- HID: kye: Fix rdesc for kye tablets (Benjamin Tissoires) [RHEL-1259]
- HID: amd_sfh: Support for additional light sensor (Benjamin Tissoires) [RHEL-1259]
- HID: logitech-hidpp: Set wireless_status for G935 receiver (Benjamin Tissoires) [RHEL-1259]
- HID: logitech-hidpp: Add Logitech G935 headset (Benjamin Tissoires) [RHEL-1259]
- HID: logitech-hidpp: Add support for ADC measurement feature (Benjamin Tissoires) [RHEL-1259]
- HID: logitech-hidpp: Simplify array length check (Benjamin Tissoires) [RHEL-1259]
- HID: logitech-hidpp: Reconcile USB and Unifying serials (Benjamin Tissoires) [RHEL-1259]
- HID: logitech-hidpp: Don't use the USB serial for USB devices (Benjamin Tissoires) [RHEL-1259]
- HID: kye: Add support for all kye tablets (Benjamin Tissoires) [RHEL-1259]
- HID: apple: Set the tilde quirk flag on the Geyser 4 and later (Benjamin Tissoires) [RHEL-1259]
- HID: add KEY_CAMERA_FOCUS event in HID (Benjamin Tissoires) [RHEL-1259]
- HID: kye: Sort kye devices (Benjamin Tissoires) [RHEL-1259]
- HID: kye: Generate tablet fixup descriptors on the fly (Benjamin Tissoires) [RHEL-1259]
- HID: kye: Rewrite tablet descriptor fixup routine (Benjamin Tissoires) [RHEL-1259]
- HID: nintendo: fix rumble rate limiter (Benjamin Tissoires) [RHEL-1259]
- HID: nintendo: prevent rumble queue overruns (Benjamin Tissoires) [RHEL-1259]
- selftests: netfilter: Run nft_audit.sh in its own netns (Ricardo Robaina) [RHEL-9127]
- netfilter: nf_tables: audit log object reset once per table (Ricardo Robaina) [RHEL-9127]
- netfilter: nf_tables: Deduplicate nft_register_obj audit logs (Ricardo Robaina) [RHEL-9127]
- selftests: netfilter: Extend nft_audit.sh (Ricardo Robaina) [RHEL-9127]
- selftests: netfilter: Test nf_tables audit logging (Ricardo Robaina) [RHEL-9127]
- netfilter: nf_tables: Fix entries val in rule reset audit log (Ricardo Robaina) [RHEL-9127]
- netfilter: nf_tables: Unbreak audit log reset (Ricardo Robaina) [RHEL-9127]
- netfilter: nf_tables: Audit log rule reset (Ricardo Robaina) [RHEL-9127]
- netfilter: nf_tables: Audit log setelem reset (Ricardo Robaina) [RHEL-9127]
- audit: move trailing statements to next line (Ricardo Robaina) [RHEL-9127]
- audit: cleanup function braces and assignment-in-if-condition (Ricardo Robaina) [RHEL-9127]
- audit: add space before parenthesis and around '=', "==", and '<' (Ricardo Robaina) [RHEL-9127]
- audit: fix possible soft lockup in __audit_inode_child() (Ricardo Robaina) [RHEL-9127]
- audit: correct audit_filter_inodes() definition (Ricardo Robaina) [RHEL-9127]
- audit: include security.h unconditionally (Ricardo Robaina) [RHEL-9127]
- tls: don't reset prot->aad_size and prot->tail_size for TLS_HW (Sabrina Dubroca) [RHEL-14902]
- tls: use fixed size for tls_offload_context_{tx,rx}.driver_state (Sabrina Dubroca) [RHEL-14902]
- chcr_ktls: use tls_offload_context_tx and driver_state like other drivers (Sabrina Dubroca) [RHEL-14902]
- tls: validate crypto_info in a separate helper (Sabrina Dubroca) [RHEL-14902]
- tls: remove tls_context argument from tls_set_device_offload (Sabrina Dubroca) [RHEL-14902]
- tls: remove tls_context argument from tls_set_sw_offload (Sabrina Dubroca) [RHEL-14902]
- tls: add a helper to allocate/initialize offload_ctx_tx (Sabrina Dubroca) [RHEL-14902]
- tls: also use init_prot_info in tls_set_device_offload (Sabrina Dubroca) [RHEL-14902]
- tls: move tls_prot_info initialization out of tls_set_sw_offload (Sabrina Dubroca) [RHEL-14902]
- tls: extract context alloc/initialization out of tls_set_sw_offload (Sabrina Dubroca) [RHEL-14902]
- tls: store iv directly within cipher_context (Sabrina Dubroca) [RHEL-14902]
- tls: rename MAX_IV_SIZE to TLS_MAX_IV_SIZE (Sabrina Dubroca) [RHEL-14902]
- tls: store rec_seq directly within cipher_context (Sabrina Dubroca) [RHEL-14902]
- tls: drop unnecessary cipher_type checks in tls offload (Sabrina Dubroca) [RHEL-14902]
- tls: get salt using crypto_info_salt in tls_enc_skb (Sabrina Dubroca) [RHEL-14902]
- tls: get cipher_name from cipher_desc in tls_set_sw_offload (Sabrina Dubroca) [RHEL-14902]
- tls: use tls_cipher_desc to access per-cipher crypto_info in tls_set_sw_offload (Sabrina Dubroca) [RHEL-14902]
- tls: use tls_cipher_desc to get per-cipher sizes in tls_set_sw_offload (Sabrina Dubroca) [RHEL-14902]
- tls: use tls_cipher_desc to simplify do_tls_getsockopt_conf (Sabrina Dubroca) [RHEL-14902]
- tls: get crypto_info size from tls_cipher_desc in do_tls_setsockopt_conf (Sabrina Dubroca) [RHEL-14902]
- tls: expand use of tls_cipher_desc in tls_sw_fallback_init (Sabrina Dubroca) [RHEL-14902]
- tls: allocate the fallback aead after checking that the cipher is valid (Sabrina Dubroca) [RHEL-14902]
- tls: expand use of tls_cipher_desc in tls_set_device_offload (Sabrina Dubroca) [RHEL-14902]
- tls: validate cipher descriptions at compile time (Sabrina Dubroca) [RHEL-14902]
- tls: extend tls_cipher_desc to fully describe the ciphers (Sabrina Dubroca) [RHEL-14902]
- tls: rename tls_cipher_size_desc to tls_cipher_desc (Sabrina Dubroca) [RHEL-14902]
- tls: reduce size of tls_cipher_size_desc (Sabrina Dubroca) [RHEL-14902]
- tls: add TLS_CIPHER_ARIA_GCM_* to tls_cipher_size_desc (Sabrina Dubroca) [RHEL-14902]
- tls: move tls_cipher_size_desc to net/tls/tls.h (Sabrina Dubroca) [RHEL-14902]
- selftests: tls: test some invalid inputs for setsockopt (Sabrina Dubroca) [RHEL-14902]
- selftests: tls: add getsockopt test (Sabrina Dubroca) [RHEL-14902]
- net/tls: Remove unused function declarations (Sabrina Dubroca) [RHEL-14902]
- net: tls: avoid discarding data on record close (Sabrina Dubroca) [RHEL-14902]
- net/tls: fix comment typo (Sabrina Dubroca) [RHEL-14902]
- selftests: net: tls: check if FIPS mode is enabled (Sabrina Dubroca) [RHEL-14902]
- net: tls: make the offload check helper take skb not socket (Sabrina Dubroca) [RHEL-14902]
- selftests: tls: add tests for poll behavior (Sabrina Dubroca) [RHEL-14902]
- tls: suppress wakeups unless we have a full record (Sabrina Dubroca) [RHEL-14902]
- redhat/configs: enable CONFIG_IDPF=m (Michal Schmidt) [RHEL-15651]
- idpf: fix potential use-after-free in idpf_tso() (Michal Schmidt) [RHEL-15651]
- idpf: cancel mailbox work in error path (Michal Schmidt) [RHEL-15651]
- idpf: set scheduling mode for completion queue (Michal Schmidt) [RHEL-15651]
- idpf: add SRIOV support and other ndo_ops (Michal Schmidt) [RHEL-15651]
- idpf: add ethtool callbacks (Michal Schmidt) [RHEL-15651]
- idpf: add singleq start_xmit and napi poll (Michal Schmidt) [RHEL-15651]
- idpf: add RX splitq napi poll support (Michal Schmidt) [RHEL-15651]
- idpf: add TX splitq napi poll support (Michal Schmidt) [RHEL-15651]
- idpf: add splitq start_xmit (Michal Schmidt) [RHEL-15651]
- idpf: initialize interrupts and enable vport (Michal Schmidt) [RHEL-15651]
- idpf: configure resources for RX queues (Michal Schmidt) [RHEL-15651]
- idpf: configure resources for TX queues (Michal Schmidt) [RHEL-15651]
- idpf: add ptypes and MAC filter support (Michal Schmidt) [RHEL-15651]
- idpf: add create vport and netdev configuration (Michal Schmidt) [RHEL-15651]
- idpf: add core init and interrupt request (Michal Schmidt) [RHEL-15651]
- idpf: add controlq init and reset checks (Michal Schmidt) [RHEL-15651]
- idpf: add module register and probe functionality (Michal Schmidt) [RHEL-15651]
- virtchnl: add virtchnl version 2 ops (Michal Schmidt) [RHEL-15651]
- RDMA/rxe: RHEL-only revert for page size vars in rxe (Benjamin Coddington) [RHEL-11234]
- Revert "crypto: drbg - make drbg_prepare_hrng() handle jent instantiation errors" (Prarit Bhargava) [RHEL-11887]
- Revert "crypto: drbg - reseed 'nopr' drbgs periodically from get_random_bytes()" (Prarit Bhargava) [RHEL-11887]
- Revert "crypto: drbg - ignore jitterentropy errors if not in FIPS mode" (Prarit Bhargava) [RHEL-11887]
- selftests: fib_test: add a test case for IPv6 source address delete (Hangbin Liu) [RHEL-6012]
- ipv4/fib: send notify when delete source address routes (Hangbin Liu) [RHEL-6012]
- ipv6: do not match device when remove source route (Hangbin Liu) [RHEL-6012]
Resolves: RHEL-11234, RHEL-11887, RHEL-1259, RHEL-14902, RHEL-15651, RHEL-15699, RHEL-6012, RHEL-8283, RHEL-9127

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2024-01-04 12:36:46 -05:00
Scott Weaver
3607d37c84 kernel-5.14.0-402.el9
* Thu Dec 21 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-402.el9]
- platform/x86/intel/pmt: Ignore uninitialized entries (David Arcari) [RHEL-19678]
- platform/x86/intel/pmt: Add INTEL_PMT module namespace (David Arcari) [RHEL-19678]
- x86/boot: Ignore NMIs during very early boot (Derek Barbosa) [RHEL-9380]
- dpll: sanitize possible null pointer dereference in dpll_pin_parent_pin_set() (Michal Schmidt) [RHEL-19095] {CVE-2023-6679}
- dpll: Fix potential msg memleak when genlmsg_put_reply failed (Michal Schmidt) [RHEL-19095]
- ALSA: update configuration for RHEL 9.4 (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/tas2781: leave hda_component in usable state (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/tas2781: handle missing EFI calibration data (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/tas2781: call cleanup functions only once (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/tas2781: reset the amp before component_add (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl_sai: Fix no frame sync clock issue on i.MX8MP (Jaroslav Kysela) [RHEL-13724]
- ALSA: pcm: fix out-of-bounds in snd_pcm_state_names (Jaroslav Kysela) [RHEL-13724]
- ALSA: cs35l41: Fix for old systems which do not support command (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Remove unnecessary boolean state variable firmware_running (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Add Pioneer DJM-450 mixer controls (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Enable headset on Lenovo M90 Gen5 (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: fix speakers on XPS 9530 (2023) (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Apply quirk for ASUS UM3504DA (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add supported ALC257 for ChromeOS (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Headset Mic VREF to 100%% (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda - Fix speaker and headset mic pin config for CHUWI CoreBook XPro (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add quirks for HP Laptops (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add quirks for ASUS 2024 Zenbooks (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Enable Mute LED on HP 255 G10 (Jaroslav Kysela) [RHEL-13724]
- ASoC: bcm: bcm63xx-i2s-whistler: Convert to devm_platform_ioremap_resource() (Jaroslav Kysela) [RHEL-13724]
- ASoC: cygnus: Remove unused declarations (Jaroslav Kysela) [RHEL-13724]
- ASoC: bcm: merge DAI call back functions into ops (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek - Enable internal speaker of ASUS K6500ZC (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Enable Mute LED on HP 255 G8 (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek - Add Dell ALC295 to pin fall back table (Jaroslav Kysela) [RHEL-13724]
- ALSA: info: Fix potential deadlock at disconnection (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: ASUS UM5302LA: Added quirks for cs35L41/10431A83 on i2c bus (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add support dual speaker for Dell (Jaroslav Kysela) [RHEL-13724]
- ASoC: nau8540: Add self recovery to improve capture quility (Jaroslav Kysela) [RHEL-13724]
- ASoC: hdmi-codec: register hpd callback on component probe (Jaroslav Kysela) [RHEL-13724]
- ASoC: dapm: fix clock get name (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: Add ASRock X670E Taichi to denylist (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add quirk for ASUS UX7602ZM (Jaroslav Kysela) [RHEL-13724]
- regmap: prevent noinc writes from clobbering cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: da7219: Improve system suspend and resume handling (Jaroslav Kysela) [RHEL-13724]
- ASoC: mediatek: mt8186_mt6366_rt1019_rt5682s: trivial: fix error messages (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt712-sdca: fix speaker route missing issue (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Fix missing error code in cs35l41_smart_amp() (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: mark cs35l41_verify_id() static (Jaroslav Kysela) [RHEL-13724]
- ALSA: scarlett2: Add missing check with firmware version control (Jaroslav Kysela) [RHEL-13724]
- ASoC: ams-delta.c: use component after check (Jaroslav Kysela) [RHEL-13724]
- ALSA: scarlett2: Remap Level Meter values (Jaroslav Kysela) [RHEL-13724]
- ALSA: scarlett2: Allow passing any output to line_out_remap() (Jaroslav Kysela) [RHEL-13724]
- ALSA: scarlett2: Add support for reading firmware version (Jaroslav Kysela) [RHEL-13724]
- ALSA: scarlett2: Rename Gen 3 config sets (Jaroslav Kysela) [RHEL-13724]
- ALSA: scarlett2: Rename scarlett_gen2 to scarlett2 (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l41: Detect CSPL errors when sending CSPL commands (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Check CSPL state after loading firmware (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Do not unload firmware before reset in system suspend (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Force a software reset after hardware reset (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Run boot process during resume callbacks (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Assert Reset prior to de-asserting in probe and system resume (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Assert reset before system suspend (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Use reset label to get GPIO for HP Zbook Fury 17 G9 (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: Skylake: Fix mem leak when parsing UUIDs fails (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: add quirk flag to enable native DSD for McIntosh devices (Jaroslav Kysela) [RHEL-13724]
- regmap: kunit: Add test for cache sync interaction with ranges (Jaroslav Kysela) [RHEL-13724]
- regmap: kunit: Fix marking of the range window as volatile (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: rt298: remove redundant assignment to d_len_code (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: sof-pci-dev: Fix community key quirk detection (Jaroslav Kysela) [RHEL-13724]
- ASoC: es8328: Use rounded rate for es8328_set_sysclk() (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_nau8825: add RPL support for MAX98360A amp (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Move the builtin microphones to dataport 1 (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw_cs42l43: Create separate jacks for hp and mic (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw_cs42l43: Some trivial formatting clean ups (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Stop processing CODECs when enough are found (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: intel-dsp-config: Fix JSL Chromebook quirk detection (Jaroslav Kysela) [RHEL-13724]
- ASoC: da7213: Add new kcontrol for tonegen (Jaroslav Kysela) [RHEL-13724]
- ASoC: tas2781: make const read-only array magic_number static (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek - Fixed ASUS platform headset Mic issue (Jaroslav Kysela) [RHEL-13724]
- ASoC: da7219: Correct the process of setting up Gnd switch in AAD (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/relatek: Enable Mute LED on HP Laptop 15s-fq5xxx (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add quirk for ASUS ROG GU603ZV (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: tas2780: Fix log of failed reset via I2C. (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5650: fix the wrong result of key button (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt715: reorder the argument in error log (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt715-sdca: reorder the argument in error log (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw_rt712_sdca: construct cards->components by name_prefix (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: MTL: Add entry for HDMI-In capture support to non-I2S codec boards. (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw_rt_sdca_jack_common: add rt713 support (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: soc-acpi-intel-mtl-match: add rt713 rt1316 config (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: soc-acpi-intel-rpl-match: add rt711-l0-rt1316-l12 support (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: update HP Omen match (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl: Fix PM disable depth imbalance in fsl_easrc_probe (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l42: Fix missing include of gpio/consumer.h (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l43: Update values for bias sense (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: cirrus,cs42l43: Update values for bias sense (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl: mpc5200_dma.c: Fix warning of Function parameter or member not described (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: ASP1 DOUT must default to Hi-Z when not transmitting (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: core: Ensure sof_ops_free() is still called when probe never ran. (Jaroslav Kysela) [RHEL-13724]
- ALSA: scarlett2: Add Focusrite Clarett 2Pre and 4Pre USB support (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl-asoc-card: Add comment for mclk in the codec_priv (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Fix illegal use of init_completion() (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Fix microphone sound on Nexigo webcam. (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Change model for Intel RVP board (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Fix microphone sound on Opencomm2 Headset (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wsa-macro: handle component name prefix (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-dapm: Add helper for comparing widget name (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd938x-sdw: fix runtime PM imbalance on probe errors (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd938x-sdw: fix use after free on driver unbind (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd938x: fix runtime PM imbalance on remove (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd938x: fix regulator leaks on probe errors (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd938x: fix resource leaks on bind errors (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd938x: fix unbind tear down order (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd938x: drop bogus bind error handling (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Cleanup and fix double free in firmware request (Jaroslav Kysela) [RHEL-13724]
- ASoC: tas2781: fixed compiling issue in m68k (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5682: Fix regulator enable/disable sequence (Jaroslav Kysela) [RHEL-13724]
- ASoC: hdmi-codec: Fix broken channel map reporting (Jaroslav Kysela) [RHEL-13724]
- ASoC: tlv320adc3xxx: BUG: Correct micbias setting (Jaroslav Kysela) [RHEL-13724]
- ASoC: core: Do not call link_exit() on uninitialized rtd objects (Jaroslav Kysela) [RHEL-13724]
- ASoC: core: Print component name when printing log (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: yc: Fix non-functional mic on Lenovo 82YM (Jaroslav Kysela) [RHEL-13724]
- ALSA: aloop: Add control element for getting the access mode (Jaroslav Kysela) [RHEL-13724]
- ALSA: aloop: Add support for the non-interleaved access mode (Jaroslav Kysela) [RHEL-13724]
- ALSA: intel8x0m: fix name of SIS7013 sound chip in comment (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: amd: fix for firmware reload failure after playback (Jaroslav Kysela) [RHEL-13724]
- ALSA: scarlett2: Add Focusrite Clarett+ 2Pre and 4Pre support (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-generic-dmaengine-pcm: Fix function name in comment (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Add read-only ALSA control for forced mute (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Support mute notifications for CS35L41 HDA (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Support ACPI Notification framework via component binding (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Add notification support into component binding (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek - ALC287 merge RTK codec with CS CS35L41 AMP (Jaroslav Kysela) [RHEL-13724]
- ASoC: hdac_hda: fix HDA patch loader support (Jaroslav Kysela) [RHEL-13724]
- soundwire: bus: Make IRQ handling conditionally built (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-pcm.c: Make sure DAI parameters cleared if the DAI becomes inactive (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl-asoc-card: use integer type for fll_id and pll_id (Jaroslav Kysela) [RHEL-13724]
- mfd: cs42l43: Use correct macro for new-style PM runtime ops (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl_sai: Don't disable bitclock for i.MX8MP (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: add support for SKU 0B14 (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: soc-acpi: Add entry for HDMI_In capture support in MTL match table (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: soc-acpi: Add entry for sof_es8336 in MTL match table. (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: soc-acpi: fix Dell SKU 0B34 (Jaroslav Kysela) [RHEL-13724]
- ASoC: hdac_hda: add HDA patch loader support (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek - ALC287 Realtek I2S speaker platform support (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l56: Use the new RUNTIME_PM_OPS() macro (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: scarlett_gen2: Fix another -Wformat-truncation warning (Jaroslav Kysela) [RHEL-13724]
- ASoC: simple-card: fixup asoc_simple_probe() error handling (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: soc-acpi-intel-mtl-match: add acpi match table for cdb35l56-eight-c (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Add CS42L43 CODEC support (Jaroslav Kysela) [RHEL-13724]
- ASoC: simple-card-utils: fixup simple_util_startup() error handling (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l56: Add support for speaker id (Jaroslav Kysela) [RHEL-13724]
- ALSA: rawmidi: Fix NULL dereference at proc read (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Use size_add() in call to struct_size() (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l43: Add shared IRQ flag for shutters (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: MTL: Reduce the DSP init timeout (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_da7219: use ssp-common module to detect codec (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_da7219: add adl_mx98360_da7219 board config (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_da7219: use maxim-common module (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_da7219: rename driver file and kernel option (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_nau8825: use realtek-common module (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_nau8825: use nuvoton-common module (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: nuvoton-common: support nau8318 amplifier (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_ssp_amp: do not create amp link for nocodec board (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_rt5682: add HDMI_In capture feature support for RPL. (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_rt5682: add adl_rt5650 board config (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_rt5682: Modify number of HDMI to 3 for MTL/Rex devices (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_rt5682: Add support for Rex with discrete BT offload. (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_nau8825: use ssp-common module to detect codec (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_ssp_amp: use ssp-common module to detect codec (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_cs42l42: use ssp-common module to detect codec (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_rt5682: use ssp-common module to detect codec (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: use ACPI HID definition in ssp-common (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: ssp-common: support codec detection (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_rt5682: cleanup unnecessary quirk flag (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: core: Only call sof_ops_free() on remove if the probe was successful (Jaroslav Kysela) [RHEL-13724]
- ALSA: riptide: Fix -Wformat-truncation warning for longname string (Jaroslav Kysela) [RHEL-13724]
- ALSA: cs4231: Fix -Wformat-truncation warning for longname string (Jaroslav Kysela) [RHEL-13724]
- ALSA: ad1848: Fix -Wformat-truncation warning for longname string (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: generic: Check potential mixer name string truncation (Jaroslav Kysela) [RHEL-13724]
- ALSA: cmipci: Fix -Wformat-truncation warning (Jaroslav Kysela) [RHEL-13724]
- ALSA: firewire: Fix -Wformat-truncation warning for MIDI stream names (Jaroslav Kysela) [RHEL-13724]
- ALSA: firewire: Fix -Wformat-truncation warning for longname string (Jaroslav Kysela) [RHEL-13724]
- ALSA: xen: Fix -Wformat-truncation warning (Jaroslav Kysela) [RHEL-13724]
- ALSA: opti9x: Fix -Wformat-truncation warning (Jaroslav Kysela) [RHEL-13724]
- ALSA: es1688: Fix -Wformat-truncation warning (Jaroslav Kysela) [RHEL-13724]
- ALSA: cs4236: Fix -Wformat-truncation warning (Jaroslav Kysela) [RHEL-13724]
- ALSA: sscape: Fix -Wformat-truncation warning (Jaroslav Kysela) [RHEL-13724]
- ALSA: caiaq: Fix -Wformat-truncation warning (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: scarlett_gen2: Fix -Wformat-truncation warning (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: midi: Fix -Wformat-truncation warning (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: ump: Fix -Wformat-truncation warning (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: common: add ACPI matching tables for Arrow Lake (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Increment be_id in init_dai_link (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Make create_sdw_dailink allocate link components (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Add simple DAI link creation helper (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Move sdw_pin_index into private struct (Jaroslav Kysela) [RHEL-13724]
- ASoC: imx-audmix: Fix return error with devm_clk_get() (Jaroslav Kysela) [RHEL-13724]
- ASoC: hdaudio.c: Add missing check for devm_kstrdup (Jaroslav Kysela) [RHEL-13724]
- ALSA: scarlett2: Add correct product series name to messages (Jaroslav Kysela) [RHEL-13724]
- ALSA: scarlett2: Add support for Clarett 8Pre USB (Jaroslav Kysela) [RHEL-13724]
- ALSA: scarlett2: Move USB IDs out from device_info struct (Jaroslav Kysela) [RHEL-13724]
- ALSA: scarlett2: Default mixer driver to enabled (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l56: Fix missing RESET GPIO if _SUB is missing (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l56: Don't 'return ret' if ret is always zero (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: fix wrong sizeof argument (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: sof-audio: Fix DSP core put imbalance on widget setup failure (Jaroslav Kysela) [RHEL-13724]
- firmware: cirrus: cs_dsp: Only log list of algorithms in debug build (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm_adsp: Fix missing locking in wm_adsp_[read|write]_ctl() (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l42: Avoid stale SoundWire ATTACH after hard reset (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l42: Don't rely on GPIOD_OUT_LOW to set RESET initially low (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l42: Ensure a reset pulse meets minimum pulse width. (Jaroslav Kysela) [RHEL-13724]
- ASoC: imx-rpmsg: Set ignore_pmdown_time for dai_link (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: mixer: Remove temporary string use in parse_clock_source_unit (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: aw88395: Fix some error codes (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Splitting the UX3402 into two separate models (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Use PCI SSID as the firmware UID (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: Copy PCI SSID to struct snd_soc_card (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Pass PCI SSID to machine driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-card: Add storage for PCI SSID (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: intel-sdw-acpi: Use u8 type for link index (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Disable low-power hibernation mode (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l56: Disable low-power hibernation mode (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5640: Only cancel jack-detect work on suspend if active (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5640: Fix IRQ not being free-ed for HDA jack detect mode (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5640: Enable the IRQ on resume after configuring jack-detect (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5640: Do not disable/enable IRQ twice on suspend/resume (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5640: Fix sleep in atomic context (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5640: Revert "Fix sleep in atomic context" (Jaroslav Kysela) [RHEL-13724]
- ALSA: core: Use dev_name of card_dev as debugfs directory name (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Avoid delivery of events for disabled UMP groups (Jaroslav Kysela) [RHEL-13724]
- ALSA: docs: Fix a typo of midi2_ump_probe option for snd-usb-audio (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek - Fixed two speaker platform (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: yc: Fix non-functional mic on Lenovo 82QF and 82UG (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl: imx-pcm-rpmsg: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [RHEL-13724]
- Add DMI ID for MSI Bravo 15 B7ED (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8960: Fix error handling in probe (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Call pm_runtime_dont_use_autosuspend() (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l56: Call pm_runtime_dont_use_autosuspend() (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-pcm: Shrink stack frame for __soc_pcm_hw_params (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Consistently use dev_err_probe() (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Undo runtime PM changes at driver exit time (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Fix unbalanced pm_runtime_get() (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l41: Make use of dev_err_probe() (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l41: Undo runtime PM changes at driver exit time (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l41: Verify PM runtime resume errors in IRQ handler (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l41: Fix broken shared boost activation (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l41: Handle mdsync_up reg write errors (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l41: Handle mdsync_down reg write errors (Jaroslav Kysela) [RHEL-13724]
- ASoC: tegra: Fix redundant PLLA and PLLA_OUT0 updates (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-utils: Export snd_soc_dai_is_dummy() symbol (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: Disable power save for solving pop issue on Lenovo ThinkCentre M70q (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: yc: Fix a non-functional mic on Lenovo 82TL (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek - ALC287 I2S speaker platform support (Jaroslav Kysela) [RHEL-13724]
- mfd: cs42l43: Fix MFD_CS42L43 dependency on REGMAP_IRQ (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs: Provide support for fallback topology (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Fix snd_seq_expand_var_event() call to user-space (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Fix potential memory leaks at error path for UMP open (Jaroslav Kysela) [RHEL-13724]
- regmap: debugfs: Fix a erroneous check after snprintf() (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/cirrus: Fix broken audio on hardware with two CS42L42 codecs. (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5645: NULL pointer access when removing jack (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: yc: Add DMI entries to support Victus by HP Gaming Laptop 15-fb0xxx (8A3E) (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l45: Add AMP Enable Switch control (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l45: Connect DSP to the monitoring signals (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l45: Analog PCM Volume and Amplifier Mode controls (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l45: Checks index of cs35l45_irqs[] (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/tas2781: Use standard clamp() macro (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Waiting for firmware to boot must be tolerant of I/O errors (Jaroslav Kysela) [RHEL-13724]
- ALSA: pcm: Fix missing fixup call in compat hw_refine ioctl (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l43: Fix missing error code in cs42l43_codec_probe() (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l45: Rename DACPCM1 Source control (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l45: Fix "Dead assigment" warning (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l45: Add support for Chip ID 0x35A460 (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd93xx: fix object added to multiple drivers (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Don't try to submit URBs after disconnection (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Fix -Wformat-truncation warnings (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: add separate documentation for E-MU cards (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: more documentation updates (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: de-duplicate audigy-mixer.rst vs. sb-live-mixer.rst (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: Add missing dependency on CONFIG_EFI for Cirrus/TI sub-codecs (Jaroslav Kysela) [RHEL-13724]
- ALSA: doc: Fix missing backquote in midi-2.0.rst (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-core.c: Do not error if a DAI link component is not found (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: Fix error code in aw88261_i2c_probe() (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add quirk for mute LEDs on HP ENVY x360 15-eu0xxx (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l43: Use new-style PM runtime macros (Jaroslav Kysela) [RHEL-13724]
- ALSA: documentation: Add description for USB MIDI 2.0 gadget driver (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Don't create unused substreams for static blocks (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Fill group names for legacy rawmidi substreams (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Attach legacy rawmidi after probing all UMP EPs (Jaroslav Kysela) [RHEL-13724]
- ASoC: audio-graph-card.c: move audio_graph_parse_of() (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: yc: Fix a non-functional mic on Lenovo 82SJ (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l43: Initialize ret in default case in cs42l43_pll_ev() (Jaroslav Kysela) [RHEL-13724]
- ALSA: ymfpci: Fix the missing snd_card_free() call at probe error (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Override the _DSD for HP Zbook Fury 17 G9 to correct boost type (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add quirk for HP Victus 16-d1xxx to enable mute LED (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l41: Correct amp_gain_tlv values (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: amd: clear dsp to host interrupt status (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: amd: clear panic mask status when panic occurs (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: amd: add conditional check for acp_clkmux_sel register (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: amd: remove redundant clock mux selection register write (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: amd: add module parameter for firmware debug (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: amd: enable ACP external global interrupt (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: amd: remove unused sha dma interrupt code (Jaroslav Kysela) [RHEL-13724]
- ASoC: nau8821: Improve AMIC recording performance. (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: nau8821: Add single-ended input feature (Jaroslav Kysela) [RHEL-13724]
- ALSA: ac97: Fix possible error value of *rac97 (Jaroslav Kysela) [RHEL-13724]
- ASoC: audio-graph-card2: add comment for format property (Jaroslav Kysela) [RHEL-13724]
- ASoC: Delete UDA134x/L3 audio codec (Jaroslav Kysela) [RHEL-13724]
- ALSA: pcmtest: Add support for pcm pausing (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Add module parameter to ignore the CPC value (Jaroslav Kysela) [RHEL-13724]
- ASoC: pxa: merge DAI call back functions into ops (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl: merge DAI call back functions into ops (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Modify the reference output valid_bits for copier (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Fix pipeline params at the output of copier (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Fix the output reference params for SRC (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Modify pipeline params based on SRC output format (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Fix init call orders for UAC1 (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Fix spelling mistake "powe" -> "power" (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/tas2781: Fix PM refcount unbalance at tas2781_hda_bind() (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/tas2781: Fix acpi device refcount leak at tas2781_read_acpi() (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5640: fix typos (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: yc: Add VivoBook Pro 15 to quirks list for acp6x (Jaroslav Kysela) [RHEL-13724]
- ALSA: asihpi: Remove unused declarations (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/tas2781: Add tas2781 HDA driver (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/tas2781: Add tas2781 HDA driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: Add kcontrols and widgets per-codec in common code (Jaroslav Kysela) [RHEL-13724]
- ALSA: aoa: Fix typos in PCM fix patch (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5645: improve the depop sequences of CBJ detection (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5682s: Convert to use GPIO descriptors (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5682: Convert to use GPIO descriptors (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5668: Convert to use GPIO descriptors (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5665: Convert to use GPIO descriptors (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5640: Convert to just use GPIO descriptors (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Add an ACPI match table (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Read firmware uuid from a device property instead of _SUB (Jaroslav Kysela) [RHEL-13724]
- ALSA: Make SND_PCMTEST depend on DEBUG_FS (Jaroslav Kysela) [RHEL-13724]
- ASoC: tas2781: fixed register access error when switching to other chips (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: change cs35l41_prop_model to static (Jaroslav Kysela) [RHEL-13724]
- ALSA: core: Drop snd_device_initialize() (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Create device with snd_device_alloc() (Jaroslav Kysela) [RHEL-13724]
- ALSA: timer: Create device with snd_device_alloc() (Jaroslav Kysela) [RHEL-13724]
- ALSA: compress: Don't embed device (Jaroslav Kysela) [RHEL-13724]
- ALSA: rawmidi: Don't embed device (Jaroslav Kysela) [RHEL-13724]
- ALSA: hwdep: Don't embed device (Jaroslav Kysela) [RHEL-13724]
- ALSA: pcm: Don't embed device (Jaroslav Kysela) [RHEL-13724]
- ALSA: control: Don't embed ctl_dev (Jaroslav Kysela) [RHEL-13724]
- ALSA: core: Introduce snd_device_alloc() (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-pcm: fix possible null pointer deference (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Fix the loop check in cs35l41_add_dsd_properties (Jaroslav Kysela) [RHEL-13724]
- firmware: cs_dsp: Fix new control name check (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Support systems with missing _DSD properties (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wm8904: Fix Wvoid-pointer-to-enum-cast warning (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: tlv320aic32x4: Fix Wvoid-pointer-to-enum-cast warning (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: intel-dsp-cfg: Add Chromebook quirk to ADL/RPL (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Don't overwrite a patched firmware (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm_adsp: Support powering-up DSP without trying to load firmware (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek - Remodified 3k pull low procedure (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: topology: Add a token for dropping widget name in kcontrol name (Jaroslav Kysela) [RHEL-13724]
- ASoC: dapm: Add a flag for not having widget name in kcontrol name (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: Refactor code for HDA stream creation (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: RPL: Add entry for HDMI-In capture support on non-I2S codec boards. (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: soc-acpi: add support for Dell SKU0C87 devices (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: soc-acpi: Add entry for HDMI_In capture support in RPL match table (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: soc-acpi: Add entry for sof_es8336 in RPL match table. (Jaroslav Kysela) [RHEL-13724]
- ASoC: tlv320aic32x4: Fix the divide by zero (Jaroslav Kysela) [RHEL-13724]
- ASoC: ak4613: Simplify probe() (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt715: Drop GPIO includes (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5682-sdw: Drop GPIO includes (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5660: Drop GPIO includes (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5659: Drop legacy GPIO include (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5645: Drop legacy GPIO include (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5514: Drop GPIO include (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5514-spi: Drop GPIO include (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1308: Drop GPIO includes (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1305: Drop GPIO includes (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1019: Drop GPIO include (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1016: Drop GPIO include (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1015p: Drop legacy GPIO include (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1015: Drop GPIO include (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1011: Drop GPIO includes (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-jack: calling snd_soc_jack_report causes a null pointer access (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/cs8409: Support new Dell Dolphin Variants (Jaroslav Kysela) [RHEL-13724]
- ASoC: q6dsp: Remove unused declaration (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1308-sdw: fix random louder sound (Jaroslav Kysela) [RHEL-13724]
- ALSA: Remove redundant of_match_ptr() (Jaroslav Kysela) [RHEL-13724]
- ASoC: ssm3515: Remove redundant of_match_ptr() (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc3: Use devm_kmemdup to replace devm_kmalloc + memcpy (Jaroslav Kysela) [RHEL-13724]
- ASoC: tas5805m: Use devm_kmemdup to replace devm_kmalloc + memcpy (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt715: Add software reset in io init (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: Remove duplicated include in lnl.c (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: Initialize chip in hda_sdw_check_wakeen_irq() (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Switch Dell Oasis models to use SPI (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add quirks for HP G11 Laptops (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Update the basecfg for copier earlier (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: amd: Enable signed firmware image loading for Vangogh platform (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: amd: Add support for signed fw image loading (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: amd: Add sof support for vangogh platform (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-dai.h: remove unused call back functions (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs/hdmi-codec: merge DAI call back functions into ops (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs/cx2072x: merge DAI call back functions into ops (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs/cs47lxx: merge DAI call back functions into ops (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-topology: merge DAI call back functions into ops (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs/wm*: merge DAI call back functions into ops (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: merge DAI call back functions into ops (Jaroslav Kysela) [RHEL-13724]
- ASoC: tegra: merge DAI call back functions into ops (Jaroslav Kysela) [RHEL-13724]
- ASoC: qcom: merge DAI call back functions into ops (Jaroslav Kysela) [RHEL-13724]
- ASoC: sof: merge DAI call back functions into ops (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl: merge DAI call back functions into ops (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: merge DAI call back functions into ops (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-dai.h: merge DAI call back functions into ops (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Call wm_adsp_power_down() before reloading firmware (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm_adsp: Expose the DSP power down actions as wm_adsp_power_down() (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Wait for control port ready during system-resume (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Don't rely on GPIOD_OUT_LOW to set RESET initially low (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Avoid uninitialized variable in cs35l56_set_asp_slot_positions() (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Simplify get_slave_info (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Allow different devices on the same link (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Support multiple groups on the same link (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Device loop should not always start at adr_index (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Move range check of codec_conf into inner loop (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Update DLC index each time one is added (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Pull device loop up into create_sdw_dailink (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Add helper to create a single codec DLC (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof-sdw: Move check for valid group id to get_dailink_info (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Check link mask validity in get_dailink_info (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Remove duplicate NULL check on adr_link (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Printk's should end with a newline (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: aw88261: avoid uninitialized variable warning (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: intel: hda: Clean up link DMA for IPC3 during stop (Jaroslav Kysela) [RHEL-13724]
- ASoC: imx-audio-rpmsg: Remove redundant initialization owner in imx_audio_rpmsg_driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof-sdw: update jack detection quirk for LunarLake RVP (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof-sdw-cs42142: fix for codec button mapping (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-mlink: add sublink to dev_dbg() log (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: IPC4: clarify 'pipeline_ids' usage and logs (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai-ops: reset device count for SoundWire DAIs (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-mlink: add helper to get sublink LSDIID register (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: add abstraction for SoundWire wake-ups (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda: add hw_params/free/trigger callbacks (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai: add helpers for SoundWire callbacks (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai-ops: add ops for SoundWire (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai-ops: only allocate/release streams for first CPU DAI (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai: add DMIC support (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai: add ops for SSP (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai-ops: add/select DMA ops for SSP (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: LNL: enable DMIC/SSP offload in probe/resume (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: split MTL and LNL operations (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: LNL: Add support for Lunarlake platform (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda: add interface definitions for ACE2.x (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: restore gateway config length (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4: avoid uninitialized default instance 0 (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: fix u16/32 confusion in LSDIID (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-mlink: fix off-by-one error (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/tegra: refactor deprecated strncpy (Jaroslav Kysela) [RHEL-13724]
- ALSA: info: Remove unused function declarations (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Fix incorrect use of sizeof in sof_ipc3_do_rx_work() (Jaroslav Kysela) [RHEL-13724]
- ASoC: lower "no backend DAIs enabled for ... Port" log severity (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp3x-rt5682-max9836: Configure jack as not detecting Line Out (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: Add aw88261 amplifier driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: Add code for bin parsing compatible with aw88261 (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: Add schema for "awinic,aw88261" (Jaroslav Kysela) [RHEL-13724]
- ALSA: pcmtest: Remove redundant definitions (Jaroslav Kysela) [RHEL-13724]
- ALSA: pcmtest: Move buffer iterator initialization to prepare callback (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l43: Add support for the cs42l43 (Jaroslav Kysela) [RHEL-13724]
- pinctrl: cs42l43: Add support for the cs42l43 (Jaroslav Kysela) [RHEL-13724]
- mfd: cs42l43: Add support for cs42l43 core driver (Jaroslav Kysela) [RHEL-13724]
- dt-bindings: mfd: cirrus,cs42l43: Add initial DT binding (Jaroslav Kysela) [RHEL-13724]
- soundwire: bus: Allow SoundWire peripherals to register IRQ handlers (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: Add rpl_nau8318_8825 driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: max98363: don't return on success reading revision ID (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8960: Add support for the power supplies (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: wlf,wm8960: Describe the power supplies (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-acpi: Add missing kernel doc (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: mediatek: mt8186 modify dram type as non-cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl: micfil: Use dual license micfil code (Jaroslav Kysela) [RHEL-13724]
- soundWire: intel_auxdevice: resume 'sdw-master' on startup and system resume (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_auxdevice: enable pm_runtime earlier on startup (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Add support for Mythware XA001AU capture and playback interfaces. (Jaroslav Kysela) [RHEL-13724]
- ASoC: qcom: sdm845: Map missing jack kcontrols (Jaroslav Kysela) [RHEL-13724]
- ASoC: qcom: sc7280: Map missing jack kcontrols (Jaroslav Kysela) [RHEL-13724]
- ASoC: qcom: sc7180: Map missing jack kcontrols (Jaroslav Kysela) [RHEL-13724]
- ASoC: qcom: apq8016_sbc: Map missing jack kcontrols (Jaroslav Kysela) [RHEL-13724]
- ASoC: imx-es8328: Map missing jack kcontrols (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_da7219_max98373: Map missing Line Out jack kcontrol (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: kbl_da7219_max98927: Map missing Line Out jack kcontrol (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: kbl_da7219_max98357a: Map missing Line Out jack kcontrol (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: bytcr_wm5102: Map missing Line Out jack kcontrol (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: bxt_da7219_max98357a: Map missing Line Out jack kcontrol (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs: da7219: Map missing jack kcontrols (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp3x-rt5682-max9836: Map missing jack kcontrols (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: Map missing jack kcontrols (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp-rt5645: Map missing jack kcontrols (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp-da7219-max98357a: Map missing jack kcontrols (Jaroslav Kysela) [RHEL-13724]
- ASoC: max98373-sdw: enable pm_runtime in probe, keep status as 'suspended' (Jaroslav Kysela) [RHEL-13724]
- ASoC: max98363: enable pm_runtime in probe, keep status as 'suspended' (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5682-sdw: enable pm_runtime in probe, keep status as 'suspended' (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1318-sdw: enable pm_runtime in probe, keep status as 'suspended' (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1316-sdw: enable pm_runtime in probe, keep status as 'suspended' (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1308-sdw: enable pm_runtime in probe, keep status as 'suspended' (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt715-sdca: enable pm_runtime in probe, keep status as 'suspended' (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt715: enable pm_runtime in probe, keep status as 'suspended' (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt712-sdca-dmic: enable pm_runtime in probe, keep status as 'suspended' (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1712-sdca: enable pm_runtime in probe, keep status as 'suspended' (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt700: enable pm_runtime in probe, keep status as 'suspended' (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt711-sdca: enable pm_runtime in probe, keep status as 'suspended' (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt711: enable pm_runtime in probe, keep status as 'suspended' (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5682-sdw: make regmap cache-only in probe (Jaroslav Kysela) [RHEL-13724]
- ASoC: SoundWire codecs: make regmap cache-only in probe (Jaroslav Kysela) [RHEL-13724]
- ASoC: SoundWire codecs: return error status in probe (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/i915: extend connectivity check to cover Intel ARL (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: intel-dsp-cfg: add LunarLake support (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: intel-dsp-cfg: use common include for MeteorLake (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: add HD Audio PCI ID for Intel Arrow Lake-S (Jaroslav Kysela) [RHEL-13724]
- PCI: add ArrowLake-S PCI ID for Intel HDAudio subsystem. (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Print amp configuration after bind (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_ace2x: add DAI hw_params/prepare/hw_free callbacks (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl_micfil: Use SET_SYSTEM_SLEEP_PM_OPS to simplify PM (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl_micfil: Add fsl_micfil_use_verid function (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl_micfil: Add new registers and new bit definition (Jaroslav Kysela) [RHEL-13724]
- ALSA: ac97: set variables dev_attr_vendor_id to static (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5665: add missed regulator_bulk_disable (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Remove unused function declaration (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Move group_generated logic (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Merge codec_conf_alloc into dailink_info (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Clean up DAI link counting (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: add cs35l56 codec info (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Allow direct specification of CODEC name (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: break earlier when a adr link contains different codecs (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Move amp_num initialisation to mc_probe (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Remove redundant parameters in dai creation (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Minor tidy up of mc_probe (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Constify parameter to find_codec_part_info (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Simplify find_codec_info_acpi (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Use a module device table (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Remove some extra line breaks (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Rename codec_idx to codec_dlc_index (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof_sdw: Use consistent variable naming for links (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: add support for SKU 0AFE (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: rename link_id to be_id (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: allow mockup amplifier to provide feedback (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: reorder SoundWire codecs in Kconfig (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: Update BT offload config for soundwire config (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_rt5682 add support for HDMI_In capture (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_rt5682: add RPL support for MAX98357A speaker (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 0 in RPL match table (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp5x-mach:add checks to avoid static analysis warnings (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: atom: remove static analysis false positive (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: bdw_rt286: add checks to avoid static analysis warnings (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: imx: remove error checks on NULL ipc (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: topology: simplify code to prevent static analysis warnings (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc3: add checks to prevent static analysis warnings (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: sof-client-probes-ipc4: add checks to prevent static analysis warnings (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Deprecate invalid enums in IPC3 (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc3: update dai_link_fixup for SOF_DAI_MEDIATEK_AFE (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-acpi: improve log messagesin link_slaves_found() (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-acpi: move link_slaves_found() (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: start simplify the signature of link_slaves_found() (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/cs35l56: Reject I2C alias addresses (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/cs35l56: Fail if .bin not found and firmware not patched (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/cs35l56: Do not download firmware over existing RAM firmware (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/cs35l56: cs_dsp_power_down() on cs35l56_hda_fw_load() error path (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/cs35l56: Call cs_dsp_power_down() before calling cs_dsp_remove() (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/cs35l56: Always power-up and start cs_dsp (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/cs35l56: Call cs_dsp_power_down() before reloading firmware (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/cs35l56: Do not mark cache dirty after REINIT (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/cs35l56: Complete firmware reboot before calling cs_dsp_run() (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8960: Make automatic the default clocking mode (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8960: Read initial MCLK rate from clock API (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: maxim-common: get codec number from ACPI table (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_auxdevice: add hybrid IDA-based device_number allocation (Jaroslav Kysela) [RHEL-13724]
- soundwire: bus: add callbacks for device_number allocation (Jaroslav Kysela) [RHEL-13724]
- soundwire: extend parameters of new_peripheral_assigned() callback (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: max9892x: Reformat to coding style (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: max9892x: Unify interleave mode OF property (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: Convert maxim,max98925 to DT schema (Jaroslav Kysela) [RHEL-13724]
- soundwire: qcom: handle command ignored interrupt (Jaroslav Kysela) [RHEL-13724]
- soundwire: qcom: use newer link status tregister on v2.0.0 (Jaroslav Kysela) [RHEL-13724]
- ASoC: 88pm860x: refactor deprecated strncpy (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl_micfil: refactor deprecated strncpy (Jaroslav Kysela) [RHEL-13724]
- ALSA: bcd2000: refactor deprecated strncpy (Jaroslav Kysela) [RHEL-13724]
- ALSA: xen-front: refactor deprecated strncpy (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/cs35l56: Do some clean up on probe error (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1017: Remove unused function (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Support ASUS G713PV laptop (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Update for native DSD support quirks (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl: fsl_qmc_audio: Fix snd_pcm_format_t values handling (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1316: fix key tone missing (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: avs: refactor strncpy usage in topology (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l51: change cs42l51_of_match to static (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc3-dtrace: Switch to memdup_user_nul() helper (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/relatek: Enable Mute LED on HP 250 G8 (Jaroslav Kysela) [RHEL-13724]
- Documentation: core-api: Drop :export: for int_log.h (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Add quirk for Microsoft Modern Wireless Headset (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8904: Fill the cache for WM8904_ADC_TEST_0 register (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: lpass: Log clk_get() failures (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Ensure amp is only unmuted during playback (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Add device_link between HDA and cs35l41_hda (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Rework System Suspend to ensure correct call separation (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Use pre and post playback hooks (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: hda_component: Add pre and post playback hooks to hda_component (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Move Play and Pause into separate functions (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Ensure we pass up any errors during system suspend. (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Ensure we correctly re-sync regmap before system suspending. (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Check mailbox status of pause command after firmware load (Jaroslav Kysela) [RHEL-13724]
- ALSA: cs35l41: Poll for Power Up/Down rather than waiting a fixed delay (Jaroslav Kysela) [RHEL-13724]
- ALSA: cs35l41: Use mbox command to enable speaker output for external boost (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: add HDMI codec ID for Intel LNL (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/hdmi: keep codec entries in numerical order (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/cs35l56: Add driver for Cirrus Logic CS35L56 amplifier (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: Fix missing header dependencies (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Make a common function to shutdown the DSP (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Make common function for control port wait (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Move part of cs35l56_init() to shared library (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Move cs_dsp init into shared library (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Move runtime suspend/resume to shared library (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Move utility functions to shared file (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Convert utility functions to use common data structure (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Make cs35l56_system_reset() code more generic (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Move shared data into a common data structure (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: remove redundant unsigned comparison to zero (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt722-sdca: fix for JD event handling in ClockStop Mode0 (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt712-sdca: fix for JD event handling in ClockStop Mode0 (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt711-sdca: fix for JD event handling in ClockStop Mode0 (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt711: fix for JD event handling in ClockStop Mode0 (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5682-sdw: fix for JD event handling in ClockStop Mode0 (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8960: Add DAC filter characteristics selection (Jaroslav Kysela) [RHEL-13724]
- ALSA: ice1712: Use the standard snd_ctl_add_followers() helper (Jaroslav Kysela) [RHEL-13724]
- ALSA: ca0106: Use the standard snd_ctl_add_followers() helper (Jaroslav Kysela) [RHEL-13724]
- ALSA: ac97: Use the standard snd_ctl_add_followers() helper (Jaroslav Kysela) [RHEL-13724]
- ALSA: vmaster: Add snd_ctl_add_followers() helper (Jaroslav Kysela) [RHEL-13724]
- regmap: maple: Use alloc_flags for memory allocations (Jaroslav Kysela) [RHEL-13724]
- ALSA: ac97: Simplify with snd_ctl_find_id_mixer() (Jaroslav Kysela) [RHEL-13724]
- ALSA: cmipci: Simplify with snd_ctl_find_id_mixer() (Jaroslav Kysela) [RHEL-13724]
- ALSA: via82xx: Simplify with snd_ctl_find_id_mixer() (Jaroslav Kysela) [RHEL-13724]
- ALSA: maestro3: Simplify with snd_ctl_find_id_mixer() (Jaroslav Kysela) [RHEL-13724]
- ALSA: ice1712: Simplify with snd_ctl_find_id_mixer() (Jaroslav Kysela) [RHEL-13724]
- ALSA: es1968: Simplify with snd_ctl_find_id_mixer() (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: Simplify with snd_ctl_find_id_mixer() (Jaroslav Kysela) [RHEL-13724]
- ALSA: cs46xx: Simplify with snd_ctl_find_id_mixer() (Jaroslav Kysela) [RHEL-13724]
- ALSA: ca0106: Simplify with snd_ctl_find_id_mixer() (Jaroslav Kysela) [RHEL-13724]
- ALSA: control: Introduce snd_ctl_find_id_mixer() (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add support for DELL Oasis 13/14/16 laptops (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Fix generic fixup definition for cs35l41 amp (Jaroslav Kysela) [RHEL-13724]
- regmap: Disable locking for RBTREE and MAPLE unit tests (Jaroslav Kysela) [RHEL-13724]
- ASoC: nau8821: Add DMI quirk mechanism for active-high jack-detect (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl_spdif: Silence output on stop (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl_spdif: Add support for 22.05 kHz sample rate (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: fix SND_SOC_AMD_ACP_PCI depdenencies (Jaroslav Kysela) [RHEL-13724]
- ASoc: codecs: ES8316: Fix DMIC config (Jaroslav Kysela) [RHEL-13724]
- regcache: Push async I/O request down into the rbtree cache (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Enable Mute LED on HP Laptop 15s-eq2xxx (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add quirk for Clevo NS70AU (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Patch soft registers to defaults (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: Go back and simplify with snd_ctl_find_id() (Jaroslav Kysela) [RHEL-13724]
- ALSA: control: Take lock in snd_ctl_find_id() and snd_ctl_find_numid() (Jaroslav Kysela) [RHEL-13724]
- ALSA: control: Introduce unlocked version for snd_ctl_find_*() helpers (Jaroslav Kysela) [RHEL-13724]
- ALSA: control: Make snd_ctl_find_id() argument const (Jaroslav Kysela) [RHEL-13724]
- staging: greybus: Avoid abusing controls_rwsem (Jaroslav Kysela) [RHEL-13724]
- ASoC: sigmadsp: Simplify with snd_ctl_activate_id() (Jaroslav Kysela) [RHEL-13724]
- ALSA: control: Add lockdep warning to internal functions (Jaroslav Kysela) [RHEL-13724]
- ALSA: control: Take controls_rwsem lock in snd_ctl_remove() (Jaroslav Kysela) [RHEL-13724]
- ASoC: atmel: mchp-pdmc: Use snd_ctl_remove_id() (Jaroslav Kysela) [RHEL-13724]
- ALSA: control: Take card->controls_rwsem in snd_ctl_rename() (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: msm8916-wcd-analog: Properly handle probe errors (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: msm8916-wcd-analog: Drop invalid mclk (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: pm8916-analog-codec: Drop invalid mclk (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: pm8916-analog-codec: Drop pointless reg-names (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: pm8916-analog-codec: Fix misleading example (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1017: Add RT1017 SDCA amplifier driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: delete unnecessary NULL check (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: Use regcache_reg_cached() rather than open coding (Jaroslav Kysela) [RHEL-13724]
- regmap: Provide test for regcache_reg_present() (Jaroslav Kysela) [RHEL-13724]
- regmap: Let users check if a register is cached (Jaroslav Kysela) [RHEL-13724]
- ASoC: da7219: Check for failure reading AAD IRQ events (Jaroslav Kysela) [RHEL-13724]
- ASoC: da7219: Flush pending AAD IRQ when suspending (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sst: Convert to PCI device IDs defines (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: Convert to PCI device IDs defines (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: Skylake: Convert to PCI device IDs defines (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs: Convert to PCI device IDs defines (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs: Convert to PCI device IDs defines (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: Convert to PCI device IDs defines (Jaroslav Kysela) [RHEL-13724]
- ALSA: intel-dsp-config: Convert to PCI device IDs defines (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: Skylake: Use global PCI match macro (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/i915: Use global PCI match macro (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: Use global PCI match macro (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: Add controller matching macros (Jaroslav Kysela) [RHEL-13724]
- ALSA: Remove unused Broxton PCI ID (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Remove unused Broxton PCI ID (Jaroslav Kysela) [RHEL-13724]
- PCI: Add Intel Audio DSP devices to pci_ids.h (Jaroslav Kysela) [RHEL-13724]
- PCI: Sort Intel PCI IDs by number (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Fix memory leak at error path in snd_seq_create_port() (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: ES8326: Update jact detection function (Jaroslav Kysela) [RHEL-13724]
- ASOC: codecs: ES8326: Add calibration support for version_b (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: ES8326: Fix power-up sequence (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: ES8326: Change Volatile Reg function (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: ES8326: Change Hp_detect register names (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: rework copyright statements (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: clean up driver status comments (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: set the "no filtering" bits on PCM voices on Audigy (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: track loss of external clock on E-MU cards 85;95;0c This uses IRQs to track spontaneous changes to the word clock source register. (Jaroslav Kysela) [RHEL-13724]
- ALSA: Explicitly include correct DT includes (Jaroslav Kysela) [RHEL-13724]
- soundwire: Explicitly include correct DT includes (Jaroslav Kysela) [RHEL-13724]
- tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl_rpmsg: Add support for i.MX93 platform (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: fsl_rpmsg: Add compatible string for i.MX93 (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: ES8326: Add es8326_mute function (Jaroslav Kysela) [RHEL-13724]
- ASoC: codec: wm8960: add additional probe check for codec identification (Jaroslav Kysela) [RHEL-13724]
- ASoC: q6dsp: q6apm: make g_apm static (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: amd: Add Probe register offset for renoir and rembrandt platform. (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Add acp-probe id to sof probe client driver for registration. (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: amd: Add Probe functionality support for amd platforms. (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l51: fix driver to properly autoload with automatic module loading (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-dai: don't call PCM audio ops if the stream is not supported (Jaroslav Kysela) [RHEL-13724]
- ALSA: pcmtest: minor optimizations (Jaroslav Kysela) [RHEL-13724]
- ALSA: pcmtest: Add 'open' PCM callback error injection (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek - remove 3k pull low procedure (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: clean up some inconsistent indentings (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm9713: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm9712: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm9705: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8988: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8985: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8983: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8978: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8971: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8955: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8940: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8996: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8995: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8993: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8991: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8962: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8961: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8960: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8904: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8903: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8900: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8804: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8776: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8770: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8753: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8750: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8741: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8737: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8731: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8728: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8711: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8580: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8523: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm8510: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm9090: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm9081: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm5100: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm2200: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs4349: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42xx8: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l56: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l52: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs4270: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs4265: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l51: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l45: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l41: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l36: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: adav80x: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: adau7118: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: adau1977: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: adau1781: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: adau1761: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: adau1701: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: adau1373: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: adau1372: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: ad1980: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: ad1836: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_rt5682: add jsl_rt5650 board config (Jaroslav Kysela) [RHEL-13724]
- ASoC: sti-sas: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: stac9766: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: sta529: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: sta350: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: sta32x: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wsa883x: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wsa881x: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wcd938x: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: wcd9335: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: remove superfluous IRQ enable state saving (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: fix return value of snd_emu1010_adc_pads_put() (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl_sai: Disable bit clock with transmitter (Jaroslav Kysela) [RHEL-13724]
- ASoC: jz4740: Update to use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5640: Fix the issue of speaker noise (Jaroslav Kysela) [RHEL-13724]
- ASoC: tegra: tegra20_ac97: Use devm_platform_get_and_ioremap_resource() (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: make E-MU dock monitoring interrupt-driven (Jaroslav Kysela) [RHEL-13724]
- ASoC: simple-card.c: enable multi Component support (Jaroslav Kysela) [RHEL-13724]
- ASoC: simple-card-utils.c: enable multi Component support (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-core.c: add snd_soc_copy_dai_args() (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-core.c: add snd_soc_dlc_use_cpu_as_platform() (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-core.c: add snd_soc_get_dai_via_args() (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-core.c: enable multi Component (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-dai.c: add DAI get/match functions (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps: Fix extraneous error messages (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: Add new dmi entries to config entry (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: Add acpi machine id's for vangogh platform (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: Add machine driver support for max98388 codec (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: Add machine driver support for nau8821 codec (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc3-dtrace: uninitialized data in dfsentry_trace_filter_write() (Jaroslav Kysela) [RHEL-13724]
- ALSA: pcmtest: Don't use static storage to track per device data (Jaroslav Kysela) [RHEL-13724]
- ALSA: pcmtest: Convert to platform remove callback returning void (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps-sdw-dma: Convert to platform remove callback returning void (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add quirk for ASUS ROG GZ301V (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl_sai: Revert "ASoC: fsl_sai: Enable MCTL_MCLK_EN bit for master mode" (Jaroslav Kysela) [RHEL-13724]
- ALSA: jack: Fix mutex call in snd_jack_report() (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: SND_SOC_WCD934X should select REGMAP_IRQ (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: vangogh: Add support for NAU8821/MAX98388 variant (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: vangogh: Use dmi_first_match() for DMI quirk handling (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: vangogh: Make use of DRV_NAME (Jaroslav Kysela) [RHEL-13724]
- ASoC: qcom: q6apm: do not close GPR port before closing graph (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd938x: fix dB range for HPHL and HPHR (Jaroslav Kysela) [RHEL-13724]
- ASoC: qcom: q6afe-dai: fix Display Port Playback stream name (Jaroslav Kysela) [RHEL-13724]
- ASoC: core: suppress probe deferral errors (Jaroslav Kysela) [RHEL-13724]
- ASoC: topology: suppress probe deferral errors (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd-mbhc-v2: fix resource leaks on component remove (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd934x: fix resource leaks on component remove (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd938x: fix resource leaks on component remove (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd938x: fix missing clsh ctrl error handling (Jaroslav Kysela) [RHEL-13724]
- ASoC: qdsp6: audioreach: fix topology probe deferral (Jaroslav Kysela) [RHEL-13724]
- soundwire: fix enumeration completion (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: ump: fix typo in system_2p_ev_to_ump_midi1() (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5645: add the system level suspend-resume callback (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5645: check return value after reading device id (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5645: implement set_jack callback (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Whitespace fix (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add quirk for ASUS ROG G614Jx (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Amend G634 quirk to enable rear speakers (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add quirk for ASUS ROG GA402X (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add quirk for ASUS ROG GX650P (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l45: Select REGMAP_IRQ (Jaroslav Kysela) [RHEL-13724]
- ASoC: nau8825: Replace copied'n'pasted intlog10() (Jaroslav Kysela) [RHEL-13724]
- lib/math/int_log: Replace LGPL-2.1-or-later boilerplate with SPDX identifier (Jaroslav Kysela) [RHEL-13724]
- lib/math/int_log: Use ARRAY_SIZE(logtable) where makes sense (Jaroslav Kysela) [RHEL-13724]
- lib/math: Move dvb_math.c into lib/math/int_log.c (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd938x: fix missing mbhc init error handling (Jaroslav Kysela) [RHEL-13724]
- ALSA: pcm: Fix potential data race at PCM memory allocation helpers (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: fix a possible null-pointer dereference due to data race in snd_hdac_regmap_sync() (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add quirks for Unis H3C Desktop B760 & Q760 (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd938x: fix soundwire initialisation race (Jaroslav Kysela) [RHEL-13724]
- ASoC: stac9766: fix build errors with REGMAP_AC97 (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5677: Sort headers alphabetically (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5677: Use device_get_match_data() (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5677: Use agnostic irq_domain_create_linear() (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5677: Refactor GPIO support code (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd934x: drop inline keywords (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd934x: demote impedance printk (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd938x: use dev_printk() for impedance logging (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd938x: drop inline keywords (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd938x: fix mbhc impedance loglevel (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd938x: fix codec initialisation race (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: amd: refactor PSP smn_read (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: amd: add revision check for sending sha dma completion command (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-core: protect dlc->of_node under mutex (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs: rt5682: Tidy up hw_params() (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs: rt5682: Add missing components (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs: Load rt5663 board on KBL-based platforms (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs: Add rt5663 machine board (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs: Load es8336 board on KBL-based platforms (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs: Add es8336 machine board (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: es8316: Add support for S24_3LE format (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: es8316: Add support for 24 MHz MCLK (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5640: Fix sleep in atomic context (Jaroslav Kysela) [RHEL-13724]
- ASoC: tegra: Fix ADX byte map (Jaroslav Kysela) [RHEL-13724]
- ASoC: tegra: Fix AMX byte map (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add quirk for Clevo NPx0SNx (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Correct wrong byte size at converting a UMP System message (Jaroslav Kysela) [RHEL-13724]
- ASoC: qdsp6: q6apm: use dai link pcm id as pcm device number (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: nau8821: Convert to dtschema (Jaroslav Kysela) [RHEL-13724]
- ASoC: Convert pm8916-wcd-analog-codec to YAML (Jaroslav Kysela) [RHEL-13724]
- soundwire: amd: Fix a check for errors in probe() (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: add pm ops support for rembrandt platform (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: move pdm macros to common header file (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: store the pdm stream channel mask (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: export config_acp_dma() and config_pte_for_stream() symbols (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: store xfer_resolution of the stream (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: add pm ops support for acp pci driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: store platform device reference created in pci probe call (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: remove the redundant acp enable/disable interrupts functions (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: add acp i2s master clock generation for rembrandt platform (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: refactor the acp init and de-init sequence (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Enable mute/micmute LEDs and limit mic boost on EliteBook (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: fix for invalid dai id handling in acp_get_byte_count() (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps: fix byte count return value for invalid SoundWire manager instance (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps: add comments for DMA register mapping (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps: fix for position register set for AUDIO0 RX stream (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps: add fix for dma irq mask for rx streams for SDW0 instance (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps: add comments for DMA irq bits mapping (Jaroslav Kysela) [RHEL-13724]
- ASoC: imx-pcm-rpmsg: Set PCM hardware parameters separately (Jaroslav Kysela) [RHEL-13724]
- ASoC: tas2781: No need to set device_driver owner (Jaroslav Kysela) [RHEL-13724]
- ASoC: hdmi-codec: fix channel info for compressed formats (Jaroslav Kysela) [RHEL-13724]
- ALSA: pcm: fix ELD constraints for (E)AC3, DTS(-HD) and MLP formats (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: update pm_runtime enable sequence (Jaroslav Kysela) [RHEL-13724]
- ASoC: core: Always store of_node when getting DAI link component (Jaroslav Kysela) [RHEL-13724]
- ASoC: tas2781: Fix error code in tas2781_load_calibration() (Jaroslav Kysela) [RHEL-13724]
- ASoC: simple-card: Handle additional devices (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: Add support for the generic IIO auxiliary devices (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-dapm.h: Convert macros to return a compound literal (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Export MIDI1 / UMP conversion helpers (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: remove acp poweroff function (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: acp: clear pdm dma interrupt mask (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: max98090: Allow dsp_a mode (Jaroslav Kysela) [RHEL-13724]
- ASoC: tegra: Remove stale comments in AHUB (Jaroslav Kysela) [RHEL-13724]
- ASoC: tegra: Use normal system sleep for ASRC (Jaroslav Kysela) [RHEL-13724]
- ASoC: tegra: Fix SFC conversion for few rates (Jaroslav Kysela) [RHEL-13724]
- ASoC: tas2781: fix Kconfig dependencies (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add quirks for ROG ALLY CS35l41 audio (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs43130: Fix numerator/denominator mixup (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Export snd_ump_receive_ump_val() (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Add no_process_stream flag (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Add helper to change MIDI protocol (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add quirk for ASUS ROG GV601V (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt722-sdca: Remove redundant sdca mask (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-core.c: cleanup soc_dai_link_sanity_check() (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-core.c: initialize dlc on snd_soc_get_dai_id() (Jaroslav Kysela) [RHEL-13724]
- ASoC: tas2781: Fix spelling mistake "calibraiton" -> "calibration" (Jaroslav Kysela) [RHEL-13724]
- ASoC: simple-card.c: use snd_soc_{of_}get_dlc() (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-core.c: use snd_soc_{of_}get_dlc() (Jaroslav Kysela) [RHEL-13724]
- ASoC: samsung: use snd_soc_{of_}get_dlc() (Jaroslav Kysela) [RHEL-13724]
- ASoC: meson: use snd_soc_{of_}get_dlc() (Jaroslav Kysela) [RHEL-13724]
- ASoC: qcom: use snd_soc_{of_}get_dlc() (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl: use snd_soc_{of_}get_dlc() (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-core.c: add index on snd_soc_of_get_dai_name() (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-core.c: add snd_soc_{of_}get_dlc() (Jaroslav Kysela) [RHEL-13724]
- ASoC: q6dsp: q6apm-dai: Add mmap and copy compress DAI callbacks (Jaroslav Kysela) [RHEL-13724]
- ASoC: q6dsp: q6apm-dai: Add compress set params and metadata DAI callbacks (Jaroslav Kysela) [RHEL-13724]
- ASoC: q6dsp: q6apm-dai: Add trigger/pointer compress DAI callbacks (Jaroslav Kysela) [RHEL-13724]
- ASoC: q6dsp: q6apm-dai: Add compress DAI and codec caps get callbacks (Jaroslav Kysela) [RHEL-13724]
- ASoC: q6dsp: q6apm-dai: Add open/free compress DAI callbacks (Jaroslav Kysela) [RHEL-13724]
- ASoC: q6dsp: audioreach: Add gapless feature support (Jaroslav Kysela) [RHEL-13724]
- ASoC: q6dsp: audioreach: Add support to set compress format params (Jaroslav Kysela) [RHEL-13724]
- ASoC: q6dsp: audioreach: Add placeholder decoder for compress playback (Jaroslav Kysela) [RHEL-13724]
- ASoC: q6dsp: audioreach: add helper function to set u32 param (Jaroslav Kysela) [RHEL-13724]
- ASoC: q6dsp: q6apm: add end of stream events (Jaroslav Kysela) [RHEL-13724]
- ASoC: qcom: SC7280: audioreach: Add sc7280 hardware param fixup callback (Jaroslav Kysela) [RHEL-13724]
- ASoC: max98388: fix error code in probe() (Jaroslav Kysela) [RHEL-13724]
- ALSA: compress: allow setting codec params after next track (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add quirk for ASUS ROG G634Z (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-compress: Fix deadlock in soc_compr_open_fe (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: Add tas2781 amplifier (Jaroslav Kysela) [RHEL-13724]
- ASoC: tas2781: Add tas2781 driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: tas2781: firmware lib (Jaroslav Kysela) [RHEL-13724]
- ASoC: tas2781: Add Header file for tas2781 driver (Jaroslav Kysela) [RHEL-13724]
- regmap: Allow reads from write only registers with the flat cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: max98388: set variable soc_codec_dev_max98388 storage-class-specifier to static (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl-asoc-card: Allow passing the number of slots in use (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wsa884x: Add WSA884x family of speakers (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: qcom,wsa8840: Add WSA884x family of speakers (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5677: Add MODULE_FIRMWARE macro (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wm0010: Add MODULE_FIRMWARE macros (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: mtl: setup primary core info on MeteorLake platform (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: pm: Remove duplicated code in sof_suspend (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4: Switch to use the sof_debug:bit11 to dump message payload (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc3: Dump IPC message payload (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Add new sof_debug flag to request message payload dump (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: core: Free the firmware trace before calling snd_sof_shutdown() (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: sof-audio: test virtual widget in sof_walk_widgets_in_order (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: sof-audio: add is_virtual_widget helper (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_ace2x: fix SND_SOC_SOF_HDA_MLINK dependency (Jaroslav Kysela) [RHEL-13724]
- ASoC: max98388: fix unused function warnings (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_rt5682: Add mtl support RT1019P speaker (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_rt5682: reorder quirk table (Jaroslav Kysela) [RHEL-13724]
- soundwire: stream: Make master_list ordered to prevent deadlocks (Jaroslav Kysela) [RHEL-13724]
- soundwire: bus: Prevent lockdep asserts when stream has multiple buses (Jaroslav Kysela) [RHEL-13724]
- ASoC: simple_card_utils: remove unused cpus/codecs/platforms from props (Jaroslav Kysela) [RHEL-13724]
- ALSA: ac97: Fix possible NULL dereference in snd_ac97_mixer (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Add quirk flag for HEM devices to enable native DSD playback (Jaroslav Kysela) [RHEL-13724]
- ASoC: imx-audmix: check return value of devm_kasprintf() (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: amd: Add support for IPC with a reply_size set to zero (Jaroslav Kysela) [RHEL-13724]
- ASoC: simple-card-utils.c: share asoc_graph_parse_dai() (Jaroslav Kysela) [RHEL-13724]
- regmap: Add test to make sure we don't sync to read only registers (Jaroslav Kysela) [RHEL-13724]
- regmap: Add a test case for write only registers (Jaroslav Kysela) [RHEL-13724]
- regmap: Add test that writes to write only registers are prevented (Jaroslav Kysela) [RHEL-13724]
- regmap: regcache: Don't sync read-only registers (Jaroslav Kysela) [RHEL-13724]
- ASoC: max98388: add amplifier driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: max98388: add amplifier driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: tlv320aic32x4: pll: Remove impossible condition in clk_aic32x4_pll_determine_rate() (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: actually show some S/PDIF status in /proc for E-MU cards (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: add support for 12 kHz capture on Audigy (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: fix timer for E-MU cards at 44.1 kHz word clock (Jaroslav Kysela) [RHEL-13724]
- ALSA: timer: minimize open-coded access to hw.resolution (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: fix synthesizer pitch for E-MU cards at 44.1 kHz (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: fix sample rates for E-MU cards at 44.1 kHz word clock (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: query rate of external clock sources on E-MU cards (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: make available E-MU clock sources card-specific (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: split off E-MU fallback clock from clock source (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Fix broken resume due to UAC3 power state (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: oss: Fix racy open/close of MIDI devices (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs-ssm4567: remove redundant dapm routes (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs-rt5682: remove redundant dapm routes (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs-rt298: remove redundant dapm routes (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs-rt286: remove redundant dapm routes (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs-rt274: remove redundant dapm routes (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs-nau8825: remove redundant dapm routes (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs-max98927: remove redundant dapm routes (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs-max98373: remove redundant dapm routes (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs-max98357a: remove redundant dapm routes (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs-hdaudio: remove redundant dapm routes (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs-dmic: remove redundant dapm routes (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: avs-da7219: remove redundant dapm routes (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps: add acp_reset flag check in acp pci driver pm ops. (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: update comments in Kconfig file (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps: enable SoundWire dma driver build (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps: add pm ops support for SoundWire dma driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps: add support for SoundWire DMA interrupts (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps: add SoundWire dma driver dma ops (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps: add SoundWire dma driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps: handle SoundWire interrupts in acp pci driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps: create platform devices based on acp config (Jaroslav Kysela) [RHEL-13724]
- ALSA: docs: Update MIDI 2.0 documentation for UMP 1.1 enhancement (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Add info flag bit for static blocks (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: ump: Notify UMP protocol change to sequencer (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: ump: Notify port changes to system port (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: ump: Handle FB info update (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: ump: Handle groupless messages (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Add midi2_ump_probe option (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Parse UMP Endpoint and Function Blocks at first (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Support UMP Endpoint and Function Block parsing (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Add more attributes to UMP EP and FB info (Jaroslav Kysela) [RHEL-13724]
- ASoC: audio-graph-card2-custom-sample.dtsi: remove DT warning (Jaroslav Kysela) [RHEL-13724]
- regmap: Provide basic KUnit coverage for the raw register I/O (Jaroslav Kysela) [RHEL-13724]
- regmap: Provide a ram backed regmap with raw support (Jaroslav Kysela) [RHEL-13724]
- regmap: maple: Implement block sync for the maple tree cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1318: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1316: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1308: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt722: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt715: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt712: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt711: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt700: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5682: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5670: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5668: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5665: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5665: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5660: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5651: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5645: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5640: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5631: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5616: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5514: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1308: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1305: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1019: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt1011: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l30: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs43130: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l83: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l73: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l42: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs4234: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l35: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l34: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l33: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l32: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: es8328: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: es8316: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: max98363: Remove cache defaults for volatile registers (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Add a quirk for Compaq N14JP6 (Jaroslav Kysela) [RHEL-13724]
- ASoC: audio-graph-card2-custom-sample: add missing CPU:Codec = 1:N sample (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Add IPC3 Kernel Injector (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Refactor rx function for fuzzing (Jaroslav Kysela) [RHEL-13724]
- ASoC: max98088: clean up some inconsistent indenting (Jaroslav Kysela) [RHEL-13724]
- ASoC: remove old trigger ordering method (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: use use new trigger ordering method (Jaroslav Kysela) [RHEL-13724]
- ASoC: add new trigger ordering method (Jaroslav Kysela) [RHEL-13724]
- ASoC: tegra: Simplify code around clk_get_rate() handling (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wsa883x: use existing define instead of raw value (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/intel: Workaround for WALLCLK register for loongson controller (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: Workaround for SDnCTL register on loongson (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: Using polling mode for loongson controller by default (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: Add Loongson LS7A HD-Audio support (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: add dai_link_codec_ch_map (Jaroslav Kysela) [RHEL-13724]
- ASoC: add N cpus to M codecs dai link support (Jaroslav Kysela) [RHEL-13724]
- soundwire: debugfs: Add missing SCP registers (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-pcm: remove kernel parameter init (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: fix SoundWire/HDaudio mutual exclusion (Jaroslav Kysela) [RHEL-13724]
- ALSA: Implement the new Virtual PCM Test Driver (Jaroslav Kysela) [RHEL-13724]
- docs: sound: add 'pcmtest' driver documentation (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda/realtek: Delete cs35l41 component master during free (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Fix endian conversions (Jaroslav Kysela) [RHEL-13724]
- ALSA: hda: cs35l41: Clean up Firmware Load Controls (Jaroslav Kysela) [RHEL-13724]
- ALSA: control: Keep the previous numid at snd_ctl_rename_id() (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Avoid confusion of aligned read size (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Use __le16 for 16bit USB descriptor fields (Jaroslav Kysela) [RHEL-13724]
- firmware: cs_dsp: Log correct region name in bin error messages (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: vangogh: select CONFIG_SND_AMD_ACP_CONFIG (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda: add helper to extract SoundWire link count (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: mtl: prepare for code reuse (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: add DMA config TLV to IPC data (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: introduce DMA config TLV (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: extend ALH-specific data structure (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai: add get_hlink callback (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai: add calc_stream_format callback (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai: add codec_dai_set_stream callback (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai: add error checks to prevent static analysis warnings (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: soc-acpi: add Rex CS42l42 and MAX98363 SoundWire entries (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: Add support for Rex soundwire (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: Add support for MAX98363 codec (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: Modify maxim helper functions and structure names (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: Rename sof_sdw_max98373.c file to sof_sdw_maxim.c (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: Add helper function for cs42l42 codec (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: Add rpl_rt1019_rt5682 driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: Sof_ssp_amp: Correcting author name. (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: ADL: Moving amp only boards into end of the table. (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: ADL: Enable HDMI-In capture feature support for non-I2S codec boards. (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: increase sdw pin index for each sdw link (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: add rt713 support (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: soc-acpi-intel-mtl-match: add rt712 ID (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: soc-acpi-intel-tgl-match: add rt712 ID (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: add rt712 support (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: make rt711_sdca be generic (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: rename SOF_RT711_JDSRC to SOF_JACK_JDSRC (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw_rt_sdca_jack_common: test SOF_JACK_JDSRC in _exit (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: add multi dailink support for a codec (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sdw_sof: append dai_type and remove codec_type (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: add codec_info pointer (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: use predefine dailink id (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: add dai info (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: add missing exit callback (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: vangogh: select CONFIG_SND_AMD_ACP_CONFIG (Jaroslav Kysela) [RHEL-13724]
- soundwire: stream: Remove unnecessary gotos (Jaroslav Kysela) [RHEL-13724]
- soundwire: stream: Invert logic on runtime alloc flags (Jaroslav Kysela) [RHEL-13724]
- soundwire: stream: Remove unneeded checks for NULL bus (Jaroslav Kysela) [RHEL-13724]
- soundwire: bandwidth allocation: Remove pointless variable (Jaroslav Kysela) [RHEL-13724]
- ASoC: nau8825: Update output control for NAU8825C (Jaroslav Kysela) [RHEL-13724]
- ASoC: nau8825: Update the calculation of FLL for NAU8825C (Jaroslav Kysela) [RHEL-13724]
- ASoC: nau8825: Add registers patch for NAU8825C (Jaroslav Kysela) [RHEL-13724]
- soundwire: qcom: fix storing port config out-of-bounds (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: mediatek: add adsp debug dump (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc.h: remove snd_soc_compr_ops :: trigger (Jaroslav Kysela) [RHEL-13724]
- ASoC: add snd_soc_get_stream_cpu() (Jaroslav Kysela) [RHEL-13724]
- firmware: cs_dsp: Log that a bin file was loaded (Jaroslav Kysela) [RHEL-13724]
- ASoC: nau8825: Add the management of headset detection for power saving (Jaroslav Kysela) [RHEL-13724]
- ASoC: es8316: Do not set rate constraints for unsupported MCLKs (Jaroslav Kysela) [RHEL-13724]
- ASoC: es8316: Increment max value for ALC Capture Target Volume control (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: vangogh: Add check for acp config flags in vangogh platform (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-pcm.c: tidyup playback/capture_only at soc_get_playback_capture() (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-pcm.c: use temporary variable at soc_get_playback_capture() (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-pcm.c: cleanup soc_get_playback_capture() error (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-pcm.c: use dai_link on soc_get_playback_capture() (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-pcm.c: indicate error if stream has no playback no capture (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: actually disassemble DSP instructions in /proc (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: HDA: Limit the number of dai drivers for nocodec mode (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: topology: Use partial match for connecting DAI link and DAI widget (Jaroslav Kysela) [RHEL-13724]
- ASoC: topology: Allow partial matching when finding DAI link (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: vastly improve usefulness of info in /proc (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: make E-MU FPGA register dump in /proc more useful (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: include FX send amounts in /proc output (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: fix writing 1st pointer-offset register set through /proc (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: hide absent 2nd pointer-offset register set from /proc (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-dapm.c: clean up debugfs for freed widget (Jaroslav Kysela) [RHEL-13724]
- soundwire: qcom: set clk stop need reset flag at runtime (Jaroslav Kysela) [RHEL-13724]
- soundwire: qcom: add software workaround for bus clash interrupt assertion (Jaroslav Kysela) [RHEL-13724]
- soundwire: qcom: wait for fifo to be empty before suspend (Jaroslav Kysela) [RHEL-13724]
- soundwire: qcom: update status correctly with mask (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Fix parsing of 0xFx command (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Drop redundant check of note-on with zero velocity (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l42: Add PLL ratio table values (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Correct snd_ump_midi1_msg_program definition (Jaroslav Kysela) [RHEL-13724]
- regmap: maple: Drop the RCU read lock while syncing registers (Jaroslav Kysela) [RHEL-13724]
- regmap: Load register defaults in blocks rather than register by register (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: fix multi-channel capture config for E-MU cards (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: make channel count of multi-channel playback flexible (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: don't restrict capture channel count to powers of two (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: add synchronized start of multi-channel playback (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: fix support for 24 kHz capture (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: don't limit multi-channel playback to two periods (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: fix capture buffer size confusion (Jaroslav Kysela) [RHEL-13724]
- ASoC: da7219: Add Jack insertion detection polarity (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: da7219: Add jack-ins-det-pty property (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: dialog,da7219: convert to dtschema (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: pass raw FX send config to snd_emu10k1_pcm_init_voice() (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: introduce higher-level voice manipulation functions (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: tgl: unify core_put on IPC3 & IPC4 path (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: mtl: add core_get & put support on MeterLake platforms (Jaroslav Kysela) [RHEL-13724]
- ASoC: nau8825: Add pre-charge actions for input (Jaroslav Kysela) [RHEL-13724]
- ALSA: docs: Add MIDI 2.0 documentation (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Add UMP group filter (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Print UMP Endpoint and Block information in proc outputs (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Add ioctls for client UMP info query and setup (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: ump: Create UMP Endpoint port for broadcast (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Bind UMP device (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Allow suppressing UMP conversions (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Automatic conversion of UMP events (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Add UMP group number to snd_seq_port_info (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Add port direction to snd_seq_port_info (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Support MIDI 2.0 UMP Endpoint port (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Add port inactive flag (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Add UMP support (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Introduce SNDRV_SEQ_IOCTL_USER_PVERSION ioctl (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Prohibit creating ports with special numbers (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Check validity before creating a port object (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Check the conflicting port at port creation (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Drop dead code for the old broadcast support (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Treat snd_seq_client object directly in client drivers (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Add snd_seq_expand_var_event_at() helper (Jaroslav Kysela) [RHEL-13724]
- ALSA: seq: Clear padded bytes at expanding events (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Inform inconsistent protocols in GTBs (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Enable the legacy raw MIDI support (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Add legacy raw MIDI support (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Redirect rawmidi substream access via own helpers (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Create UMP blocks from USB MIDI GTBs (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Trim superfluous "MIDI" suffix from UMP EP name (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Get UMP EP name string from USB interface (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: USB MIDI 2.0 UMP support (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Define USB MIDI 2.0 specs (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Manage number of rawmidis globally (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Additional proc output (Jaroslav Kysela) [RHEL-13724]
- ALSA: ump: Add ioctls to inquiry UMP EP and Block info via control API (Jaroslav Kysela) [RHEL-13724]
- ALSA: rawmidi: Skip UMP devices at SNDRV_CTL_IOCTL_RAWMIDI_NEXT_DEVICE (Jaroslav Kysela) [RHEL-13724]
- ALSA: rawmidi: UMP support (Jaroslav Kysela) [RHEL-13724]
- ALSA: rawmidi: Add ioctl callback to snd_rawmidi_global_ops (Jaroslav Kysela) [RHEL-13724]
- ALSA: rawmidi: Pass rawmidi directly to snd_rawmidi_kernel_open() (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: amd: Add pci revision id check (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: mediatek: add mt8188 audio support (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-loader/topology: Query the CPC value from manifest (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Do not use the CPC value from topology (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Rename sof_ipc4_update_pipeline_mem_usage() to be generic (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-loader: Save a pointer to fm_config in sof_ipc4_fw_module (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-loader: Drop unused bss_size from struct sof_ipc4_fw_module (Jaroslav Kysela) [RHEL-13724]
- ASoC: stm32: sai: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5682s: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5659: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs53l30: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs42l51: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [RHEL-13724]
- ASoC: adau1761: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [RHEL-13724]
- ALSA: mixart: Replace one-element arrays with simple object declarations (Jaroslav Kysela) [RHEL-13724]
- ASoC: topology: Remove redundant log (Jaroslav Kysela) [RHEL-13724]
- ASoC: topology: Remove redundant log (Jaroslav Kysela) [RHEL-13724]
- ASoC: topology: Do not split message string on multiple lines (Jaroslav Kysela) [RHEL-13724]
- ASoC: topology: Remove redundant logs (Jaroslav Kysela) [RHEL-13724]
- ASoC: topology: Log control load errors in soc_tplg_control_load() (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: revamp playback voice allocator (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: make snd_emu10k1_voice_alloc() assign voices' epcm (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: centralize freeing PCM voices (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai: Fix locking in hda_ipc4_pre_trigger() (Jaroslav Kysela) [RHEL-13724]
- soundwire: amd: Improve error message in remove callback (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: In secure mode skip SHUTDOWN and RESET around fw download (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: sdw_write_no_pm() should be performed under a pm_runtime request (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l56: Move DSP part string generation so that it is done only once (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: make freeing untouched playback voices cheap (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: improve voice status display in /proc (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: don't forget to reset reclaimed synth voices (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: simplify freeing synth voices (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: enable bit-exact playback, part 4: send amounts (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: fix terminating synthesizer voices (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: enable bit-exact playback, part 3: pitch (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: fix synthesizer sample playback position and caching (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: set variables emu1010_routing_info and emu1010_pads_info storage-class-specifier to static (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: introduce and use snd_emu10k1_ptr_write_multiple() (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: fix handling of half-loop interrupts (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: simplify interrupt handler, part 3 (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: simplify interrupt handler, part 2 (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: simplify interrupt handler, part 1 (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: fix PCM playback buffer size constraints (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: rt722-sdca: do not store status in state container (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: rt715: do not store status in state container (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: rt715-sdca: do not store status in state container (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: rt712-sdca: do not store status in state container (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: rt712-sdca-dmic: do not store status in state container (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: rt711: do not store status in state container (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: rt711-sdca: do not store status in state container (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: rt700: do not store status in state container (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: rt5682: do not store status in state container (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: rt1318: do not store status in state container (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: rt1316: do not store status in state container (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: rt1308: do not store status in state container (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_bus_common: update error log (Jaroslav Kysela) [RHEL-13724]
- soundwire: cadence: revisit parity injection (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel/cadence: update hardware reset sequence (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_bus_common: enable interrupts last (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: refactor PCM playback address handling (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: refactor PCM playback cache filling (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: improve API of low-level voice manipulation functions (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: fix PCM playback cache and interrupt handling (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: skip pointless cache setup for extra voices (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: remove pointless displacement of the extra voices (Jaroslav Kysela) [RHEL-13724]
- Revert "ALSA: emu10k1 - delay the PCM interrupts (add pcm_irq_delay parameter)" (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: pass frame instead of byte addresses (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: remove runtime 64-bit divisions (Jaroslav Kysela) [RHEL-13724]
- soundwire: debugfs: fix unbalanced pm_runtime_put() (Jaroslav Kysela) [RHEL-13724]
- soundwire: qcom: fix unbalanced pm_runtime_put() (Jaroslav Kysela) [RHEL-13724]
- ASoC: use pm.h instead of runtime_pm.h (Jaroslav Kysela) [RHEL-13724]
- ASoC: do not include pm_runtime.h if not used (Jaroslav Kysela) [RHEL-13724]
- ALSA: compat_ioctl: use correct snd_ctl_elem_type_t type (Jaroslav Kysela) [RHEL-13724]
- ASoC: tegra: tegra210_adx: fix snd_pcm_format_t type (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: make struct snd_emu1010 less wasteful (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: factor out snd_emu10k1_compose_audigy_sendamounts() (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: add explicit support for E-MU 0404 (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: skip needless setting of some voice registers (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: improve mixer controls for E-MU 1010 rev2 card (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: rewire {en,dis}abling interrupts for PCM playback (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: make E-MU mixer control creation more data-driven (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: remove useless resets of stop-on-loop-end bits (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: make E-MU I/O routing init data-driven (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: cleanup envelope register init (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: fix return value of snd_emu1010_dac_pads_put() (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: straighten out FX send init (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: un-hardcode E-MU mixer control callbacks somewhat (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: make mixer control mass creation less wasteful (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: compactize E-MU routing source arrays (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: make some initializer arrays less wasteful (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [RHEL-13724]
- soundwire: qcom: drop unused struct qcom_swrm_ctrl members (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-pcm: reset all pipelines during FE DAI hw_free (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai-ops: Split the get_hext_stream() op for IPC4 (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Modify input audio format selection logic (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: New helper to check if all output formats are the same (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Modify the output format selection logic (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Add a new helper function to get the valid bits (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Handle output format special case (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Rename sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Move the call to init output format (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Add a helper function for output format selection (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Handle input/output audio format special case (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel: read AC timing control register before updating it (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel: use substream for .free callback (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel: remove .free callback implementation (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel: use substream for .trigger callback (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel: remove .trigger callback implementation (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF/soundwire: re-add substream in params_stream structure (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_ace2x: add pre/post bank switch callbacks (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_ace2x: add new_peripheral_assigned callback (Jaroslav Kysela) [RHEL-13724]
- soundwire: bus: add new manager callback to deal with peripheral enumeration (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_ace2x: add check_cmdsync_unlocked helper (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_ace2x: enable wake support (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_ace2x: use common helpers for bus start/stop (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_ace2x: add sync_arm/sync_go helpers (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_ace2x: add DAI registration (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_ace2x: configure link PHY (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_ace2x: set SYNCPRD before powering-up (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_ace2x: add link power-up/down helpers (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_ace2x: add debugfs support (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_init: use eml_lock parameter (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda: retrieve SoundWire eml_lock and pass pointer (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel: add eml_lock in the interface for new platforms (Jaroslav Kysela) [RHEL-13724]
- ASoC/soundwire: intel: pass hdac_bus pointer for link management (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel/cadence: set ip_offset at run-time (Jaroslav Kysela) [RHEL-13724]
- soundwire/ASOC: Intel: update offsets for LunarLake (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel_ace2x: add empty new ops for LunarLake (Jaroslav Kysela) [RHEL-13724]
- soundwire: intel: add ACE2.x SHIM definitions (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: shim: add enum for ACE 2.0 IP used in LunarLake (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: enable bit-exact playback, part 2: voice attenuation (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: optimize mask calculation in snd_emu10k1_ptr_read() (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: enable bit-exact playback, part 1: DSP attenuation (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: merge common paths in snd_emu10k1_ptr_{read,write}() (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: skip mic capture PCM for cards without AC97 codec (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: validate parameters of snd_emu10k1_ptr_{read,write}() (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: automate encoding of sub-register definitions (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: omit non-applicable mixer controls for E-MU cards (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: validate min/max values of translated controls (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: fix non-zero mixer control defaults in highres mode (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: polish audigy GPR allocation (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: remove mutual exclusion between NOCODEC and HDA_LINK (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai: use HDA_LINK instead of HDA_AUDIO_CODEC (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai: mark functions as __maybe_unused (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai: move hda_dai_prepare() code (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: Kconfig: move selection of PROBE_WORK_QUEUE (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: clarify initialization when HDA_AUDIO_CODEC is not used (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: fix DAI number mismatch (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai: remove use of cpu_dai->component drvdata (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Intel: hda-dai: simplify .prepare callback (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: add quirk for LNL RVP (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: soc-acpi: add tables for LunarLake (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: add new mapping for HP Spectre x360 (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: start set codec init function with an adr index (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: soc-acpi: add support for MTL SDCA boards (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: add quirk for MTL RVP (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: sof_sdw: remove SOF_SDW_TGL_HDMI for MeteorLake devices (Jaroslav Kysela) [RHEL-13724]
- ASoC: Intel: Add rpl_max98373_8825 driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: wm_adsp: Update to use new component control notify helepr (Jaroslav Kysela) [RHEL-13724]
- ASoC: ak4118: Update to use new component control notify helper (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-component: Add notify control helper function (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: ipc4-topology: Use set_get_data() to send LARGE_CONFIG message (Jaroslav Kysela) [RHEL-13724]
- ALSA: usb-audio: Add a sample rate workaround for Line6 Pod Go (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: Simplify the calculation of variables (Jaroslav Kysela) [RHEL-13724]
- ASoC: ssm3515: Add new amp driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: Add adi,ssm3515 amp schema (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: yc: Add MECHREVO Jiaolong Series MRID6 into DMI table (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: simplify tone control switch DSP code (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: apply channel delay hack to all E-MU cards (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: simplify snd_emu10k1_audigy_dsp_convert_32_to_2x16() (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: fix+optimize E-MU stereo capture DSP code (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: roll up loops in DSP setup code for Audigy (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: make tone control switch mono (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: don't create regular S/PDIF controls for E-MU cards (Jaroslav Kysela) [RHEL-13724]
- ASoC: cs35l45: Relicense to GPL only (Jaroslav Kysela) [RHEL-13724]
- ASoC: qcom: q6apm: add support to display ports in lpass dais (Jaroslav Kysela) [RHEL-13724]
- ASoC: qcom: q6dsp: add support to more display ports (Jaroslav Kysela) [RHEL-13724]
- ASoC: qcom: audioreach: add support for DISPLAY PORT SINK module (Jaroslav Kysela) [RHEL-13724]
- ASoC: qcom: q6dsp-common: move channel allocation to common (Jaroslav Kysela) [RHEL-13724]
- ASoC: Add Google Chameleon v3 codec driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: mediatek: mt6359: update route for lineout mux (Jaroslav Kysela) [RHEL-13724]
- ASoC: mediatek: mt6359: add mtkaif gpio setting (Jaroslav Kysela) [RHEL-13724]
- ASoC: mediatek: mt6359: fix kselftest error of playback gain (Jaroslav Kysela) [RHEL-13724]
- ASoC: mediatek: mt6359: add supply for MTKAIF (Jaroslav Kysela) [RHEL-13724]
- ASoC: tlv320aic32x4: div: Switch to determine_rate (Jaroslav Kysela) [RHEL-13724]
- ASoC: tlv320aic32x4: pll: Switch to determine_rate (Jaroslav Kysela) [RHEL-13724]
- ASoC: tlv320aic32x4: Add a determine_rate hook (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl_sai: MCLK bind with TX/RX enable bit (Jaroslav Kysela) [RHEL-13724]
- ASoC: codecs: wcd938x: Remove unneeded semicolon (Jaroslav Kysela) [RHEL-13724]
- ASoC: mediatek: mt8195-afe-pcm: Clean up unnecessary functions (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: mediatek: mt8186: Use snd_sof_ipc_process_reply() helper (Jaroslav Kysela) [RHEL-13724]
- ASoC: SOF: mediatek: mt8195: Use snd_sof_ipc_process_reply() helper (Jaroslav Kysela) [RHEL-13724]
- ASoC: sof: Improve sof_ipc4_bytes_ext_put function (Jaroslav Kysela) [RHEL-13724]
- ASoC: sof: Improve sof_ipc3_bytes_ext_put function (Jaroslav Kysela) [RHEL-13724]
- ALSA: uapi: pcm: control the filling of the silence samples for drain (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: minor E-MU naming fixups (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: remove now superfluous mixer locking (Jaroslav Kysela) [RHEL-13724]
- ALSA: core: update comment on snd_card.controls_rwsem (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: fix locking in snd_emu1010_fpga_link_dst_src_write() (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: use the right lock in snd_emu10k1_shared_spdif_put() (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: remove pointless locks from /proc code (Jaroslav Kysela) [RHEL-13724]
- ALSA: emu10k1: remove pointless locks from timer code (Jaroslav Kysela) [RHEL-13724]
- regmap: REGMAP_KUNIT should not select REGMAP (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps: refactor acp power on and reset functions. (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps: remove the register read and write wrappers. (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt5682: Use a maple tree based register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-topology.c: add comment for Platform/Codec (Jaroslav Kysela) [RHEL-13724]
- ASoC: simple_card_utils.c: use asoc_dummy_dlc (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: skylake: use asoc_dummy_dlc (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: sof: use asoc_dummy_dlc (Jaroslav Kysela) [RHEL-13724]
- ASoC: intel: avs: use asoc_dummy_dlc (Jaroslav Kysela) [RHEL-13724]
- ASoC: meson: use asoc_dummy_dlc (Jaroslav Kysela) [RHEL-13724]
- ASoC: atmel: use asoc_dummy_dlc (Jaroslav Kysela) [RHEL-13724]
- ASoC: qcom: use asoc_dummy_dlc (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl: use asoc_dummy_dlc (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: use asoc_dummy_dlc (Jaroslav Kysela) [RHEL-13724]
- ASoC: sof: use asoc_dummy_dlc (Jaroslav Kysela) [RHEL-13724]
- ASoC: ti: use asoc_dummy_dlc (Jaroslav Kysela) [RHEL-13724]
- ASoC: soc-utils.c: add asoc_dummy_dlc (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt715: Use maple tree register cache (Jaroslav Kysela) [RHEL-13724]
- ASoC: rt722-sdca: Add RT722 SDCA driver (Jaroslav Kysela) [RHEL-13724]
- ASoC: amd: ps: Update copyright notice (Jaroslav Kysela) [RHEL-13724]
- soundwire: bus: Don't filter slave alerts (Jaroslav Kysela) [RHEL-13724]
- soundwire: qcom: use tabs for indentation in defines (Jaroslav Kysela) [RHEL-13724]
- soundwire: qcom: add support for v2.0.0 controller (Jaroslav Kysela) [RHEL-13724]
- soundwire: qcom: prepare for handling different register layouts (Jaroslav Kysela) [RHEL-13724]
- soundwire: qcom: use consistently 'ctrl' as state variable name (Jaroslav Kysela) [RHEL-13724]
- soundwire: qcom: allow 16-bit sample interval for ports (Jaroslav Kysela) [RHEL-13724]
- dt-bindings: soundwire: qcom: add 16-bit sample interval (Jaroslav Kysela) [RHEL-13724]
- dt-bindings: soundwire: qcom: add Qualcomm Soundwire v2.0.0 (Jaroslav Kysela) [RHEL-13724]
- regmap: Use mas_walk() instead of mas_find() (Jaroslav Kysela) [RHEL-13724]
- regmap: Fix double unlock in the maple cache (Jaroslav Kysela) [RHEL-13724]
- ALSA: ac97: Define dummy functions for snd_ac97_suspend() and resume() (Jaroslav Kysela) [RHEL-13724]
- regmap: Add maple tree based register cache (Jaroslav Kysela) [RHEL-13724]
- regmap: Add some basic kunit tests (Jaroslav Kysela) [RHEL-13724]
- regmap: Add RAM backed register map (Jaroslav Kysela) [RHEL-13724]
- ALSA: ac97: Remove redundant driver match function (Jaroslav Kysela) [RHEL-13724]
- ASoC: qcom: common: add default jack dapm pins (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: wlf,wm8960: Convert to dtschema (Jaroslav Kysela) [RHEL-13724]
- regmap: Reorder fields in 'struct regmap_bus' to save some memory (Jaroslav Kysela) [RHEL-13724]
- docs: ftrace: always use canonical ftrace path (Jaroslav Kysela) [RHEL-13724]
- ASoC: bindings: fsl-asoc-card: add compatible string for nau8822 codec (Jaroslav Kysela) [RHEL-13724]
- ASoC: fsl-asoc-card: add nau8822 support (Jaroslav Kysela) [RHEL-13724]
- xen/sound: switch xen_snd_front to use INVALID_GRANT_REF (Jaroslav Kysela) [RHEL-13724]
- ASoC: max98927: Add reset-gpios optional property (Jaroslav Kysela) [RHEL-13724]
- ASoC: dt-bindings: fsl_rpmsg: Add compatible string for i.MX8ULP (Jaroslav Kysela) [RHEL-13724]
- docs/cgroup: Add the list of threaded controllers to cgroup-v2.rst (Waiman Long) [RHEL-15939]
- cgroup/cpuset: Enable invalid to valid local partition transition (Waiman Long) [RHEL-15939]
- cgroup/cpuset: Cleanup signedness issue in cpu_exclusive_check() (Waiman Long) [RHEL-15939]
- cgroup/cpuset: Extend test_cpuset_prs.sh to test remote partition (Waiman Long) [RHEL-15939]
- cgroup/cpuset: Documentation update for partition (Waiman Long) [RHEL-15939]
- cgroup/cpuset: Check partition conflict with housekeeping setup (Waiman Long) [RHEL-15939]
- cgroup/cpuset: Introduce remote partition (Waiman Long) [RHEL-15939]
- cgroup/cpuset: Add cpuset.cpus.exclusive for v2 (Waiman Long) [RHEL-15939]
- cgroup/cpuset: Add cpuset.cpus.exclusive.effective for v2 (Waiman Long) [RHEL-15939]
- cgroup/cpuset: Fix load balance state in update_partition_sd_lb() (Waiman Long) [RHEL-15939]
- Documentation: admin-guide: correct spelling (Waiman Long) [RHEL-15939]
- Revert RHEL-only "cgroup/cpuset: Add cpuset.cpus.exclusive.effective for v2" (Waiman Long) [RHEL-15939]
- Revert RHEL-only "cgroup/cpuset: Add cpuset.cpus.exclusive for v2" (Waiman Long) [RHEL-15939]
- Revert RHEL-only "cgroup/cpuset: Introduce remote partition" (Waiman Long) [RHEL-15939]
- Revert RHEL-only "cgroup/cpuset: Check partition conflict with housekeeping setup" (Waiman Long) [RHEL-15939]
- Revert RHEL-only "cgroup/cpuset: Documentation update for partition" (Waiman Long) [RHEL-15939]
- Revert RHEL-only "cgroup/cpuset: Extend test_cpuset_prs.sh to test remote partition" (Waiman Long) [RHEL-15939]
- igc: Fix ambiguity in the ethtool advertising (Corinna Vinschen) [RHEL-15687]
- igc: replace deprecated strncpy with strscpy (Corinna Vinschen) [RHEL-15687]
- intel: fix format warnings (Corinna Vinschen) [RHEL-15687]
- igc: Expose tx-usecs coalesce setting to user (Corinna Vinschen) [RHEL-15687]
- igc: Fix infinite initialization loop with early XDP redirect (Corinna Vinschen) [RHEL-15687]
- igc: Change IGC_MIN to allow set rx/tx value between 64 and 80 (Corinna Vinschen) [RHEL-15687]
- igc: Decrease PTM short interval from 10 us to 1 us (Corinna Vinschen) [RHEL-15687]
- igc: Add support for multiple in-flight TX timestamps (Corinna Vinschen) [RHEL-15687]
- igc: Fix the typo in the PTM Control macro (Corinna Vinschen) [RHEL-15687]
- igc: Add lock to safeguard global Qbv variables (Corinna Vinschen) [RHEL-15687]
- eth: add missing xdp.h includes in drivers (Corinna Vinschen) [RHEL-15687]
- igc: Fix Kernel Panic during ndo_tx_timeout callback (Corinna Vinschen) [RHEL-15687]
- igc: Prevent garbled TX queue with XDP ZEROCOPY (Corinna Vinschen) [RHEL-15687]
- igc: Add TransmissionOverrun counter (Corinna Vinschen) [RHEL-15687]
- igc: Fix inserting of empty frame for launchtime (Corinna Vinschen) [RHEL-15687]
- igc: Fix launchtime before start of cycle (Corinna Vinschen) [RHEL-15687]
- igc: No strict mode in pure launchtime/CBS offload (Corinna Vinschen) [RHEL-15687]
- igc: Handle already enabled taprio offload for basetime 0 (Corinna Vinschen) [RHEL-15687]
- igc: Do not enable taprio offload for invalid arguments (Corinna Vinschen) [RHEL-15687]
- igc: Rename qbv_enable to taprio_offload_enable (Corinna Vinschen) [RHEL-15687]
- igc: Handle PPS start time programming for past time values (Corinna Vinschen) [RHEL-15687]
- igc: Include the length/type field and VLAN tag in queueMaxSDU (Corinna Vinschen) [RHEL-15687]
- igc: set TP bit in 'supported' and 'advertising' fields of ethtool_link_ksettings (Corinna Vinschen) [RHEL-15687]
- igc: Fix TX Hang issue when QBV Gate is closed (Corinna Vinschen) [RHEL-15687]
- igc: Remove delay during TX ring configuration (Corinna Vinschen) [RHEL-15687]
- igc: Add condition for qbv_config_change_errors counter (Corinna Vinschen) [RHEL-15687]
- igc: Work around HW bug causing missing timestamps (Corinna Vinschen) [RHEL-15687]
- igc: Retrieve TX timestamp during interrupt handling (Corinna Vinschen) [RHEL-15687]
- igc: Check if hardware TX timestamping is enabled earlier (Corinna Vinschen) [RHEL-15687]
- igc: Fix race condition in PTP tx code (Corinna Vinschen) [RHEL-15687]
- igc: Add XDP hints kfuncs for RX timestamp (Corinna Vinschen) [RHEL-15687]
- igc: Add XDP hints kfuncs for RX hash (Corinna Vinschen) [RHEL-15687]
- igc: Add igc_xdp_buff wrapper for xdp_buff in driver (Corinna Vinschen) [RHEL-15687]
- igc: Remove unnecessary aer.h include (Corinna Vinschen) [RHEL-15687]
- igc: Clean up and optimize watchdog task (Corinna Vinschen) [RHEL-15687]
- igc: offload queue max SDU from tc-taprio (Corinna Vinschen) [RHEL-15687]
- igc: Add qbv_config_change_errors counter (Corinna Vinschen) [RHEL-15687]
- ethernet: use eth_hw_addr_set() for dev->addr_len cases (Corinna Vinschen) [RHEL-15687]
- redhat/configs: Enable CONFIG_IOMMUFD (Cédric Le Goater) [RHEL-17211]
- vfio/mtty: Enable migration support (Alex Williamson) [RHEL-12360 RHEL-14389]
- vfio/mtty: Overhaul mtty interrupt handling (Alex Williamson) [RHEL-14389]
- vfio: Fix smatch errors in vfio_combine_iova_ranges() (Alex Williamson) [RHEL-14389]
- vfio: use __aligned_u64 in struct vfio_device_ioeventfd (Alex Williamson) [RHEL-14389]
- vfio: use __aligned_u64 in struct vfio_device_gfx_plane_info (Alex Williamson) [RHEL-14389]
- vfio: trivially use __aligned_u64 for ioctl structs (Alex Williamson) [RHEL-14389]
- vfio: add bus master feature to device feature ioctl (Alex Williamson) [RHEL-14389]
- vfio: Support IO page table replacement (Alex Williamson) [RHEL-14390]
- vdpa/mlx5: preserve CVQ vringh index (Cindy Lu) [RHEL-5336]
- vdpa/mlx5: implement .reset_map driver op (Cindy Lu) [RHEL-5336]
- mlx5_vdpa: offer VHOST_BACKEND_F_ENABLE_AFTER_DRIVER_OK (Cindy Lu) [RHEL-5336]
- vdpa/mlx5: Remove unused function declarations (Cindy Lu) [RHEL-5336]
- vdpa/mlx5: Update cvq iotlb mapping on ASID change (Cindy Lu) [RHEL-5336]
- vdpa/mlx5: Make iotlb helper functions more generic (Cindy Lu) [RHEL-5336]
- vdpa/mlx5: Enable hw support for vq descriptor mapping (Cindy Lu) [RHEL-5336]
- vdpa/mlx5: Introduce mr for vq descriptor (Cindy Lu) [RHEL-5336]
- vdpa/mlx5: Improve mr update flow (Cindy Lu) [RHEL-5336]
- vdpa/mlx5: Move mr mutex out of mr struct (Cindy Lu) [RHEL-5336]
- vdpa/mlx5: Allow creation/deletion of any given mr struct (Cindy Lu) [RHEL-5336]
- vdpa/mlx5: Rename mr destroy functions (Cindy Lu) [RHEL-5336]
- vdpa/mlx5: Collapse "dvq" mr add/delete functions (Cindy Lu) [RHEL-5336]
- vdpa/mlx5: Take cvq iotlb lock during refresh (Cindy Lu) [RHEL-5336]
- vdpa/mlx5: Decouple cvq iotlb handling from hw mapping code (Cindy Lu) [RHEL-5336]
- vdpa/mlx5: Create helper function for dma mappings (Cindy Lu) [RHEL-5336]
- vdpa/mlx5: Expose descriptor group mkey hw capability (Cindy Lu) [RHEL-5336]
- vhost-vdpa: fix use after free in vhost_vdpa_probe() (Cindy Lu) [RHEL-5336]
- vdpa_sim_blk: allocate the buffer zeroed (Cindy Lu) [RHEL-5336]
- vdpa_sim: implement .reset_map support (Cindy Lu) [RHEL-5336]
- vhost-vdpa: clean iotlb map during reset for older userspace (Cindy Lu) [RHEL-5336]
- vdpa: introduce .compat_reset operation callback (Cindy Lu) [RHEL-5336]
- vhost-vdpa: introduce IOTLB_PERSIST backend feature bit (Cindy Lu) [RHEL-5336]
- vhost-vdpa: reset vendor specific mapping to initial state in .release (Cindy Lu) [RHEL-5336]
- vdpa: introduce .reset_map operation callback (Cindy Lu) [RHEL-5336]
- vdpa: Update sysfs ABI documentation (Cindy Lu) [RHEL-5336]
- vhost-vdpa: uAPI to get dedicated descriptor group id (Cindy Lu) [RHEL-5336]
- vhost-vdpa: introduce descriptor group backend feature (Cindy Lu) [RHEL-5336]
- vdpa: introduce dedicated descriptor group for virtqueue (Cindy Lu) [RHEL-5336]
- vdpa_sim_blk: Fix the potential leak of mgmt_dev (Cindy Lu) [RHEL-5336]
- virtio_vdpa: build affinity masks conditionally (Cindy Lu) [RHEL-5336]
- vdpa_sim: offer VHOST_BACKEND_F_ENABLE_AFTER_DRIVER_OK (Cindy Lu) [RHEL-5336]
- vdpa: add get_backend_features vdpa operation (Cindy Lu) [RHEL-5336]
- vdpa: accept VHOST_BACKEND_F_ENABLE_AFTER_DRIVER_OK backend feature (Cindy Lu) [RHEL-5336]
- vdpa: add VHOST_BACKEND_F_ENABLE_AFTER_DRIVER_OK flag (Cindy Lu) [RHEL-5336]
- virtio-vdpa: Fix cpumask memory leak in virtio_vdpa_find_vqs() (Cindy Lu) [RHEL-5336]
- vdpa: Enable strict validation for netlinks ops (Cindy Lu) [RHEL-5336]
- vdpa: Add max vqp attr to vdpa_nl_policy for nlattr length check (Cindy Lu) [RHEL-5336]
- vdpa: Add queue index attr to vdpa_nl_policy for nlattr length check (Cindy Lu) [RHEL-5336]
- vdpa: Add features attr to vdpa_nl_policy for nlattr length check (Cindy Lu) [RHEL-5336]
- redhat: Let kernel-modules-core own the files depmod generates. (Jan Stancek) [RHEL-15435]
- ip_tunnel: Preserve pointer const in ip_tunnel_info_opts (Michal Schmidt) [RHEL-19222]
- igb: Fix potential memory leak in igb_add_ethtool_nfc_entry (Corinna Vinschen) [RHEL-15700]
- igb: Fix an end of loop test (Corinna Vinschen) [RHEL-15700]
- igb: replace deprecated strncpy with strscpy (Corinna Vinschen) [RHEL-15700]
- intel: fix format warnings (Corinna Vinschen) [RHEL-15700]
- intel: fix string truncation warnings (Corinna Vinschen) [RHEL-15700]
- ethernet/intel: Use list_for_each_entry() helper (Corinna Vinschen) [RHEL-15700]
- igb: clean up in all error paths when enabling SR-IOV (Corinna Vinschen) [RHEL-15700]
- igb: Change IGB_MIN to allow set rx/tx value between 64 and 80 (Corinna Vinschen) [RHEL-15700]
- igb: disable virtualization features on 82580 (Corinna Vinschen) [RHEL-15700]
- igb: Avoid starting unnecessary workqueues (Corinna Vinschen) [RHEL-15700]
- igb: Fix igb_down hung on surprise removal (Corinna Vinschen) [RHEL-15700]
- igb: Enable SR-IOV after reinit (Corinna Vinschen) [RHEL-15700]
- ethernet: use eth_hw_addr_set() for dev->addr_len cases (Corinna Vinschen) [RHEL-15700]
- x86/topology: Fix erroneous smp_num_siblings on Intel Hybrid platforms (Foggy Liu) [RHEL-18215]
Resolves: RHEL-12360, RHEL-13724, RHEL-14389, RHEL-14390, RHEL-15435, RHEL-15687, RHEL-15700, RHEL-15939, RHEL-17211, RHEL-18215, RHEL-19095, RHEL-19222, RHEL-19678, RHEL-5336, RHEL-9380

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-12-21 10:10:40 -05:00
Scott Weaver
63fb5f3e6d kernel-5.14.0-401.el9
* Mon Dec 18 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-401.el9]
- config: wifi: disable new unsupported configuration options (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: don't drop all unprotected public action frames (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: fix assoc response warning on failed links (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: pass correct pointer to rdev_inform_bss() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- net: rfkill: reduce data->mtx scope in rfkill_fop_open (Jose Ignacio Tornos Martinez) [RHEL-2907]
- net: rfkill: gpio: prevent value glitch during probe (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: fix error path key leak (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: use system_unbound_wq for wiphy work (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: Fix incorrect usage of scan API (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: Create resources for disabled links (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: avoid leaking stack data into trace (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: allow transmitting EAPOL frames with tainted key (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: work around Cisco AP 9115 VHT MPDU length (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: Fix 6GHz scan configuration (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: fix potential key leak (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: fix potential key use-after-free (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt76x02: fix MT76x0 external LNA gain handling (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: brcmfmac: Replace 1-element arrays with flexible arrays (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: Fix oob check condition in mwifiex_process_rx_packet (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw88: rtw8723d: Fix MAC address offset in EEPROM (Jose Ignacio Tornos Martinez) [RHEL-2907]
- rfkill: sync before userspace visibility/changes (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: fix mesh id corruption on 32 bit systems (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: add missing kernel-doc for cqm_rssi_work (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: fix cqm_config access race (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: Fix a memory corruption issue (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: Ensure ack flag is properly cleared. (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: dbg_ini: fix structure packing (Jose Ignacio Tornos Martinez) [RHEL-2907]
- iwlwifi: mvm: handle PS changes in vif_cfg_changed (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: validate AP phy operation before starting it (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211/mac80211: hold link BSSes when assoc fails for MLO connection (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: Sanity check tlv_len and tlv_bitmap_len (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: Replace one-element array with flexible-array member in struct mwifiex_ie_types_rxba_sync (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: Fix tlv_buf_left calculation (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: fix lock dependency problem for wed_lock (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtlwifi: rtl8723: Remove unused function rtl8723_cmd_send_packet() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw88: usb: kill and free rx urbs on probe failure (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: Fix clang -Wimplicit-fallthrough in rtw89_query_sar() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: phy: modify register setting of ENV_MNTR, PHYSTS and DIG (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: phy: add phy_gen_def::cr_base to support WiFi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: mac: define register address of rx_filter to generalize code (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: mac: define internal memory address for WiFi 7 chip (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: mac: generalize code to indirectly access WiFi internal memory (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: mac: add mac_gen_def::band1_offset to map MAC band1 register address (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: initialize multi-channel handling (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: provide functions to configure NoA for beacon update (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: call rtw89_chan_get() by vif chanctx if aware of vif (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: sar: let caller decide the center frequency to query (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: refine rtw89_correct_cck_chan() by rtw89_hw_to_nl80211_band() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: add function prototype for coex request duration (Jose Ignacio Tornos Martinez) [RHEL-2907]
- Fix nomenclature for USB and PCI wireless devices (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath: Use is_multicast_ether_addr() to check multicast Ether address (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: Remove unused declarations (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: add check max message length while scanning with extraie (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath9k: use IS_ERR() with debugfs_create_dir() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: Fix memory leak in rx_desc and tx_desc (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath: remove unused-but-set parameter (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: Remove unused declarations (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath10k: fix Wvoid-pointer-to-enum-cast warning (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: fix Wvoid-pointer-to-enum-cast warning (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: simplify the code with module_platform_driver (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: Fix a few spelling errors (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: Fix a few spelling errors (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath10k: Fix a few spelling errors (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: Consistently use ath11k_vif_to_arvif() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: Cleanup mac80211 references on failure during tx_complete (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: Don't drop tx_status when peer cannot be found (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: wilc1000: Remove unused declarations (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: avoid possible NULL skb pointer dereference (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rt2x00: limit MT7620 TX power based on eeprom calibration (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: Fix ieee80211.h kernel-doc issues (Jose Ignacio Tornos Martinez) [RHEL-2907]
- mac80211: make ieee80211_tx_info padding explicit (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: fix kernel-doc notation warning (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: radiotap: fix kernel-doc notation warnings (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: remove dead/unused enum value (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: improve documentation for flag fields (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: nl80211: Remove unused declaration nl80211_pmsr_dump_results() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: mesh: Remove unused function declaration mesh_ids_set_default() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: Remove unused function declarations (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: wext: Remove unused declaration dev_get_wireless_info() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: nl80211/cfg80211: add forgotten nla_policy for BSS color attribute (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211_hwsim: avoid calling nlmsg_free() in IRQ or IRQ disabled (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: fix puncturing bitmap handling in CSA (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211_hwsim: drop short frames (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: check for station first in client probe (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: ocb: don't leave if not joined (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: reject auth/assoc to AP with our address (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath9k: Remove unused declarations (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath9k: Remove unnecessary ternary operators (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath9k: consistently use kstrtoX_from_user() functions (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath9k: fix parameter check in ath9k_init_debug() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath9k: protect WMI command response buffer replacement with a lock (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath9k: fix races between ath9k_wmi_cmd and ath9k_wmi_ctrl_rx (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: check S1G action frame size (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlmei: don't send nic info with invalid mac address (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlmei: send driver down SAP message only if wiamt is enabled (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlmei: send HOST_GOES_DOWN message even if wiamt is disabled (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlmei: don't send SAP messages if AMT is disabled (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: pcie: avoid a warning in case prepare card failed (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: remove memory check for LMAC error address (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: support flush on AP interfaces (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: enable HE TX/RX <242 tone RU on new RFs (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: add Razer to ppag approved list (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: pcie: point invalid TFDs to invalid data (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: queue: move iwl_txq_gen2_set_tb() up (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: pcie: move gen1 TB handling to header (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: remove 'def_rx_queue' struct member (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: pcie: clean up gen1/gen2 TFD unmap (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: remove WARN from read_mem32() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: api: fix a small upper/lower-case typo (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: advertise MLO only if EHT is enabled (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: Annotate struct cfg80211_tid_config with __counted_by (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: Annotate struct cfg80211_scan_request with __counted_by (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: Annotate struct cfg80211_rnr_elems with __counted_by (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: Annotate struct cfg80211_pmsr_request with __counted_by (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: Annotate struct cfg80211_mbssid_elems with __counted_by (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: Annotate struct cfg80211_cqm_config with __counted_by (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: Annotate struct cfg80211_acl_data with __counted_by (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath10k: Use RMW accessors for changing LNKCTL (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: Use RMW accessors for changing LNKCTL (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: Use RMW accessors for changing LNKCTL (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: regd: update regulatory map to R64-R43 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: fix a width vs precision bug (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rt2x00: correct MAC_SYS_CTRL register RX mask in R-Calibration (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtlwifi: use eth_broadcast_addr() to assign broadcast address (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: Introduce Time Averaged SAR (TAS) feature (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8852b: rfk: fine tune IQK parameters to improve performance on 2GHz band (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: fix comment typos in SDIO module (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: cleanup adapter data (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: Fix missed return in oob checks failed path (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: use is_zero_ether_addr() instead of ether_addr_equal() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: drop BUG_ON from TX paths (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: handle possible mwifiex_write_reg() errors (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: handle possible sscanf() errors (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: cleanup private data structures (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: fix memory leak in mwifiex_histogram_read() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- bus: mhi: host: pci_generic: add support for Telit FE990 modem (Jose Ignacio Tornos Martinez) [RHEL-2907]
- bus: mhi: host: remove unused-but-set parameter (Jose Ignacio Tornos Martinez) [RHEL-2907]
- net: mhi: Remove redundant initialization owner in mhi_net_driver (Jose Ignacio Tornos Martinez) [RHEL-2907]
- USB: core: Fix unused variable warning in usb_alloc_dev() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- USB: Remove Wireless USB and UWB documentation (Jose Ignacio Tornos Martinez) [RHEL-2907]
- USB: Remove remnants of Wireless USB and UWB (Jose Ignacio Tornos Martinez) [RHEL-2907]
- bus: mhi: host: pci_generic: Add support for Quectel RM520N-GL Lenovo variant (Jose Ignacio Tornos Martinez) [RHEL-2907]
- bus: mhi: host: allow MHI client drivers to provide the firmware via a pointer (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: return failure if needed firmware elements are not recognized (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: add to parse firmware elements of BB and RF tables (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: introduce infrastructure of firmware elements (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: add firmware suit for BB MCU 0/1 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: add firmware parser for v1 format (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: introduce v1 format of firmware header (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: support firmware log with formatted text (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: recognize log format from firmware file (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: avoid deadlock by change ieee80211_queue_work for regd_update_work (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: add handler for scan event WMI_SCAN_EVENT_DEQUEUED (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: relax list iteration in ath12k_mac_vif_unref() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: configure puncturing bitmap (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: parse WMI service ready ext2 event (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: add MLO header in peer association (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: peer assoc for 320 MHz (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: add WMI support for EHT peer (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: prepare EHT peer assoc parameters (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: add EHT PHY modes (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: propagate EHT capabilities to userspace (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: WMI support to process EHT capabilities (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: move HE capabilities processing to a new function (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: rename HE capabilities setup/copy functions (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: change to use dynamic memory for channel list of scan (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: trigger station disconnect on hardware restart (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: Use pdev_id rather than mac_id to get pdev (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: avoid array overflow of hw mode for preferred_hw_mode (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: fix memcpy array overflow in ath12k_peer_assoc_h_he() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: correct the data_type from QMI_OPT_FLAG to QMI_UNSIGNED_1_BYTE for mlo_capable (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: Fix a NULL pointer dereference in ath12k_mac_op_hw_scan() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: Remove cal_done check during probe (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: Add coldboot calibration support for QCN9074 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: Split coldboot calibration hw_param (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: simplify ath11k_mac_validate_vht_he_fixed_rate_settings() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: fix band selection for ppdu received in channel 177 of 5 GHz (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: fix error recovery in PCIE buffer descriptor management (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: brcmsmac: cleanup SCB-related data types (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: brcmsmac: remove more unused data types (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: Replace strlcpy() with strscpy() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: drivers: Explicitly include correct DT includes (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: wilc1000: add SPI commands retry mechanism (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: Fix OOB and integer underflow when rx packets (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: wilc1000: remove use of has_thrpt_enh3 flag (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: get data rate mode/NSS/MCS v1 from RX descriptor (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: add to display hardware rates v1 histogram in debugfs (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: add C2H RA event V1 to support WiFi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: use struct to access RA report (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: use struct to access firmware C2H event header (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: add H2C RA command V1 to support WiFi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: use struct to set RA H2C command (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: phy: rate pattern handles HW rate by chip gen (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: define hardware rate v1 for WiFi 7 chips (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: add chip_info::chip_gen to determine chip generation (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7915: fix power-limits while chan_switch (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7915: fix tlv length of mt7915_mcu_get_chan_mib_info (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt76x02: fix return value check in mt76x02_mac_process_rx (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: testmode: add nla_policy for MT76_TM_ATTR_TX_LENGTH (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: move mt7921u_disconnect mt792x-lib (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: move mt7921_dma_init in pci.c (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt792x: move MT7921_PM_TIMEOUT and MT7921_HW_SCAN_TIMEOUT in common code (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt76_connac3: move lmac queue enumeration in mt76_connac3_mac.h (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt792x: move mt7921_load_firmware in mt792x-lib module (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt792x: introduce mt792x-usb module (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: move acpi_sar code in mt792x-lib module (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: move runtime-pm pci code in mt792x-lib (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: move shared runtime-pm code on mt792x-lib (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: move hif_ops macro in mt792x.h (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt792x: move more dma shared code in mt792x_dma (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt792x: introduce mt792x_irq_map (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: move init shared code in mt792x-lib module (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: move debugfs shared code in mt792x-lib module (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: move dma shared code in mt792x-lib module (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: move mac shared code in mt792x-lib module (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt792x: introduce mt792x-lib module (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: move mt792x_hw_dev in mt792x.h (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: move mt792x_mutex_{acquire/release} in mt792x.h (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt792x: move shared structure definition in mt792x.h (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: rename mt7921_hif_ops in mt792x_hif_ops (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: rename mt7921_dev in mt792x_dev (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: rename mt7921_phy in mt792x_phy (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: rename mt7921_sta in mt792x_sta (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: rename mt7921_vif in mt792x_vif (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: convert acpisar and clc pointers to void (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: move common register definition in mt792x_regs.h (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7603: fix tx filter/flush function (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7603: fix beacon interval after disabling a single vif (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7915: remove VHT160 capability on MT7915 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: add support for providing eeprom in nvmem cells (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: split get_of_eeprom in subfunction (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7915: fix capabilities in non-AP mode (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: connac: add connac3 mac library (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: connac: move connac3 definitions in mt76_connac3_mac.h (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: move rate info in mt76_vif (Jose Ignacio Tornos Martinez) [RHEL-2907]
- mt76: connac: move more mt7921/mt7915 mac shared code in connac lib (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: move ampdu_state in mt76_wcid (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: rely on shared poll_list field (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7996: rely on shared poll_list field (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7615: rely on shared poll_list field (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7603: rely on shared poll_list field (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7915: move poll_list in mt76_wcid (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: rely on shared sta_poll_list and sta_poll_lock (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7996: rely on shared sta_poll_list and sta_poll_lock (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7615: rely on shared sta_poll_list and sta_poll_lock (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7603: rely on shared sta_poll_list and sta_poll_lock (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7915: move sta_poll_list and sta_poll_lock in mt76_dev (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7996: increase tx token size (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7996: add muru support (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7996: fix WA event ring size (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7996: use correct phy for background radar event (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: connac: add support to set ifs time by mcu command (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7996: enable VHT extended NSS BW feature (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7996: fix bss wlan_idx when sending bss_info command (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: connac: add support for dsp firmware download (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7996: move radio ctrl commands to proper functions (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: get rid of MT7921_RESET_TIMEOUT marco (Jose Ignacio Tornos Martinez) [RHEL-2907]
- mt76: mt7996: rely on mt76_sta_stats in mt76_wcid (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7915: fix command timeout in AP stop period (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: make mt7921_mac_sta_poll static (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7996: disable WFDMA Tx/Rx during SER recovery (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7915: disable WFDMA Tx/Rx during SER recovery (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: Support temp sensor (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: fix non-PSC channel scan fail (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7915: accumulate mu-mimo ofdma muru stats (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: add tx_nss histogram to ethtool stats (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: fix skb leak by txs missing in AMSDU (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921e: report tx retries/failed counts in tx free event (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7915: add support for MT7981 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: rely on mib_stats shared definition (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7996: rely on mib_stats shared definition (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7915: move mib_stats structure in mt76.h (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: remove macro duplication in regs.h (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7921: do not support one stream on secondary antenna only (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7996: drop return in mt7996_sta_statistics (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7915: drop return in mt7915_sta_statistics (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: report non-binding skb tx rate when WED is active (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7915: rework tx bytes counting when WED is active (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7915: rework tx packets counting when WED is active (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7915: report tx retries/failed counts for non-WED path (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7915: fix background radar event being blocked (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: enable UNII-4 channel 177 support (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7615: enable BSS_CHANGED_MU_GROUPS support (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7996: enable BSS_CHANGED_MU_GROUPS support (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7996: fix header translation logic (Jose Ignacio Tornos Martinez) [RHEL-2907]
- mt76: mt7921: don't assume adequate headroom for SDIO headers (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: brcmsmac: remove unused data type (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: Set WIPHY_FLAG_NETNS_OK flag (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: fix fortify warning (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: prefer strscpy() over strlcpy() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Enable AP mode for RTL8723BU (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Enable AP mode for RTL8192EU (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Enable AP mode for RTL8710BU (RTL8188GU) (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Enable AP mode for RTL8192FU (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: debug: Fix error handling in rtw89_debug_priv_btc_manual_set() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw88: simplify vif iterators (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw88: remove unused USB bulkout size set (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw88: remove unused and set but unused leftovers (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath9k: fix printk specifier (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath9k: fix fortify warnings (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath9k: avoid using uninitialized array (Jose Ignacio Tornos Martinez) [RHEL-2907]
- net: qrtr: Handle IPCR control port format of older targets (Jose Ignacio Tornos Martinez) [RHEL-2907]
- net: qrtr: ns: Change nodes radix tree to xarray (Jose Ignacio Tornos Martinez) [RHEL-2907]
- net: qrtr: ns: Change servers radix tree to xarray (Jose Ignacio Tornos Martinez) [RHEL-2907]
- bus: mhi: host: use vmalloc_array and vcalloc (Jose Ignacio Tornos Martinez) [RHEL-2907]
- bus: mhi: host: pci_generic: Add support for Dell DW5932e (Jose Ignacio Tornos Martinez) [RHEL-2907]
- bus: mhi: host: pci_generic: Add support for Quectel RM520N-GL modem (Jose Ignacio Tornos Martinez) [RHEL-2907]
- bus: mhi: host: pci_generic: Add support for Quectel EM160R-GL modem (Jose Ignacio Tornos Martinez) [RHEL-2907]
- bus: mhi: host: pci_generic: Add support for IP_SW0 channels (Jose Ignacio Tornos Martinez) [RHEL-2907]
- bus: mhi: host: Skip MHI reset if device is in RDDM (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: limit reorder_buf_filtered to avoid UBSAN warning (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: add dependency for PTP clock (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: Fix buffer overflow when scanning with extraie (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: nl80211: fix integer overflow in nl80211_parse_mbssid_elems() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: fix sband iftype data lookup for AP_VLAN (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: fix 8852AE disconnection caused by RX full flags (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: brcm80211: handle params_v1 allocation failure (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: brcmfmac: Fix field-spanning write in brcmf_scan_params_v2_to_v1() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ray_cs: Replace 1-element array with flexible array (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt76: mt7615: do not advertise 5 GHz on first phy of MT7615D (DBDC) (Jose Ignacio Tornos Martinez) [RHEL-2907]
- codel: fix kernel-doc notation warnings (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: debug: fix error code in rtw89_debug_priv_send_h2c_set() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: remove 'use_tfh' config to fix crash (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ieee80211: fix erroneous NSTR bitmap size checks (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtlwifi: cleanup USB interface (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtlwifi: simplify LED management (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath10k: improve structure padding (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: Add support for IGTK in D3 resume flow (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: update two most recent GTKs on D3 resume flow (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: Refactor security key update after D3 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: mark keys as uploaded when added by the driver (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: remove support of A0 version of FM RF (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: cfg: clean up Bz module firmware lines (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: pcie: add device id 51F1 for killer 1675 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: bump FW API to 83 for AX/BZ/SC devices (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: cfg: remove trailing dash from FW_PRE constants (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: also unify Ma device configurations (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: also unify Sc device configurations (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: unify Bz/Gl device configurations (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: pcie: also drop jacket from info macro (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: remove support for *nJ devices (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: don't load old firmware for 22000 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: don't load old firmware for ax210 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: don't load old firmware for Bz (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: don't load old firmware for Sc (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: give Sc devices their own family (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: split 22000.c into multiple files (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: Add support for new CNVi (SC) (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: Add support for new Bz version (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: Add support for scan version 17 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: adjust skip-over-dtim in D3 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: indicate HW decrypt for beacon protection (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: support new flush_sta method (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: Add support for new PCI Id (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: send LARI configuration earlier (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: remove disable_dummy_notification (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: limit EHT capabilities based on PCIe link speed (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: add EHT A-MPDU size exponent support (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: use min_t() for agg_size (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: use EHT maximum MPDU length on 2.4 GHz (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: nvm: handle EHT/320 MHz regulatory flag (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: make iwl_mvm_set_fw_mu_edca_params mld aware (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: fw: send marker cmd before suspend cmd (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: check the right csa_active (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: pcie: add size assertions (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: pcie: refactor RB status size calculation (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: nl80211/reg: add no-EHT regulatory flag (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: Retrieve PSD information from RNR AP information (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: fix CRC calculation for extended elems (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: avoid lockdep checking when removing deflink (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: always hold sdata lock in chanctx assign/unassign (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: store BSS param change count from assoc response (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: drop some unprotected action frames (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: move action length check up (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: drop unprotected robust mgmt before 4-way-HS (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: use struct to parse firmware header (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: TX power stuffs replace confusing naming of _max with _num (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: configure to force 1 TX power value (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: rfk: update IQK to version 0x8 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: rfk: add LCK track (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: update TX power tables to R28 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: update RF radio A parameters to R28 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw88: fix not entering PS mode after AP stops (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw88: refine register based H2C command (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw88: Stop high queue during scan (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw88: Skip high queue in hci_flush (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw88: Fix AP mode incorrect DTIM behavior (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw88: use struct instead of macros to set TX desc (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: fix documentation config reference (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: add eht_capa debugfs field (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: dvm: fix -Wunused-const-variable gcc warning (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: check EHT basic MCS/NSS set (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: search all RNR elements for colocated APs (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: stop parsing after allocation failure (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: update multi-link element STA reconfig (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: agg-tx: prevent start/stop race (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: agg-tx: add a few locking assertions (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ieee80211: reorder presence checks in MLE per-STA profile (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: Support link removal using Reconfiguration ML element (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: add set_active_links variant not locking sdata (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: add ___ieee80211_disconnect variant not locking sdata (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211/nl80211: Add support to indicate STA MLD setup links removal (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: do not scan disabled links on 6GHz (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: handle BSS data contained in ML probe responses (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: use structs for TBTT information access (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ieee80211: add structs for TBTT information access (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: use a struct for inform_single_bss data (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ieee80211: add definitions for RNR MLD params (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: Always ignore ML element (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ieee80211: use default for medium synchronization delay (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ieee80211: add helper to validate ML element type and size (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ieee80211: Fix the common size calculation for reconfiguration ML (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: Include Multi-Link in CRC calculation (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: fix regulatory disconnect with OCB/NAN (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: fix regulatory disconnect for non-MLO (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: Rename ieee80211_mle_sta_prof_size_ok() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: Add support for parsing Reconfiguration Multi Link element (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: Rename multi_link (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: use cfg80211 defragmentation helper (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: add element defragmentation helper (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: drop incorrect nontransmitted BSS update code (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: rewrite merging of inherited elements (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: ignore invalid TBTT info field types (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: use new inform_bss callback (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: add inform_bss op to update BSS (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: keep bss_lock held when informing (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: move regulatory_hint_found_beacon to be earlier (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: feed the link_id to cfg80211_ch_switch_started_notify (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: add consistency check for compat chandef (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: stop passing cbss to parser (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: Extend AID element addition for TDLS frames (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: Add HE and EHT capa elements in TDLS frames (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: handle TDLS data frames with MLO (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: handle TDLS negotiation with MLO (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: make TDLS management link-aware (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: wext-core: Fix -Wstringop-overflow warning in ioctl_standard_iw_point() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: bump FW API to 81 for AX devices (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: Add support for SCAN API version 16 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: Don't access vif valid links directly (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: handle eSR transitions (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: fix max number of fw active links (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: Remove "Missing iftype sband data/EHT cap" spam (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: track u-APSD misbehaving AP by AP address (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: implement WPFC ACPI table loading (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: add some FW misbehaviour check infrastructure (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: always send spec link ID in link commands (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: use array as array argument (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: store WMM params per link (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: fw: Add new FSEQ defines to fw dump (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: pcie: double-check ACK interrupt after timeout (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: use iwl_mvm_is_vendor_in_approved_list() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: fw: make some ACPI functions static (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: correctly access HE/EHT sband capa (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: remove new checksum code (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: Add debugfs entry to report dormant links (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: Support disabled links during association (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: Add missing hw_ops->get_ring_selector() for IPQ5018 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: Restart firmware after cold boot calibration for IPQ5018 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: Add missing ops config for IPQ5018 in ath11k_ahb_probe() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ray_cs: fix stringop-truncation GCC warning (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: brcmsmac: fix gnu_printf warnings (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: brcmfmac: fix gnu_printf warnings (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: fix conf_mutex in ath12k_mac_op_unassign_vif_chanctx() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: fix spelling typo of IQK debug messages (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: cleanup rtw89_iqk_info and related code (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: cleanup private data structures (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt7601u: replace strlcpy() with strscpy() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rt2x00: fix the typo in comments (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: dbg-tlv: clear FW debug memory on init (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: pcie: remove redundant argument (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: pcie: clear FW debug memory on init (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: dbg-tlv: fix DRAM data init (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: allow ADD_STA not to be advertised by the firwmare (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: put only a single IGTK into FW (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: support version C0 of BZ and GL devices (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: add support for Extra EHT LTF (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: Refactor iwl_mvm_get_lmac_id() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: improve debug prints in iwl_read_ppag_table() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: initialize the rx_vec before using it (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: rename BTM support flag and its TLV (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: support U-SIG EHT validate checks (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: Replace strlcpy with strscpy (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: replace strlcpy() with strscpy() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: Propagate ERP slot changes to FW (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: FTM responder MLO support (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: pass ESR parameters to the firmware (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: debugfs: add ppag capa to fw info file (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: always set MH len in offload_assist (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: fw: print PC register value instead of address (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: unify checks for HW error values (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: fix getting LDPC/STBC support (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: bump FW API to 79 for AX devices (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: update response for mcc_update command (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: FTM initiator MLO support (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: make debugfs entries link specific (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: Fix permissions for valid_links debugfs entry (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: Do not use "non-MLD AP" syntax (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: Support association to AP MLD with disabled links (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211_hwsim: Don't access vif valid links directly (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: Add getter functions for vif MLD state (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: allow disabling SMPS debugfs controls (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: don't update rx_stats.last_rate for NDP (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: fix CSA processing while scanning (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: mlme: clarify WMM messages (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: pass roc->sdata to drv_cancel_remain_on_channel() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: include key action/command in tracing (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: add helpers to access sband iftype data (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: S1G rate information and calculations (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: debug: add ATH11K_DBG_CE (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: htc: cleanup debug messages (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: don't use %%pK (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: hal: cleanup debug message (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: debug: use all upper case in ATH11k_DBG_HAL (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: dp: cleanup debug message (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: pci: cleanup debug logging (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: wmi: add unified command debug messages (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: wmi: use common error handling style (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: wmi: cleanup error handling in ath11k_wmi_send_init_country_cmd() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: remove unsupported event handlers (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: add WMI event debug messages (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: remove manual mask names from debug messages (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: print debug level in debug messages (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: debug: remove unused ATH11K_DBG_ANY (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: delete the timer rx_replenish_retry during rmmod (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: Use msdu_end to check MCBC (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: check hardware major version for WCN7850 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: Avoid NULL pointer access during management transmit cleanup (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: update proper pdev/vdev id for testmode command (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: atk10k: Don't opencode ath10k_pci_priv() in ath10k_ahb_priv() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath10k: Convert to platform remove callback returning void (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath10k: Drop checks that are always false (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath10k: Drop cleaning of driver data from probe error path and remove (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtlwifi: remove misused flag from HAL data (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtlwifi: remove unused dualmac control leftovers (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtlwifi: remove unused timer and related code (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: brcmfmac: Detect corner error case earlier with log (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8852c: update RF radio A/B parameters to R63 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8852c: update TX power tables to R63 with 6 GHz power type (3 of 3) (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8852c: update TX power tables to R63 with 6 GHz power type (2 of 3) (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8852c: update TX power tables to R63 with 6 GHz power type (1 of 3) (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: process regulatory for 6 GHz power type (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: regd: update regulatory map to R64-R40 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: regd: judge 6 GHz according to chip and BIOS (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: refine clearing supported bands to check 2/5 GHz first (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: configure CRASH_TRIGGER feature for 8851B (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: set TX power without precondition during setting channel (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: debug: txpwr table access only valid page according to chip (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: enable hw_scan support (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: move scan done work to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: move sched scan stop to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: mlme: move disconnects to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: ibss: move disconnect to wiphy work (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: use wiphy work for channel switch (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: use wiphy work for SMPS (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: unregister netdevs through cfg80211 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: use wiphy work for sdata->work (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: add a work abstraction with special semantics (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: hold wiphy lock when sending wiphy (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: wext: hold wiphy lock in siwgenie (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: move wowlan disable under locks (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: hold wiphy lock in pmsr work (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: cfg80211: hold wiphy lock in auto-disconnect (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: stop warning after reconfig failures (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211_hwsim: Fix possible NULL dereference (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: consistently use u64 for BSS changes (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: batch recalc during STA flush (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: move sta_info_move_state() up (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: recalc min chandef for new STA links (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: provide a helper to fetch the medium synchronization delay (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: refactor ieee80211_select_link_key() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: use u64 to hold enum ieee80211_bss_change flags (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: implement proper AP MLD HW restart (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: fetch and store the EML capability information (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: skip EHT BSS membership selector (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211_hwsim: avoid warning with MLO PS stations (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: remove element scratch_len (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mac80211: HW restart for MLO (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: pnvm: handle memory descriptor tlv (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: fw: don't use constant size with efi.get_variable (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: fw: clean up PNVM loading code (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: Separate reading and parsing of reduce power table (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: Enable loading of reduce-power tables into several segments (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: Use iwl_pnvm_image in reduce power tables flow (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: Separate loading and setting of power reduce tables (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: Implement loading and setting of fragmented pnvm image (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: Add support for fragmented pnvm images (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: Allow trans_pcie track more than 1 pnvm DRAM region (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: Take loading and setting of pnvm image out of parsing part (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: Separate loading and setting of pnvm image into two functions (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: Generalize the parsing of the pnvm image (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: api: fix kernel-doc links (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: api: remove unused commands (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: api: use __le16 instead of u16 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: api: link context action in kernel-doc (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: tell firmware about per-STA MFP enablement (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: remove warning for beacon filtering error (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: remove dead code in iwl_dump_ini_imr_get_size() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: Add Dell to ppag approved list (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: pcie: adjust Bz device timings (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: offload BTM response during D3 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: do not log undefined DRAM buffers unnecessarily (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: acpi: add other Google OEMs to the ppag approved list (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: clarify EHT RU allocation bits (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: support injection rate control (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: Add vendors to TAS approved list (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: iwlmei: fix compilation error (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: support PPAG in China for older FW cmd version (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: remove useless code (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: fw: Add new ODM vendor to ppag approved list (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: adjust csa notifications and commands to MLO (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: update the FW apis for LINK and MAC commands (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: Make iwl_mvm_diversity_iter() MLO aware (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: support PASN for MLO (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: dissolve iwl_mvm_mac_add_interface_common() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: make internal callback structs const (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: mvm: use link ID in missed beacon notification (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: cfg: freeze 22500 devices FW API (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: brcmutil: use helper function pktq_empty() instead of open code (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtlwifi: use helper function rtl_get_hdr() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath10k: Trigger STA disconnect after reconfig complete on hardware restart (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: Add HTT stats for PHY reset case (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: Allow ath11k to boot without caldata in ftm mode (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: factory test mode support (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: remove unused function ath11k_tm_event_wmi() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: add support default regdb while searching board-2.bin for WCN6855 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- uapi: wireless: Replace zero-length array with flexible-array member (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw88: usb: silence log flooding error message (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ray_cs: add sanity check on local->sram/rmem/amem (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ray_cs: remove one redundant del_timer (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: Add support to parse new WMI event for 6 GHz regulatory (Jose Ignacio Tornos Martinez) [RHEL-2907]
- net: qrtr: Use alloc_ordered_workqueue() to create ordered workqueues (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ray_cs: Fix an error handling path in ray_probe() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: wl3501_cs: Fix an error handling path in wl3501_probe() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: use flexible array member in rtw89_btc_btf_tlv (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: rfk: Fix spelling mistake KIP_RESOTRE -> KIP_RESTORE (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: use struct to access register-based H2C/C2H (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: use struct and le32_get_bits() to access RX descriptor (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: use struct and le32_get_bits() to access received PHY status IEs (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: use struct and le32_get_bits to access RX info (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: add chip_ops::query_rxdesc() and rxd_len as helpers to support newer chips (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: add 8851be to Makefile and Kconfig (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: add tx_wake notify for 8851B (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: enlarge supported length of read_reg debugfs entry (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: add RF configurations (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: add MAC configurations to chip_info (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: fill BB related capabilities to chip_info (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: add TX power related functions (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: refine packet offload handling under SER (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: tweak H2C TX waiting function for SER (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: ser: reset total_sta_assoc and tdls_peer when L2 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath10/11/12k: Use alloc_ordered_workqueue() to create ordered workqueues (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: Use default @max_active for workqueues (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: Send HT fixed rate in WMI peer fixed param (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: Relocate the func ath11k_mac_bitrate_mask_num_ht_rates() and change hweight16 to hweight8 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: increase vdev setup timeout (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: rfk: add TSSI (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: rfk: add DPK (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: rfk: add RX DCK (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: add to parse efuse content (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: add set channel function (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: add basic power on function (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: add BT coexistence support function (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: configure GPIO according to RFE type (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: add to read efuse version to recognize hardware version B (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Rename some registers (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Support new chip RTL8192FU (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: brcmfmac: wcc: Add debug messages (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: suppress the log for specific SER called CMDPSR_FRZTO (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: ser: L1 add pre-M0 and post-M0 states (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: Fix the size of a memory allocation in mwifiex_ret_802_11_scan() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: wilc1000: Increase ASSOC response buffer (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: wilc1000: fix for absent RSN capabilities WFA testcase (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: EMA beacon support (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: MBSSID beacon support (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: refactor vif parameter configurations (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: MBSSID parameter configuration in AP mode (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: rename MBSSID fields in wmi_vdev_up_cmd (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: MBSSID configuration during vdev create/start (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: driver settings for MBSSID and EMA (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath: work around false-positive stringop-overread warning (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: iwlwifi: Use default @max_active for trans_pcie->rba.alloc_wq (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: Use list_count_nodes() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath10k: Use list_count_nodes() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath10k: Serialize wake_tx_queue ops (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: fix potential wmi_mgmt_tx_queue race condition (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: add wait operation for tx management packets for flush from mac80211 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mt7601u: delete dead code checking debugfs returns (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: mwifiex: Use list_count_nodes() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: rfk: add IQK (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: rfk: add DACK (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: rfk: add RCK (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: rfk: add AACK (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: add set_channel_rf() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: add DLE mem and HFC quota (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: add support WoWLAN to 8851B (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: change naming of BA CAM from V1 to V0_EXT (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: use chip_info::small_fifo_size to choose debug_mask (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: add CFO XTAL registers field to support 8851B (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851b: add NCTL post table (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: 8851be: add 8851BE PCI entry and fill PCI capabilities (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: scan offload wait for FW done ACK (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: mac: handle C2H receive/done ACK in interrupt context (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: packet offload wait for FW response (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: refine packet offload delete flow of 6 GHz probe (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: release bit in rtw89_fw_h2c_del_pkt_offload() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: add EVM for antenna diversity (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: add RSSI based antenna diversity (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: initialize antenna for antenna diversity (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: add EVM and SNR statistics to debugfs (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: add RSSI statistics for the case of antenna diversity to debugfs (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: set capability of TX antenna diversity (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtw89: use struct rtw89_phy_sts_ie0 instead of macro to access PHY IE0 status (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: rtl8xxxu_rx_complete(): remove unnecessary return (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Support USB RX aggregation for the newer chips (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Set maximum number of supported stations (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Declare AP mode support for 8188f (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Remove usage of tx_info->control.rates[0].flags (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Remove usage of ieee80211_get_tx_rate() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Clean up filter configuration (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Enable hw seq for mgmt/non-QoS data frames (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Add parameter macid to update_rate_mask (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Put the macid in txdesc (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Add sta_add() and sta_remove() callbacks (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Add parameter force to rtl8xxxu_refresh_rate_mask (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Add parameter role to report_connect (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Actually use macid in rtl8xxxu_gen2_report_connect (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Allow creating interface in AP mode (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Allow setting rts threshold to -1 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Add set_tim() callback (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Add beacon functions (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Select correct queue for beacon frames (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: rtl8xxxu: Add start_ap() callback (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath11k: fix registration of 6Ghz-only phy without the full channel range (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: Remove some dead code (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: send WMI_PEER_REORDER_QUEUE_SETUP_CMDID when ADDBA session starts (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: set PERST pin no pull request for WCN7850 (Jose Ignacio Tornos Martinez) [RHEL-2907]
- wifi: ath12k: add qmi_cnss_feature_bitmap field to hardware parameters (Jose Ignacio Tornos Martinez) [RHEL-2907]
- bcma: Add explicit of_device.h include (Jose Ignacio Tornos Martinez) [RHEL-2907]
- bcma: remove unused mips_read32 function (Jose Ignacio Tornos Martinez) [RHEL-2907]
- bcma: Use of_address_to_resource() (Jose Ignacio Tornos Martinez) [RHEL-2907]
- treewide: Replace open-coded flex arrays in unions (Jose Ignacio Tornos Martinez) [RHEL-2907]
- lib/group_cpus.c: avoid acquiring cpu hotplug lock in group_cpus_evenly (Ming Lei) [RHEL-8127]
- arm64: kdump: simplify the reservation behaviour of crashkernel=,high (Pingfan Liu) [RHEL-8993]
- crypto: qat - add NULL pointer check (Vladis Dronov) [RHEL-19122]
- crypto: qat - fix mutex ordering in adf_rl (Vladis Dronov) [RHEL-19122]
- crypto: qat - fix error path in add_update_sla() (Vladis Dronov) [RHEL-19122]
- crypto: qat - add sysfs_added flag for rate limiting (Vladis Dronov) [RHEL-19122]
- crypto: qat - add sysfs_added flag for ras (Vladis Dronov) [RHEL-19122]
Resolves: RHEL-19122, RHEL-2907, RHEL-8127, RHEL-8993

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-12-18 12:06:07 -05:00
Scott Weaver
28a9bbf6a8 kernel-5.14.0-400.el9
* Sat Dec 16 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-400.el9]
- drm/i915/dsc: Fix pic_width readout (Mika Penttilä) [RHEL-1350]
- drm/i915: Prevent potential null-ptr-deref in engine_init_common (Mika Penttilä) [RHEL-1350]
- drm/i915: Add GuC TLB Invalidation device info flags (Mika Penttilä) [RHEL-1350]
- drm/i915/mtl: Apply notify_guc to all GTs (Mika Penttilä) [RHEL-1350]
- drm/i915/mtl: Support HBR3 rate with C10 phy and eDP in MTL (Mika Penttilä) [RHEL-1350]
- drm/i915/mtl: avoid stringop-overflow warning (Mika Penttilä) [RHEL-1350]
- drm/i915/mtl: Don't set PIPE_CONTROL_FLUSH_L3 (Mika Penttilä) [RHEL-1350]
- drm/i915/mtl: Remove the 'force_probe' requirement for Meteor Lake (Mika Penttilä) [RHEL-1350]
- drm/i915: Enable GuC TLB invalidations for MTL (Mika Penttilä) [RHEL-1350]
- drm/i915/guc: Enable WA 14018913170 (Mika Penttilä) [RHEL-1350]
- drm/i915/guc: Update 'recommended' version to 70.12.1 for DG2/ADL-S/ADL-P/MTL (Mika Penttilä) [RHEL-1350]
- drm/i915: Enable GGTT updates with binder in MTL (Mika Penttilä) [RHEL-1350]
- drm/i915: Toggle binder context ready status (Mika Penttilä) [RHEL-1350]
- drm/i915: Implement GGTT update method with MI_UPDATE_GTT (Mika Penttilä) [RHEL-1350]
- drm/i915: Parameterize binder context creation (Mika Penttilä) [RHEL-1350]
- drm/i915: Implement for_each_sgt_daddr_next (Mika Penttilä) [RHEL-1350]
- drm/i915: Create a kernel context for GGTT updates (Mika Penttilä) [RHEL-1350]
- drm/i915: Lift runtime-pm acquire callbacks out of intel_wakeref.mutex (Mika Penttilä) [RHEL-1350]
- drm/i915/gt: remove a static inline that requires including i915_drv.h (Mika Penttilä) [RHEL-1350]
- drm/i915/mtl: Skip MCR ops for ring fault register (Mika Penttilä) [RHEL-1350]
- drm/i915/lrc: User PXP contexts requires runalone bit in lrc (Mika Penttilä) [RHEL-1350]
- drm/i915/pxp/mtl: Update pxp-firmware packet size (Mika Penttilä) [RHEL-1350]
- drm/i915/pxp/mtl: Update pxp-firmware response timeout (Mika Penttilä) [RHEL-1350]
- drm/i915/mtl: Drop Wa_14017240301 (Mika Penttilä) [RHEL-1350]
- drm/i915/mtl: Add TC port lockdep assert to AUX power well enabling (Mika Penttilä) [RHEL-1350]
- drm/i915/mtl: Update workaround 14016712196 (Mika Penttilä) [RHEL-1350]
- drm/i915/mtl: Adding DeviceID for Arrowlake-S under MTL (Mika Penttilä) [RHEL-1350]
- drm/i915/dsc: use REG_BIT, REG_GENMASK, and friends for PPS0 and PPS1 (Mika Penttilä) [RHEL-1350]
- drm/i915/dsc: add the PPS number to the register content macros (Mika Penttilä) [RHEL-1350]
- drm/i915/dsc: clean up pps comments (Mika Penttilä) [RHEL-1350]
- drm/i915/dsc: drop redundant = 0 assignments (Mika Penttilä) [RHEL-1350]
- drm/i915/dsc: rename pps write to intel_dsc_pps_write() (Mika Penttilä) [RHEL-1350]
- drm/i915/dsc: have intel_dsc_pps_read() return the value (Mika Penttilä) [RHEL-1350]
- drm/i915/dsc: have intel_dsc_pps_read_and_verify() return the value (Mika Penttilä) [RHEL-1350]
- drm/i915/dsc: improve clarity of the pps reg read/write helpers (Mika Penttilä) [RHEL-1350]
- drm/i915/display: Apply workarounds during display init (Mika Penttilä) [RHEL-1350]
- drm/i915/display: Extract display workarounds from clock gating init (Mika Penttilä) [RHEL-1350]
- drm/i915/adlp: Stop calling gen12lp_init_clock_gating() (Mika Penttilä) [RHEL-1350]
- drm/i915: Stop forcing clock gating init for future platforms (Mika Penttilä) [RHEL-1350]
- drm/i915/display: Compare the readout dsc pps params (Mika Penttilä) [RHEL-1350]
- drm/i915/vdsc: Fill the intel_dsc_get_pps_config function (Mika Penttilä) [RHEL-1350]
- drm/i915/vdsc: Remove unused dsc registers (Mika Penttilä) [RHEL-1350]
- drm/i915/vdsc: Add function to write in PPS register (Mika Penttilä) [RHEL-1350]
- drm/i915/vdsc: Add function to read any PPS register (Mika Penttilä) [RHEL-1350]
- drm/i915/vdsc: Add func to get no. of vdsc instances per pipe (Mika Penttilä) [RHEL-1350]
- drm/i915/vdsc: Add a check for dsc split cases (Mika Penttilä) [RHEL-1350]
- drm/i915/vdsc: Refactor dsc register field macro (Mika Penttilä) [RHEL-1350]
- drm/i915/gsc: define gsc fw (Mika Penttilä) [RHEL-1350]
- drm/i915: Replace several IS_METEORLAKE with proper IP version checks (Mika Penttilä) [RHEL-1350]
- drm/i915/display: Eliminate IS_METEORLAKE checks (Mika Penttilä) [RHEL-1350]
- drm/i915/mtl: Eliminate subplatforms (Mika Penttilä) [RHEL-1350]
- drm/i915: Eliminate IS_MTL_DISPLAY_STEP (Mika Penttilä) [RHEL-1350]
- drm/i915: Eliminate IS_MTL_MEDIA_STEP (Mika Penttilä) [RHEL-1350]
- drm/i915: Eliminate IS_MTL_GRAPHICS_STEP (Mika Penttilä) [RHEL-1350]
- drm/i915/xelpg: Call Xe_LPG workaround functions based on IP version (Mika Penttilä) [RHEL-1350]
- drm/i915/xelpmp: Don't assume workarounds extend to future platforms (Mika Penttilä) [RHEL-1350]
- drm/i915: Consolidate condition for Wa_22011802037 (Mika Penttilä) [RHEL-1350]
- drm/i915/pxp/mtl: intel_pxp_init_hw needs runtime-pm inside pm-complete (Mika Penttilä) [RHEL-1350]
- drm/i915/dg2: Drop Wa_16011777198 (Mika Penttilä) [RHEL-1350]
- drm/i915: Tidy workaround definitions (Mika Penttilä) [RHEL-1350]
- drm/i915/dg2: Drop pre-production GT workarounds (Mika Penttilä) [RHEL-1350]
- drm/i915/dg2: Drop pre-production display workarounds (Mika Penttilä) [RHEL-1350]
- drm/i915/dg2: Remove Wa_15010599737 (Mika Penttilä) [RHEL-1350]
- redhat : Add drm_exec_test to mod-internal.list (Mika Penttilä) [RHEL-1350]
- fbdev: Use _DMAMEM_ infix for DMA-memory helpers (Mika Penttilä) [RHEL-1350]
- fbdev: Add fb_ops init macros for framebuffers in DMA-able memory (Mika Penttilä) [RHEL-1350]
- Partial revert : drm/fbdev-generic: Implement dedicated fbdev I/O helpers (Mika Penttilä) [RHEL-1350]
- of: module: Export of_device_uevent() (Mika Penttilä) [RHEL-1350]
- Revert : drm/tegra: sor: Add a determine_rate hook (Mika Penttilä) [RHEL-1350]
- Revert : drm: Explicitly include correct DT includes (Mika Penttilä) [RHEL-1350]
- Revert : drm: Switch i2c drivers back to use .probe() (Mika Penttilä) [RHEL-1350]
- drm/nouveau : fix compiler warning about incompatible types (Mika Penttilä) [RHEL-1350]
- drm/nouveau : add include for maple tree (Mika Penttilä) [RHEL-1350]
- Revert drm/i2c: Switch i2c drivers back to use .probe() (Mika Penttilä) [RHEL-1350]
- Partial revert : tty: sysrq: switch sysrq handlers from int to u8 (Mika Penttilä) [RHEL-1350]
- Partial revert : drm/i915: Move abs_diff() to math.h (Mika Penttilä) [RHEL-1350]
- Revert drm/amdkfd: use vma_is_initial_stack() and vma_is_initial_heap() (Mika Penttilä) [RHEL-1350]
- Revert drm/amdgpu: Use local64_try_cmpxchg in amdgpu_perf_read (Mika Penttilä) [RHEL-1350]
- Partial revert : fbdev: Use _IOMEM_ infix for I/O-memory helpers (Mika Penttilä) [RHEL-1350]
- Partial revert : fbdev: Align deferred I/O with naming of helpers (Mika Penttilä) [RHEL-1350]
- Add new v6.6 Kconfigs (Mika Penttilä) [RHEL-1350]
- Merge DRM changes from upstream v6.5..v6.6 (Mika Penttilä) [RHEL-1350]
- Partial revert : drm/vmwgfx: Add unwind hints around RBP clobber (Mika Penttilä) [RHEL-1350]
- Partial revert : mm/gup: remove unused vmas parameter from get_user_pages() (Mika Penttilä) [RHEL-1350]
- Partial backport : drm/amdgpu: add the accelerator PCIe class (Mika Penttilä) [RHEL-1350]
- Partial revert : video/aperture: Drop primary argument (Mika Penttilä) [RHEL-1350]
- Add new v6.5 Kconfigs (Mika Penttilä) [RHEL-1350]
- Merge DRM changes from upstream v6.4..v6.5 (Mika Penttilä) [RHEL-1350]
- Revert : drm/amdgpu: register a vga_switcheroo client for MacBooks with apple-gmux (Mika Penttilä) [RHEL-1350]
- Add new v6.4 Kconfigs (Mika Penttilä) [RHEL-1350]
- Merge DRM changes from upstream v6.3..v6.4 (Mika Penttilä) [RHEL-1350]
- io_uring: kiocb_done() should *not* trust ->ki_pos if ->{read,write}_iter() failed (Jeff Moyer) [RHEL-12076]
- io_uring/rw: disable IOCB_DIO_CALLER_COMP (Jeff Moyer) [RHEL-12076]
- io_uring/fdinfo: lock SQ thread while retrieving thread cpu/pid (Jeff Moyer) [RHEL-12076 RHEL-14998] {CVE-2023-46862}
- io_uring: fix crash with IORING_SETUP_NO_MMAP and invalid SQ ring address (Jeff Moyer) [RHEL-12076]
- ovl: disable IOCB_DIO_CALLER_COMP (Jeff Moyer) [RHEL-12076]
- net: remove sk_is_ipmr() and sk_is_icmpv6() helpers (Jeff Moyer) [RHEL-12076]
- ipv6: fix a typo in ip6mr_sk_ioctl() (Jeff Moyer) [RHEL-12076]
- io-wq: fully initialize wqe before calling cpuhp_state_add_instance_nocalls() (Jeff Moyer) [RHEL-12076 RHEL-4447]
- io_uring: don't allow IORING_SETUP_NO_MMAP rings on highmem pages (Jeff Moyer) [RHEL-12076]
- io_uring: ensure io_lockdep_assert_cq_locked() handles disabled rings (Jeff Moyer) [RHEL-12076]
- io_uring/kbuf: don't allow registered buffer rings on highmem pages (Jeff Moyer) [RHEL-12076]
- io_uring/fs: remove sqe->rw_flags checking from LINKAT (Jeff Moyer) [RHEL-12076]
- io_uring/net: fix iter retargeting for selected buf (Jeff Moyer) [RHEL-12076]
- Revert "io_uring: fix IO hang in io_wq_put_and_exit from do_exit()" (Jeff Moyer) [RHEL-12076]
- io_uring: fix unprotected iopoll overflow (Jeff Moyer) [RHEL-12076]
- io_uring: break out of iowq iopoll on teardown (Jeff Moyer) [RHEL-12076]
- io_uring/fdinfo: only print ->sq_array[] if it's there (Jeff Moyer) [RHEL-12076]
- io_uring: fix IO hang in io_wq_put_and_exit from do_exit() (Jeff Moyer) [RHEL-12076]
- io_uring: Don't set affinity on a dying sqpoll thread (Jeff Moyer) [RHEL-12076]
- io_uring: move iopoll ctx fields around (Jeff Moyer) [RHEL-12076]
- io_uring: move multishot cqe cache in ctx (Jeff Moyer) [RHEL-12076]
- io_uring: separate task_work/waiting cache line (Jeff Moyer) [RHEL-12076]
- io_uring: banish non-hot data to end of io_ring_ctx (Jeff Moyer) [RHEL-12076]
- io_uring: move non aligned field to the end (Jeff Moyer) [RHEL-12076]
- io_uring: add option to remove SQ indirection (Jeff Moyer) [RHEL-12076]
- io_uring: compact SQ/CQ heads/tails (Jeff Moyer) [RHEL-12076]
- io_uring: force inline io_fill_cqe_req (Jeff Moyer) [RHEL-12076]
- io_uring: merge iopoll and normal completion paths (Jeff Moyer) [RHEL-12076]
- io_uring: reorder cqring_flush and wakeups (Jeff Moyer) [RHEL-12076]
- io_uring: optimise extra io_get_cqe null check (Jeff Moyer) [RHEL-12076]
- io_uring: refactor __io_get_cqe() (Jeff Moyer) [RHEL-12076]
- io_uring: simplify big_cqe handling (Jeff Moyer) [RHEL-12076]
- io_uring: cqe init hardening (Jeff Moyer) [RHEL-12076]
- io_uring: improve cqe !tracing hot path (Jeff Moyer) [RHEL-12076]
- io_uring: stop calling free_compound_page() (Jeff Moyer) [RHEL-12076]
- io_uring/sqpoll: fix io-wq affinity when IORING_SETUP_SQPOLL is used (Jeff Moyer) [RHEL-12076]
- io_uring: simplify io_run_task_work_sig return (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: keep one global dummy_ubuf (Jeff Moyer) [RHEL-12076]
- io_uring: never overflow io_aux_cqe (Jeff Moyer) [RHEL-12076]
- io_uring: remove return from io_req_cqe_overflow() (Jeff Moyer) [RHEL-12076]
- io_uring: open code io_fill_cqe_req() (Jeff Moyer) [RHEL-12076]
- io_uring/net: don't overflow multishot recv (Jeff Moyer) [RHEL-12076]
- io_uring/net: don't overflow multishot accept (Jeff Moyer) [RHEL-12076]
- io_uring/io-wq: don't gate worker wake up success on wake_up_process() (Jeff Moyer) [RHEL-12076]
- io_uring/io-wq: reduce frequency of acct->lock acquisitions (Jeff Moyer) [RHEL-12076]
- io_uring/io-wq: don't grab wq->lock for worker activation (Jeff Moyer) [RHEL-12076]
- io_uring: remove unnecessary forward declaration (Jeff Moyer) [RHEL-12076]
- io_uring: have io_file_put() take an io_kiocb rather than the file (Jeff Moyer) [RHEL-12076]
- io_uring/splice: use fput() directly (Jeff Moyer) [RHEL-12076]
- io_uring/fdinfo: get rid of ref tryget (Jeff Moyer) [RHEL-12076]
- io_uring: cleanup 'ret' handling in io_iopoll_check() (Jeff Moyer) [RHEL-12076]
- io_uring: break iopolling on signal (Jeff Moyer) [RHEL-12076]
- io_uring: kill io_uring userspace examples (Jeff Moyer) [RHEL-12076]
- io_uring: fix false positive KASAN warnings (Jeff Moyer) [RHEL-12076]
- io_uring: fix drain stalls by invalid SQE (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: Remove unused declaration io_rsrc_put_tw() (Jeff Moyer) [RHEL-12076]
- io_uring: annotate the struct io_kiocb slab for appropriate user copy (Jeff Moyer) [RHEL-12076]
- io_uring: Add io_uring command support for sockets (Jeff Moyer) [RHEL-12076]
- net: ioctl: Use kernel memory on protocol ioctl callbacks (Jeff Moyer) [RHEL-12076]
- iomap: support IOCB_DIO_CALLER_COMP (Jeff Moyer) [RHEL-12076]
- io_uring/rw: add write support for IOCB_DIO_CALLER_COMP (Jeff Moyer) [RHEL-12076]
- fs: add IOCB flags related to passing back dio completions (Jeff Moyer) [RHEL-12076]
- fs: don't randomize struct kiocb fields (Jeff Moyer) [RHEL-12076]
- fs.h: Add TRACE_IOCB_STRINGS for use in trace points (Jeff Moyer) [RHEL-12076]
- iomap: add IOMAP_DIO_INLINE_COMP (Jeff Moyer) [RHEL-12076]
- iomap: only set iocb->private for polled bio (Jeff Moyer) [RHEL-12076]
- iomap: treat a write through cache the same as FUA (Jeff Moyer) [RHEL-12076]
- iomap: use an unsigned type for IOMAP_DIO_* defines (Jeff Moyer) [RHEL-12076]
- iomap: cleanup up iomap_dio_bio_end_io() (Jeff Moyer) [RHEL-12076]
- io_uring/cancel: wire up IORING_ASYNC_CANCEL_OP for sync cancel (Jeff Moyer) [RHEL-12076]
- io_uring/cancel: support opcode based lookup and cancelation (Jeff Moyer) [RHEL-12076]
- io_uring/cancel: add IORING_ASYNC_CANCEL_USERDATA (Jeff Moyer) [RHEL-12076]
- io_uring: use cancelation match helper for poll and timeout requests (Jeff Moyer) [RHEL-12076]
- io_uring/cancel: fix sequence matching for IORING_ASYNC_CANCEL_ANY (Jeff Moyer) [RHEL-12076]
- io_uring/cancel: abstract out request match helper (Jeff Moyer) [RHEL-12076]
- io_uring/timeout: always set 'ctx' in io_cancel_data (Jeff Moyer) [RHEL-12076]
- io_uring/poll: always set 'ctx' in io_cancel_data (Jeff Moyer) [RHEL-12076]
- io_uring/parisc: Adjust pgoff in io_uring mmap() for parisc (Jeff Moyer) [RHEL-12076]
- io_uring: correct check for O_TMPFILE (Jeff Moyer) [RHEL-12076]
- io_uring: gate iowait schedule on having pending requests (Jeff Moyer) [RHEL-12076]
- io_uring: Fix io_uring mmap() by using architecture-provided get_unmapped_area() (Jeff Moyer) [RHEL-12076]
- io_uring: Use io_schedule* in cqring wait (Jeff Moyer) [RHEL-12076]
- io_uring: flush offloaded and delayed task_work on exit (Jeff Moyer) [RHEL-12076]
- io_uring: remove io_fallback_tw() forward declaration (Jeff Moyer) [RHEL-12076]
- io_uring/net: use proper value for msg_inq (Jeff Moyer) [RHEL-12076]
- io_uring: merge conditional unlock flush helpers (Jeff Moyer) [RHEL-12076]
- io_uring: make io_cq_unlock_post static (Jeff Moyer) [RHEL-12076]
- io_uring: inline __io_cq_unlock (Jeff Moyer) [RHEL-12076]
- io_uring: fix acquire/release annotations (Jeff Moyer) [RHEL-12076]
- io_uring: kill io_cq_unlock() (Jeff Moyer) [RHEL-12076]
- io_uring: remove IOU_F_TWQ_FORCE_NORMAL (Jeff Moyer) [RHEL-12076]
- io_uring: don't batch task put on reqs free (Jeff Moyer) [RHEL-12076]
- io_uring: move io_clean_op() (Jeff Moyer) [RHEL-12076]
- io_uring: inline io_dismantle_req() (Jeff Moyer) [RHEL-12076]
- io_uring: remove io_free_req_tw (Jeff Moyer) [RHEL-12076]
- io_uring: open code io_put_req_find_next (Jeff Moyer) [RHEL-12076]
- io_uring: add helpers to decode the fixed file file_ptr (Jeff Moyer) [RHEL-12076]
- io_uring: use io_file_from_index in io_msg_grab_file (Jeff Moyer) [RHEL-12076]
- io_uring: use io_file_from_index in __io_sync_cancel (Jeff Moyer) [RHEL-12076]
- io_uring: return REQ_F_ flags from io_file_get_flags (Jeff Moyer) [RHEL-12076]
- io_uring: remove io_req_ffs_set (Jeff Moyer) [RHEL-12076]
- io_uring: remove a confusing comment above io_file_get_flags (Jeff Moyer) [RHEL-12076]
- io_uring: remove the mode variable in io_file_get_flags (Jeff Moyer) [RHEL-12076]
- io_uring: remove __io_file_supports_nowait (Jeff Moyer) [RHEL-12076]
- fsnotify: move fsnotify_open() hook into do_dentry_open() (Jeff Moyer) [RHEL-12076]
- io_uring: get rid of unnecessary 'length' variable (Jeff Moyer) [RHEL-12076]
- io_uring: cleanup io_aux_cqe() API (Jeff Moyer) [RHEL-12076]
- io_uring: avoid indirect function calls for the hottest task_work (Jeff Moyer) [RHEL-12076]
- io_uring/cmd: add cmd lazy tw wake helper (Jeff Moyer) [RHEL-12076]
- net: Declare MSG_SPLICE_PAGES internal sendmsg() flag (Jeff Moyer) [RHEL-12076]
- io_uring: annotate offset timeout races (Jeff Moyer) [RHEL-12076]
- io_uring: maintain ordering for DEFER_TASKRUN tw list (Jeff Moyer) [RHEL-12076]
- io_uring/net: don't retry recvmsg() unnecessarily (Jeff Moyer) [RHEL-12076]
- io_uring/net: push IORING_CQE_F_SOCK_NONEMPTY into io_recv_finish() (Jeff Moyer) [RHEL-12076]
- io_uring/net: initalize msghdr->msg_inq to known value (Jeff Moyer) [RHEL-12076]
- io_uring/net: initialize struct msghdr more sanely for io_recv() (Jeff Moyer) [RHEL-12076]
- io_uring: Add io_uring_setup flag to pre-register ring fd and never install it (Jeff Moyer) [RHEL-12076]
- io_uring: support for user allocated memory for rings/sqes (Jeff Moyer) [RHEL-12076]
- io_uring: add ring freeing helper (Jeff Moyer) [RHEL-12076]
- io_uring: return error pointer from io_mem_alloc() (Jeff Moyer) [RHEL-12076]
- io_uring: remove sq/cq_off memset (Jeff Moyer) [RHEL-12076]
- io_uring: rely solely on FMODE_NOWAIT (Jeff Moyer) [RHEL-12076]
- block: mark bdev files as FMODE_NOWAIT if underlying device supports it (Jeff Moyer) [RHEL-12076]
- net: set FMODE_NOWAIT for sockets (Jeff Moyer) [RHEL-12076]
- io_uring/net: disable partial retries for recvmsg with cmsg (Jeff Moyer) [RHEL-12076]
- io_uring/io-wq: clear current->worker_private on exit (Jeff Moyer) [RHEL-12076]
- io_uring/io-wq: don't clear PF_IO_WORKER on exit (Jeff Moyer) [RHEL-12076]
- io_uring: unlock sqd->lock before sq thread release CPU (Jeff Moyer) [RHEL-12076]
- io_uring: make io_uring_sqe_cmd() unconditionally available (Jeff Moyer) [RHEL-12076]
- io_uring: Remove unnecessary BUILD_BUG_ON (Jeff Moyer) [RHEL-12076]
- io_uring: Pass whole sqe to commands (Jeff Moyer) [RHEL-12076]
- io_uring: Create a helper to return the SQE size (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: check for nonconsecutive pages (Jeff Moyer) [RHEL-12076]
- io_uring: add support for multishot timeouts (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: disassociate nodes and rsrc_data (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: devirtualise rsrc put callbacks (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: pass node to io_rsrc_put_work() (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: inline io_rsrc_put_work() (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: add empty flag in rsrc_node (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: merge nodes and io_rsrc_put (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: infer node from ctx on io_queue_rsrc_removal (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: remove unused io_rsrc_node::llist (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: refactor io_queue_rsrc_removal (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: simplify single file node switching (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: clean up __io_sqe_buffers_update() (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: inline switch_start fast path (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: remove rsrc_data refs (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: fix DEFER_TASKRUN rsrc quiesce (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: use wq for quiescing (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: refactor io_rsrc_ref_quiesce (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: remove io_rsrc_node::done (Jeff Moyer) [RHEL-12076]
- io_uring/notif: add constant for ubuf_info flags (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: extract SCM file put helper (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: refactor io_rsrc_node_switch (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: zero node's rsrc data on alloc (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: consolidate node caching (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: add lockdep checks (Jeff Moyer) [RHEL-12076]
- io_uring: add irq lockdep checks (Jeff Moyer) [RHEL-12076]
- io_uring/kbuf: remove extra ->buf_ring null check (Jeff Moyer) [RHEL-12076]
- io_uring: shut io_prep_async_work warning (Jeff Moyer) [RHEL-12076]
- io_uring/uring_cmd: take advantage of completion batching (Jeff Moyer) [RHEL-12076]
- io_uring: optimise io_req_local_work_add (Jeff Moyer) [RHEL-12076]
- io_uring: refactor __io_cq_unlock_post_flush() (Jeff Moyer) [RHEL-12076]
- io_uring: reduce scheduling due to tw (Jeff Moyer) [RHEL-12076]
- io_uring: inline llist_add() (Jeff Moyer) [RHEL-12076]
- io_uring: add tw add flags (Jeff Moyer) [RHEL-12076]
- io_uring: refactor io_cqring_wake() (Jeff Moyer) [RHEL-12076]
- io_uring: optimize local tw add ctx pinning (Jeff Moyer) [RHEL-12076]
- io_uring: move pinning out of io_req_local_work_add (Jeff Moyer) [RHEL-12076]
- io_uring/uring_cmd: assign ioucmd->cmd at async prep time (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: add custom limit for node caching (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: optimise io_rsrc_data refcounting (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: add lockdep sanity checks (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: cache struct io_rsrc_node (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: don't offload node free (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: optimise io_rsrc_put allocation (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: rename rsrc_list (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: kill rsrc_ref_lock (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: protect node refs with uring_lock (Jeff Moyer) [RHEL-12076]
- io_uring: io_free_req() via tw (Jeff Moyer) [RHEL-12076]
- io_uring: don't put nodes under spinlocks (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: keep cached refs per node (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: use non-pcpu refcounts for nodes (Jeff Moyer) [RHEL-12076]
- io_uring: cap io_sqring_entries() at SQ ring size (Jeff Moyer) [RHEL-12076]
- io_uring: rename trace_io_uring_submit_sqe() tracepoint (Jeff Moyer) [RHEL-12076]
- io_uring: encapsulate task_work state (Jeff Moyer) [RHEL-12076]
- io_uring: remove extra tw trylocks (Jeff Moyer) [RHEL-12076]
- io_uring/io-wq: drop outdated comment (Jeff Moyer) [RHEL-12076]
- io_uring: kill unused notif declarations (Jeff Moyer) [RHEL-12076]
- io-wq: Drop struct io_wqe (Jeff Moyer) [RHEL-12076]
- io-wq: Move wq accounting to io_wq (Jeff Moyer) [RHEL-12076]
- io_uring/kbuf: disallow mapping a badly aligned provided ring buffer (Jeff Moyer) [RHEL-12076]
- io_uring: Add KASAN support for alloc_caches (Jeff Moyer) [RHEL-12076]
- io_uring: Move from hlist to io_wq_work_node (Jeff Moyer) [RHEL-12076]
- io_uring: One wqe per wq (Jeff Moyer) [RHEL-12076]
- io_uring: add support for user mapped provided buffer ring (Jeff Moyer) [RHEL-12076]
- io_uring/kbuf: rename struct io_uring_buf_reg 'pad' to'flags' (Jeff Moyer) [RHEL-12076]
- io_uring/kbuf: add buffer_list->is_mapped member (Jeff Moyer) [RHEL-12076]
- io_uring/kbuf: move pinning of provided buffer ring into helper (Jeff Moyer) [RHEL-12076]
- io_uring: Adjust mapping wrt architecture aliasing requirements (Jeff Moyer) [RHEL-12076]
- io_uring: avoid hashing O_DIRECT writes if the filesystem doesn't need it (Jeff Moyer) [RHEL-12076]
- fs: add FMODE_DIO_PARALLEL_WRITE flag (Jeff Moyer) [RHEL-12076]
- iov_iter: remove iov_iter_iovec() (Jeff Moyer) [RHEL-12076]
- iov_iter: add iter_iov_addr() and iter_iov_len() helpers (Jeff Moyer) [RHEL-12076]
- ALSA: pcm: check for user backed iterator, not specific iterator type (Jeff Moyer) [RHEL-12076]
- IB/qib: check for user backed iterator, not specific iterator type (Jeff Moyer) [RHEL-12076]
- IB/hfi1: check for user backed iterator, not specific iterator type (Jeff Moyer) [RHEL-12076]
- iov_iter: add iter_iovec() helper (Jeff Moyer) [RHEL-12076]
- block: ensure bio_alloc_map_data() deals with ITER_UBUF correctly (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: fix folio accounting (Jeff Moyer) [RHEL-12076]
- io_uring: rsrc: Optimize return value variable 'ret' (Jeff Moyer) [RHEL-12076]
- io_uring: silence variable ‘prev’ set but not used warning (Jeff Moyer) [RHEL-12076]
- io_uring/poll: don't pass in wake func to io_init_poll_iocb() (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: always initialize 'folio' to NULL (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: optimise registered huge pages (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: optimise single entry advance (Jeff Moyer) [RHEL-12076]
- io_uring: remove unused wq_list_merge (Jeff Moyer) [RHEL-12076]
- io_uring/rsrc: fix a comment in io_import_fixed() (Jeff Moyer) [RHEL-12076]
- io_uring: rename 'in_idle' to 'in_cancel' (Jeff Moyer) [RHEL-12076]
- io_uring: consolidate the put_ref-and-return section of adding work (Jeff Moyer) [RHEL-12076]
- io_uring: Support calling io_uring_register with a registered ring fd (Jeff Moyer) [RHEL-12076]
- io_uring: use bvec_set_page to initialize a bvec (Jeff Moyer) [RHEL-12076]
- io_uring: always go async for unsupported open flags (Jeff Moyer) [RHEL-12076]
- io_uring: always go async for unsupported fadvise flags (Jeff Moyer) [RHEL-12076]
- io_uring: for requests that require async, force it (Jeff Moyer) [RHEL-12076]
- io_uring: if a linked request has REQ_F_FORCE_ASYNC then run it async (Jeff Moyer) [RHEL-12076]
- io_uring: return normal tw run linking optimisation (Jeff Moyer) [RHEL-12076]
- io_uring: refactor tctx_task_work (Jeff Moyer) [RHEL-12076]
- io_uring: refactor io_put_task helpers (Jeff Moyer) [RHEL-12076]
- io_uring: refactor req allocation (Jeff Moyer) [RHEL-12076]
- io_uring: improve io_get_sqe (Jeff Moyer) [RHEL-12076]
- io_uring: kill outdated comment about overflow flush (Jeff Moyer) [RHEL-12076]
- io_uring: pass in io_issue_def to io_assign_file() (Jeff Moyer) [RHEL-12076]
- io_uring: Enable KASAN for request cache (Jeff Moyer) [RHEL-12076]
- io_uring/msg-ring: ensure flags passing works for task_work completions (Jeff Moyer) [RHEL-12076]
- io_uring: Split io_issue_def struct (Jeff Moyer) [RHEL-12076]
- io_uring: Rename struct io_op_def (Jeff Moyer) [RHEL-12076]
- io_uring: refactor __io_req_complete_post (Jeff Moyer) [RHEL-12076]
- io_uring: optimise ctx flags layout (Jeff Moyer) [RHEL-12076]
- io_uring: simplify fallback execution (Jeff Moyer) [RHEL-12076]
- io_uring: don't export io_put_task() (Jeff Moyer) [RHEL-12076]
- io_uring: return back links tw run optimisation (Jeff Moyer) [RHEL-12076]
- io_uring: make io_sqpoll_wait_sq return void (Jeff Moyer) [RHEL-12076]
- io_uring: optimise deferred tw execution (Jeff Moyer) [RHEL-12076]
- io_uring: add io_req_local_work_add wake fast path (Jeff Moyer) [RHEL-12076]
- io_uring: waitqueue-less cq waiting (Jeff Moyer) [RHEL-12076]
- io_uring: wake up optimisations (Jeff Moyer) [RHEL-12076]
- io_uring: add lazy poll_wq activation (Jeff Moyer) [RHEL-12076]
- io_uring: separate wq for ring polling (Jeff Moyer) [RHEL-12076]
- io_uring: move io_run_local_work_locked (Jeff Moyer) [RHEL-12076]
- io_uring: mark io_run_local_work static (Jeff Moyer) [RHEL-12076]
- io_uring: don't set TASK_RUNNING in local tw runner (Jeff Moyer) [RHEL-12076]
- io_uring: refactor io_wake_function (Jeff Moyer) [RHEL-12076]
- io_uring: move submitter_task out of cold cacheline (Jeff Moyer) [RHEL-12076]
- io_uring: remove excessive unlikely on IS_ERR (Jeff Moyer) [RHEL-12076]
- io_uring/msg_ring: Pass custom flags to the cqe (Jeff Moyer) [RHEL-12076]
- io_uring: keep timeout in io_wait_queue (Jeff Moyer) [RHEL-12076]
- io_uring: optimise non-timeout waiting (Jeff Moyer) [RHEL-12076]
- io_uring: set TASK_RUNNING right after schedule (Jeff Moyer) [RHEL-12076]
- io_uring: simplify io_has_work (Jeff Moyer) [RHEL-12076]
- io_uring: mimimise io_cqring_wait_schedule (Jeff Moyer) [RHEL-12076]
- io_uring: parse check_cq out of wq waiting (Jeff Moyer) [RHEL-12076]
- io_uring: move defer tw task checks (Jeff Moyer) [RHEL-12076]
- io_uring: kill io_run_task_work_ctx (Jeff Moyer) [RHEL-12076]
- io_uring: don't iterate cq wait fast path (Jeff Moyer) [RHEL-12076]
- io_uring: rearrange defer list checks (Jeff Moyer) [RHEL-12076]
- mm/nommu: factor out check for NOMMU shared mappings into is_nommu_shared_mapping() (Jeff Moyer) [RHEL-12076]
- block: use iter_ubuf for single range (Jeff Moyer) [RHEL-12076]
- iov_iter: move iter_ubuf check inside restore WARN (Jeff Moyer) [RHEL-12076]
- usb: gadgetfs: Fix ep_read_iter to handle ITER_UBUF (Jeff Moyer) [RHEL-12076]
- usb: gadget: f_fs: Fix ffs_epfile_read_iter to handle ITER_UBUF (Jeff Moyer) [RHEL-12076]
- io_uring: use iter_ubuf for single range imports (Jeff Moyer) [RHEL-12076]
- io_uring: switch network send/recv to ITER_UBUF (Jeff Moyer) [RHEL-12076]
- iov: add import_ubuf() (Jeff Moyer) [RHEL-12076]
- io_uring: add io_uring_group sysctl (Jeff Moyer) [RHEL-12076]
- scsi: cxgbi: Fix 'generated' typo (Chris Leech) [RHEL-16725]
- scsi: iscsi_tcp: restrict to TCP sockets (Chris Leech) [RHEL-16725]
- scsi: iscsi: Remove unused extern declaration iscsi_lookup_iface() (Chris Leech) [RHEL-16725]
- scsi: qla4xxx: Add length check when parsing nlattrs (Chris Leech) [RHEL-16725]
- scsi: be2iscsi: Add length check when parsing nlattrs (Chris Leech) [RHEL-16725]
- scsi: iscsi: Add strlen() check in iscsi_if_set{_host}_param() (Chris Leech) [RHEL-16725]
- scsi: iscsi: Add length check for nlattr payload (Chris Leech) [RHEL-16725]
- scsi: bnx2i: Replace all non-returning strlcpy with strscpy (Chris Leech) [RHEL-16725]
- scsi: qla4xxx: Replace all non-returning strlcpy() with strscpy() (Chris Leech) [RHEL-16725]
- scsi: qla4xxx: Remove unused 'count' variable (Chris Leech) [RHEL-16725]
- scsi: qla4xxx: Drop redundant pci_enable_pcie_error_reporting() (Chris Leech) [RHEL-16725]
- scsi: be2iscsi: Drop redundant pci_enable_pcie_error_reporting() (Chris Leech) [RHEL-16725]
- scsi: be2iscsi: Remove unused variable internal_page_offset (Chris Leech) [RHEL-16725]
- scsi: cxgbi: Remove unneeded version.h include (Chris Leech) [RHEL-16725]
- scsi: qla4xxx: Drop redundant memset() (Chris Leech) [RHEL-16725]
- scsi: qla4xxx: Remove unneeded variable (Chris Leech) [RHEL-16725]
- scsi: qla4xxx: Format SYSFS_FLAG_FW_SEL_BOOT as byte (Chris Leech) [RHEL-16725]
- scsi: qla4xxx: Call scsi_done() directly (Chris Leech) [RHEL-16725]
- scsi: qla4xxx: Use scsi_cmd_to_rq() instead of scsi_cmnd.request (Chris Leech) [RHEL-16725]
- scsi: qla4xxx: Convert uses of __constant_cpu_to_<foo> to cpu_to_<foo> (Chris Leech) [RHEL-16725]
- uapi: stddef.h: Fix header guard location (Jose Ignacio Tornos Martinez) [RHEL-16987]
- Compiler Attributes: counted_by: Adjust name and identifier expansion (Jose Ignacio Tornos Martinez) [RHEL-16987]
- Compiler Attributes: Add __counted_by macro (Jose Ignacio Tornos Martinez) [RHEL-16987]
- RDMA/hfi1: Workaround truncation compilation error (Izabela Bakollari) [RHEL-18151]
- IB/hfi1: Fix potential deadlock on &irq_src_lock and &dd->uctxt_lock (Izabela Bakollari) [RHEL-18151]
- RDMA/hfi1: Remove redundant assignment to pointer ppd (Izabela Bakollari) [RHEL-18151]
- IB/hfi1: Replace deprecated strncpy (Izabela Bakollari) [RHEL-18151]
- RDMA/hfi1: Use FIELD_GET() to extract Link Width (Izabela Bakollari) [RHEL-18151]
- IB/hfi1: Remove open coded reference to skb frag offset (Izabela Bakollari) [RHEL-18151]
- IB/hfi1: Reduce printing of errors during driver shut down (Izabela Bakollari) [RHEL-18151]
- RDMA/hfi1: Move user SDMA system memory pinning code to its own file (Izabela Bakollari) [RHEL-18151]
- RDMA/hfi1: Use list_for_each_entry() helper (Izabela Bakollari) [RHEL-18151]
- IB/hfi1: Fix possible panic during hotplug remove (Izabela Bakollari) [RHEL-18151]
- IB/hfi1: Use struct_size() (Izabela Bakollari) [RHEL-18151]
- IB/hfi1: Add mmu_rb_node refcount to hfi1_mmu_rb_template tracepoints (Izabela Bakollari) [RHEL-18151]
- IB/hfi1: Fix wrong mmu_node used for user SDMA packet after invalidate (Izabela Bakollari) [RHEL-18151]
- IB/hfi1: Place struct mmu_rb_handler on cache line start (Izabela Bakollari) [RHEL-18151]
- IB/hfi1: Fix bugs with non-PAGE_SIZE-end multi-iovec user SDMA requests (Izabela Bakollari) [RHEL-18151]
- IB/hfi1: Fix SDMA mmu_rb_node not being evicted in LRU order (Izabela Bakollari) [RHEL-18151]
- IB/hfi1: Suppress useless compiler warnings (Izabela Bakollari) [RHEL-18151]
- IB/hfi1: Remove trace newlines (Izabela Bakollari) [RHEL-18151]
- IB/hfi1: check for user backed iterator, not specific iterator type (Izabela Bakollari) [RHEL-18151]
- IB/hfi1: Drop redundant pci_enable_pcie_error_reporting() (Izabela Bakollari) [RHEL-18151]
- IB/hifi1: add a null check of kzalloc_node in hfi1_ipoib_txreq_init (Izabela Bakollari) [RHEL-18151]
Resolves: RHEL-12076, RHEL-1350, RHEL-14998, RHEL-16725, RHEL-16987, RHEL-18151, RHEL-4447

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-12-16 15:08:08 -05:00
Scott Weaver
3a193c34a4 kernel-5.14.0-398.el9
* Tue Dec 12 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-398.el9]
- arch/x86: Remove "select SRCU" (Myron Stowe) [RHEL-18042]
- kvm: Remove "select SRCU" (Myron Stowe) [RHEL-18042]
- mm: Remove "select SRCU" (Myron Stowe) [RHEL-18042]
- PM / devfreq: Remove "select SRCU" (Myron Stowe) [RHEL-18042]
- init: Remove "select SRCU" (Myron Stowe) [RHEL-18042]
- fs/quota: Remove "select SRCU" (Myron Stowe) [RHEL-18042]
- fs/notify: Remove "select SRCU" (Myron Stowe) [RHEL-18042]
- fs/btrfs: Remove "select SRCU" (Myron Stowe) [RHEL-18042]
- drivers/net: Remove "select SRCU" (Myron Stowe) [RHEL-18042]
- drivers/md: Remove "select SRCU" (Myron Stowe) [RHEL-18042]
- drivers/hwtracing/stm: Remove "select SRCU" (Myron Stowe) [RHEL-18042]
- drivers/dax: Remove "select SRCU" (Myron Stowe) [RHEL-18042]
- tomoyo: Remove "select SRCU" (Myron Stowe) [RHEL-18042]
- PM: sleep: Remove "select SRCU" (Myron Stowe) [RHEL-18042]
- drivers/clk: Remove "select SRCU" (Myron Stowe) [RHEL-18042]
- fs/dlm: Remove "select SRCU" (Myron Stowe) [RHEL-18042]
- drivers/opp: Remove "select SRCU" (Myron Stowe) [RHEL-18042]
- net/tls: do not free tls_rec on async operation in bpf_exec_tx_verdict() (Sabrina Dubroca) [RHEL-16719] {CVE-2023-6176}
- nfp: fix use-after-free in area_cache_get() (Ricardo Robaina) [RHEL-6566] {CVE-2022-3545}
- netfilter: nf_tables: split async and sync catchall in two functions (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: remove catchall element in GC sync path (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: expose opaque set element as struct nft_elem_priv (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: set backend .flush always succeeds (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nft_set_pipapo: no need to call pipapo_deactivate() from flush (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: work around newrule after chain binding (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: nft_set_rbtree: fix spurious insertion failure (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: fix memleak when more than 255 elements expired (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: disable toggling dormant table state more than once (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: disallow element removal on anonymous sets (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nft_set_hash: try later when GC hits EAGAIN on iteration (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nft_set_pipapo: stop GC iteration if GC transaction allocation fails (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nft_set_rbtree: use read spinlock to avoid datapath contention (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nft_set_pipapo: call nft_trans_gc_queue_sync() in catchall GC (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: disallow rule removal from chain binding (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nft_set_rbtree: skip sync GC for new elements in this transaction (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: defer gc run if previous batch is still pending (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: fix out of memory error handling (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: use correct lock to protect gc_list (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: GC transaction race with abort path (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: flush pending destroy work before netlink notifier (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nft_dynset: disallow object maps (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: GC transaction race with netns dismantle (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: fix GC transaction races with netns and netlink event exit path (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: don't fail inserts if duplicate has expired (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: deactivate catchall elements in next generation (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: fix kdoc warnings after gc rework (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: fix false-positive lockdep splat (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: remove busy mark and gc batch API (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nft_set_hash: mark set element as dead when deleting from packet path (Florian Westphal) [RHEL-1720 RHEL-1721] {CVE-2023-4244}
- netfilter: nf_tables: adapt set backend to use GC transaction API (Florian Westphal) [RHEL-1720 RHEL-1721] {CVE-2023-4244}
- netfilter: nf_tables: skip immediate deactivate in _PREPARE_ERROR (Florian Westphal) [RHEL-1720 RHEL-1721] {CVE-2023-4244}
- netfilter: nft_set_rbtree: fix overlap expiration walk (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: GC transaction API to avoid race with control plane (Florian Westphal) [RHEL-1720 RHEL-1721] {CVE-2023-4244}
- netfilter: nf_tables: don't skip expired elements during walk (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: skip bound chain on rule flush (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: skip bound chain in netns release path (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: fix spurious set element insertion failure (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: report use refcount overflow (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: fix underflow in chain reference counter (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: disallow timeout for anonymous sets (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: disallow updates of anonymous sets (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: reject unbound chain set before commit phase (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: reject unbound anonymous set before commit phase (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: disallow element updates of bound anonymous sets (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: fix underflow in object reference counter (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: drop map element references from preparation phase (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: validate variable length element extension (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nft_set_pipapo: .walk does not deal with generations (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: relax set/map validation checks (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: integrate pipapo into commit protocol (Florian Westphal) [RHEL-1720 RHEL-1721]
- netfilter: nf_tables: upfront validation of data via nft_data_init() (Florian Westphal) [RHEL-1720 RHEL-1721]
- cgroup: add cgroup_favordynmods= command-line option (Waiman Long) [RHEL-16027]
- cgroup/misc: Expose misc.current on cgroup v2 root (Waiman Long) [RHEL-16027]
- cgroup: remove obsolete comment on cgroup_on_dfl() (Waiman Long) [RHEL-16027]
- cgroup: remove unused task_cgroup_path() (Waiman Long) [RHEL-16027]
- cgroup/cpuset: remove unneeded header files (Waiman Long) [RHEL-16027]
- cgroup: make cgroup_is_threaded() and cgroup_is_thread_root() static (Waiman Long) [RHEL-16027]
- rdmacg: fix kernel-doc warnings in rdmacg (Waiman Long) [RHEL-16027]
- cgroup: Replace the css_set call with cgroup_get (Waiman Long) [RHEL-16027]
- cgroup: remove unused macro for_each_e_css() (Waiman Long) [RHEL-16027]
- cgroup: Update out-of-date comment in cgroup_migrate() (Waiman Long) [RHEL-16027]
- cgroup: Replace all non-returning strlcpy with strscpy (Waiman Long) [RHEL-16027]
- cgroup/cpuset: remove unneeded header files (Waiman Long) [RHEL-16027]
- cgroup: Do not corrupt task iteration when rebinding subsystem (Waiman Long) [RHEL-16027]
- cgroup: fix missing cpus_read_{lock,unlock}() in cgroup_transfer_tasks() (Waiman Long) [RHEL-16027]
- cpuset: Clean up cpuset_node_allowed (Waiman Long) [RHEL-16027]
- memcg: page_cgroup_ino() get memcg from the page's folio (Waiman Long) [RHEL-16027]
- memcg v1: provide read access to memory.pressure_level (Waiman Long) [RHEL-16027]
- mm, memcg: Prevent memory.soft_limit_in_bytes load/store tearing (Waiman Long) [RHEL-16027]
- mm, memcg: Prevent memory.oom_control load/store tearing (Waiman Long) [RHEL-16027]
- mm, memcg: Prevent memory.swappiness load/store tearing (Waiman Long) [RHEL-16027]
- mm, memcg: Prevent memory.oom.group load/store tearing (Waiman Long) [RHEL-16027]
- cgroup_get_from_fd(): switch to fdget_raw() (Waiman Long) [RHEL-16027]
- mm: memcg: add folio_memcg_check() (Waiman Long) [RHEL-16027]
- mm: memcg: fix NULL pointer in mem_cgroup_track_foreign_dirty_slowpath() (Waiman Long) [RHEL-16027]
- kernfs: Fix spurious lockdep warning in kernfs_find_and_get_node_by_id() (Waiman Long) [RHEL-16027]
- cgroup: Implement cgroup_file_show() (Waiman Long) [RHEL-16027]
- kernfs: Implement kernfs_show() (Waiman Long) [RHEL-16027]
- kernfs: Factor out kernfs_activate_one() (Waiman Long) [RHEL-16027]
- kernfs: Add KERNFS_REMOVING flags (Waiman Long) [RHEL-16027]
- kernfs: Improve kernfs_drain() and always call on removal (Waiman Long) [RHEL-16027]
- kernfs: Skip kernfs_drain_open_files() more aggressively (Waiman Long) [RHEL-16027]
- kernfs: Refactor kernfs_get_open_node() (Waiman Long) [RHEL-16027]
- kernfs: Drop unnecessary "mutex" local variable initialization (Waiman Long) [RHEL-16027]
- kernfs: Simply by replacing kernfs_deref_open_node() with of_on() (Waiman Long) [RHEL-16027]
- Revert "kernfs: Change kernfs_notify_list to llist." (Waiman Long) [RHEL-16027]
- kernfs: Replace global kernfs_open_file_mutex with hashed mutexes. (Waiman Long) [RHEL-16027]
- kernfs: Introduce interface to access global kernfs_open_file_mutex. (Waiman Long) [RHEL-16027]
- kernfs: Change kernfs_notify_list to llist. (Waiman Long) [RHEL-16027]
- kernfs: make ->attr.open RCU protected. (Waiman Long) [RHEL-16027]
- kernfs/file.c: remove redundant error return counter assignment (Waiman Long) [RHEL-16027]
- cgroup: remove "no" prefixed mount options (Waiman Long) [RHEL-16027]
- redhat/configs: Add CONFIG_CGROUP_FAVOR_DYNMODS=n (Waiman Long) [RHEL-16027]
- cgroup: Make !percpu threadgroup_rwsem operations optional (Waiman Long) [RHEL-16027]
- cgroup: Add "no" prefixed mount options (Waiman Long) [RHEL-16027]
- kernfs: Rename kernfs_put_open_node to kernfs_unlink_open_file. (Waiman Long) [RHEL-16027]
- kernfs: Remove reference counting for kernfs_open_node. (Waiman Long) [RHEL-16027]
- cpuset: Fix kernel-doc (Waiman Long) [RHEL-16027]
- rtnetlink: remove redundant checks for nlattr IFLA_BRIDGE_MODE (Michal Schmidt) [RHEL-18148]
- rtnetlink: let rtnl_bridge_setlink checks IFLA_BRIDGE_MODE length (Michal Schmidt) [RHEL-18148]
- page_pool: split types and declarations from page_pool.h (Petr Oros) [RHEL-16983]
- docs: net: page_pool: use kdoc to avoid duplicating the information (Petr Oros) [RHEL-16983]
- docs: net: page_pool: document PP_FLAG_DMA_SYNC_DEV parameters (Petr Oros) [RHEL-16983]
- ipv4, ipv6: Fix handling of transhdrlen in __ip{,6}_append_data() (Guillaume Nault) [RHEL-14287]
- ipv6: Fix signed integer overflow in l2tp_ip6_sendmsg (Guillaume Nault) [RHEL-14287]
- tracing/timerlat: Add user-space interface (Chris White) [RHEL-14932]
- tracing/osnoise: Skip running osnoise if all instances are off (Chris White) [RHEL-14932]
- tracing/osnoise: Switch from PF_NO_SETAFFINITY to migrate_disable (Chris White) [RHEL-14932]
- ice: Fix VF Reset paths when interface in a failed over aggregate (Petr Oros) [RHEL-15698]
- ice: Fix VF-VF direction matching in drop rule in switchdev (Petr Oros) [RHEL-15698]
- ice: Fix VF-VF filter rules in switchdev mode (Petr Oros) [RHEL-15698]
- ice: Fix SRIOV LAG disable on non-compliant aggregate (Petr Oros) [RHEL-15698]
- ice: lag: in RCU, use atomic allocation (Petr Oros) [RHEL-15698]
- ice: Fix safe mode when DDP is missing (Petr Oros) [RHEL-15698]
- ice: reset first in crash dump kernels (Petr Oros) [RHEL-15698]
- ice: fix over-shifted variable (Petr Oros) [RHEL-15698]
- ice: block default rule setting on LAG interface (Petr Oros) [RHEL-15698]
- ice: avoid executing commands on other ports when driving sync (Petr Oros) [RHEL-15698]
- ice: fix receive buffer size miscalculation (Petr Oros) [RHEL-15698]
- ice: split ice_aq_wait_for_event() func into two (Petr Oros) [RHEL-15698]
- ice: embed &ice_rq_event_info event into struct ice_aq_task (Petr Oros) [RHEL-15698]
- ice: ice_aq_check_events: fix off-by-one check when filling buffer (Petr Oros) [RHEL-15698]
- ice: drop two params from ice_aq_alloc_free_res() (Petr Oros) [RHEL-15698]
- ice: use list_for_each_entry() helper (Petr Oros) [RHEL-15698]
- ice: Remove redundant VSI configuration in eswitch setup (Petr Oros) [RHEL-15698]
- ice: move E810T functions to before device agnostic ones (Petr Oros) [RHEL-15698]
- ice: refactor ice_vsi_is_vlan_pruning_ena (Petr Oros) [RHEL-15698]
- ice: refactor ice_ptp_hw to make functions static (Petr Oros) [RHEL-15698]
- ice: refactor ice_sched to make functions static (Petr Oros) [RHEL-15698]
- ice: Utilize assign_bit() helper (Petr Oros) [RHEL-15698]
- ice: refactor ice_vf_lib to make functions static (Petr Oros) [RHEL-15698]
- ice: refactor ice_lib to make functions static (Petr Oros) [RHEL-15698]
- ice: refactor ice_ddp to make functions static (Petr Oros) [RHEL-15698]
- ice: remove unused methods (Petr Oros) [RHEL-15698]
- ice: Block switchdev mode when ADQ is active and vice versa (Petr Oros) [RHEL-15698]
- ice: clean up __ice_aq_get_set_rss_lut() (Petr Oros) [RHEL-15698]
- ice: add FW load wait (Petr Oros) [RHEL-15698]
- ice: Add get C827 PHY index function (Petr Oros) [RHEL-15698]
- ice: Rename enum ice_pkt_flags values (Petr Oros) [RHEL-15698]
- ice: Add direction metadata (Petr Oros) [RHEL-15698]
- ice: Accept LAG netdevs in bridge offloads (Petr Oros) [RHEL-15698]
- ice: Fix RDMA VSI removal during queue rebuild (Petr Oros) [RHEL-15698]
- ice: update reset path for SRIOV LAG support (Petr Oros) [RHEL-15698]
- ice: enforce no DCB config changing when in bond (Petr Oros) [RHEL-15698]
- ice: enforce interface eligibility and add messaging for SRIOV LAG (Petr Oros) [RHEL-15698]
- ice: support non-standard teardown of bond interface (Petr Oros) [RHEL-15698]
- ice: Flesh out implementation of support for SRIOV on bonded interface (Petr Oros) [RHEL-15698]
- ice: process events created by lag netdev event handler (Petr Oros) [RHEL-15698]
- ice: implement lag netdev event handler (Petr Oros) [RHEL-15698]
- ice: changes to the interface with the HW and FW for SRIOV_VF+LAG (Petr Oros) [RHEL-15698]
- ice: Add driver support for firmware changes for LAG (Petr Oros) [RHEL-15698]
- ice: Correctly initialize queue context values (Petr Oros) [RHEL-15698]
- ice: Fix memory management in ice_ethtool_fdir.c (Petr Oros) [RHEL-15698]
- ice: add tracepoints for the switchdev bridge (Petr Oros) [RHEL-15698]
- ice: implement static version of ageing (Petr Oros) [RHEL-15698]
- ice: implement bridge port vlan (Petr Oros) [RHEL-15698]
- ice: Add VLAN FDB support in switchdev mode (Petr Oros) [RHEL-15698]
- ice: Add guard rule when creating FDB in switchdev (Petr Oros) [RHEL-15698]
- ice: Switchdev FDB events support (Petr Oros) [RHEL-15698]
- ice: Implement basic eswitch bridge setup (Petr Oros) [RHEL-15698]
- ice: Unset src prune on uplink VSI (Petr Oros) [RHEL-15698]
- ice: Disable vlan pruning for uplink VSI (Petr Oros) [RHEL-15698]
- ice: Prohibit rx mode change in switchdev mode (Petr Oros) [RHEL-15698]
- ice: Skip adv rules removal upon switchdev release (Petr Oros) [RHEL-15698]
- ice: xsk: Tx multi-buffer support (Petr Oros) [RHEL-15698]
- ice: xsk: add RX multi-buffer support (Petr Oros) [RHEL-15698]
- ice: remove unnecessary (void*) conversions (Petr Oros) [RHEL-15698]
- ice: Remove managed memory usage in ice_get_fw_log_cfg() (Petr Oros) [RHEL-15698]
- ice: remove null checks before devm_kfree() calls (Petr Oros) [RHEL-15698]
- ice: clean up freeing SR-IOV VFs (Petr Oros) [RHEL-15698]
- ice: allow hot-swapping XDP programs (Petr Oros) [RHEL-15698]
- ice: remove unnecessary check for old MAC == new MAC (Petr Oros) [RHEL-15698]
- ice: do not re-enable miscellaneous interrupt until thread_fn completes (Petr Oros) [RHEL-15698]
- ice: trigger PFINT_OICR_TSYN_TX interrupt instead of polling (Petr Oros) [RHEL-15698]
- ice: introduce ICE_TX_TSTAMP_WORK enumeration (Petr Oros) [RHEL-15698]
- ice: use src VSI instead of src MAC in slow-path (Petr Oros) [RHEL-15698]
- ice: allow matching on meta data (Petr Oros) [RHEL-15698]
- ice: specify field names in ice_prot_ext init (Petr Oros) [RHEL-15698]
- ice: remove redundant Rx field from rule info (Petr Oros) [RHEL-15698]
- ice: define meta data to match in switch (Petr Oros) [RHEL-15698]
- ice: update PHY type to ethtool link mode mapping (Petr Oros) [RHEL-15698]
- ice: refactor PHY type to ethtool link mode (Petr Oros) [RHEL-15698]
- ice: update ICE_PHY_TYPE_HIGH_MAX_INDEX (Petr Oros) [RHEL-15698]
- ice: add dynamic interrupt allocation (Petr Oros) [RHEL-15698]
- ice: track interrupt vectors with xarray (Petr Oros) [RHEL-15698]
- ice: add individual interrupt allocation (Petr Oros) [RHEL-15698]
- ice: remove redundant SRIOV code (Petr Oros) [RHEL-15698]
- ice: refactor VF control VSI interrupt handling (Petr Oros) [RHEL-15698]
- ice: use preferred MSIX allocation api (Petr Oros) [RHEL-15698]
- ice: use pci_irq_vector helper function (Petr Oros) [RHEL-15698]
- ice: move interrupt related code to separate file (Petr Oros) [RHEL-15698]
- ice: call ice_is_malicious_vf() from ice_vc_process_vf_msg() (Petr Oros) [RHEL-15698]
- ice: move ice_is_malicious_vf() to ice_virtchnl.c (Petr Oros) [RHEL-15698]
- ice: print message if ice_mbx_vf_state_handler returns an error (Petr Oros) [RHEL-15698]
- ice: pass mbxdata to ice_is_malicious_vf() (Petr Oros) [RHEL-15698]
- ice: remove unnecessary &array[0] and just use array (Petr Oros) [RHEL-15698]
- ice: always report VF overflowing mailbox even without PF VSI (Petr Oros) [RHEL-15698]
- ice: declare ice_vc_process_vf_msg in ice_virtchnl.h (Petr Oros) [RHEL-15698]
- ice: initialize mailbox snapshot earlier in PF init (Petr Oros) [RHEL-15698]
- ice: merge ice_mbx_report_malvf with ice_mbx_vf_state_handler (Petr Oros) [RHEL-15698]
- ice: remove ice_mbx_deinit_snapshot (Petr Oros) [RHEL-15698]
- ice: move VF overflow message count into struct ice_mbx_vf_info (Petr Oros) [RHEL-15698]
- ice: track malicious VFs in new ice_mbx_vf_info structure (Petr Oros) [RHEL-15698]
- ice: convert ice_mbx_clear_malvf to void and use WARN (Petr Oros) [RHEL-15698]
- ice: re-order ice_mbx_reset_snapshot function (Petr Oros) [RHEL-15698]
- ice: Remove unnecessary aer.h include (Petr Oros) [RHEL-15698]
- ice: update xdp_features with xdp multi-buff (Petr Oros) [RHEL-15698]
- fs/smb/client: Reset password pointer to NULL (Scott Mayhew) [RHEL-11805 RHEL-11809] {CVE-2023-5345}
- platform/x86/amd/hsmp: Fix iomem handling (David Arcari) [RHEL-16017]
- platform/x86/amd/hsmp: improve the error log (David Arcari) [RHEL-16017]
- platform/x86/amd/hsmp: add support for metrics tbl (David Arcari) [RHEL-16017]
- platform/x86/amd/hsmp: create plat specific struct (David Arcari) [RHEL-16017]
- x86/amd_nb: Use Family 19h Models 60h-7Fh Function 4 IDs (David Arcari) [RHEL-16017]
- x86/amd_nb: Add AMD Family MI300 PCI IDs (David Arcari) [RHEL-16017]
- x86/amd_nb: Add PCI IDs for AMD Family 1Ah-based models (David Arcari) [RHEL-16017]
- x86/amd_nb: Re-sort and re-indent PCI defines (David Arcari) [RHEL-16017]
- x86/amd_nb: Add MI200 PCI IDs (David Arcari) [RHEL-16017]
- docs: move x86 documentation into Documentation/arch/ (David Arcari) [RHEL-16017]
- platform/x86: amd: hsmp: Convert to platform remove callback returning void (David Arcari) [RHEL-16017]
- platform/x86: use PLATFORM_DEVID_NONE instead of -1 (David Arcari) [RHEL-16017]
- platform/x86: Move AMD platform drivers to separate directory (David Arcari) [RHEL-16017]
Resolves: RHEL-11805, RHEL-11809, RHEL-14287, RHEL-14932, RHEL-15698, RHEL-16017, RHEL-16027, RHEL-16719, RHEL-16983, RHEL-1720, RHEL-1721, RHEL-18042, RHEL-18148, RHEL-6566

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-12-12 13:01:58 -05:00
Scott Weaver
164d6f7e7a kernel-5.14.0-397.el9
* Fri Dec 08 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-397.el9]
- SUNRPC/TLS: Lock the lower_xprt during the tls handshake (Jeffrey Layton) [RHEL-7936]
- Revert "SUNRPC dont update timeout value on connection reset" (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Fail quickly when server does not recognize TLS (Jeffrey Layton) [RHEL-7936]
- net/handshake: remove fput() that causes use-after-free (Jeffrey Layton) [RHEL-7936]
- handshake: Fix sign of key_serial_t fields (Jeffrey Layton) [RHEL-7936]
- handshake: Fix sign of socket file descriptor fields (Jeffrey Layton) [RHEL-7936]
- net/handshake: fix file ref count in handshake_nl_accept_doit() (Jeffrey Layton) [RHEL-7936]
- NFSD: simplify error paths in nfsd_svc() (Jeffrey Layton) [RHEL-7936]
- NFSv4.1: fixup use EXCHGID4_FLAG_USE_PNFS_DS for DS server (Jeffrey Layton) [RHEL-7936]
- pNFS/flexfiles: Check the layout validity in ff_layout_mirror_prepare_stats (Jeffrey Layton) [RHEL-7936]
- pNFS: Fix a hang in nfs4_evict_inode() (Jeffrey Layton) [RHEL-7936]
- NFS: Fix potential oops in nfs_inode_remove_request() (Jeffrey Layton) [RHEL-7936]
- nfs42: client needs to strip file mode's suid/sgid bit after ALLOCATE op (Jeffrey Layton) [RHEL-7936]
- nfs: decrement nrequests counter before releasing the req (Jeffrey Layton) [RHEL-7936]
- NFSD: Fix zero NFSv4 READ results when RQ_SPLICE_OK is not set (Jeffrey Layton) [RHEL-7936]
- net/handshake: Fix memory leak in __sock_create() and sock_alloc_file() (Jeffrey Layton) [RHEL-7936]
- NFSv4.1: fix zero value filehandle in post open getattr (Jeffrey Layton) [RHEL-7936]
- NFSv4.1: fix pnfs MDS=DS session trunking (Jeffrey Layton) [RHEL-7936]
- Revert "SUNRPC: Fail faster on bad verifier" (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Mark the cred for revalidation if the server rejects it (Jeffrey Layton) [RHEL-7936]
- NFS/pNFS: Report EINVAL errors from connect() to the server (Jeffrey Layton) [RHEL-7936]
- NFSD: fix possible oops when nfsd/pool_stats is closed. (Jeffrey Layton) [RHEL-7936]
- NFS: switch back to using kill_anon_super (Jeffrey Layton) [RHEL-7936]
- pNFS: Fix assignment of xprtdata.cred (Jeffrey Layton) [RHEL-7936]
- NFSv4.2: fix handling of COPY ERR_OFFLOAD_NO_REQ (Jeffrey Layton) [RHEL-7936]
- NFS: Guard against READDIR loop when entry names exceed MAXNAMELEN (Jeffrey Layton) [RHEL-7936]
- NFSD: da_addr_body field missing in some GETDEVICEINFO replies (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Remove return value of svc_pool_wake_idle_thread() (Jeffrey Layton) [RHEL-7936]
- SUNRPC: make rqst_should_sleep() idempotent() (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Count ingress RPC messages per svc_pool (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Clean up svc_set_num_threads (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Deduplicate thread wake-up code (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Move trace_svc_xprt_enqueue (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Add enum svc_auth_status (Jeffrey Layton) [RHEL-7936]
- SUNRPC: remove timeout arg from svc_recv() (Jeffrey Layton) [RHEL-7936]
- SUNRPC: change svc_recv() to return void. (Jeffrey Layton) [RHEL-7936]
- SUNRPC: call svc_process() from svc_recv(). (Jeffrey Layton) [RHEL-7936]
- nfsd: separate nfsd_last_thread() from nfsd_put() (Jeffrey Layton) [RHEL-7936]
- nfsd: Simplify code around svc_exit_thread() call in nfsd() (Jeffrey Layton) [RHEL-7936]
- nfsd: don't allow nfsd threads to be signalled. (Jeffrey Layton) [RHEL-7936]
- lockd: remove SIGKILL handling (Jeffrey Layton) [RHEL-7936]
- fs: lockd: avoid possible wrong NULL parameter (Jeffrey Layton) [RHEL-7936]
- exportfs: remove kernel-doc warnings in exportfs (Jeffrey Layton) [RHEL-7936]
- nfsd: inherit required unset default acls from effective set (Jeffrey Layton) [RHEL-7936]
- lockd: nlm_blocked list race fixes (Jeffrey Layton) [RHEL-7936]
- nfsd: set missing after_change as before_change + 1 (Jeffrey Layton) [RHEL-7936]
- nfsd: remove unsafe BUG_ON from set_change_info (Jeffrey Layton) [RHEL-7936]
- nfsd: handle failure to collect pre/post-op attrs more sanely (Jeffrey Layton) [RHEL-7936]
- nfsd: add a MODULE_DESCRIPTION (Jeffrey Layton) [RHEL-7936]
- NFSD: Rename struct svc_cacherep (Jeffrey Layton) [RHEL-7936]
- NFSD: Remove svc_rqst::rq_cacherep (Jeffrey Layton) [RHEL-7936]
- NFSD: Refactor the duplicate reply cache shrinker (Jeffrey Layton) [RHEL-7936]
- NFSD: Replace nfsd_prune_bucket() (Jeffrey Layton) [RHEL-7936]
- NFSD: Rename nfsd_reply_cache_alloc() (Jeffrey Layton) [RHEL-7936]
- NFSD: Refactor nfsd_reply_cache_free_locked() (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Remove net/sunrpc/auth_gss/gss_krb5_seqnum.c (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Remove the ->import_ctx method (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Remove CONFIG_RPCSEC_GSS_KRB5_CRYPTOSYSTEM (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Remove gss_import_v1_context() (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Remove krb5_derive_key_v1() (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Remove code behind CONFIG_RPCSEC_GSS_KRB5_SIMPLIFIED (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Remove DES and DES3 enctypes from the supported enctypes list (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Remove Kunit tests for the DES3 encryption type (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Remove RPCSEC_GSS_KRB5_ENCTYPES_DES (Jeffrey Layton) [RHEL-7936]
- NFSD: Enable write delegation support (Jeffrey Layton) [RHEL-7936]
- NFSD: Report zero space limit for write delegations (Jeffrey Layton) [RHEL-7936]
- NFSD: handle GETATTR conflict with write delegation (Jeffrey Layton) [RHEL-7936]
- NFSv4.1: use EXCHGID4_FLAG_USE_PNFS_DS for DS server (Jeffrey Layton) [RHEL-7936]
- NFS/pNFS: Set the connect timeout for the pNFS flexfiles driver (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Don't override connect timeouts in rpc_clnt_add_xprt() (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Allow specification of TCP client connect timeout at setup (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Refactor and simplify connect timeout (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Set the TCP_SYNCNT to match the socket timeout (Jeffrey Layton) [RHEL-7936]
- NFS: Fix a potential data corruption (Jeffrey Layton) [RHEL-7936]
- nfs: fix redundant readdir request after get eof (Jeffrey Layton) [RHEL-7936]
- nfs/blocklayout: Use the passed in gfp flags (Jeffrey Layton) [RHEL-7936]
- filemap: Fix errors in file.c (Jeffrey Layton) [RHEL-7936]
- NFSv4/pnfs: minor fix for cleanup path in nfs4_get_device_info (Jeffrey Layton) [RHEL-7936]
- NFS: Move common includes outside ifdef (Jeffrey Layton) [RHEL-7936]
- NFSD: Fix a thinko introduced by recent trace point changes (Jeffrey Layton) [RHEL-7936]
- xprtrdma: Remove unused function declaration rpcrdma_bc_post_recv() (Jeffrey Layton) [RHEL-7936]
- NFS: Enable the READ_PLUS operation by default (Jeffrey Layton) [RHEL-7936]
- SUNRPC: kmap() the xdr pages during decode (Jeffrey Layton) [RHEL-7936]
- NFSv4.2: Rework scratch handling for READ_PLUS (again) (Jeffrey Layton) [RHEL-7936]
- NFSv4.2: Fix READ_PLUS size calculations (Jeffrey Layton) [RHEL-7936]
- NFSv4.2: Fix READ_PLUS smatch warnings (Jeffrey Layton) [RHEL-7936]
- xprtrdma: Remap Receive buffers after a reconnect (Jeffrey Layton) [RHEL-7936]
- NFSv4: fix out path in __nfs4_get_acl_uncached (Jeffrey Layton) [RHEL-7936]
- NFSv4.2: fix error handling in nfs42_proc_getxattr (Jeffrey Layton) [RHEL-7936]
- NFS: Fix sysfs server name memory leak (Jeffrey Layton) [RHEL-7936]
- NFS: Fix a use after free in nfs_direct_join_group() (Jeffrey Layton) [RHEL-7936]
- nfsd: Fix race to FREE_STATEID and cl_revoked (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Use new helpers to handle TLS Alerts (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Send TLS Closure alerts before closing a TCP socket (Jeffrey Layton) [RHEL-7936]
- NFSv4: Fix dropped lock for racing OPEN and delegation return (Jeffrey Layton) [RHEL-7936]
- nfsd: Fix reading via splice (Jeffrey Layton) [RHEL-7936]
- nfsd: Remove incorrect check in nfsd4_validate_stateid (Jeffrey Layton) [RHEL-7936]
- NFS: Don't cleanup sysfs superblock entry if uninitialized (Jeffrey Layton) [RHEL-7936]
- nfsd: Fix creation time serialization order (Jeffrey Layton) [RHEL-7936]
- nfsd: remove redundant assignments to variable len (Jeffrey Layton) [RHEL-7936]
- NFSv4.2: fix wrong shrinker_id (Jeffrey Layton) [RHEL-7936]
- NFSv4: Clean up some shutdown loops (Jeffrey Layton) [RHEL-7936]
- NFS: Cancel all existing RPC tasks when shutdown (Jeffrey Layton) [RHEL-7936]
- NFS: add sysfs shutdown knob (Jeffrey Layton) [RHEL-7936]
- NFS: add a sysfs link to the acl rpc_client (Jeffrey Layton) [RHEL-7936]
- NFS: add a sysfs link to the lockd rpc_client (Jeffrey Layton) [RHEL-7936]
- NFS: Add sysfs links to sunrpc clients for nfs_clients (Jeffrey Layton) [RHEL-7936]
- NFS: add superblock sysfs entries (Jeffrey Layton) [RHEL-7936]
- NFS: Make all of /sys/fs/nfs network-namespace unique (Jeffrey Layton) [RHEL-7936]
- NFS: Open-code the nfs_kset kset_create_and_add() (Jeffrey Layton) [RHEL-7936]
- NFS: rename nfs_client_kobj to nfs_net_kobj (Jeffrey Layton) [RHEL-7936]
- NFS: rename nfs_client_kset to nfs_kset (Jeffrey Layton) [RHEL-7936]
- NFS: Add an "xprtsec=" NFS mount option (Jeffrey Layton) [RHEL-7936]
- NFS: Have struct nfs_client carry a TLS policy field (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Add a TCP-with-TLS RPC transport class (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Capture CMSG metadata on client-side receive (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Ignore data_ready callbacks during TLS handshakes (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Add RPC client support for the RPC_AUTH_TLS auth flavor (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Trace the rpc_create_args (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Plumb an API for setting transport layer security (Jeffrey Layton) [RHEL-7936]
- NFS: Improvements for fs_context-related tracepoints (Jeffrey Layton) [RHEL-7936]
- SUNRPC: attempt to reach rpcbind with an abstract socket name (Jeffrey Layton) [RHEL-7936]
- SUNRPC: support abstract unix socket addresses (Jeffrey Layton) [RHEL-7936]
- NFSv4.2: SETXATTR should update ctime (Jeffrey Layton) [RHEL-7936]
- NFSv4.2: Clean up xattr size macros (Jeffrey Layton) [RHEL-7936]
- NFSv4.2: Clean up nfs4_xdr_dec_*xattr() functions (Jeffrey Layton) [RHEL-7936]
- NFSv4.2: Clean up: Move nfs4_xdr_enc_*xattr() functions (Jeffrey Layton) [RHEL-7936]
- NFSv4.2: Clean up: move decode_*xattr() functions (Jeffrey Layton) [RHEL-7936]
- NFSv4.2: Clean up: Move the encode_copy_commit() function (Jeffrey Layton) [RHEL-7936]
- svcrdma: Fix stale comment (Jeffrey Layton) [RHEL-7936]
- NFSD: Distinguish per-net namespace initialization (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Address RCU warning in net/sunrpc/svc.c (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Use sysfs_emit in place of strlcpy/sprintf (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Remove transport class dprintk call sites (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Fix comments for transport class registration (Jeffrey Layton) [RHEL-7936]
- svcrdma: Remove an unused argument from __svc_rdma_put_rw_ctxt() (Jeffrey Layton) [RHEL-7936]
- svcrdma: trace cc_release calls (Jeffrey Layton) [RHEL-7936]
- svcrdma: Convert "might sleep" comment into a code annotation (Jeffrey Layton) [RHEL-7936]
- NFSD: Add an nfsd4_encode_nfstime4() helper (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Move initialization of rq_stime (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Optimize page release in svc_rdma_sendto() (Jeffrey Layton) [RHEL-7936]
- svcrdma: Prevent page release when nothing was received (Jeffrey Layton) [RHEL-7936]
- svcrdma: Revert 2a1e4f21d841 ("svcrdma: Normalize Send page handling") (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Revert 579900670ac7 ("svcrdma: Remove unused sc_pages field") (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Revert cc93ce9529a6 ("svcrdma: Retain the page backing rq_res.head[0].iov_base") (Jeffrey Layton) [RHEL-7936]
- NFSD: add encoding of op_recall flag for write delegation (Jeffrey Layton) [RHEL-7936]
- svcrdma: Clean up allocation of svc_rdma_rw_ctxt (Jeffrey Layton) [RHEL-7936]
- svcrdma: Clean up allocation of svc_rdma_send_ctxt (Jeffrey Layton) [RHEL-7936]
- svcrdma: Clean up allocation of svc_rdma_recv_ctxt (Jeffrey Layton) [RHEL-7936]
- svcrdma: Allocate new transports on device's NUMA node (Jeffrey Layton) [RHEL-7936]
- nfsd: don't provide pre/post-op attrs if fh_getattr fails (Jeffrey Layton) [RHEL-7936]
- NFSD: Remove nfsd_readv() (Jeffrey Layton) [RHEL-7936]
- NFSD: Hoist rq_vec preparation into nfsd_read() [step two] (Jeffrey Layton) [RHEL-7936]
- NFSD: Hoist rq_vec preparation into nfsd_read() (Jeffrey Layton) [RHEL-7936]
- NFSD: Update rq_next_page between COMPOUND operations (Jeffrey Layton) [RHEL-7936]
- NFSD: Use svcxdr_encode_opaque_pages() in nfsd4_encode_splice_read() (Jeffrey Layton) [RHEL-7936]
- NFSD: Ensure that xdr_write_pages updates rq_next_page (Jeffrey Layton) [RHEL-7936]
- NFSD: Replace encode_cinfo() (Jeffrey Layton) [RHEL-7936]
- NFSD: Add encoders for NFSv4 clientids and verifiers (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Use __alloc_bulk_pages() in svc_init_buffer() (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Resupply rq_pages from node-local memory (Jeffrey Layton) [RHEL-7936]
- NFSD: trace nfsctl operations (Jeffrey Layton) [RHEL-7936]
- NFSD: Clean up nfsctl_transaction_write() (Jeffrey Layton) [RHEL-7936]
- NFSD: Clean up nfsctl white-space damage (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Trace struct svc_sock lifetime events (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Improve observability in svc_tcp_accept() (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Remove dprintk() in svc_handle_xprt() (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Fix an incorrect comment (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Fix UAF in svc_tcp_listen_data_ready() (Jeffrey Layton) [RHEL-7936]
- exportfs: check for error return value from exportfs_encode_*() (Jeffrey Layton) [RHEL-7936]
- NFS: Prefer strscpy over strlcpy calls (Jeffrey Layton) [RHEL-7936]
- exportfs: add explicit flag to request non-decodeable file handles (Jeffrey Layton) [RHEL-7936]
- exportfs: change connectable argument to bit flags (Jeffrey Layton) [RHEL-7936]
- NFSv4.2: Fix a potential double free with READ_PLUS (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Fix error handling in svc_setup_socket() (Jeffrey Layton) [RHEL-7936]
- nfsd: define exports_proc_ops with CONFIG_PROC_FS (Jeffrey Layton) [RHEL-7936]
- NFSv4.2: Rework scratch handling for READ_PLUS (Jeffrey Layton) [RHEL-7936]
- NFSD: Handle new xprtsec= export option (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Support TLS handshake in the server-side TCP socket code (Jeffrey Layton) [RHEL-7936]
- NFSD: Fix problem of COMMIT and NFS4ERR_DELAY in infinite loop (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Clear rq_xid when receiving a new RPC Call (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Be even lazier about releasing pages (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Convert svc_xprt_release() to the release_pages() API (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Relocate svc_free_res_pages() (Jeffrey Layton) [RHEL-7936]
- nfsd: simplify the delayed disposal list code (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Ignore return value of ->xpo_sendto (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Ensure server-side sockets have a sock->file (Jeffrey Layton) [RHEL-7936]
- NFSD: Watch for rq_pages bounds checking errors in nfsd_splice_actor() (Jeffrey Layton) [RHEL-7936]
- sunrpc: simplify two-level sysctl registration for svcrdma_parm_table (Jeffrey Layton) [RHEL-7936]
- lockd: drop inappropriate svc_get() from locked_get() (Jeffrey Layton) [RHEL-7936]
- NFSD: Convert filecache to rhltable (Jeffrey Layton) [RHEL-7936]
- nfsd: allow reaping files still under writeback (Jeffrey Layton) [RHEL-7936]
- nfsd: update comment over __nfsd_file_cache_purge (Jeffrey Layton) [RHEL-7936]
- nfsd: don't take/put an extra reference when putting a file (Jeffrey Layton) [RHEL-7936]
- nfsd: add some comments to nfsd_file_do_acquire (Jeffrey Layton) [RHEL-7936]
- nfsd: don't kill nfsd_files because of lease break error (Jeffrey Layton) [RHEL-7936]
- nfsd: simplify test_bit return in NFSD_FILE_KEY_FULL comparator (Jeffrey Layton) [RHEL-7936]
- nfsd: NFSD_FILE_KEY_INODE only needs to find GC'ed entries (Jeffrey Layton) [RHEL-7936]
- nfsd: don't open-code clear_and_wake_up_bit (Jeffrey Layton) [RHEL-7936]
- nfs: simplify two-level sysctl registration for nfs_cb_sysctls (Jeffrey Layton) [RHEL-7936]
- nfs: simplify two-level sysctl registration for nfs4_cb_sysctls (Jeffrey Layton) [RHEL-7936]
- lockd: simplify two-level sysctl registration for nlm_sysctls (Jeffrey Layton) [RHEL-7936]
- NFS: Convert the readdir array-of-pages into an array-of-folios (Jeffrey Layton) [RHEL-7936]
- NFSv3: handle out-of-order write replies. (Jeffrey Layton) [RHEL-7936]
- nfs: remove empty if statement from nfs3_prepare_get_acl (Jeffrey Layton) [RHEL-7936]
- locks: allow support for write delegation (Jeffrey Layton) [RHEL-7936]
- locks: fix KASAN: use-after-free in trace_event_raw_event_filelock_lock (Jeffrey Layton) [RHEL-7936]
- fs/locks: Remove redundant assignment to cmd (Jeffrey Layton) [RHEL-7936]
- net/handshake: fix null-ptr-deref in handshake_nl_done_doit() (Jeffrey Layton) [RHEL-7936]
- net/handshake: Unpin sock->file if a handshake is cancelled (Jeffrey Layton) [RHEL-7936]
- net/tls: Add TLS Alert definitions (Jeffrey Layton) [RHEL-7936]
- net/tls: Move TLS protocol elements to a separate header (Jeffrey Layton) [RHEL-7936]
- SUNRPC: Recognize control messages in server-side TCP socket code (Jeffrey Layton) [RHEL-7936]
- net/handshake: Trace events for TLS Alert helpers (Jeffrey Layton) [RHEL-7936]
- net/handshake: Add helpers for parsing incoming TLS Alerts (Jeffrey Layton) [RHEL-7936]
- net/handshake: Add API for sending TLS Closure alerts (Jeffrey Layton) [RHEL-7936]
- net/tls: implement ->read_sock() (Jeffrey Layton) [RHEL-7936]
- net/tls: split tls_rx_reader_lock (Jeffrey Layton) [RHEL-7936]
- net/tls: Use tcp_read_sock() instead of ops->read_sock() (Jeffrey Layton) [RHEL-7936]
- selftests/net/tls: add test for MSG_EOR (Jeffrey Layton) [RHEL-7936]
- net/tls: handle MSG_EOR for tls_device TX flow (Jeffrey Layton) [RHEL-7936]
- net/tls: handle MSG_EOR for tls_sw TX flow (Jeffrey Layton) [RHEL-7936]
- net/handshake: remove fput() that causes use-after-free (Jeffrey Layton) [RHEL-7936]
- net/handshake: Enable the SNI extension to work properly (Jeffrey Layton) [RHEL-7936]
- net/handshake: Unpin sock->file if a handshake is cancelled (Jeffrey Layton) [RHEL-7936]
- net/handshake: handshake_genl_notify() shouldn't ignore @flags (Jeffrey Layton) [RHEL-7936]
- net/handshake: Fix uninitialized local variable (Jeffrey Layton) [RHEL-7936]
- net/handshake: Fix handshake_dup() ref counting (Jeffrey Layton) [RHEL-7936]
- net/handshake: Remove unneeded check from handshake_dup() (Jeffrey Layton) [RHEL-7936]
- net/handshake: Fix sock->file allocation (Jeffrey Layton) [RHEL-7936]
- net/handshake: Squelch allocation warning during Kunit test (Jeffrey Layton) [RHEL-7936]
- net/handshake: Fix section mismatch in handshake_exit (Jeffrey Layton) [RHEL-7936]
- RHEL: add config setting for CONFIG_NET_HANDSHAKE_KUNIT_TEST (Jeffrey Layton) [RHEL-7936]
- net/handshake: Add Kunit tests for the handshake consumer API (Jeffrey Layton) [RHEL-7936]
- net/handshake: Add a kernel API for requesting a TLSv1.3 handshake (Jeffrey Layton) [RHEL-7936]
- net/handshake: Create a NETLINK service for handling handshake requests (Jeffrey Layton) [RHEL-7936]
- x86/microcode/intel: Reuse intel_cpu_collect_info() (David Arcari) [RHEL-15512]
- x86/microcode/intel: Rework intel_find_matching_signature() (David Arcari) [RHEL-15512]
- x86/microcode/intel: Rework intel_cpu_collect_info() (David Arcari) [RHEL-15512]
- platform/x86/intel/ifs: ARRAY BIST for Sierra Forest (David Arcari) [RHEL-15512]
- platform/x86/intel/ifs: Add new error code (David Arcari) [RHEL-15512]
- platform/x86/intel/ifs: Add new CPU support (David Arcari) [RHEL-15512]
- platform/x86/intel/ifs: Metadata validation for start_chunk (David Arcari) [RHEL-15512]
- platform/x86/intel/ifs: Validate image size (David Arcari) [RHEL-15512]
- platform/x86/intel/ifs: Gen2 Scan test support (David Arcari) [RHEL-15512]
- platform/x86/intel/ifs: Gen2 scan image loading (David Arcari) [RHEL-15512]
- platform/x86/intel/ifs: Refactor image loading code (David Arcari) [RHEL-15512]
- platform/x86/intel/ifs: Store IFS generation number (David Arcari) [RHEL-15512]
- platform/x86/intel/ifs: release cpus_read_lock() (David Arcari) [RHEL-15512]
- x86/microcode/intel: Unify microcode apply() functions (David Arcari) [RHEL-15512]
- x86/microcode/intel: Switch to kvmalloc() (David Arcari) [RHEL-15512]
- mm: new primitive kvmemdup() (David Arcari) [RHEL-15512]
- x86/microcode/intel: Save the microcode only after a successful late-load (David Arcari) [RHEL-15512]
- x86/microcode/intel: Simplify early loading (David Arcari) [RHEL-15512]
- x86/microcode/intel: Cleanup code further (David Arcari) [RHEL-15512]
- x86/microcode/intel: Simplify and rename generic_load_microcode() (David Arcari) [RHEL-15512]
- x86/microcode/intel: Simplify scan_microcode() (David Arcari) [RHEL-15512]
- x86/microcode/intel: Rip out mixed stepping support for Intel CPUs (David Arcari) [RHEL-15512]
- x86/microcode/32: Move early loading after paging enable (David Arcari) [RHEL-15512]
- s390/smp: disallow CPU hotplug of CPU 0 (David Arcari) [RHEL-15512]
- x86/smpboot: Get rid of cpu_init_secondary() (David Arcari) [RHEL-15512]
- x86/smpboot: Split up native_cpu_up() into separate phases and document them (David Arcari) [RHEL-15512]
- x86/smpboot: Remove unnecessary barrier() (David Arcari) [RHEL-15512]
- x86/smpboot: Restrict soft_restart_cpu() to SEV (David Arcari) [RHEL-15512]
- x86/smpboot: Remove the CPU0 hotplug kludge (David Arcari) [RHEL-15512]
- x86/topology: Remove CPU0 hotplug option (David Arcari) [RHEL-15512]
- x86/smpboot: Rename start_cpu0() to soft_restart_cpu() (David Arcari) [RHEL-15512]
- x86/smpboot: Avoid pointless delay calibration if TSC is synchronized (David Arcari) [RHEL-15512]
- cpu/hotplug: Mark arch_disable_smp_support() and bringup_nonboot_cpus() __init (David Arcari) [RHEL-15512]
- x86/smpboot: Cleanup topology_phys_to_logical_pkg()/die() (David Arcari) [RHEL-15512]
- smpboot: use atomic_try_cmpxchg in cpu_wait_death and cpu_report_death (David Arcari) [RHEL-15512]
- x86/aperfperf: Make it correct on 32bit and UP kernels (David Arcari) [RHEL-15512]
- x86/aperfmperf: Make parts of the frequency invariance code unconditional (David Arcari) [RHEL-15512]
- x86/aperfmperf: Restructure arch_scale_freq_tick() (David Arcari) [RHEL-15512]
- x86/aperfmperf: Put frequency invariance aperf/mperf data into a struct (David Arcari) [RHEL-15512]
- x86/aperfmperf: Untangle Intel and AMD frequency invariance init (David Arcari) [RHEL-15512]
- x86/aperfmperf: Separate AP/BP frequency invariance init (David Arcari) [RHEL-15512]
- x86/smp: Move APERF/MPERF code where it belongs (David Arcari) [RHEL-15512]
- x86/aperfmperf: Dont wake idle CPUs in arch_freq_get_on_cpu() (David Arcari) [RHEL-15512]
- cpu/hotplug: Remove the 'cpu' member of cpuhp_cpu_state (David Arcari) [RHEL-15512]
- cpu/hotplug: Allow the CPU in CPU_UP_PREPARE state to be brought up again. (David Arcari) [RHEL-15512]
- x86/ACPI: CPPC: Move init_freq_invariance_cppc() into x86 CPPC (David Arcari) [RHEL-15512]
- x86: Expose init_freq_invariance() to topology header (David Arcari) [RHEL-15512]
- x86/ACPI: CPPC: Move AMD maximum frequency ratio setting function into x86 CPPC (David Arcari) [RHEL-15512]
- x86/ACPI: CPPC: Rename cppc_msr.c to cppc.c (David Arcari) [RHEL-15512]
- x86/microcode/intel: Remove pointless mutex (David Arcari) [RHEL-15512]
- x86/microcode/intel: Remove debug code (David Arcari) [RHEL-15512]
- x86/microcode: Move core specific defines to local header (David Arcari) [RHEL-15512]
- x86/microcode: Make reload_early_microcode() static (David Arcari) [RHEL-15512]
- x86/microcode: Hide the config knob (David Arcari) [RHEL-15512]
- x86/microcode/intel: Rename get_datasize() since its used externally (David Arcari) [RHEL-15512]
- x86/microcode: Include vendor headers into microcode.h (David Arcari) [RHEL-15512]
- x86/microcode/intel: Move microcode functions out of cpu/intel.c (David Arcari) [RHEL-15512]
- x86/microcode/intel: Print old and new revision during early boot (David Arcari) [RHEL-15512]
- x86/microcode/intel: Pass the microcode revision to print_ucode_info() directly (David Arcari) [RHEL-15512]
- i40e: Change user notification of non-SFP module in i40e_get_module_info() (Michal Schmidt) [RHEL-15786]
- ixgbe: Remove unused function declarations (Ken Cox) [2222752]
- ixgbe: Fix panic during XDP_TX with > 64 CPUs (Ken Cox) [2222752]
- ixgbe: Enable setting RSS table to default values (Ken Cox) [2222752]
- ixgbe: Allow flow hash to be set via ethtool (Ken Cox) [2222752]
- ixgbe: Remove unnecessary aer.h include (Ken Cox) [2222752]
- ixgbe: Separate C22 and C45 transactions (Ken Cox) [2222752]
- drivers: net: slip: fix NPD bug in sl_tx_timeout() (Michal Schmidt) [RHEL-6654] {CVE-2022-41858}
- crypto: qat - prevent underflow in rp2srv_store() (Vladis Dronov) [RHEL-15640]
- crypto: qat - fix deadlock in backlog processing (Vladis Dronov) [RHEL-15640]
- crypto: qat - move adf_cfg_services (Vladis Dronov) [RHEL-15640]
- crypto: qat - add num_rps sysfs attribute (Vladis Dronov) [RHEL-15640]
- crypto: qat - add rp2svc sysfs attribute (Vladis Dronov) [RHEL-15640]
- crypto: qat - add rate limiting sysfs interface (Vladis Dronov) [RHEL-15640]
- crypto: qat - add rate limiting feature to qat_4xxx (Vladis Dronov) [RHEL-15640]
- crypto: qat - add retrieval of fw capabilities (Vladis Dronov) [RHEL-15640]
- crypto: qat - add bits.h to icp_qat_hw.h (Vladis Dronov) [RHEL-15640]
- crypto: qat - move admin api (Vladis Dronov) [RHEL-15640]
- crypto: qat - fix ring to service map for QAT GEN4 (Vladis Dronov) [RHEL-15640]
- crypto: qat - use masks for AE groups (Vladis Dronov) [RHEL-15640]
- crypto: qat - refactor fw config related functions (Vladis Dronov) [RHEL-15640]
- crypto: qat - count QAT GEN4 errors (Vladis Dronov) [RHEL-15640]
- crypto: qat - add error counters (Vladis Dronov) [RHEL-15640]
- crypto: qat - add handling of errors from ERRSOU3 for QAT GEN4 (Vladis Dronov) [RHEL-15640]
- crypto: qat - add adf_get_aram_base() helper function (Vladis Dronov) [RHEL-15640]
- crypto: qat - add handling of compression related errors for QAT GEN4 (Vladis Dronov) [RHEL-15640]
- crypto: qat - add handling of errors from ERRSOU2 for QAT GEN4 (Vladis Dronov) [RHEL-15640]
- crypto: qat - add reporting of errors from ERRSOU1 for QAT GEN4 (Vladis Dronov) [RHEL-15640]
- crypto: qat - add reporting of correctable errors for QAT GEN4 (Vladis Dronov) [RHEL-15640]
- crypto: qat - add infrastructure for error reporting (Vladis Dronov) [RHEL-15640]
- crypto: qat - fix double free during reset (Vladis Dronov) [RHEL-15640]
- crypto: qat - add cnv_errors debugfs file (Vladis Dronov) [RHEL-15640]
- crypto: qat - add pm_status debugfs file (Vladis Dronov) [RHEL-15640]
- crypto: qat - refactor included headers (Vladis Dronov) [RHEL-15640]
- crypto: qat - add namespace to driver (Vladis Dronov) [RHEL-15640]
- crypto: qat - Remove zlib-deflate (Vladis Dronov) [RHEL-15640]
- crypto: qat - increase size of buffers (Vladis Dronov) [RHEL-15640]
- crypto: qat - enable dc chaining service (Vladis Dronov) [RHEL-15640]
- crypto: qat - consolidate services structure (Vladis Dronov) [RHEL-15640]
- crypto: qat - fix unregistration of compression algorithms (Vladis Dronov) [RHEL-15640]
- crypto: qat - fix unregistration of crypto algorithms (Vladis Dronov) [RHEL-15640]
- crypto: qat - do not shadow error code (Vladis Dronov) [RHEL-15640]
- crypto: qat - refactor deprecated strncpy (Vladis Dronov) [RHEL-15640]
- crypto: qat - Use list_for_each_entry() helper (Vladis Dronov) [RHEL-15640]
- Documentation: ABI: debugfs-driver-qat: fix fw_counters path (Vladis Dronov) [RHEL-15640]
- crypto: qat - fix crypto capability detection for 4xxx (Vladis Dronov) [RHEL-15640]
- crypto: qat - Remove unused function declarations (Vladis Dronov) [RHEL-15640]
- crypto: qat - use kfree_sensitive instead of memset/kfree() (Vladis Dronov) [RHEL-15640]
- crypto: qat - replace the if statement with min() (Vladis Dronov) [RHEL-15640]
- crypto: qat - add heartbeat counters check (Vladis Dronov) [RHEL-15640]
- crypto: qat - add heartbeat feature (Vladis Dronov) [RHEL-15640]
- crypto: qat - add measure clock frequency (Vladis Dronov) [RHEL-15640]
- crypto: qat - drop obsolete heartbeat interface (Vladis Dronov) [RHEL-15640]
- crypto: qat - add internal timer for qat 4xxx (Vladis Dronov) [RHEL-15640]
- crypto: qat - add fw_counters debugfs file (Vladis Dronov) [RHEL-15640]
- crypto: qat - change value of default idle filter (Vladis Dronov) [RHEL-15640]
- crypto: qat - do not export adf_init_admin_pm() (Vladis Dronov) [RHEL-15640]
- crypto: qat - expose pm_idle_enabled through sysfs (Vladis Dronov) [RHEL-15640]
- crypto: qat - extend configuration for 4xxx (Vladis Dronov) [RHEL-15640]
- crypto: qat - refactor fw config logic for 4xxx (Vladis Dronov) [RHEL-15640]
- crypto: qat - make fw images name constant (Vladis Dronov) [RHEL-15640]
- crypto: qat - move returns to default case (Vladis Dronov) [RHEL-15640]
- crypto: qat - unmap buffers before free for RSA (Vladis Dronov) [RHEL-15640]
- crypto: qat - unmap buffer before free for DH (Vladis Dronov) [RHEL-15640]
- crypto: qat - update slice mask for 4xxx devices (Vladis Dronov) [RHEL-15640]
- crypto: qat - set deprecated capabilities as reserved (Vladis Dronov) [RHEL-15640]
- crypto: qat - add missing function declaration in adf_dbgfs.h (Vladis Dronov) [RHEL-15640]
- crypto: qat - move dbgfs init to separate file (Vladis Dronov) [RHEL-15640]
- crypto: qat - Move driver to drivers/crypto/intel/qat (Vladis Dronov) [RHEL-15640]
- crypto: ixp4xx - Move driver to drivers/crypto/intel/ixp4xx (Vladis Dronov) [RHEL-15640]
- crypto: keembay - Move driver to drivers/crypto/intel/keembay (Vladis Dronov) [RHEL-15640]
- crypto: keembay-ocs-ecc - Fix error return code in kmb_ocs_ecc_probe() (Vladis Dronov) [RHEL-15640]
- MAINTAINERS: rectify entry for INTEL KEEM BAY OCS ECC CRYPTO DRIVER (Vladis Dronov) [RHEL-15640]
- crypto: keembay-ocs-ecc - Add Keem Bay OCS ECC Driver (Vladis Dronov) [RHEL-15640]
- dt-bindings: crypto: Add Keem Bay ECC bindings (Vladis Dronov) [RHEL-15640]
- crypto: qat - fix apply custom thread-service mapping for dc service (Vladis Dronov) [RHEL-15640]
- crypto: qat - make state machine functions static (Vladis Dronov) [RHEL-15640]
- crypto: qat - refactor device restart logic (Vladis Dronov) [RHEL-15640]
- crypto: rsa-pkcs1pad - Use helper to set reqsize (Vladis Dronov) [RHEL-15640]
- units: complement the set of Hz units (Vladis Dronov) [RHEL-15640]
- units: Add BYTES_PER_*BIT (Vladis Dronov) [RHEL-15640]
- units: change from 'L' to 'UL' (Vladis Dronov) [RHEL-15640]
- amd_hsmp: Add HSMP protocol version 5 messages (John Allen) [2072239]
- PCI: Disable ATS for specific Intel IPU E2000 devices (Michal Schmidt) [RHEL-16608]
- PCI: Extract ATS disabling to a helper function (Michal Schmidt) [RHEL-16608]
- netfilter: ipset: Fix race between IPSET_CMD_CREATE and IPSET_CMD_SWAP (Phil Sutter) [RHEL-5434] {CVE-2023-42756}
- exfat: release s_lock before calling dir_emit() (Pavel Reichl) [RHEL-9653]
- exfat: fix the newly allocated clusters are not freed in error handling (Pavel Reichl) [RHEL-9653]
- exfat: don't print error log in normal case (Pavel Reichl) [RHEL-9653]
- exfat: remove unneeded code from exfat_alloc_cluster() (Pavel Reichl) [RHEL-9653]
- exfat: handle unreconized benign secondary entries (Pavel Reichl) [RHEL-9653]
- exfat: fix inode->i_blocks for non-512 byte sector size device (Pavel Reichl) [RHEL-9653]
- exfat: redefine DIR_DELETED as the bad cluster number (Pavel Reichl) [RHEL-9653]
- exfat: fix reporting fs error when reading dir beyond EOF (Pavel Reichl) [RHEL-9653]
- exfat: fix unexpected EOF while reading dir (Pavel Reichl) [RHEL-9653]
- exfat: reuse exfat_find_location() to simplify exfat_get_dentry_set() (Pavel Reichl) [RHEL-9653]
- exfat: fix overflow in sector and cluster conversion (Pavel Reichl) [RHEL-9653]
- exfat: remove i_size_write() from __exfat_truncate() (Pavel Reichl) [RHEL-9653]
- exfat: remove argument 'size' from exfat_truncate() (Pavel Reichl) [RHEL-9653]
- exfat: remove unnecessary arguments from exfat_find_dir_entry() (Pavel Reichl) [RHEL-9653]
- exfat: remove unneeded codes from __exfat_rename() (Pavel Reichl) [RHEL-9653]
- exfat: remove call ilog2() from exfat_readdir() (Pavel Reichl) [RHEL-9653]
- exfat: replace magic numbers with Macros (Pavel Reichl) [RHEL-9653]
- exfat: rename exfat_free_dentry_set() to exfat_put_dentry_set() (Pavel Reichl) [RHEL-9653]
- exfat: move exfat_entry_set_cache from heap to stack (Pavel Reichl) [RHEL-9653]
- exfat: support dynamic allocate bh for exfat_entry_set_cache (Pavel Reichl) [RHEL-9653]
- exfat: reduce the size of exfat_entry_set_cache (Pavel Reichl) [RHEL-9653]
- exfat: hint the empty entry which at the end of cluster chain (Pavel Reichl) [RHEL-9653]
- exfat: simplify empty entry hint (Pavel Reichl) [RHEL-9653]
- exfat: fix overflow for large capacity partition (Pavel Reichl) [RHEL-9653]
- exfat_iterate(): don't open-code file_inode(file) (Pavel Reichl) [RHEL-9653]
- exfat: Drop superfluous new line for error messages (Pavel Reichl) [RHEL-9653]
- exfat: Downgrade ENAMETOOLONG error message to debug messages (Pavel Reichl) [RHEL-9653]
- exfat: Expand exfat_err() and co directly to pr_*() macro (Pavel Reichl) [RHEL-9653]
- exfat: Define NLS_NAME_* as bit flags explicitly (Pavel Reichl) [RHEL-9653]
- exfat: Return ENAMETOOLONG consistently for oversized paths (Pavel Reichl) [RHEL-9653]
- exfat: remove duplicate write inode for extending dir/file (Pavel Reichl) [RHEL-9653]
- exfat: remove duplicate write inode for truncating file (Pavel Reichl) [RHEL-9653]
- exfat: reuse __exfat_write_inode() to update directory entry (Pavel Reichl) [RHEL-9653]
- exfat: reduce block requests when zeroing a cluster (Pavel Reichl) [RHEL-9653]
- exfat: introduce mount option 'sys_tz' (Pavel Reichl) [RHEL-9653]
- exfat: do not clear VolumeDirty in writeback (Pavel Reichl) [RHEL-9653]
- exfat: allow access to paths with trailing dots (Pavel Reichl) [RHEL-9653]
- exfat: fix missing REQ_SYNC in exfat_update_bhs() (Pavel Reichl) [RHEL-9653]
- exfat: remove argument 'sector' from exfat_get_dentry() (Pavel Reichl) [RHEL-9653]
- exfat: move super block magic number to magic.h (Pavel Reichl) [RHEL-9653]
- exfat: reuse exfat_inode_info variable instead of calling EXFAT_I() (Pavel Reichl) [RHEL-9653]
- exfat: make exfat_find_location() static (Pavel Reichl) [RHEL-9653]
- exfat: fix typos in comments (Pavel Reichl) [RHEL-9653]
- block: add sync_blockdev_range() (Pavel Reichl) [RHEL-9653]
Resolves: rhbz#2072239, rhbz#2222752, RHEL-15512, RHEL-15640, RHEL-15786, RHEL-16608, RHEL-5434, RHEL-6654, RHEL-7936, RHEL-9653

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-12-08 15:02:41 -05:00
Scott Weaver
d5d45d5985 kernel-5.14.0-395.el9
* Tue Dec 05 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-395.el9]
- selftests/kvm: fix compilation on non-x86_64 platforms (Paolo Bonzini) [RHEL-14702]
- RHEL: update more configs (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add a memory region subtest to validate invalid flags (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Test KVM exit behavior for private memory/access (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add basic selftest for guest_memfd() (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Expand set_memory_region_test to validate guest_memfd() (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add KVM_SET_USER_MEMORY_REGION2 helper (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add x86-only selftest for private memory conversions (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add GUEST_SYNC[1-6] macros for synchronizing more data (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Introduce VM "shape" to allow tests to specify the VM type (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add helpers to do KVM_HC_MAP_GPA_RANGE hypercalls (x86) (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add helpers to convert guest memory b/w private and shared (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add support for creating private memslots (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert lib's mem regions to KVM_SET_USER_MEMORY_REGION2 (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Drop unused kvm_userspace_memory_region_find() helper (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Add support for "protected VMs" that can utilize private memory (Paolo Bonzini) [RHEL-14702]
- KVM: Allow arch code to track number of memslot address spaces per VM (Paolo Bonzini) [RHEL-14702]
- KVM: Drop superfluous __KVM_VCPU_MULTIPLE_ADDRESS_SPACE macro (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Handle page fault for private memory (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Disallow hugepages when memory attributes are mixed (Paolo Bonzini) [RHEL-14702]
- KVM: x86: "Reset" vcpu->run->exit_reason early in KVM_RUN (Paolo Bonzini) [RHEL-14702]
- KVM: Add KVM_CREATE_GUEST_MEMFD ioctl() for guest-specific backing memory (Paolo Bonzini) [RHEL-14702]
- fs: Rename anon_inode_getfile_secure() and anon_inode_getfd_secure() (Paolo Bonzini) [RHEL-14702]
- mm: Add AS_UNMOVABLE to mark mapping as completely unmovable (Paolo Bonzini) [RHEL-14702]
- KVM: Introduce per-page memory attributes (Paolo Bonzini) [RHEL-14702]
- KVM: Drop .on_unlock() mmu_notifier hook (Paolo Bonzini) [RHEL-14702]
- KVM: Add a dedicated mmu_notifier flag for reclaiming freed memory (Paolo Bonzini) [RHEL-14702]
- KVM: Add KVM_EXIT_MEMORY_FAULT exit to report faults to userspace (Paolo Bonzini) [RHEL-14702]
- KVM: Introduce KVM_SET_USER_MEMORY_REGION2 (Paolo Bonzini) [RHEL-14702]
- KVM: Convert KVM_ARCH_WANT_MMU_NOTIFIER to CONFIG_KVM_GENERIC_MMU_NOTIFIER (Paolo Bonzini) [RHEL-14702]
- KVM: PPC: Return '1' unconditionally for KVM_CAP_SYNC_MMU (Paolo Bonzini) [RHEL-14702]
- KVM: PPC: Drop dead code related to KVM_ARCH_WANT_MMU_NOTIFIER (Paolo Bonzini) [RHEL-14702]
- KVM: WARN if there are dangling MMU invalidations at VM destruction (Paolo Bonzini) [RHEL-14702]
- KVM: Use gfn instead of hva for mmu_notifier_retry (Paolo Bonzini) [RHEL-14702]
- KVM: Assert that mmu_invalidate_in_progress *never* goes negative (Paolo Bonzini) [RHEL-14702]
- KVM: Tweak kvm_hva_range and hva_handler_t to allow reusing for gfn ranges (Paolo Bonzini) [RHEL-14702]
- selftests: kvm/s390x: use vm_create_barebones() (Paolo Bonzini) [RHEL-14702]
- pagemap: add filemap_grab_folio() (Paolo Bonzini) [RHEL-14702]
- RHEL: update configs (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Service NMI requests after PMI requests in VM-Enter path (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Zap KVM TDP when noncoherent DMA assignment starts/stops (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Add helpers to return if KVM honors guest MTRRs (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Don't sync user-written TSC against startup values (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Treat all "skip" emulation for SEV guests as outright failures (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Refactor can_emulate_instruction() return to be more expressive (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Use kvm_is_cr4_bit_set() to query SMAP/SMEP in "can emulate" (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Refine calculation of guest wall clock to use a single TSC read (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Virtualize HWCR.TscFreqSel[bit 24] (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Allow HWCR.McStatusWrEn to be cleared once set (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Stop kicking vCPUs to sync the dirty log when PML is disabled (Paolo Bonzini) [RHEL-14702]
- x86: KVM: Add feature flag for CPUID.80000021H:EAX[bit 1] (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Ignore MSR_AMD64_TW_CFG access (Paolo Bonzini) [RHEL-14702]
- KVM: x86: hyper-v: Don't auto-enable stimer on write from user-space (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Clear bit12 of ICR after APIC-write VM-exit (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Fix lapic timer interrupt lost after loading a snapshot. (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Update SEV-ES shutdown intercepts with more metadata (Paolo Bonzini) [RHEL-14702]
- KVM: X86: Reduce size of kvm_vcpu_arch structure when CONFIG_KVM_XEN=n (Paolo Bonzini) [RHEL-14702]
- x86/cpu: Clear SVM feature if disabled by BIOS (Paolo Bonzini) [RHEL-14702]
- KVM: Add the missed title format (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Force load all supported XSAVE state in state test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Load XSAVE state into untouched vCPU during state test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Touch relevant XSAVE state in guest for state test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Zero-initialize entire test_result in memslot perf test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Remove obsolete and incorrect test case metadata (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Treat %%llx like %%lx when formatting guest printf (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Assert that vasprintf() is successful (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Explicit set #UD when *potentially* injecting exception (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Reload "good" vCPU state if vCPU hits shutdown (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Test gp event filters don't affect fixed event filters (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Test if event filter meets expectations on fixed counters (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add test cases for unsupported PMU event filter input values (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Introduce "struct __kvm_pmu_event_filter" to manipulate filter (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Drop the return of remove_event() (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add x86 properties for Intel PMU in processor.h (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add skip_set facility to get_reg_list test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Only do get/set tests on present blessed list (Paolo Bonzini) [RHEL-14702]
- KVM: arm64: selftests: Move finalize_vcpu back to run_test (Paolo Bonzini) [RHEL-14702]
- KVM: arm64: selftests: Move reject_set check logic to a function (Paolo Bonzini) [RHEL-14702]
- KVM: arm64: selftests: Finish generalizing get-reg-list (Paolo Bonzini) [RHEL-14702]
- KVM: arm64: selftests: Split get-reg-list test code (Paolo Bonzini) [RHEL-14702]
- KVM: arm64: selftests: Delete core_reg_fixup (Paolo Bonzini) [RHEL-14702]
- KVM: arm64: selftests: Rename vcpu_config and add to kvm_util.h (Paolo Bonzini) [RHEL-14702]
- KVM: arm64: selftests: Remove print_reg's dependency on vcpu_config (Paolo Bonzini) [RHEL-14702]
- KVM: arm64: selftests: Drop SVE cap check in print_reg (Paolo Bonzini) [RHEL-14702]
- KVM: arm64: selftests: Replace str_with_index with strdup_printf (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Use GUEST_FAIL() in ARM's arch timer helpers (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Print out guest RIP on unhandled exception (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Rip out old, param-based guest assert macros (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert x86's XCR0 test to use printf-based guest asserts (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert VMX's PMU capabilities test to printf guest asserts (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert the x86 userspace I/O test to printf guest assert (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert x86's TSC MSRs test to use printf guest asserts (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert the nSVM software interrupt test to printf guest asserts (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert x86's set BSP ID test to printf style guest asserts (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert x86's nested exceptions test to printf guest asserts (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert the MONITOR/MWAIT test to use printf guest asserts (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert x86's KVM paravirt test to printf style GUEST_ASSERT (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert the Hyper-V feature test to printf style GUEST_ASSERT (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert the Hyper-V extended hypercalls test to printf asserts (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert x86's CPUID test to printf style GUEST_ASSERT (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert steal_time test to printf style GUEST_ASSERT (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert set_memory_region_test to printf-based GUEST_ASSERT (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert s390's tprot test to printf style GUEST_ASSERT (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert s390's memop test to printf style GUEST_ASSERT (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert the memslot performance test to printf guest asserts (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert ARM's vGIC IRQ test to printf style GUEST_ASSERT (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert ARM's page fault test to printf style GUEST_ASSERT (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert ARM's hypercalls test to printf style GUEST_ASSERT (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert debug-exceptions to printf style GUEST_ASSERT (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Convert aarch_timer to printf style GUEST_ASSERT (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add a selftest for guest prints and formatted asserts (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add #define of expected KVM exit reason for ucall (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add arch ucall.h and inline simple arch hooks (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add formatted guest assert support in ucall framework (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add string formatting options to ucall (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add additional pages to the guest to accommodate ucall (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add guest_snprintf() to KVM selftests (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add strnlen() to the string overrides (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add a shameful hack to preserve/clobber GPRs across ucall (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Make TEST_ASSERT_EQ() output look like normal TEST_ASSERT() (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Rename the ASSERT_EQ macro (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Remove superfluous variable assignment (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: use unified time type for comparison (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Extend x86's sync_regs_test to check for exception races (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Extend x86's sync_regs_test to check for event vector races (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Extend x86's sync_regs_test to check for CR4 races (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Expand x86's sregs test to cover illegal CR0 values (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Verify stats fd is usable after VM fd has been closed (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Verify stats fd can be dup()'d and read (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Verify userspace can create "redundant" binary stats files (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Explicitly free vcpus array in binary stats test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Clean up stats fd in common stats_test() helper (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Use pread() to read binary stats header (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add new CFLAGS to generate dependency files (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Allow specify physical cpu list in demand paging test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: get-reg-list: support ID register features (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Extend cpuid_test to verify KVM_GET_CPUID2 "nent" updates (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add dirty logging page splitting test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Move dirty logging functions to memstress.(c|h) (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: touch all pages of args on each memstress iteration (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Allow dumping per-vcpu info for uffd threads (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Setup vcpu_alias only for minor mode test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Fix spelling mistake "miliseconds" -> "milliseconds" (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Refactor stable TSC check to use TEST_REQUIRE() (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Test the PMU event "Instructions retired" (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Copy full counter values from guest in PMU event filter test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Use error codes to signal errors in PMU event filter test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Print detailed info in PMU event filter asserts (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add helpers for PMC asserts in PMU event filter test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add a common helper for the PMU event filter guest code (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Fix spelling mistake "perrmited" -> "permitted" (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add test to verify KVM's supported XCR0 (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add all known XFEATURE masks to common code (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Rework dynamic XFeature helper to take mask, not bit (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Move XGETBV and XSETBV helpers to common code (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Verify LBRs are disabled if vPMU is disabled (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add negative testcase for PEBS format in PERF_CAPABILITIES (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Refactor LBR_FMT test to avoid use of separate macro (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Drop "all done!" printf() from PERF_CAPABILITIES test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Test post-KVM_RUN writes to PERF_CAPABILITIES (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Expand negative testing of guest writes to PERF_CAPABILITIES (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Test all immutable non-format bits in PERF_CAPABILITIES (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Test all fungible features in PERF_CAPABILITIES (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Drop now-redundant checks on PERF_CAPABILITIES writes (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Verify KVM preserves userspace writes to "durable" MSRs (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Print out failing MSR and value in vcpu_set_msr() (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Assert that full-width PMC writes are supported if PDCM=1 (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Move 0/initial value PERF_CAPS checks to dedicated sub-test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Split PMU caps sub-tests to avoid writing MSR after KVM_RUN (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Close opened file descriptor in stable_tsc_check_supported() (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Fixup config fragment for access_tracking_perf_test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Check that XTILEDATA supports XFD (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Check that the palette table exists before using it (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Move XSAVE and OSXSAVE CPUID checks into AMX's init_regs() (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Assert that both XTILE{CFG,DATA} are XSAVE-enabled (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Verify XTILE_DATA in XSTATE isn't affected by IA32_XFD (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Assert that XTILE_DATA is set in IA32_XFD on #NM (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add check of CR0.TS in the #NM handler in amx_test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Enable checking on xcomp_bv in amx_test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Fix an error in comment of amx_test (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add a fully functional "struct xstate" for x86 (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add 'malloc' failure check in vcpu_save_state (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Adjust VM's initial stack address to align with SysV ABI spec (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Report enable_pmu module value when test is skipped (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Add a helper to read kvm boolean module parameters (Paolo Bonzini) [RHEL-14702]
- KVM: selftests: Fix nsec to sec conversion in demand_paging_test (Paolo Bonzini) [RHEL-14702]
- Documentation: KVM: SEV: add a missing backtick (Paolo Bonzini) [RHEL-14702]
- Documentation: KVM: make corrections to vcpu-requests.rst (Paolo Bonzini) [RHEL-14702]
- Documentation: KVM: make corrections to ppc-pv.rst (Paolo Bonzini) [RHEL-14702]
- Documentation: KVM: make corrections to locking.rst (Paolo Bonzini) [RHEL-14702]
- Documentation: KVM: make corrections to halt-polling.rst (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Fix a typo in Documentation/virt/kvm/x86/mmu.rst (Paolo Bonzini) [RHEL-14702]
- KVM: s390: fix gisa destroy operation might lead to cpu stalls (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Fix build error when using -Werror=unused-but-set-variable (Paolo Bonzini) [RHEL-14702]
- x86: KVM: SVM: refresh AVIC inhibition in svm_leave_nested() (Paolo Bonzini) [RHEL-14702]
- x86: KVM: SVM: add support for Invalid IPI Vector interception (Paolo Bonzini) [RHEL-14702]
- x86: KVM: SVM: always update the x2avic msr interception (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Constrain guest-supported xfeatures only at KVM_GET_XSAVE{2} (Paolo Bonzini) [RHEL-14702]
- x86/fpu: Allow caller to constrain xfeatures when copying to uabi buffer (Paolo Bonzini) [RHEL-14702]
- KVM: x86/pmu: Synthesize at most one PMI per VM-exit (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Mask LVTPC when handling a PMI (Paolo Bonzini) [RHEL-14702]
- KVM: x86/pmu: Truncate counter value to allowed width on write (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Do not use user return MSR support for virtualized TSC_AUX (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Fix TSC_AUX virtualization setup (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: INTERCEPT_RDTSCP is never intercepted anyway (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Stop zapping invalidated TDP MMU roots asynchronously (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Do not filter address spaces in for_each_tdp_mmu_root_yield_safe() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Open code leaf invalidation from mmu_notifier (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Include mmu.h in spte.h (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Use dummy root, backed by zero page, for !visible guest roots (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Disallow guest from using !visible slots for page tables (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Harden TDP MMU iteration against root w/o shadow page (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Harden new PGD against roots without shadow pages (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Add helper to convert root hpa to shadow page (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Handle KVM bookkeeping in page-track APIs, not callers (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Drop @slot param from exported/external page-track APIs (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Bug the VM if write-tracking is used but not enabled (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Assert that correct locks are held for page write-tracking (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Rename page-track APIs to reflect the new reality (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Drop infrastructure for multiple page-track modes (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Use page-track notifiers iff there are external users (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Move KVM-only page-track declarations to internal header (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Remove the unused page-track hook track_flush_slot() (Paolo Bonzini) [RHEL-14702]
- drm/i915/gvt: switch from ->track_flush_slot() to ->track_remove_region() (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Add a new page-track hook to handle memslot deletion (Paolo Bonzini) [RHEL-14702]
- drm/i915/gvt: Don't bother removing write-protection on to-be-deleted slot (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Reject memslot MOVE operations if KVMGT is attached (Paolo Bonzini) [RHEL-14702]
- KVM: drm/i915/gvt: Drop @vcpu from KVM's ->track_write() hook (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Don't bounce through page-track mechanism for guest PTEs (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Don't rely on page-track mechanism to flush on memslot change (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Move kvm_arch_flush_shadow_{all,memslot}() to mmu.c (Paolo Bonzini) [RHEL-14702]
- drm/i915/gvt: Protect gfn hash table with vgpu_lock (Paolo Bonzini) [RHEL-14702]
- drm/i915/gvt: Drop unused helper intel_vgpu_reset_gtt() (Paolo Bonzini) [RHEL-14702]
- drm/i915/gvt: Use an "unsigned long" to iterate over memslot gfns (Paolo Bonzini) [RHEL-14702]
- drm/i915/gvt: Don't rely on KVM's gfn_to_pfn() to query possible 2M GTT (Paolo Bonzini) [RHEL-14702]
- drm/i915/gvt: Error out on an attempt to shadowing an unknown GTT entry type (Paolo Bonzini) [RHEL-14702]
- drm/i915/gvt: Explicitly check that vGPU is attached before shadowing (Paolo Bonzini) [RHEL-14702]
- drm/i915/gvt: Put the page reference obtained by KVM's gfn_to_pfn() (Paolo Bonzini) [RHEL-14702]
- drm/i915/gvt: Don't try to unpin an empty page range (Paolo Bonzini) [RHEL-14702]
- drm/i915/gvt: Verify hugepages are contiguous in physical address space (Paolo Bonzini) [RHEL-14702]
- drm/i915/gvt: remove interface intel_gvt_is_valid_gfn (Paolo Bonzini) [RHEL-14702]
- drm/i915/gvt: Verify pfn is "valid" before dereferencing "struct page" (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: BUG() in rmap helpers iff CONFIG_BUG_ON_DATA_CORRUPTION=y (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Plumb "struct kvm" all the way to pte_list_remove() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Use BUILD_BUG_ON_INVALID() for KVM_MMU_WARN_ON() stub (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Replace MMU_DEBUG with proper KVM_PROVE_MMU Kconfig (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Bug the VM if a vCPU ends up in long mode without PAE enabled (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Convert "runtime" WARN_ON() assertions to WARN_ON_ONCE() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Rename MMU_WARN_ON() to KVM_MMU_WARN_ON() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Cleanup sanity check of SPTEs at SP free (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Avoid pointer arithmetic when iterating over SPTEs (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Delete the "dbg" module param (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Delete rmap_printk() and all its usage (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Delete pgprintk() and all its usage (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Guard against collision with KVM-defined PFERR_IMPLICIT_ACCESS (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Move the lockdep_assert of mmu_lock to inside clear_dirty_pt_masked() (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Refresh available regs and IDT vectoring info before NMI handling (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Delete ancient pr_warn() about KVM_SET_TSS_ADDR not being set (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Require nrips support for SEV guests (and beyond) (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Don't inject #UD if KVM attempts to skip SEV guest insn (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Skip VMSA init in sev_es_init_vmcb() if pointer is NULL (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Get source vCPUs from source VM for SEV-ES intrahost migration (Paolo Bonzini) [RHEL-14702]
- KVM: Remove unused kvm_make_cpus_request_mask() declaration (Paolo Bonzini) [RHEL-14702]
- KVM: Remove unused kvm_device_{get,put}() declarations (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Disallow guest CPUID lookups when IRQs are disabled (Paolo Bonzini) [RHEL-14702]
- KVM: nSVM: Use KVM-governed feature framework to track "vNMI enabled" (Paolo Bonzini) [RHEL-14702]
- KVM: nSVM: Use KVM-governed feature framework to track "vGIF enabled" (Paolo Bonzini) [RHEL-14702]
- KVM: nSVM: Use KVM-governed feature framework to track "Pause Filter enabled" (Paolo Bonzini) [RHEL-14702]
- KVM: nSVM: Use KVM-governed feature framework to track "LBRv enabled" (Paolo Bonzini) [RHEL-14702]
- KVM: nSVM: Use KVM-governed feature framework to track "vVM{SAVE,LOAD} enabled" (Paolo Bonzini) [RHEL-14702]
- KVM: nSVM: Use KVM-governed feature framework to track "TSC scaling enabled" (Paolo Bonzini) [RHEL-14702]
- KVM: nSVM: Use KVM-governed feature framework to track "NRIPS enabled" (Paolo Bonzini) [RHEL-14702]
- KVM: nVMX: Use KVM-governed feature framework to track "nested VMX enabled" (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Use KVM-governed feature framework to track "XSAVES enabled" (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Rename XSAVES control to follow KVM's preferred "ENABLE_XYZ" (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Check KVM CPU caps, not just VMX MSR support, for XSAVE enabling (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Recompute "XSAVES enabled" only after CPUID update (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Use KVM-governed feature framework to track "GBPAGES enabled" (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Add a framework for enabling KVM-governed x86 features (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: correct the size of spec_ctrl field in VMCB save area (Paolo Bonzini) [RHEL-14702]
- x86: kvm: x86: Remove unnecessary initial values of variables (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Rename vmx_get_max_tdp_level() to vmx_get_max_ept_level() (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Set target pCPU during IRTE update if target vCPU is running (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Take and hold ir_list_lock when updating vCPU's Physical ID entry (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Remove WARN sanity check on hypervisor timer vs. UNINITIALIZED vCPU (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Remove break statements that will never be executed (Paolo Bonzini) [RHEL-14702]
- KVM: Wrap kvm_{gfn,hva}_range.pte in a per-action union (Paolo Bonzini) [RHEL-14702]
- KVM: Move kvm_arch_flush_remote_tlbs_memslot() to common code (Paolo Bonzini) [RHEL-14702]
- KVM: Allow range-based TLB invalidation from common code (Paolo Bonzini) [RHEL-14702]
- KVM: Remove CONFIG_HAVE_KVM_ARCH_TLB_FLUSH_ALL (Paolo Bonzini) [RHEL-14702]
- KVM: arm64: Use kvm_arch_flush_remote_tlbs() (Paolo Bonzini) [RHEL-14702]
- KVM: Declare kvm_arch_flush_remote_tlbs() globally (Paolo Bonzini) [RHEL-14702]
- KVM: Rename kvm_arch_flush_remote_tlb() to kvm_arch_flush_remote_tlbs() (Paolo Bonzini) [RHEL-14702]
- KVM: arm64: Remove unused declarations (Paolo Bonzini) [RHEL-14702]
- KVM: arm64: Remove redundant kvm_set_pfn_accessed() from user_mem_abort() (Paolo Bonzini) [RHEL-14702]
- KVM: nSVM: Skip writes to MSR_AMD64_TSC_RATIO if guest state isn't loaded (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Always write vCPU's current TSC offset/ratio in vendor hooks (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Clean up preemption toggling related to MSR_AMD64_TSC_RATIO (Paolo Bonzini) [RHEL-14702]
- KVM: nSVM: Use the "outer" helper for writing multiplier to MSR_AMD64_TSC_RATIO (Paolo Bonzini) [RHEL-14702]
- KVM: nSVM: Load L1's TSC multiplier based on L1 state, not L2 state (Paolo Bonzini) [RHEL-14702]
- KVM: nSVM: Check instead of asserting on nested TSC scaling support (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Advertise AMX-COMPLEX CPUID to userspace (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Skip VMCLEAR logic during emergency reboots if CR4.VMXE=0 (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Use "standard" stgi() helper when disabling SVM (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Force kvm_rebooting=true during emergency reboot/crash (Paolo Bonzini) [RHEL-14702]
- x86/virt: KVM: Move "disable SVM" helper into KVM SVM (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Ensure CPU is stable when probing basic VMX support (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Check that the current CPU supports SVM in kvm_is_svm_supported() (Paolo Bonzini) [RHEL-14702]
- x86/virt: KVM: Open code cpu_has_svm() into kvm_is_svm_supported() (Paolo Bonzini) [RHEL-14702]
- x86/virt: Drop unnecessary check on extended CPUID level in cpu_has_svm() (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Make KVM_AMD depend on CPU_SUP_AMD or CPU_SUP_HYGON (Paolo Bonzini) [RHEL-14702]
- x86/virt: KVM: Move VMXOFF helpers into KVM VMX (Paolo Bonzini) [RHEL-14702]
- x86/virt: KVM: Open code cpu_has_vmx() in KVM VMX (Paolo Bonzini) [RHEL-14702]
- x86/reboot: Expose VMCS crash hooks if and only if KVM_{INTEL,AMD} is enabled (Paolo Bonzini) [RHEL-14702]
- x86/reboot: Disable virtualization during reboot iff callback is registered (Paolo Bonzini) [RHEL-14702]
- x86/reboot: Hoist "disable virt" helpers above "emergency reboot" path (Paolo Bonzini) [RHEL-14702]
- x86/reboot: Assert that IRQs are disabled when turning off virtualization (Paolo Bonzini) [RHEL-14702]
- x86/reboot: KVM: Disable SVM during reboot via virt/KVM reboot callback (Paolo Bonzini) [RHEL-14702]
- x86/reboot: KVM: Handle VMXOFF in KVM's reboot callback (Paolo Bonzini) [RHEL-14702]
- x86/reboot: Harden virtualization hooks for emergency reboot (Paolo Bonzini) [RHEL-14702]
- x86/reboot: VMCLEAR active VMCSes before emergency reboot (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Retry APIC optimized map recalc if vCPU is added/enabled (Paolo Bonzini) [RHEL-14702]
- KVM: x86/pmu: Move .hw_event_available() check out of PMC filter helper (Paolo Bonzini) [RHEL-14702]
- KVM: x86/pmu: Require nr fixed_pmc_events to match nr max fixed counters (Paolo Bonzini) [RHEL-14702]
- KVM: x86/pmu: Simplify intel_hw_event_available() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/pmu: Use enums instead of hardcoded magic for arch event indices (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Use svm_get_lbr_vmcb() helper to handle writes to DEBUGCTL (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Clean up handling of LBR virtualization enabled (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Fix dead KVM_BUG() code in LBR MSR virtualization (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Drop manual TLB flush when migrating vmcs.APIC_ACCESS_ADDR (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Drop unnecessary vmx_fb_clear_ctrl_available "cache" (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Snapshot host's MSR_IA32_ARCH_CAPABILITIES (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Advertise host CPUID 0x80000005 in KVM_GET_SUPPORTED_CPUID (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Remove x86_emulate_ops::guest_has_long_mode (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Fix KVM_CAP_SYNC_REGS's sync_regs() TOCTOU issues (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Use sysfs_emit() instead of sprintf() (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Use vmread_error() to report VM-Fail in "goto" path (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Make VMREAD error path play nice with noinstr (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Don't try to pointlessly single-step SEV-ES guests for NMI window (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Don't defer NMI unblocking until next exit for SEV-ES guests (Paolo Bonzini) [RHEL-14702]
- KVM: SEV-ES: Eliminate #DB intercept when DebugSwap enabled (Paolo Bonzini) [RHEL-14702]
- KVM: SEV: Enable data breakpoints in SEV-ES (Paolo Bonzini) [RHEL-14702]
- KVM: SVM/SEV/SEV-ES: Rework intercepts (Paolo Bonzini) [RHEL-14702]
- KVM: SEV-ES: explicitly disable debug (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Rewrite sev_es_prepare_switch_to_guest()'s comment about swap types (Paolo Bonzini) [RHEL-14702]
- KVM: SEV: Move SEV's GP_VECTOR intercept setup to SEV (Paolo Bonzini) [RHEL-14702]
- KVM: SEV: move set_dr_intercepts/clr_dr_intercepts from the header (Paolo Bonzini) [RHEL-14702]
- KVM: arm64: Use the known cpu id instead of smp_processor_id() (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Remove PRIx* definitions as they are solely for user space (Paolo Bonzini) [RHEL-14702]
- kvm/eventfd: use list_for_each_entry when deassign ioeventfd (Paolo Bonzini) [RHEL-14702]
- KVM: destruct kvm_io_device while unregistering it from kvm_io_bus (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Add "never" option to allow sticky disabling of nx_huge_pages (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Update comments about MSR lists exposed to userspace (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Clean up: remove redundant bool conversions (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Use cpu_feature_enabled() for PKU instead of #ifdef (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Trigger APIC-access page reload iff vendor code cares (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Use standard mmu_notifier invalidate hooks for APIC access page (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Retry APIC-access page reload if invalidation is in-progress (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Correct the name for skipping VMENTER l1d flush (Paolo Bonzini) [RHEL-14702]
- KVM: Fix comment for KVM_ENABLE_CAP (Paolo Bonzini) [RHEL-14702]
- clocksource: hyper-v: Adjust hv_read_tsc_page_tsc() to avoid special casing U64_MAX (Paolo Bonzini) [RHEL-14702]
- x86/vdso: Fix gettimeofday masking (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Update number of entries for KVM_GET_CPUID2 on success, not failure (Paolo Bonzini) [RHEL-14702]
- KVM: x86/pmu: Remove redundant check for MSR_IA32_DS_AREA set handler (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Fix poll command (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Move common handling of PAT MSR writes to kvm_set_msr_common() (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Make kvm_mtrr_valid() static now that there are no external users (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Move PAT MSR handling out of mtrr.c (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Use MTRR macros to define possible MTRR MSR ranges (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Add helper to get variable MTRR range from MSR index (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Add helper to query if variable MTRR MSR is base (versus mask) (Paolo Bonzini) [RHEL-14702]
- KVM: SVM: Use kvm_pat_valid() directly instead of kvm_mtrr_valid() (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Open code writing vCPU's PAT in VMX's MSR handler (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Move the comment of CR4.MCE handling right above the code (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Use kvm_read_cr4() to get cr4 value (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Assert on @mmu in the __kvm_mmu_invalidate_addr() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Add comment on try_cmpxchg64 usage in tdp_mmu_set_spte_atomic (Paolo Bonzini) [RHEL-14702]
- SVM-SEV: convert the rest of fget() uses to fdget() in there (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Move filling of Hyper-V's TLB range struct into Hyper-V code (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Rename Hyper-V remote TLB hooks to match established scheme (Paolo Bonzini) [RHEL-14702]
- KVM: x86/pmu: Zero out LBR capabilities during PMU refresh (Paolo Bonzini) [RHEL-14702]
- KVM: x86/pmu: WARN and bug the VM if PMU is refreshed after vCPU has run (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Disallow writes to immutable feature MSRs after KVM_RUN (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Generate set of VMX feature MSRs using first/last definitions (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Add macros to track first...last VMX feature MSRs (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Add a helper to query whether or not a vCPU has ever run (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Rename kvm_init_msr_list() to clarify it inits multiple lists (Paolo Bonzini) [RHEL-14702]
- KVM: x86: set "mitigate_smt_rsb" storage-class-specifier to static (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Merge all handle_changed_pte*() functions (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Remove handle_changed_spte_dirty_log() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Remove "record_acc_track" in __tdp_mmu_set_spte() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Bypass __handle_changed_spte() when aging TDP MMU SPTEs (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Drop unnecessary dirty log checks when aging TDP MMU SPTEs (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Clear only A-bit (if enabled) when aging TDP MMU SPTEs (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Remove "record_dirty_log" in __tdp_mmu_set_spte() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Bypass __handle_changed_spte() when clearing TDP MMU dirty bits (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Drop access tracking checks when clearing TDP MMU dirty bits (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Atomically clear SPTE dirty state in the clear-dirty-log flow (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Consolidate Dirty vs. Writable clearing logic in TDP MMU (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Use kvm_ad_enabled() to determine if TDP MMU SPTEs need wrprot (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Add a helper function to check if an SPTE needs atomic write (Paolo Bonzini) [RHEL-14702]
- KVM: Shrink struct kvm_mmu_memory_cache (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Shrink struct kvm_pmu (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Remove a redundant guest cpuid check in kvm_set_cr4() (Paolo Bonzini) [RHEL-14702]
- x86: KVM: Add common feature flag for AMD's PSFD (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Change return type of is_long_mode() to bool (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Fix comment typo (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Avoid indirect call for get_cr3 (Paolo Bonzini) [RHEL-14702]
- KVM: nVMX: Add helpers to setup VMX control msr configs (Paolo Bonzini) [RHEL-14702]
- KVM: nVMX: Remove outdated comments in nested_vmx_setup_ctls_msrs() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Clean up mmu.c functions that put return type on separate line (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Replace comment with an actual lockdep assertion on mmu_lock (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Rename slot rmap walkers to add clarity and clean up code (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Use gfn_t in kvm_flush_remote_tlbs_range() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Rename kvm_flush_remote_tlbs_with_address() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Collapse kvm_flush_remote_tlbs_with_{range,address}() together (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Track tail count in pte_list_desc to optimize guest fork() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Skip calling mmu->sync_spte() when the spte is 0 (Paolo Bonzini) [RHEL-14702]
- kvm: x86/mmu: Remove @no_dirty_log from FNAME(prefetch_gpte) (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Remove FNAME(invlpg) and use FNAME(sync_spte) to update vTLB instead. (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Allow the roots to be invalid in FNAME(invlpg) (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Use kvm_mmu_invalidate_addr() in nested_ept_invalidate_addr() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Use kvm_mmu_invalidate_addr() in kvm_mmu_invpcid_gva() (Paolo Bonzini) [RHEL-14702]
- kvm: x86/mmu: Use KVM_MMU_ROOT_XXX for kvm_mmu_invalidate_addr() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Sanity check input to kvm_mmu_free_roots() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Reduce the update to the spte in FNAME(sync_spte) (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Move the code out of FNAME(sync_page)'s loop body into mmu.c (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Set mmu->sync_page as NULL for direct paging (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Check mmu->sync_page pointer in kvm_sync_page_check() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Move the check in FNAME(sync_page) as kvm_sync_page_check() (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Use 64-bit address to invalidate to fix a subtle bug (Paolo Bonzini) [RHEL-14702]
- KVM: Change return type of kvm_arch_vm_ioctl() to "int" (Paolo Bonzini) [RHEL-14702]
- KVM: Standardize on "int" return types instead of "long" in kvm_main.c (Paolo Bonzini) [RHEL-14702]
- KVM: x86: Remove the KVM_GET_NR_MMU_PAGES ioctl (Paolo Bonzini) [RHEL-14702]
- KVM: PPC: Standardize on "int" return types in the powerpc KVM code (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Rename "KVM is using eVMCS" static key to match its wrapper (Paolo Bonzini) [RHEL-14702]
- KVM: VMX: Stub out enable_evmcs static key for CONFIG_HYPERV=n (Paolo Bonzini) [RHEL-14702]
- KVM: nVMX: Move EVMCS1_SUPPORT_* macros to hyperv.c (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Remove FNAME(is_self_change_mapping) (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Detect write #PF to shadow pages during FNAME(fetch) walk (Paolo Bonzini) [RHEL-14702]
- KVM: x86/mmu: Use EMULTYPE flag to track write #PFs to shadow pages (Paolo Bonzini) [RHEL-14702]
- Enable fs-verity (Alexander Larsson) [RHEL-15219]
- ext4: Mark mounting fs-verity filesystems as tech-preview (Alexander Larsson) [RHEL-15219]
- Enable erofs (Alexander Larsson) [RHEL-15219]
- erofs: Mark erofs mounting as tech-preview (Alexander Larsson) [RHEL-15219]
- tracing/timerlat: Always wakeup the timerlat thread (John Kacur) [RHEL-16305]
- tracing: Rename kvfree_rcu() to kvfree_rcu_mightsleep() (John Kacur) [RHEL-16305]
- tracing/osnoise: Fix notify new tracing_max_latency (John Kacur) [RHEL-16305]
- tracing/timerlat: Notify new max thread latency (John Kacur) [RHEL-16305]
- tracing/osnoise: set several trace_osnoise.c variables storage-class-specifier to static (John Kacur) [RHEL-16305]
- trace/osnoise: make use of the helper function kthread_run_on_cpu() (John Kacur) [RHEL-16305]
- tracing: Switch to kvfree_rcu() API (John Kacur) [RHEL-16305]
- blk-mq: make sure active queue usage is held for bio_integrity_prep() (Ming Lei) [RHEL-8116]
- blk-cgroup: bypass blkcg_deactivate_policy after destroying (Ming Lei) [RHEL-14326]
- blk-cgroup: avoid to warn !rcu_read_lock_held() in blkg_lookup() (Ming Lei) [RHEL-14326]
- blk-throttle: fix lockdep warning of "cgroup_mutex or RCU read lock required!" (Ming Lei) [RHEL-14326]
- KVM: s390/mm: Properly reset no-dat (Thomas Huth) [RHEL-17174]
- KVM: s390: vsie: fix wrong VIR 37 when MSO is used (Thomas Huth) [RHEL-17174]
- netlink: support extack in dump ->start() (Hangbin Liu) [RHEL-14145]
- net: sched: do not offload flows with a helper in act_ct (Xin Long) [RHEL-14095]
- gve: Fix GFP flags when allocing pages (Izabela Bakollari) [2140229]
- ata: ahci: Add Intel Alder Lake-P AHCI controller to low power chipsets list (Tomas Henzl) [RHEL-10941]
- ata: ahci: Add Elkhart Lake AHCI controller (Tomas Henzl) [RHEL-10941]
- ata: ahci: add identifiers for ASM2116 series adapters (Tomas Henzl) [RHEL-10941]
- ata: ahci: print the lpm policy on boot (Tomas Henzl) [RHEL-10941]
- ata: libata-eh: Fix compilation warning in ata_eh_link_report() (Tomas Henzl) [RHEL-10941]
- ata: libata-core: Fix compilation warning in ata_dev_config_ncq() (Tomas Henzl) [RHEL-10941]
- ata: libata-core: Fix ata_port_request_pm() locking (Tomas Henzl) [RHEL-10941]
- ata: libata-scsi: ignore reserved bits for REPORT SUPPORTED OPERATION CODES (Tomas Henzl) [RHEL-10941]
- ata: libata-eh: do not thaw the port twice in ata_eh_reset() (Tomas Henzl) [RHEL-10941]
- ata: libata-eh: do not clear ATA_PFLAG_EH_PENDING in ata_eh_reset() (Tomas Henzl) [RHEL-10941]
- ata: libahci: clear pending interrupt status (Tomas Henzl) [RHEL-10941]
- ata: libata: disallow dev-initiated LPM transitions to unsupported states (Tomas Henzl) [RHEL-10941]
- ata: libata-core: Disable NCQ_TRIM on Micron 1100 drives (Tomas Henzl) [RHEL-10941]
- ata: libahci: fix parameter type of ahci_exec_polled_cmd() (Tomas Henzl) [RHEL-10941]
- ata: libata-scsi: fix timeout type in ata_scsi_park_store() (Tomas Henzl) [RHEL-10941]
- ata: fix debounce timings type (Tomas Henzl) [RHEL-10941]
- ata: libata-eh: fix reset timeout type (Tomas Henzl) [RHEL-10941]
- ata: libata-core: fix parameter types of ata_wait_register() (Tomas Henzl) [RHEL-10941]
- ata: libata-scsi: Avoid deadlock on rescan after device resume (Tomas Henzl) [RHEL-10941]
- ata: ahci_platform: Make code agnostic to OF/ACPI (Tomas Henzl) [RHEL-10941]
- ata: ahci: Cleanup ahci_reset_controller() (Tomas Henzl) [RHEL-10941]
- ata: Use of_property_read_reg() to parse "reg" (Tomas Henzl) [RHEL-10941]
- ata: libata-scsi: Use ata_ncq_supported in ata_scsi_dev_config() (Tomas Henzl) [RHEL-10941]
- ata: libata-eh: Use ata_ncq_enabled() in ata_eh_speed_down() (Tomas Henzl) [RHEL-10941]
- ata: libata-sata: Improve ata_change_queue_depth() (Tomas Henzl) [RHEL-10941]
- ata: libata-sata: Simplify ata_change_queue_depth() (Tomas Henzl) [RHEL-10941]
- ata: libata-eh: Clarify ata_eh_qc_retry() behavior at call site (Tomas Henzl) [RHEL-10941]
- ata: libata-scsi: Use correct device no in ata_find_dev() (Tomas Henzl) [RHEL-10941]
- scsi: ata: libata-scsi: Remove unnecessary !cmd checks (Tomas Henzl) [RHEL-10941]
- ata: libata-core: Simplify if condition in ata_dev_revalidate() (Tomas Henzl) [RHEL-10941]
- scsi: core: Allow libata to complete successful commands via EH (Tomas Henzl) [RHEL-10941]
- device property: Implement device_is_compatible() (Tomas Henzl) [RHEL-10941]
- device property: Introduce fwnode_device_is_compatible() helper (Tomas Henzl) [RHEL-10941]
Resolves: rhbz#2140229, RHEL-10941, RHEL-14095, RHEL-14145, RHEL-14326, RHEL-14702, RHEL-15219, RHEL-16305, RHEL-17174, RHEL-8116

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-12-05 10:45:51 -05:00
Scott Weaver
60765d302e kernel-5.14.0-394.el9
* Fri Dec 01 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-394.el9]
- RDMA/core: Require admin capabilities to set system parameters (Kamal Heib) [RHEL-1030]
- RDMA/cma: Initialize ib_sa_multicast structure to 0 when join (Kamal Heib) [RHEL-1030]
- RDMA/core: Use size_{add,sub,mul}() in calls to struct_size() (Kamal Heib) [RHEL-1030]
- RDMA/cma: Fix truncation compilation warning in make_cma_ports (Kamal Heib) [RHEL-1030]
- RDMA/uverbs: Fix typo of sizeof argument (Kamal Heib) [RHEL-1030]
- RDMA/srp: Do not call scsi_done() from srp_abort() (Kamal Heib) [RHEL-1030]
- IB/uverbs: Fix an potential error pointer dereference (Kamal Heib) [RHEL-1030]
- scsi: RDMA/srp: Fix residual handling (Kamal Heib) [RHEL-1030]
- rdma: fix INFINIBAND_USER_ACCESS dependency (Kamal Heib) [RHEL-1030]
- neighbour: annotate lockless accesses to n->nud_state (Ivan Vecera) [RHEL-16999]
- RDMA/irdma: Add missing kernel-doc in irdma_setup_umode_qp() (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Drop unused kernel push code (Michal Schmidt) [RHEL-15684]
- RDMA: Remove unnecessary NULL values (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Replace one-element array with flexible-array member (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Remove unnecessary ternary operators (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Fix one kernel-doc comment (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Use HW specific minimum WQ size (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Allow accurate reporting on QP max send/recv WR (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Cleanup and rename irdma_netdev_vlan_ipv6() (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Add table based lookup for CQ pointer during an event (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Refactor error handling in create CQP (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Drop a local in irdma_sc_get_next_aeqe (Michal Schmidt) [RHEL-15684]
- RDMA/qedr: Remove duplicate assignments of va (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Fix building without IPv6 (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Implement egress VLAN priority (Michal Schmidt) [RHEL-15684]
- RDMA/qedr: Remove a duplicate assignment in irdma_query_ah() (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Report correct WC error (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Fix op_type reporting in CQEs (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Fix data race on CQP request done (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Fix data race on CQP completion stats (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Add missing read barriers (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: avoid fortify-string warning in irdma_clr_wqes (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Move iw device ops initialization (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Return void from irdma_init_rdma_device() (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Return void from irdma_init_iw_device() (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Fix Local Invalidate fencing (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Prevent QP use after free (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Drop spurious WQ_UNBOUND from alloc_ordered_workqueue() call (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Slightly optimize irdma_form_ah_cm_frame() (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Refactor PBLE functions (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Change name of interrupts (Michal Schmidt) [RHEL-15684]
- RDMA/irdma: Refactor HW statistics (Michal Schmidt) [RHEL-15684]
- redhat: disable kunit by default (Nico Pache) [RHEL-5618]
- redhat: add new kunit tests (Nico Pache) [RHEL-5618]
- kunit: test: Fix the possible memory leak in executor_test (Nico Pache) [RHEL-5618]
- kunit: Fix possible memory leak in kunit_filter_suites() (Nico Pache) [RHEL-5618]
- kunit: Fix the wrong kfree of copy for kunit_filter_suites() (Nico Pache) [RHEL-5618]
- kunit: Fix missed memory release in kunit_free_suite_set() (Nico Pache) [RHEL-5618]
- drm/tests: Fix kunit_release_action ctx argument (Nico Pache) [RHEL-5618]
- drm/tests: Fix incorrect argument in drm_test_mm_insert_range (Nico Pache) [RHEL-5618]
- drm/tests: helpers: Avoid a driver uaf (Nico Pache) [RHEL-5618]
- kunit: replace KUNIT_TRIGGER_STATIC_STUB maro with KUNIT_STATIC_STUB_REDIRECT (Nico Pache) [RHEL-5618]
- kunit: test: Make filter strings in executor_test writable (Nico Pache) [RHEL-5618]
- kunit: Allow kunit test modules to use test filtering (Nico Pache) [RHEL-5618]
- kunit: Make 'list' action available to kunit test modules (Nico Pache) [RHEL-5618]
- kunit: Report the count of test suites in a module (Nico Pache) [RHEL-5618]
- drm/tests/drm_kunit_helpers: Place correct function name in the comment header (Nico Pache) [RHEL-5618]
- kunit: fix struct kunit_attr header (Nico Pache) [RHEL-5618]
- kunit: Fix possible memory leak in kunit_filter_suites() (Nico Pache) [RHEL-5618]
- kunit: Fix possible null-ptr-deref in kunit_parse_glob_filter() (Nico Pache) [RHEL-5618]
- kunit: Fix the wrong err path and add goto labels in kunit_filter_suites() (Nico Pache) [RHEL-5618]
- kunit: fix uninitialized variables bug in attributes filtering (Nico Pache) [RHEL-5618]
- kunit: fix possible memory leak in kunit_filter_suites() (Nico Pache) [RHEL-5618]
- kunit: fix wild-memory-access bug in kunit_filter_suites() (Nico Pache) [RHEL-5618]
- drm/tests: helpers: Create a helper to allocate an atomic state (Nico Pache) [RHEL-5618]
- drm/tests: helpers: Create a helper to allocate a locking ctx (Nico Pache) [RHEL-5618]
- drm/tests: probe-helper: Remove call to drm_kunit_helper_free_device() (Nico Pache) [RHEL-5618]
- drm/tests: modes: Remove call to drm_kunit_helper_free_device() (Nico Pache) [RHEL-5618]
- drm/tests: client-modeset: Remove call to drm_kunit_helper_free_device() (Nico Pache) [RHEL-5618]
- drm/tests: helpers: Switch to kunit actions (Nico Pache) [RHEL-5618]
- kunit: Add documentation of KUnit test attributes (Nico Pache) [RHEL-5618]
- kunit: add tests for filtering attributes (Nico Pache) [RHEL-5618]
- kunit: time: Mark test as slow using test attributes (Nico Pache) [RHEL-5618]
- kunit: memcpy: Mark tests as slow using test attributes (Nico Pache) [RHEL-5618]
- kunit: Add ability to filter attributes (Nico Pache) [RHEL-5618]
- kunit: Add module attribute (Nico Pache) [RHEL-5618]
- kunit: Add speed attribute (Nico Pache) [RHEL-5618]
- kunit: Add test attributes API structure (Nico Pache) [RHEL-5618]
- kunit: include debugfs header file (Nico Pache) [RHEL-5618]
- Documentation: kunit: Rename references to kunit_abort() (Nico Pache) [RHEL-5618]
- kunit: Move kunit_abort() call out of kunit_do_failed_assertion() (Nico Pache) [RHEL-5618]
- Documentation: Kunit: add MODULE_LICENSE to sample code (Nico Pache) [RHEL-5618]
- kunit: Update kunit_print_ok_not_ok function (Nico Pache) [RHEL-5618]
- kunit: Fix reporting of the skipped parameterized tests (Nico Pache) [RHEL-5618]
- kunit/test: Add example test showing parameterized testing (Nico Pache) [RHEL-5618]
- kunit: Fix obsolete name in documentation headers (func->action) (Nico Pache) [RHEL-5618]
- Documentation: kunit: Add usage notes for kunit_add_action() (Nico Pache) [RHEL-5618]
- kunit: kmalloc_array: Use kunit_add_action() (Nico Pache) [RHEL-5618]
- kunit: executor_test: Use kunit_add_action() (Nico Pache) [RHEL-5618]
- kunit: Add kunit_add_action() to defer a call until test exit (Nico Pache) [RHEL-5618]
- string: Add Kunit tests for strcat() family (Nico Pache) [RHEL-5618]
- kunit: example: Provide example exit functions (Nico Pache) [RHEL-5618]
- Documentation: kunit: Warn that exit functions run even if init fails (Nico Pache) [RHEL-5618]
- Documentation: kunit: Note that assertions should not be used in cleanup (Nico Pache) [RHEL-5618]
- kunit: Always run cleanup from a test kthread (Nico Pache) [RHEL-5618]
- Documentation: kunit: Modular tests should not depend on KUNIT=y (Nico Pache) [RHEL-5618]
- kunit: add tests for using current KUnit test field (Nico Pache) [RHEL-5618]
- Input: tests - modular KUnit tests should not depend on KUNIT=y (Nico Pache) [RHEL-5618]
- Input: tests - fix use-after-free and refcount underflow in input_test_exit() (Nico Pache) [RHEL-5618]
- Input: tests - fix input_test_match_device_id test (Nico Pache) [RHEL-5618]
- Input: Add KUnit tests for some of the input core helper functions (Nico Pache) [RHEL-5618]
- list: test: Test the klist structure (Nico Pache) [RHEL-5618]
- kunit: increase KUNIT_LOG_SIZE to 2048 bytes (Nico Pache) [RHEL-5618]
- kunit: Use gfp in kunit_alloc_resource() kernel-doc (Nico Pache) [RHEL-5618]
- drm/format-helper: Add Kunit tests for drm_fb_xrgb8888_to_mono() (Nico Pache) [RHEL-5618]
- kunit: fix bug of extra newline characters in debugfs logs (Nico Pache) [RHEL-5618]
- kunit: fix bug in the order of lines in debugfs logs (Nico Pache) [RHEL-5618]
- kunit: fix bug in debugfs logs of parameterized tests (Nico Pache) [RHEL-5618]
- s390/kprobes: fix current_kprobe never cleared after kprobes reenter (Nico Pache) [RHEL-5618]
- s390/kprobes: fix irq mask clobbering on kprobe reenter from post_handler (Nico Pache) [RHEL-5618]
- test_kprobes: Add recursed kprobe test case (Nico Pache) [RHEL-5618]
- kunit: Add printf attribute to fail_current_test_impl (Nico Pache) [RHEL-5618]
- lib/hashtable_test.c: add test for the hashtable structure (Nico Pache) [RHEL-5618]
- kunit: Expose 'static stub' API to redirect functions (Nico Pache) [RHEL-5618]
- kunit: Fix 'hooks.o' build by recursing into kunit (Nico Pache) [RHEL-5618]
- kunit: Add "hooks" to call into KUnit when it's built as a module (Nico Pache) [RHEL-5618]
- tools/testing/kunit/kunit.py: remove redundant double check (Nico Pache) [RHEL-5618]
- drm/format-helper: Use KUNIT_EXPECT_MEMEQ macro (Nico Pache) [RHEL-5618]
- kunit: fix bug in KUNIT_EXPECT_MEMEQ (Nico Pache) [RHEL-5618]
- kunit: memcpy: Split slow memcpy tests into MEMCPY_SLOW_KUNIT_TEST (Nico Pache) [RHEL-5618]
- kunit: Export kunit_running() (Nico Pache) [RHEL-5618]
- Documentation: kunit: Fix "How Do I Use This" / "Next Steps" sections (Nico Pache) [RHEL-5618]
- kunit: tool: don't include KTAP headers and the like in the test log (Nico Pache) [RHEL-5618]
- kunit: improve KTAP compliance of KUnit test output (Nico Pache) [RHEL-5618]
- kunit: tool: parse KTAP compliant test output (Nico Pache) [RHEL-5618]
- mm: slub: test: Use the kunit_get_current_test() function (Nico Pache) [RHEL-5618]
- kunit: Use the static key when retrieving the current test (Nico Pache) [RHEL-5618]
- kunit: Provide a static key to check if KUnit is actively running tests (Nico Pache) [RHEL-5618]
- kunit: tool: make --json do nothing if --raw_ouput is set (Nico Pache) [RHEL-5618]
- kunit: tool: tweak error message when no KTAP found (Nico Pache) [RHEL-5618]
- kunit: remove KUNIT_INIT_MEM_ASSERTION macro (Nico Pache) [RHEL-5618]
- Documentation: KUnit: make usage.rst a superset of tips.rst, remove duplication (Nico Pache) [RHEL-5618]
- kunit: eliminate KUNIT_INIT_*_ASSERT_STRUCT macros (Nico Pache) [RHEL-5618]
- mm/slub, kunit: add SLAB_SKIP_KFENCE flag for cache creation (Nico Pache) [RHEL-5618]
- siphash: Convert selftest to KUnit (Nico Pache) [RHEL-5618]
- string: Convert strscpy() self-test to KUnit (Nico Pache) [RHEL-5618]
- kunit: tool: print summary of failed tests if a few failed out of a lot (Nico Pache) [RHEL-5618]
- kunit: tool: make unit test not print parsed testdata to stdout (Nico Pache) [RHEL-5618]
- kunit/memcpy: Add dynamic size and window tests (Nico Pache) [RHEL-5618]
- kunit: remove unused structure definition (Nico Pache) [RHEL-5618]
- kunit: Add KUnit memory block assertions to the example_all_expect_macros_test (Nico Pache) [RHEL-5618]
- Documentation: Kunit: Update architecture.rst for minor fixes (Nico Pache) [RHEL-5618]
- kunit: log numbers in decimal and hex (Nico Pache) [RHEL-5618]
- Documentation: kunit: Update description of --alltests option (Nico Pache) [RHEL-5618]
- kunit: declare kunit_assert structs as const (Nico Pache) [RHEL-5618]
- kunit: make kunit_kfree(NULL) a no-op to match kfree() (Nico Pache) [RHEL-5618]
- kunit: make kunit_kfree() not segfault on invalid inputs (Nico Pache) [RHEL-5618]
- kunit: make kunit_kfree() only work on pointers from kunit_malloc() and friends (Nico Pache) [RHEL-5618]
- kunit: drop test pointer in string_stream_fragment (Nico Pache) [RHEL-5618]
- kunit: alloc_string_stream_fragment error handling bug fix (Nico Pache) [RHEL-5618]
- kunit: update NULL vs IS_ERR() tests (Nico Pache) [RHEL-5618]
- kunit: string-stream: Simplify resource use (Nico Pache) [RHEL-5618]
- Documentation: Kunit: Use full path to .kunitconfig (Nico Pache) [RHEL-5618]
- lib: stackinit: update reference to kunit-tool (Nico Pache) [RHEL-5618]
- lib: overflow: update reference to kunit-tool (Nico Pache) [RHEL-5618]
- Documentation: KUnit: update links in the index page (Nico Pache) [RHEL-5618]
- Documentation: KUnit: add intro to the getting-started page (Nico Pache) [RHEL-5618]
- Documentation: KUnit: Reword start guide for selecting tests (Nico Pache) [RHEL-5618]
- Documentation: KUnit: add note about mrproper in start.rst (Nico Pache) [RHEL-5618]
- Documentation: KUnit: avoid repeating "kunit.py run" in start.rst (Nico Pache) [RHEL-5618]
- Documentation: KUnit: remove duplicated docs for kunit_tool (Nico Pache) [RHEL-5618]
- kunit: no longer call module_info(test, "Y") for kunit modules (Nico Pache) [RHEL-5618]
- kunit: add kunit.enable to enable/disable KUnit test (Nico Pache) [RHEL-5618]
- perf tests: Ignore shellcheck warning in lock_contention (Michael Petlan) [RHEL-8649]
- perf test lock_contention.sh: Skip test if not enough CPUs (Michael Petlan) [RHEL-8649]
- perf test stat+shadow_stat.sh: Add threshold for rounding errors (Michael Petlan) [RHEL-8642]
- audit: don't WARN_ON_ONCE(!current->mm) in audit_exe_compare() (Artem Savkov) [RHEL-15286]
- audit: don't take task_lock() in audit_exe_compare() code path (Artem Savkov) [RHEL-15286]
Resolves: RHEL-1030, RHEL-15286, RHEL-15684, RHEL-16999, RHEL-5618, RHEL-8642, RHEL-8649

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-12-01 13:18:08 -05:00
Scott Weaver
96026cb704 kernel-5.14.0-393.el9
* Thu Nov 30 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-393.el9]
- xfs: fix logdev fsmap query result filtering (Bill O'Donnell) [RHEL-2002]
- xfs: fix integer overflows in the fsmap rtbitmap and logdev backends (Bill O'Donnell) [RHEL-2002]
- xfs: fix an agbno overflow in __xfs_getfsmap_datadev (Bill O'Donnell) [RHEL-2002]
- xfs: fix interval filtering in multi-step fsmap queries (Bill O'Donnell) [RHEL-2002]
- xfs: don't reverse order of items in bulk AIL insertion (Bill O'Donnell) [RHEL-2002]
- xfs: don't deplete the reserve pool when trying to shrink the fs (Bill O'Donnell) [RHEL-2002]
- xfs: collect errors from inodegc for unlinked inode recovery (Bill O'Donnell) [RHEL-2002]
- xfs: fix bounds check in xfs_defer_agfl_block() (Bill O'Donnell) [RHEL-2002]
- xfs: validate block number being freed before adding to xefi (Bill O'Donnell) [RHEL-2002]
- xfs: validity check agbnos on the AGFL (Bill O'Donnell) [RHEL-2002]
- xfs: fix agf/agfl verification on v4 filesystems (Bill O'Donnell) [RHEL-2002]
- xfs: fix double xfs_perag_rele() in xfs_filestream_pick_ag() (Bill O'Donnell) [RHEL-2002]
- xfs: defered work could create precommits (Bill O'Donnell) [RHEL-2002]
- xfs: buffer pins need to hold a buffer reference (Bill O'Donnell) [RHEL-2002]
- xfs: fix AGF vs inode cluster buffer deadlock (Bill O'Donnell) [RHEL-2002]
- xfs: restore allocation trylock iteration (Bill O'Donnell) [RHEL-2002]
- xfs: fix xfs_inodegc_stop racing with mod_delayed_work (Bill O'Donnell) [RHEL-2002]
- xfs: explicitly specify cpu when forcing inodegc delayed work to run immediately (Bill O'Donnell) [RHEL-2002]
- xfs: set bnobt/cntbt numrecs correctly when formatting new AGs (Bill O'Donnell) [RHEL-2002]
- xfs: don't unconditionally null args->pag in xfs_bmap_btalloc_at_eof (Bill O'Donnell) [RHEL-2002]
- xfs: fix livelock in delayed allocation at ENOSPC (Bill O'Donnell) [RHEL-2002]
- xfs: don't consider future format versions valid (Bill O'Donnell) [RHEL-2002]
- xfs: xfs_iget in the directory scrubber needs to use UNTRUSTED (Bill O'Donnell) [RHEL-2002]
- xfs: always scrub record/key order of interior records (Bill O'Donnell) [RHEL-2002]
- xfs: fix rm_offset flag handling in rmap keys (Bill O'Donnell) [RHEL-2002]
- xfs: fix mismerged tracepoints (Bill O'Donnell) [RHEL-2002]
- xfs: clear incore AGFL_RESET state if it's not needed (Bill O'Donnell) [RHEL-2002]
- xfs: pass the correct cursor to xfs_iomap_prealloc_size (Bill O'Donnell) [RHEL-2002]
- xfs: test dir/attr hash when loading module (Bill O'Donnell) [RHEL-2002]
- xfs: add tracepoints for each of the externally visible allocators (Bill O'Donnell) [RHEL-2002]
- xfs: walk all AGs if TRYLOCK passed to xfs_alloc_vextent_iterate_ags (Bill O'Donnell) [RHEL-2002]
- xfs: try to idiot-proof the allocators (Bill O'Donnell) [RHEL-2002]
- xfs: quotacheck failure can race with background inode inactivation (Bill O'Donnell) [RHEL-2002]
- xfs: restore old agirotor behavior (Bill O'Donnell) [RHEL-2002]
- xfs: fix uninitialized variable access (Bill O'Donnell) [RHEL-2002]
- xfs: refactor the filestreams allocator pick functions (Bill O'Donnell) [RHEL-2002]
- xfs: return a referenced perag from filestreams allocator (Bill O'Donnell) [RHEL-2002]
- xfs: pass perag to filestreams tracing (Bill O'Donnell) [RHEL-2002]
- xfs: use for_each_perag_wrap in xfs_filestream_pick_ag (Bill O'Donnell) [RHEL-2002]
- xfs: track an active perag reference in filestreams (Bill O'Donnell) [RHEL-2002]
- xfs: factor out MRU hit case in xfs_filestream_select_ag (Bill O'Donnell) [RHEL-2002]
- xfs: remove xfs_filestream_select_ag() longest extent check (Bill O'Donnell) [RHEL-2002]
- xfs: merge new filestream AG selection into xfs_filestream_select_ag() (Bill O'Donnell) [RHEL-2002]
- xfs: merge filestream AG lookup into xfs_filestream_select_ag() (Bill O'Donnell) [RHEL-2002]
- xfs: move xfs_bmap_btalloc_filestreams() to xfs_filestreams.c (Bill O'Donnell) [RHEL-2002]
- xfs: use xfs_bmap_longest_free_extent() in filestreams (Bill O'Donnell) [RHEL-2002]
- xfs: get rid of notinit from xfs_bmap_longest_free_extent (Bill O'Donnell) [RHEL-2002]
- xfs: factor out filestreams from xfs_bmap_btalloc_nullfb (Bill O'Donnell) [RHEL-2002]
- xfs: convert trim to use for_each_perag_range (Bill O'Donnell) [RHEL-2002]
- xfs: convert xfs_alloc_vextent_iterate_ags() to use perag walker (Bill O'Donnell) [RHEL-2002]
- xfs: move the minimum agno checks into xfs_alloc_vextent_check_args (Bill O'Donnell) [RHEL-2002]
- xfs: fold xfs_alloc_ag_vextent() into callers (Bill O'Donnell) [RHEL-2002]
- xfs: move allocation accounting to xfs_alloc_vextent_set_fsbno() (Bill O'Donnell) [RHEL-2002]
- xfs: introduce xfs_alloc_vextent_prepare() (Bill O'Donnell) [RHEL-2002]
- xfs: introduce xfs_alloc_vextent_exact_bno() (Bill O'Donnell) [RHEL-2002]
- xfs: introduce xfs_alloc_vextent_near_bno() (Bill O'Donnell) [RHEL-2002]
- xfs: use xfs_alloc_vextent_start_bno() where appropriate (Bill O'Donnell) [RHEL-2002]
- xfs: use xfs_alloc_vextent_first_ag() where appropriate (Bill O'Donnell) [RHEL-2002]
- xfs: factor xfs_bmap_btalloc() (Bill O'Donnell) [RHEL-2002]
- xfs: use xfs_alloc_vextent_this_ag() where appropriate (Bill O'Donnell) [RHEL-2002]
- xfs: combine __xfs_alloc_vextent_this_ag and  xfs_alloc_ag_vextent (Bill O'Donnell) [RHEL-2002]
- xfs: factor xfs_alloc_vextent_this_ag() for  _iterate_ags() (Bill O'Donnell) [RHEL-2002]
- xfs: rework xfs_alloc_vextent() (Bill O'Donnell) [RHEL-2002]
- xfs: introduce xfs_for_each_perag_wrap() (Bill O'Donnell) [RHEL-2002]
- xfs: perags need atomic operational state (Bill O'Donnell) [RHEL-2002]
- xfs: convert xfs_ialloc_next_ag() to an atomic (Bill O'Donnell) [RHEL-2002]
- xfs: inobt can use perags in many more places than it does (Bill O'Donnell) [RHEL-2002]
- xfs: use active perag references for inode allocation (Bill O'Donnell) [RHEL-2002]
- xfs: convert xfs_imap() to take a perag (Bill O'Donnell) [RHEL-2002]
- xfs: rework the perag trace points to be perag centric (Bill O'Donnell) [RHEL-2002]
- xfs: active perag reference counting (Bill O'Donnell) [RHEL-2002]
- xfs: don't assert fail on transaction cancel with deferred ops (Bill O'Donnell) [RHEL-2002]
- xfs: t_firstblock is tracking AGs not blocks (Bill O'Donnell) [RHEL-2002]
- xfs: drop firstblock constraints from allocation setup (Bill O'Donnell) [RHEL-2002]
- xfs: prefer free inodes at ENOSPC over chunk allocation (Bill O'Donnell) [RHEL-2002]
- xfs: fix low space alloc deadlock (Bill O'Donnell) [RHEL-2002]
- xfs: revert commit 8954c44ff477 (Bill O'Donnell) [RHEL-2002]
- xfs: make kobj_type structures constant (Bill O'Donnell) [RHEL-2002]
- xfs: fix confusing variable names in xfs_refcount_item.c (Bill O'Donnell) [RHEL-2002]
- xfs: pass refcount intent directly through the log intent code (Bill O'Donnell) [RHEL-2002]
- xfs: fix confusing variable names in xfs_rmap_item.c (Bill O'Donnell) [RHEL-2002]
- xfs: pass rmap space mapping directly through the log intent code (Bill O'Donnell) [RHEL-2002]
- xfs: fix confusing xfs_extent_item variable names (Bill O'Donnell) [RHEL-2002]
- xfs: pass xfs_extent_free_item directly through the log intent code (Bill O'Donnell) [RHEL-2002]
- xfs: fix confusing variable names in xfs_bmap_item.c (Bill O'Donnell) [RHEL-2002]
- xfs: pass the xfs_bmbt_irec directly through the log intent code (Bill O'Donnell) [RHEL-2002]
- xfs: use strscpy() to instead of strncpy() (Bill O'Donnell) [RHEL-2002]
- xfs: fix extent busy updating (Bill O'Donnell) [RHEL-2002]
- xfs: xfs_qm: remove unnecessary ‘0’ values from error (Bill O'Donnell) [RHEL-2002]
- xfs: Fix deadlock on xfs_inodegc_worker (Bill O'Donnell) [RHEL-2002]
- xfs: make xfs_iomap_page_ops static (Bill O'Donnell) [RHEL-2002]
- xfs: don't assert if cmap covers imap after cycling lock (Bill O'Donnell) [RHEL-2002]
- xfs: dquot shrinker doesn't check for XFS_DQFLAG_FREEING (Bill O'Donnell) [RHEL-2002]
- xfs: Remove duplicated include in xfs_iomap.c (Bill O'Donnell) [RHEL-2002]
- xfs: invalidate xfs_bufs when allocating cow extents (Bill O'Donnell) [RHEL-2002]
- xfs: fix super block buf log item UAF during force shutdown (Bill O'Donnell) [RHEL-2002]
- xfs: attach dquots to inode before reading data/cow fork mappings (Bill O'Donnell) [RHEL-2002]
- xfs: shut up -Wuninitialized in xfsaild_push (Bill O'Donnell) [RHEL-2002]
- xfs: use memcpy, not strncpy, to format the attr prefix during listxattr (Bill O'Donnell) [RHEL-2002]
- xfs: invalidate block device page cache during unmount (Bill O'Donnell) [RHEL-2002]
- xfs: add debug knob to slow down write for fun (Bill O'Donnell) [RHEL-2002]
- xfs: add debug knob to slow down writeback for fun (Bill O'Donnell) [RHEL-2002]
- xfs: Print XFS UUID on mount and umount events. (Bill O'Donnell) [RHEL-2002]
- xfs: check inode core when scrubbing metadata files (Bill O'Donnell) [RHEL-2002]
- xfs: don't warn about files that are exactly s_maxbytes long (Bill O'Donnell) [RHEL-2002]
- xfs: teach scrub to flag non-extents format cow forks (Bill O'Donnell) [RHEL-2002]
- xfs: check that CoW fork extents are not shared (Bill O'Donnell) [RHEL-2002]
- xfs: check quota files for unwritten extents (Bill O'Donnell) [RHEL-2002]
- xfs: block map scrub should handle incore delalloc reservations (Bill O'Donnell) [RHEL-2002]
- xfs: teach scrub to check for adjacent bmaps when rmap larger than bmap (Bill O'Donnell) [RHEL-2002]
- xfs: fix perag loop in xchk_bmap_check_rmaps (Bill O'Donnell) [RHEL-2002]
- xfs: online checking of the free rt extent count (Bill O'Donnell) [RHEL-2002]
- xfs: skip fscounters comparisons when the scan is incomplete (Bill O'Donnell) [RHEL-2002]
- xfs: don't return -EFSCORRUPTED from repair when resources cannot be grabbed (Bill O'Donnell) [RHEL-2002]
- xfs: don't retry repairs harder when EAGAIN is returned (Bill O'Donnell) [RHEL-2002]
- xfs: fix return code when fatal signal encountered during dquot scrub (Bill O'Donnell) [RHEL-2002]
- xfs: return EINTR when a fatal signal terminates scrub (Bill O'Donnell) [RHEL-2002]
- xfs: pivot online scrub away from kmem.[ch] (Bill O'Donnell) [RHEL-2002]
- xfs: standardize GFP flags usage in online scrub (Bill O'Donnell) [RHEL-2002]
- xfs: make AGFL repair function avoid crosslinked blocks (Bill O'Donnell) [RHEL-2002]
- xfs: log the AGI/AGF buffers when rolling transactions during an AG repair (Bill O'Donnell) [RHEL-2002]
- xfs: don't track the AGFL buffer in the scrub AG context (Bill O'Donnell) [RHEL-2002]
- xfs: fully initialize xfs_da_args in xchk_directory_blocks (Bill O'Donnell) [RHEL-2002]
- xfs: rename XFS_REFC_COW_START to _COWFLAG (Bill O'Donnell) [RHEL-2002]
- xfs: fix agblocks check in the cow leftover recovery function (Bill O'Donnell) [RHEL-2002]
- xfs: check record domain when accessing refcount records (Bill O'Donnell) [RHEL-2002]
- xfs: remove XFS_FIND_RCEXT_SHARED and _COW (Bill O'Donnell) [RHEL-2002]
- xfs: refactor domain and refcount checking (Bill O'Donnell) [RHEL-2002]
- xfs: report refcount domain in tracepoints (Bill O'Donnell) [RHEL-2002]
- xfs: track cow/shared record domains explicitly in xfs_refcount_irec (Bill O'Donnell) [RHEL-2002]
- xfs: refactor refcount record usage in xchk_refcountbt_rec (Bill O'Donnell) [RHEL-2002]
- xfs: move _irec structs to xfs_types.h (Bill O'Donnell) [RHEL-2002]
- xfs: check deferred refcount op continuation parameters (Bill O'Donnell) [RHEL-2002]
- xfs: create a predicate to verify per-AG extents (Bill O'Donnell) [RHEL-2002]
- xfs: make sure aglen never goes negative in xfs_refcount_adjust_extents (Bill O'Donnell) [RHEL-2002]
- xfs: dump corrupt recovered log intent items to dmesg consistently (Bill O'Donnell) [RHEL-2002]
- xfs: actually abort log recovery on corrupt intent-done log items (Bill O'Donnell) [RHEL-2002]
- xfs: refactor all the EFI/EFD log item sizeof logic (Bill O'Donnell) [RHEL-2002]
- xfs: fix memcpy fortify errors in EFI log format copying (Bill O'Donnell) [RHEL-2002]
- xfs: fix memcpy fortify errors in RUI log format copying (Bill O'Donnell) [RHEL-2002]
- xfs: fix memcpy fortify errors in CUI log format copying (Bill O'Donnell) [RHEL-2002]
- xfs: fix memcpy fortify errors in BUI log format copying (Bill O'Donnell) [RHEL-2002]
- xfs: fix validation in attr log item recovery (Bill O'Donnell) [RHEL-2002]
- xfs: increase rename inode reservation (Bill O'Donnell) [RHEL-2002]
- xfs: remove redundant pointer lip (Bill O'Donnell) [RHEL-2002]
- xfs: fix exception caused by unexpected illegal bestcount in leaf dir (Bill O'Donnell) [RHEL-2002]
- xfs: avoid a UAF when log intent item recovery fails (Bill O'Donnell) [RHEL-2002]
- xfs: rearrange the logic and remove the broken comment for xfs_dir2_isxx (Bill O'Donnell) [RHEL-2002]
- xfs: trim the mapp array accordingly in xfs_da_grow_inode_int (Bill O'Donnell) [RHEL-2002]
- xfs: do not need to check return value of xlog_kvmalloc() (Bill O'Donnell) [RHEL-2002]
- xfs: remove xfs_setattr_time() declaration (Bill O'Donnell) [RHEL-2002]
- xfs: Remove the unneeded result variable (Bill O'Donnell) [RHEL-2002]
- xfs: missing space in xfs trace log (Bill O'Donnell) [RHEL-2002]
- xfs: simplify if-else condition in xfs_reflink_trim_around_shared (Bill O'Donnell) [RHEL-2002]
- xfs: simplify if-else condition in xfs_validate_new_dalign (Bill O'Donnell) [RHEL-2002]
- xfs: replace unnecessary seq_printf with seq_puts (Bill O'Donnell) [RHEL-2002]
- xfs: clean up "%%Ld/%%Lu" which doesn't meet C standard (Bill O'Donnell) [RHEL-2002]
- xfs: remove redundant else for clean code (Bill O'Donnell) [RHEL-2002]
- xfs: remove the redundant word in comment (Bill O'Donnell) [RHEL-2002]
- powerpc/code-patching: Speed up page mapping/unmapping (Audra Mitchell) [RHEL-8585]
- powerpc/code-patching: Don't call is_vmalloc_or_module_addr() without CONFIG_MODULES (Audra Mitchell) [RHEL-8585]
- powerpc/code-patching: Pre-map patch area (Audra Mitchell) [RHEL-8585]
- arm64/syscall: Remove duplicate declaration (Mark Salter) [RHEL-2421]
- arm64: sme: Use STR P to clear FFR context field in streaming SVE mode (Mark Salter) [RHEL-2421]
- arm64: Document boot requirements for PIE (Mark Salter) [RHEL-2421]
- arm64: enable Permission Indirection Extension (PIE) (Mark Salter) [RHEL-2421]
- arm64: add encodings of PIRx_ELx registers (Mark Salter) [RHEL-2421]
- arm64: reorganise PAGE_/PROT_ macros (Mark Salter) [RHEL-2421]
- arm64: add PTE_WRITE to PROT_SECT_NORMAL (Mark Salter) [RHEL-2421]
- arm64: add PTE_UXN/PTE_WRITE to SWAPPER_*_FLAGS (Mark Salter) [RHEL-2421]
- arm64/mm: Drop ARM64_KERNEL_USES_PMD_MAPS (Mark Salter) [RHEL-2421]
- arm64: mm: handle ARM64_KERNEL_USES_PMD_MAPS in vmemmap_populate() (Mark Salter) [RHEL-2421]
- arm64: kernel: remove SHF_WRITE|SHF_EXECINSTR from .idmap.text (Mark Salter) [RHEL-2421]
- arm64: fix .idmap.text assertion for large kernels (Mark Salter) [RHEL-2421]
- efi: arm64: enter with MMU and caches enabled (Mark Salter) [RHEL-2421]
- pid: Introduce helper task_is_in_init_pid_ns() (Mark Salter) [RHEL-2421]
- redhat: configs: Enable coresight TRBE workarounds (Mark Salter) [RHEL-2421]
- arm64: lockdep: enable checks for held locks when returning to userspace (Mark Salter) [RHEL-2421]
- arm64/sysreg: Convert TRBIDR_EL1 register to automatic generation (Mark Salter) [RHEL-2421]
- arm64/sysreg: Convert TRBTRG_EL1 register to automatic generation (Mark Salter) [RHEL-2421]
- arm64/sysreg: Convert TRBMAR_EL1 register to automatic generation (Mark Salter) [RHEL-2421]
- arm64/sysreg: Convert TRBSR_EL1 register to automatic generation (Mark Salter) [RHEL-2421]
- arm64/sysreg: Convert TRBBASER_EL1 register to automatic generation (Mark Salter) [RHEL-2421]
- arm64/sysreg: Convert TRBPTR_EL1 register to automatic generation (Mark Salter) [RHEL-2421]
- arm64/sysreg: Convert TRBLIMITR_EL1 register to automatic generation (Mark Salter) [RHEL-2421]
- arm64/sysreg: Rename TRBIDR_EL1 fields per auto-gen tools format (Mark Salter) [RHEL-2421]
- arm64/sysreg: Rename TRBTRG_EL1 fields per auto-gen tools format (Mark Salter) [RHEL-2421]
- arm64/sysreg: Rename TRBMAR_EL1 fields per auto-gen tools format (Mark Salter) [RHEL-2421]
- arm64/sysreg: Rename TRBSR_EL1 fields per auto-gen tools format (Mark Salter) [RHEL-2421]
- arm64/sysreg: Rename TRBBASER_EL1 fields per auto-gen tools format (Mark Salter) [RHEL-2421]
- arm64/sysreg: Rename TRBPTR_EL1 fields per auto-gen tools format (Mark Salter) [RHEL-2421]
- arm64/sysreg: Rename TRBLIMITR_EL1 fields per auto-gen tools format (Mark Salter) [RHEL-2421]
- coresight: cpu-debug: Replace mutex with mutex_trylock on panic notifier (Mark Salter) [RHEL-2421]
- coresight: core: Fix coresight device probe failure issue (Mark Salter) [RHEL-2421]
- coresight: etm4x: Cleanup TRCRSCTLRn register accesses (Mark Salter) [RHEL-2421]
- coresight: etm4x: Cleanup TRCBBCTLR register accesses (Mark Salter) [RHEL-2421]
- coresight: etm4x: Cleanup TRCSSPCICRn register accesses (Mark Salter) [RHEL-2421]
- coresight: etm4x: Cleanup TRCSSCCRn and TRCSSCSRn register accesses (Mark Salter) [RHEL-2421]
- coresight: etm4x: Cleanup TRCACATRn register accesses (Mark Salter) [RHEL-2421]
- coresight: etm3x: Cleanup ETMTECR1 register accesses (Mark Salter) [RHEL-2421]
- coresight: etm4x: Cleanup TRCVICTLR register accesses (Mark Salter) [RHEL-2421]
- coresight: etm4x: Cleanup TRCSTALLCTLR register accesses (Mark Salter) [RHEL-2421]
- coresight: etm4x: Cleanup TRCEVENTCTL1R register accesses (Mark Salter) [RHEL-2421]
- coresight: etm4x: Cleanup TRCCONFIGR register accesses (Mark Salter) [RHEL-2421]
- coresight: etm4x: Cleanup TRCIDR5 register accesses (Mark Salter) [RHEL-2421]
- coresight: etm4x: Cleanup TRCIDR4 register accesses (Mark Salter) [RHEL-2421]
- coresight: etm4x: Cleanup TRCIDR3 register accesses (Mark Salter) [RHEL-2421]
- coresight: etm4x: Cleanup TRCIDR2 register accesses (Mark Salter) [RHEL-2421]
- coresight: etm4x: Cleanup TRCIDR0 register accesses (Mark Salter) [RHEL-2421]
- coresight: Drop unused 'none' enum value for each component (Mark Salter) [RHEL-2421]
- coresight: etm3x: Don't trace PID for non-root PID namespace (Mark Salter) [RHEL-2421]
- coresight: etm4x: Don't trace PID for non-root PID namespace (Mark Salter) [RHEL-2421]
- coresight: etm4x: Don't use virtual contextID for non-root PID namespace (Mark Salter) [RHEL-2421]
- coresight: etm4x: Add lock for reading virtual context ID comparator (Mark Salter) [RHEL-2421]
- coresight: trbe: Move check for kernel page table isolation from EL0 to probe (Mark Salter) [RHEL-2421]
- coresight: no-op refactor to make INSTP0 check more idiomatic (Mark Salter) [RHEL-2421]
- hwtracing: coresight: Replace acpi_bus_get_device() (Mark Salter) [RHEL-2421]
- coresight: Fix TRCCONFIGR.QE sysfs interface (Mark Salter) [RHEL-2421]
- coresight: trbe: Work around the trace data corruption (Mark Salter) [RHEL-2421]
- coresight: trbe: Work around the invalid prohibited states (Mark Salter) [RHEL-2421]
- coresight: trbe: Work around the ignored system register writes (Mark Salter) [RHEL-2421]
- arm64: xor-neon: mark xor_arm64_neon_*() static (Mark Salter) [RHEL-2421]
- lib/xor: make xor prototypes more friendly to compiler vectorization (Mark Salter) [RHEL-2421]
- arm64: Fix label placement in record_mmu_state() (Mark Salter) [RHEL-2421]
- arm64: head: Switch endianness before populating the ID map (Mark Salter) [RHEL-2421]
- arm64: kprobes: Drop ID map text from kprobes blacklist (Mark Salter) [RHEL-2421]
- redhat: arm64: Enable workaround for ARM Cortex-A520 erratum 2966298 (Mark Salter) [RHEL-2421]
- arm64: errata: Add Cortex-A520 speculative unprivileged load workaround (Mark Salter) [RHEL-2421]
- arm64: Add Cortex-A520 CPU part definition (Mark Salter) [RHEL-2421]
- perf/arm-cmn: Fix the unhandled overflow status of counter 4 to 7 (Mark Salter) [RHEL-2421]
- arm64: Document missing userspace visible fields in ID_AA64ISAR2_EL1 (Mark Salter) [RHEL-2421]
- arm64/hbc: Document HWCAP2_HBC (Mark Salter) [RHEL-2421]
- arm64/sme: Include ID_AA64PFR1_EL1.SME in cpu-feature-registers.rst (Mark Salter) [RHEL-2421]
- arm64: cpufeature: Fix CLRBHB and BC detection (Mark Salter) [RHEL-2421]
- arm64: csum: Fix OoB access in IP checksum code for negative lengths (Mark Salter) [RHEL-2421]
- arm64: Add feature detection for fine grained traps (Mark Salter) [RHEL-2421]
- arm64: Add HDFGRTR_EL2 and HDFGWTR_EL2 layouts (Mark Salter) [RHEL-2421]
- arm64: Add missing BRB/CFP/DVP/CPP instructions (Mark Salter) [RHEL-2421]
- arm64: Add debug registers affected by HDFGxTR_EL2 (Mark Salter) [RHEL-2421]
- arm64: Add AT operation encodings (Mark Salter) [RHEL-2421]
- arm64: Add TLBI operation encodings (Mark Salter) [RHEL-2421]
- arm64: Add missing DC ZVA/GVA/GZVA encodings (Mark Salter) [RHEL-2421]
- arm64: Add missing ERX*_EL1 encodings (Mark Salter) [RHEL-2421]
- arm64: Add missing VA CMO encodings (Mark Salter) [RHEL-2421]
- arm64: mte: simplify swap tag restoration logic (Mark Salter) [RHEL-2421]
- arm64: insn: Add encoders for LDRSB/LDRSH/LDRSW (Mark Salter) [RHEL-2421]
- clocksource/drivers/arm_arch_timer: Disable timer before programming CVAL (Mark Salter) [RHEL-2421]
- arm64/sysreg: Fix broken strncpy() -> strscpy() conversion (Mark Salter) [RHEL-2421]
- arm64/sysreg: refactor deprecated strncpy (Mark Salter) [RHEL-2421]
- arm64: sysreg: Generate C compiler warnings on {read,write}_sysreg_s arguments (Mark Salter) [RHEL-2421]
- arm64: sdei: abort running SDEI handlers during crash (Mark Salter) [RHEL-2421]
- arm64: syscall: unmask DAIF for tracing status (Mark Salter) [RHEL-2421]
- arm64: Explicitly include correct DT includes (Mark Salter) [RHEL-2421]
- arm64/Kconfig: Sort the RCpc feature under the ARMv8.3 features menu (Mark Salter) [RHEL-2421]
- arm64/ptrace: Clean up error handling path in sve_set_common() (Mark Salter) [RHEL-2421]
- ARM: 9316/1: hw_breakpoint: fix single-stepping when using bpf_overflow_handler (Mark Salter) [RHEL-2421]
- arm64: syscall: unmask DAIF earlier for SVCs (Mark Salter) [RHEL-2421]
- arm64/fpsimd: Only provide the length to cpufeature for xCR registers (Mark Salter) [RHEL-2421]
- arm64: add HWCAP for FEAT_HBC (hinted conditional branches) (Mark Salter) [RHEL-2421]
- arm64/cpufeature: Use ARM64_CPUID_FIELD() to match EVT (Mark Salter) [RHEL-2421]
- arm64/ptrace: Ensure that the task sees ZT writes on first use (Mark Salter) [RHEL-2421]
- arm64/ptrace: Ensure that SME is set up for target when writing SSVE state (Mark Salter) [RHEL-2421]
- arm64/fpsimd: Sync and zero pad FPSIMD state for streaming SVE (Mark Salter) [RHEL-2421]
- arm64/fpsimd: Sync FPSIMD state with SVE for SME only systems (Mark Salter) [RHEL-2421]
- arm64/ptrace: Don't enable SVE when setting streaming SVE (Mark Salter) [RHEL-2421]
- arm64/ptrace: Flush FP state when setting ZT0 (Mark Salter) [RHEL-2421]
- arm64/fpsimd: Clear SME state in the target task when setting the VL (Mark Salter) [RHEL-2421]
- arm64/sme: Set new vector length before reallocating (Mark Salter) [RHEL-2421]
- arm64/fpsimd: Ensure SME storage is allocated after SVE VL changes (Mark Salter) [RHEL-2421]
- arm64: Fix HFGxTR_EL2 field naming (Mark Salter) [RHEL-2421]
- bpf, arm64: Fix BTI type used for freplace attached functions (Mark Salter) [RHEL-2421]
- arm64/cpucaps: increase string width to properly format cpucaps.h (Mark Salter) [RHEL-2421]
- arm64/cpufeature: Use helper for ECV CNTPOFF cpufeature (Mark Salter) [RHEL-2421]
- Documentation/arm64: Update ACPI tables from BBR (Mark Salter) [RHEL-2421]
- Documentation/arm64: Update references in arm-acpi (Mark Salter) [RHEL-2421]
- Documentation/arm64: Update ARM and arch reference (Mark Salter) [RHEL-2421]
- arm64/sysreg: Convert OSECCR_EL1 to automatic generation (Mark Salter) [RHEL-2421]
- arm64/sysreg: Convert OSDTRTX_EL1 to automatic generation (Mark Salter) [RHEL-2421]
- arm64/sysreg: Convert OSDTRRX_EL1 to automatic generation (Mark Salter) [RHEL-2421]
- arm64/sysreg: Convert OSLAR_EL1 to automatic generation (Mark Salter) [RHEL-2421]
- arm64/sysreg: Standardise naming of bitfield constants in OSL[AS]R_EL1 (Mark Salter) [RHEL-2421]
- arm64/sysreg: Convert MDSCR_EL1 to automatic register generation (Mark Salter) [RHEL-2421]
- arm64/sysreg: Convert MDCCINT_EL1 to automatic register generation (Mark Salter) [RHEL-2421]
- arm64: cpufeature: fold cpus_set_cap() into update_cpu_capabilities() (Mark Salter) [RHEL-2421]
- arm64: cpufeature: use cpucap naming (Mark Salter) [RHEL-2421]
- arm64: alternatives: use cpucap naming (Mark Salter) [RHEL-2421]
- arm64: standardise cpucap bitmap names (Mark Salter) [RHEL-2421]
- arm64: cpufeature: add Permission Indirection Extension cpucap (Mark Salter) [RHEL-2421]
- arm64: cpufeature: add TCR2 cpucap (Mark Salter) [RHEL-2421]
- arm64: cpufeature: add system register ID_AA64MMFR3 (Mark Salter) [RHEL-2421]
- arm64/sysreg: add PIR*_ELx registers (Mark Salter) [RHEL-2421]
- arm64/sysreg: update HCRX_EL2 register (Mark Salter) [RHEL-2421]
- arm64/sysreg: add system registers TCR2_ELx (Mark Salter) [RHEL-2421]
- arm64/sysreg: Add ID register ID_AA64MMFR3 (Mark Salter) [RHEL-2421]
- arm64: mops: allow disabling MOPS from the kernel command line (Mark Salter) [RHEL-2421]
- arm64: mops: detect and enable FEAT_MOPS (Mark Salter) [RHEL-2421]
- arm64: mops: handle single stepping after MOPS exception (Mark Salter) [RHEL-2421]
- arm64: mops: handle MOPS exceptions (Mark Salter) [RHEL-2421]
- arm64: mops: document boot requirements for MOPS (Mark Salter) [RHEL-2421]
- arm64: cpufeature: detect FEAT_HCX (Mark Salter) [RHEL-2421]
- arm64/esr: Add decode of ISS2 to data abort reporting (Mark Salter) [RHEL-2421]
- arm64/esr: Use GENMASK() for the ISS mask (Mark Salter) [RHEL-2421]
- arm64: kaslr: add kaslr_early_init() declaration (Mark Salter) [RHEL-2421]
- arm64: add alt_cb_patch_nops prototype (Mark Salter) [RHEL-2421]
- arm64: move early_brk64 prototype to header (Mark Salter) [RHEL-2421]
- arm64: flush: include linux/libnvdimm.h (Mark Salter) [RHEL-2421]
- arm64: module-plts: inline linux/moduleloader.h (Mark Salter) [RHEL-2421]
- arm64: hide unused is_valid_bugaddr() (Mark Salter) [RHEL-2421]
- arm64: efi: add efi_handle_corrupted_x18 prototype (Mark Salter) [RHEL-2421]
- arm64: move cpu_suspend_set_dbg_restorer() prototype to header (Mark Salter) [RHEL-2421]
- arm64: avoid prototype warnings for syscalls (Mark Salter) [RHEL-2421]
- arm64: entry: Simplify tramp_alias macro and tramp_exit routine (Mark Salter) [RHEL-2421]
- arm64: entry: Preserve/restore X29 even for compat tasks (Mark Salter) [RHEL-2421]
- arm64: mte: Do not set PG_mte_tagged if tags were not initialized (Mark Salter) [RHEL-2421]
- arm64: Also reset KASAN tag if page is not PG_mte_tagged (Mark Salter) [RHEL-2421]
- arm64/cpufeature: Use helper macro to specify ID register for capabilites (Mark Salter) [RHEL-2421]
- arm64/cpufeature: Consistently use symbolic constants for min_field_value (Mark Salter) [RHEL-2421]
- watchdog: sbsa_wdog: Make sure the timeout programming is within the limits (Mark Salter) [RHEL-2421]
- cacheinfo: Remove unused check in init_cache_level() (Mark Salter) [RHEL-2421]
- arm64: cpufeature: Fix pointer auth hwcaps (Mark Salter) [RHEL-2421]
- watchdog: sbsa: Test WDOG_HW_RUNNING bit in suspend and resume (Mark Salter) [RHEL-2421]
- arm64: kexec: include reboot.h (Mark Salter) [RHEL-2421]
- arm64: delete dead code in this_cpu_set_vectors() (Mark Salter) [RHEL-2421]
- arm64: kernel: Fix kernel warning when nokaslr is passed to commandline (Mark Salter) [RHEL-2421]
- arm64: kgdb: Set PSTATE.SS to 1 to re-enable single-step (Mark Salter) [RHEL-2421]
- arm64/sme: Fix some comments of ARM SME (Mark Salter) [RHEL-2421]
- arm64: compat: Remove defines now in asm-generic (Mark Salter) [RHEL-2421]
- arm64: kexec: remove unnecessary (void*) conversions (Mark Salter) [RHEL-2421]
- arm64: armv8_deprecated: remove unnecessary (void*) conversions (Mark Salter) [RHEL-2421]
- firmware: arm_sdei: Fix sleep from invalid context BUG (Mark Salter) [RHEL-2421]
- arm64/cpufeature: Pull out helper for CPUID register definitions (Mark Salter) [RHEL-2421]
- arm64/sysreg: Convert HFGITR_EL2 to automatic generation (Mark Salter) [RHEL-2421]
- arm64/idreg: Don't disable SME when disabling SVE (Mark Salter) [RHEL-2421]
- arm64/sysreg: Update ID_AA64PFR1_EL1 for DDI0601 2022-12 (Mark Salter) [RHEL-2421]
- arm64/sysreg: allow *Enum blocks in SysregFields blocks (Mark Salter) [RHEL-2421]
- arm64: move PAC masks to <asm/pointer_auth.h> (Mark Salter) [RHEL-2421]
- arm64: use XPACLRI to strip PAC (Mark Salter) [RHEL-2421]
- arm64: avoid redundant PAC stripping in __builtin_return_address() (Mark Salter) [RHEL-2421]
- arm64: stacktrace: always inline core stacktrace functions (Mark Salter) [RHEL-2421]
- arm64: stacktrace: move dump functions to end of file (Mark Salter) [RHEL-2421]
- arm64: stacktrace: recover return address for first entry (Mark Salter) [RHEL-2421]
- kasan, arm64: add arch_suppress_tag_checks_start/stop (Mark Salter) [RHEL-2421]
- arm64: mte: rename TCO routines (Mark Salter) [RHEL-2421]
- kasan, arm64: rename tagging-related routines (Mark Salter) [RHEL-2421]
- kasan: drop empty tagging-related defines (Mark Salter) [RHEL-2421]
- arm64: cpufeature: move to use bus_get_dev_root() (Mark Salter) [RHEL-2421]
- arm64: uaccess: remove unnecessary earlyclobber (Mark Salter) [RHEL-2421]
- arm64: uaccess: permit put_{user,kernel} to use zero register (Mark Salter) [RHEL-2421]
- arm64: uaccess: permit __smp_store_release() to use zero register (Mark Salter) [RHEL-2421]
- arm64: atomics: lse: improve cmpxchg implementation (Mark Salter) [RHEL-2421]
- APEI: GHES: correctly return NULL for ghes_get_devices() (Mark Salter) [RHEL-2421]
- arm64/syscall: Include asm/ptrace.h in syscall_wrapper header. (Mark Salter) [RHEL-2421]
- arm64: Drop unneeded __nocfi attributes (Mark Salter) [RHEL-2421]
- arm64: vdso: remove two .altinstructions related symbols (Mark Salter) [RHEL-2421]
- arm64: head: Clean the ID map and the HYP text to the PoC if needed (Mark Salter) [RHEL-2421]
- arm64: head: avoid cache invalidation when entering with the MMU on (Mark Salter) [RHEL-2421]
- arm64: head: record the MMU state at primary entry (Mark Salter) [RHEL-2421]
- arm64: kernel: move identity map out of .text mapping (Mark Salter) [RHEL-2421]
- arm64: booting: Document our requirements for fine grained traps with SME (Mark Salter) [RHEL-2421]
- arm64/kprobe: Optimize the performance of patching single-step slot (Mark Salter) [RHEL-2421]
- ARM64: reloc_test: add __init/__exit annotations to module init/exit funcs (Mark Salter) [RHEL-2421]
- arm64/kpti: Move DAIF masking to C code (Mark Salter) [RHEL-2421]
- pmdomain: qcom: rpmhpd: Drop the ->opp_to_performance_state() callback (Alessandro Carminati) [RHEL-16059]
- pmdomain: qcom: rpmpd: Drop the ->opp_to_performance_state() callback (Alessandro Carminati) [RHEL-16059]
- pmdomain: qcom: cpr: Drop the ->opp_to_performance_state() callback (Alessandro Carminati) [RHEL-16059]
- scsi: ufs: core: Add support for parsing OPP (Alessandro Carminati) [RHEL-16059]
- scsi: ufs: core: Add OPP support for scaling clocks and regulators (Alessandro Carminati) [RHEL-16059]
- scsi: ufs: dt-bindings: common: Add OPP table (Alessandro Carminati) [RHEL-16059]
- scsi: ufs: core: Fix abnormal scale up after scale down (Alessandro Carminati) [RHEL-16059]
- scsi: ufs: core: Fix abnormal scale up after last cmd finish (Alessandro Carminati) [RHEL-16059]
- scsi: ufs: core: Only suspend clock scaling if scaling down (Alessandro Carminati) [RHEL-16059]
- scsi: ufs: core: Poll HCS.UCRDY before issuing a UIC command (Alessandro Carminati) [RHEL-16059]
- scsi: ufs: core: Move __ufshcd_send_uic_cmd() outside host_lock (Alessandro Carminati) [RHEL-16059]
- OPP: Fix passing 0 to PTR_ERR in _opp_attach_genpd() (Alessandro Carminati) [RHEL-16059]
- OPP: Fix potential null ptr dereference in dev_pm_opp_get_required_pstate() (Alessandro Carminati) [RHEL-16059]
- OPP: Introduce dev_pm_opp_get_freq_indexed() API (Alessandro Carminati) [RHEL-16059]
- OPP: Introduce dev_pm_opp_find_freq_{ceil/floor}_indexed() APIs (Alessandro Carminati) [RHEL-16059]
- OPP: Rearrange entries in pm_opp.h (Alessandro Carminati) [RHEL-16059]
- soc: qcom: rpmpd: Add sync_state (Alessandro Carminati) [RHEL-16059]
- OPP: Simplify the over-designed pstate <-> level dance (Alessandro Carminati) [RHEL-16059]
- OPP: pstate is only valid for genpd OPP tables (Alessandro Carminati) [RHEL-16059]
- OPP: don't drop performance constraint on OPP table removal (Alessandro Carminati) [RHEL-16059]
- OPP: Staticize `lazy_opp_tables` in of.c (Alessandro Carminati) [RHEL-16059]
- opp: Fix use-after-free in lazy_opp_tables after probe deferral (Alessandro Carminati) [RHEL-16059]
- soc: qcom: rpmpd: use correct __le32 type (Alessandro Carminati) [RHEL-16059]
- OPP: rate-limit debug messages when no change in OPP is required (Alessandro Carminati) [RHEL-16059]
- OPP: Move required opps configuration to specialized callback (Alessandro Carminati) [RHEL-16059]
- OPP: Handle all genpd cases together in _set_required_opps() (Alessandro Carminati) [RHEL-16059]
- soc: qcom: rpmpd: Remove useless comments (Alessandro Carminati) [RHEL-16059]
- soc: qcom: rpmpd: Hook up VDDMX as parent of SM6375 VDDGX (Alessandro Carminati) [RHEL-16059]
- soc: qcom: rpmpd: Add parent PD support (Alessandro Carminati) [RHEL-16059]
- soc: qcom: rpmpd: Make bindings assignments consistent (Alessandro Carminati) [RHEL-16059]
- soc: qcom: rpmpd: Improve the naming (Alessandro Carminati) [RHEL-16059]
- soc: qcom: rpmpd: Expand struct definition macros (Alessandro Carminati) [RHEL-16059]
- soc: qcom: rpmpd: Remove vdd* from struct names (Alessandro Carminati) [RHEL-16059]
- soc: qcom: rpmpd: Unify Low Power Island definitions (Alessandro Carminati) [RHEL-16059]
- soc: qcom: rpmpd: Bring all definitions to the top (Alessandro Carminati) [RHEL-16059]
- soc: qcom: rpmpd: Remove SoC names from RPMPD definitions (Alessandro Carminati) [RHEL-16059]
- Revert "soc: qcom: rpmpd: Add SM4250 support" (Alessandro Carminati) [RHEL-16059]
- OPP: Fix an un-initialized variable usage (Alessandro Carminati) [RHEL-16059]
- OPP: Don't drop opp->np reference while it is still in use (Alessandro Carminati) [RHEL-16059]
- OPP: Remove dev{m}_pm_opp_of_add_table_noclk() (Alessandro Carminati) [RHEL-16059]
- OPP: Allow config_clks helper for single clk case (Alessandro Carminati) [RHEL-16059]
- OPP: Provide a simple implementation to configure multiple clocks (Alessandro Carminati) [RHEL-16059]
- OPP: Assert clk_count == 1 for single clk helpers (Alessandro Carminati) [RHEL-16059]
- OPP: Add key specific assert() method to key finding helpers (Alessandro Carminati) [RHEL-16059]
- OPP: Compare bandwidths for all paths in _opp_compare_key() (Alessandro Carminati) [RHEL-16059]
- OPP: Allow multiple clocks for a device (Alessandro Carminati) [RHEL-16059]
- OPP: Make dev_pm_opp_set_opp() independent of frequency (Alessandro Carminati) [RHEL-16059]
- OPP: Reuse _opp_compare_key() in _opp_add_static_v2() (Alessandro Carminati) [RHEL-16059]
- OPP: Remove rate_not_available parameter to _opp_add() (Alessandro Carminati) [RHEL-16059]
- OPP: Use consistent names for OPP table instances (Alessandro Carminati) [RHEL-16059]
- OPP: Use generic key finding helpers for bandwidth key (Alessandro Carminati) [RHEL-16059]
- OPP: Use generic key finding helpers for level key (Alessandro Carminati) [RHEL-16059]
- OPP: Add generic key finding helpers and use them for freq APIs (Alessandro Carminati) [RHEL-16059]
- OPP: Remove dev_pm_opp_find_freq_ceil_by_volt() (Alessandro Carminati) [RHEL-16059]
- OPP: Remove custom OPP helper support (Alessandro Carminati) [RHEL-16059]
- OPP: Add dev_pm_opp_get_supplies() (Alessandro Carminati) [RHEL-16059]
- OPP: Make _generic_set_opp_regulator() a config_regulators() interface (Alessandro Carminati) [RHEL-16059]
- OPP: Add support for config_regulators() helper (Alessandro Carminati) [RHEL-16059]
- OPP: Migrate set-prop-name helper API to use set-config helpers (Alessandro Carminati) [RHEL-16059]
- OPP: Migrate attach-genpd API to use set-config helpers (Alessandro Carminati) [RHEL-16059]
- OPP: Migrate set-opp-helper API to use set-config helpers (Alessandro Carminati) [RHEL-16059]
- OPP: Migrate set-clk-name API to use set-config helpers (Alessandro Carminati) [RHEL-16059]
- PM / devfreq: exynos-bus: Fix NULL pointer dereference (Alessandro Carminati) [RHEL-16059]
- PM / devfreq: Rework freq_table to be local to devfreq struct (Alessandro Carminati) [RHEL-16059]
- OPP: Track if clock name is configured by platform (Alessandro Carminati) [RHEL-16059]
- OPP: Fix typo in comment (Alessandro Carminati) [RHEL-16059]
- opp: Fix some kernel-doc comments (Alessandro Carminati) [RHEL-16059]
- opp: Fix error check in dev_pm_opp_attach_genpd() (Alessandro Carminati) [RHEL-16059]
- PM / devfreq: passive: Return non-error when not-supported event is required (Alessandro Carminati) [RHEL-16059]
- opp: Reorder definition of ceil/floor helpers (Alessandro Carminati) [RHEL-16059]
- opp: Add apis to retrieve opps with interconnect bandwidth (Alessandro Carminati) [RHEL-16059]
- i2c: i801: Add support for Intel Birch Stream SoC (David Arcari) [RHEL-15550]
- usb: dwc3: Soft reset phy on probe for host (Desnes Nunes) [RHEL-15948]
- thunderbolt: Restart XDomain discovery handshake after failure (Desnes Nunes) [RHEL-15948]
- thunderbolt: Correct TMU mode initialization from hardware (Desnes Nunes) [RHEL-15948]
- thunderbolt: Check that lane 1 is in CL0 before enabling lane bonding (Desnes Nunes) [RHEL-15948]
- thunderbolt: Workaround an IOMMU fault on certain systems with Intel Maple Ridge (Desnes Nunes) [RHEL-15948]
- MAINTAINERS: remove broken entries in QUALCOMM TYPEC PORT MANAGER DRIVER (Desnes Nunes) [RHEL-15948]
- USB: gadget: core: Add missing kerneldoc for vbus_work (Desnes Nunes) [RHEL-15948]
- USB: core: Fix unused variable warning in usb_alloc_dev() (Desnes Nunes) [RHEL-15948]
- USB: Remove Wireless USB and UWB documentation (Desnes Nunes) [RHEL-15948]
- ABI: sysfs-class-uwb_rc: use wildcards on What definitions (Desnes Nunes) [RHEL-15948]
- USB: Remove remnants of Wireless USB and UWB (Desnes Nunes) [RHEL-15948]
- thunderbolt: Fix memory leak in tb_handle_dp_bandwidth_request() (Desnes Nunes) [RHEL-15948]
- usb-storage: alauda: Fix uninit-value in alauda_check_media() (Desnes Nunes) [RHEL-15948]
- usb: common: usb-conn-gpio: Prevent bailing out if initial role is none (Desnes Nunes) [RHEL-15948]
- USB: Gadget: core: Help prevent panic during UVC unconfigure (Desnes Nunes) [RHEL-15948]
- usb: typec: mux: intel: Add dependency on USB_COMMON (Desnes Nunes) [RHEL-15948]
- usb: typec: altmodes/displayport: Signal hpd when configuring pin assignment (Desnes Nunes) [RHEL-15948]
- usb: typec: tcpm: Fix response to vsafe0V event (Desnes Nunes) [RHEL-15948]
- media: uvcvideo: Fix menu count handling for userspace XU mappings (Desnes Nunes) [RHEL-15948]
- usb: dwc3: pci: skip BYT GPIO lookup table for hardwired phy (Desnes Nunes) [RHEL-15948]
- usb: typec: Use sysfs_emit_at when concatenating the string (Desnes Nunes) [RHEL-15948]
- usb: typec: Iterate pds array when showing the pd list (Desnes Nunes) [RHEL-15948]
- usb: typec: Set port->pd before adding device for typec_port (Desnes Nunes) [RHEL-15948]
- Revert "usb: gadget: tegra-xudc: Fix error check in tegra_xudc_powerdomain_init()" (Desnes Nunes) [RHEL-15948]
- Revert "usb: xhci: tegra: Fix error check" (Desnes Nunes) [RHEL-15948]
- usb: gadget: core: remove unbalanced mutex_unlock in usb_gadget_activate (Desnes Nunes) [RHEL-15948]
- Revert "usb: dwc3: core: Enable AutoRetry feature in the controller" (Desnes Nunes) [RHEL-15948]
- Revert "xhci: add quirk for host controllers that don't update endpoint DCS" (Desnes Nunes) [RHEL-15948]
- USB: quirks: add quirk for Focusrite Scarlett (Desnes Nunes) [RHEL-15948]
- usb: dwc3: don't reset device side if dwc3 was configured as host-only (Desnes Nunes) [RHEL-15948]
- usb: typec: ucsi: move typec_set_mode(TYPEC_STATE_SAFE) to ucsi_unregister_partner() (Desnes Nunes) [RHEL-15948]
- USB: serial: simple: sort driver entries (Desnes Nunes) [RHEL-15948]
- USB: serial: simple: add Kaufmann RKS+CAN VCP (Desnes Nunes) [RHEL-15948]
- USB: serial: option: add Quectel EC200A module support (Desnes Nunes) [RHEL-15948]
- USB: serial: option: support Quectel EM060K_128 (Desnes Nunes) [RHEL-15948]
- usb: ch9: Replace bmSublinkSpeedAttr 1-element array with flexible array (Desnes Nunes) [RHEL-15948]
- usb: host: xhci-plat: Set XHCI_STATE_REMOVING before resuming XHCI HC (Desnes Nunes) [RHEL-15948]
- usb: host: xhci: Do not re-initialize the XHCI HC if being removed (Desnes Nunes) [RHEL-15948]
- usb: typec: nb7vpq904m: fix CONFIG_DRM dependency (Desnes Nunes) [RHEL-15948]
- USB: serial: option: add LARA-R6 01B PIDs (Desnes Nunes) [RHEL-15948]
- usb: typec: fsa4480: add support for Audio Accessory Mode (Desnes Nunes) [RHEL-15948]
- usb: typec: fsa4480: rework mux & switch setup to handle more states (Desnes Nunes) [RHEL-15948]
- usb: typec: ucsi: call typec_set_mode on non-altmode partner change (Desnes Nunes) [RHEL-15948]
- dt-bindings: usb: dwc3: Add interrupt-names property support for wakeup interrupt (Desnes Nunes) [RHEL-15948]
- dt-bindings: usb: dwc3: Add IPQ9574 compatible (Desnes Nunes) [RHEL-15948]
- usb: typec: tcpm: add get max power support (Desnes Nunes) [RHEL-15948]
- usb: typec: tcpm: fix cc role at port reset (Desnes Nunes) [RHEL-15948]
- thunderbolt: Add test case for 3 DisplayPort tunnels (Desnes Nunes) [RHEL-15948]
- thunderbolt: Add DisplayPort 2.x tunneling support (Desnes Nunes) [RHEL-15948]
- thunderbolt: Make bandwidth allocation mode function names consistent (Desnes Nunes) [RHEL-15948]
- thunderbolt: Enable CL2 low power state (Desnes Nunes) [RHEL-15948]
- thunderbolt: Add support for enhanced uni-directional TMU mode (Desnes Nunes) [RHEL-15948]
- thunderbolt: Increase NVM_MAX_SIZE to support Intel Barlow Ridge controller (Desnes Nunes) [RHEL-15948]
- thunderbolt: Move constants related to NVM into nvm.c (Desnes Nunes) [RHEL-15948]
- thunderbolt: Limit Intel Barlow Ridge USB3 bandwidth (Desnes Nunes) [RHEL-15948]
- thunderbolt: Add Intel Barlow Ridge PCI ID (Desnes Nunes) [RHEL-15948]
- thunderbolt: Fix PCIe adapter capability length for USB4 v2 routers (Desnes Nunes) [RHEL-15948]
- thunderbolt: Fix DisplayPort IN adapter capability length for USB4 v2 routers (Desnes Nunes) [RHEL-15948]
- thunderbolt: Add two additional double words for adapters TMU for USB4 v2 routers (Desnes Nunes) [RHEL-15948]
- thunderbolt: Enable USB4 v2 PCIe TLP/DLLP extended encapsulation (Desnes Nunes) [RHEL-15948]
- thunderbolt: Announce USB4 v2 connection manager support (Desnes Nunes) [RHEL-15948]
- thunderbolt: Reset USB4 v2 host router (Desnes Nunes) [RHEL-15948]
- thunderbolt: Add the new USB4 v2 notification types (Desnes Nunes) [RHEL-15948]
- thunderbolt: Add support for USB4 v2 80 Gb/s link (Desnes Nunes) [RHEL-15948]
- thunderbolt: Identify USB4 v2 routers (Desnes Nunes) [RHEL-15948]
- thunderbolt: Do not touch lane 1 adapter path config space (Desnes Nunes) [RHEL-15948]
- thunderbolt: Ignore data CRC mismatch for USB4 routers (Desnes Nunes) [RHEL-15948]
- usb: typec: add support for the nb7vpq904m Type-C Linear Redriver (Desnes Nunes) [RHEL-15948]
- redhat/configs: disable CONFIG_TYPEC_MUX_NB7VPQ904M (Desnes Nunes) [RHEL-15948]
- dt-bindings: usb: add ON Semiconductor nb7vpq904m Type-C Linear Redriver bindings (Desnes Nunes) [RHEL-15948]
- usb: ch9: Replace 1-element array with flexible array (Desnes Nunes) [RHEL-15948]
- Revert "usb: common: usb-conn-gpio: Set last role to unknown before initial detection" (Desnes Nunes) [RHEL-15948]
- usb: chipidea: imx: add missing USB PHY DPDM wakeup setting (Desnes Nunes) [RHEL-15948]
- usb: chipidea: imx: turn off vbus comparator when suspend (Desnes Nunes) [RHEL-15948]
- usb: update the ctime as well when updating mtime after an ioctl (Desnes Nunes) [RHEL-15948]
- usb: core: add sysfs entry for usb device state (Desnes Nunes) [RHEL-15948]
- usb: common: usb-conn-gpio: Set last role to unknown before initial detection (Desnes Nunes) [RHEL-15948]
- usb: chipidea: imx: don't request QoS for imx8ulp (Desnes Nunes) [RHEL-15948]
- dt-bindings: usb: ci-hdrc-usb2: add fsl,imx8ulp-usb compatible (Desnes Nunes) [RHEL-15948]
- usb: xhci-tegra: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-15948]
- usb: xhci-plat: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-15948]
- usb: host: xhci-rcar: drop of_match_ptr for ID table (Desnes Nunes) [RHEL-15948]
- usb: typec: ucsi: acpi: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-15948]
- usb: roles: intel_xhci: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-15948]
- usb: misc: usb3503: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-15948]
- usb: ehci-platform: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-15948]
- usb: gadget/tegra-xudc: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-15948]
- usb: dwc3-imx8mp: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-15948]
- usb: core: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-15948]
- usb: common: usb-conn-gpio: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-15948]
- usb: chipidea/core: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-15948]
- usb: chipidea/ci_hdrc_usb2: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-15948]
- usb: chipidea/ci_hdrc_tegra: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-15948]
- usb: chipidea/ci_hdrc_msm: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-15948]
- usb: chipidea/ci_hdrc_imx: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-15948]
- i2c: dummy: Drop no-op remove function (Desnes Nunes) [RHEL-15948]
- usb: typec: qcom: Add Qualcomm PMIC Type-C driver (Desnes Nunes) [RHEL-15948]
- usb: typec: qcom-pmic-typec: Switch to use dev_err_probe() helper (Desnes Nunes) [RHEL-15948]
- MAINTAINERS: Change email for Venus driver (Desnes Nunes) [RHEL-15948]
- MAINTAINERS: Add Vikash as VENUS video driver co-maintainer (Desnes Nunes) [RHEL-15948]
- dt-bindings: usb: Add Qualcomm PMIC Type-C (Desnes Nunes) [RHEL-15948]
- usb: typec: maxim_contaminant: Implement check_contaminant callback (Desnes Nunes) [RHEL-15948]
- usb: typec: tcpci_mt6370: Add MediaTek MT6370 tcpci driver (Desnes Nunes) [RHEL-15948]
- dt-bindings: usb: Add MediaTek MT6370 TCPC (Desnes Nunes) [RHEL-15948]
- xhci: Add ZHAOXIN xHCI host U1/U2 feature support (Desnes Nunes) [RHEL-15948]
- xhci: Show ZHAOXIN xHCI root hub speed correctly (Desnes Nunes) [RHEL-15948]
- xhci: Fix TRB prefetch issue of ZHAOXIN hosts (Desnes Nunes) [RHEL-15948]
- xhci: Fix resume issue of some ZHAOXIN hosts (Desnes Nunes) [RHEL-15948]
- xhci: Stop unnecessary tracking of free trbs in a ring (Desnes Nunes) [RHEL-15948]
- xhci: Fix transfer ring expansion size calculation (Desnes Nunes) [RHEL-15948]
- xhci: split allocate interrupter into separate alloacte and add parts (Desnes Nunes) [RHEL-15948]
- xhci: get rid of XHCI_PLAT quirk that used to prevent MSI setup (Desnes Nunes) [RHEL-15948]
- xhci: Don't require a valid get_quirks() function pointer during xhci setup (Desnes Nunes) [RHEL-15948]
- xhci: Add usb cold attach (CAS) as a reason to resume root hub. (Desnes Nunes) [RHEL-15948]
- usb: xhci: Remove unused udev from xhci_log_ctx trace event (Desnes Nunes) [RHEL-15948]
- thunderbolt: Enable/disable sideband depending on USB4 port offline mode (Desnes Nunes) [RHEL-15948]
- thunderbolt: Do not send UNSET_INBOUND_SBTX when retimer NVM authentication started (Desnes Nunes) [RHEL-15948]
- thunderbolt: Read retimer NVM authentication status prior tb_retimer_set_inbound_sbtx() (Desnes Nunes) [RHEL-15948]
- media: uvcvideo: Constify descriptor buffers (Desnes Nunes) [RHEL-15948]
- media: uvcvideo: Constify formats, frames and intervals (Desnes Nunes) [RHEL-15948]
- media: uvcvideo: Increment intervals pointer at end of parsing (Desnes Nunes) [RHEL-15948]
- media: uvcvideo: Reorganize format descriptor parsing (Desnes Nunes) [RHEL-15948]
- media: uvcvideo: Use clamp() to replace manual implementation (Desnes Nunes) [RHEL-15948]
- media: uvcvideo: Rename uvc_format 'frame' field to 'frames' (Desnes Nunes) [RHEL-15948]
- media: uvcvideo: Rename uvc_streaming 'format' field to 'formats' (Desnes Nunes) [RHEL-15948]
- media: uvcvideo: Enable Intel RealSense metadata for devices (Desnes Nunes) [RHEL-15948]
- thunderbolt: Disable CL states when a DMA tunnel is established (Desnes Nunes) [RHEL-15948]
- thunderbolt: Make tb_switch_clx_disable() return CL states that were enabled (Desnes Nunes) [RHEL-15948]
- thunderbolt: Initialize CL states from the hardware (Desnes Nunes) [RHEL-15948]
- thunderbolt: Prefix CL state related log messages with "CLx: " (Desnes Nunes) [RHEL-15948]
- thunderbolt: Prefix TMU post time log message with "TMU: " (Desnes Nunes) [RHEL-15948]
- thunderbolt: Do not call CLx functions from TMU code (Desnes Nunes) [RHEL-15948]
- thunderbolt: Check for first depth router in tb.c (Desnes Nunes) [RHEL-15948]
- thunderbolt: Switch CL states from enum to a bitmask (Desnes Nunes) [RHEL-15948]
- thunderbolt: Move CLx enabling into tb_enable_clx() (Desnes Nunes) [RHEL-15948]
- thunderbolt: Get rid of __tb_switch_[en|dis]able_clx() (Desnes Nunes) [RHEL-15948]
- thunderbolt: Move CLx support functions into clx.c (Desnes Nunes) [RHEL-15948]
- thunderbolt: Check valid TMU configuration in tb_switch_tmu_configure() (Desnes Nunes) [RHEL-15948]
- thunderbolt: Move tb_enable_tmu() close to other TMU functions (Desnes Nunes) [RHEL-15948]
- thunderbolt: Move TMU configuration to tb_enable_tmu() (Desnes Nunes) [RHEL-15948]
- thunderbolt: Get rid of tb_switch_enable_tmu_1st_child() (Desnes Nunes) [RHEL-15948]
- thunderbolt: Rework Titan Ridge TMU objection disable function (Desnes Nunes) [RHEL-15948]
- thunderbolt: Drop useless 'unidirectional' parameter from tb_switch_tmu_is_enabled() (Desnes Nunes) [RHEL-15948]
- thunderbolt: Fix a couple of style issues in TMU code (Desnes Nunes) [RHEL-15948]
- thunderbolt: Introduce tb_xdomain_downstream_port() (Desnes Nunes) [RHEL-15948]
- thunderbolt: Introduce tb_switch_downstream_port() (Desnes Nunes) [RHEL-15948]
- USB: serial: report unsupported break signalling (Desnes Nunes) [RHEL-15948]
- USB: serial: cp210x: disable break signalling on CP2105 SCI (Desnes Nunes) [RHEL-15948]
- USB: serial: return errors from break handling (Desnes Nunes) [RHEL-15948]
- dt-bindings: usb: xilinx: Replace Manish by Piyush (Desnes Nunes) [RHEL-15948]
- usb: typec: mux: fix static inline syntax error (Desnes Nunes) [RHEL-15948]
- thunderbolt: Log DisplayPort adapter rate and lanes on discovery (Desnes Nunes) [RHEL-15948]
- usb: misc: eud: Fix indentation issues (Desnes Nunes) [RHEL-15948]
- usb: host: ohci-platform: increase max clock number to 4 (Desnes Nunes) [RHEL-15948]
- dt-bindings: usb: Add RK3588 EHCI (Desnes Nunes) [RHEL-15948]
- dt-bindings: usb: Add RK3588 OHCI (Desnes Nunes) [RHEL-15948]
- usb: host: fhci-hcd: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-15948]
- usb: chipidea: imx: remove one duplicated reg define (Desnes Nunes) [RHEL-15948]
- usb: hide unused usbfs_notify_suspend/resume functions (Desnes Nunes) [RHEL-15948]
- usb: uhci: handle HAS_IOPORT dependencies (Desnes Nunes) [RHEL-15948]
- usb: add HAS_IOPORT dependencies (Desnes Nunes) [RHEL-15948]
- usb: typec: mux: Remove alt mode parameters from the API (Desnes Nunes) [RHEL-15948]
- soc: qcom: pmic_glink: Introduce altmode support (Desnes Nunes) [RHEL-15948]
- soc: qcom: pmic_glink: Introduce base PMIC GLINK driver (Desnes Nunes) [RHEL-15948]
- redhat/configs: disable CONFIG_QCOM_PMIC_GLINK (Desnes Nunes) [RHEL-15948]
- dt-bindings: soc: qcom: Introduce PMIC GLINK binding (Desnes Nunes) [RHEL-15948]
- platform/chrome: cros_ec_typec: allow deferred probe of switch handles (Desnes Nunes) [RHEL-15948]
- platform/chrome: cros_ec_typec: zero out stale pointers (Desnes Nunes) [RHEL-15948]
- platform/chrome: cros_ec_typec: Get retimer handle (Desnes Nunes) [RHEL-15948]
- platform/chrome: cros_ec_typec: Cleanup switch handle return paths (Desnes Nunes) [RHEL-15948]
- usb: typec: mux: Clean up mux_fwnode_match() (Desnes Nunes) [RHEL-15948]
- usb: typec: ucsi: correctly access opcode (Desnes Nunes) [RHEL-15948]
- usbip: Use _FORTIFY_SOURCE=2 instead of (implicitly) =1 (Desnes Nunes) [RHEL-15948]
- dt-bindings: usb: tegra-xudc: Remove extraneous PHYs (Desnes Nunes) [RHEL-15948]
- media: mc: Make media_get_pad_index() use pad type flag (Desnes Nunes) [RHEL-15948]
- media: usb: remove unnecessary (void*) conversions (Desnes Nunes) [RHEL-15948]
- media: usb: Check az6007_read() return value (Desnes Nunes) [RHEL-15948]
- dt-bindings: leds: Add disk write/read and usb-host/usb-gadget (Desnes Nunes) [RHEL-15948]
- dt-bindings: leds: Document Bluetooth and WLAN triggers (Desnes Nunes) [RHEL-15948]
- dt-bindings: leds: Add 'cpuX' to 'linux,default-trigger' (Desnes Nunes) [RHEL-15948]
- dt-bindings: leds: Document mmc trigger (Desnes Nunes) [RHEL-15948]
- thunderbolt: Drop retimer vendor check (Desnes Nunes) [RHEL-15948]
- thunderbolt: dma_test: Update MODULE_DESCRIPTION (Desnes Nunes) [RHEL-15948]
- thunderbolt: Add MODULE_DESCRIPTION (Desnes Nunes) [RHEL-15948]
- thunderbolt: Allow specifying custom credits for DMA tunnels (Desnes Nunes) [RHEL-15948]
- thunderbolt: Add debug log for link controller power quirk (Desnes Nunes) [RHEL-15948]
- thunderbolt: Log function name of the called quirk (Desnes Nunes) [RHEL-15948]
- thunderbolt: Check for ring 0 in tb_tunnel_alloc_dma() (Desnes Nunes) [RHEL-15948]
- usb: gadget: udc: Handle gadget_connect failure during bind operation (Desnes Nunes) [RHEL-15948]
- usb: gadget: udc: core: Prevent soft_connect_store() race (Desnes Nunes) [RHEL-15948]
- usb: gadget: udc: core: Offload usb_udc_vbus_handler processing (Desnes Nunes) [RHEL-15948]
- Revert "usb: gadget: udc: core: Invoke usb_gadget_connect only when started" (Desnes Nunes) [RHEL-15948]
- Revert "usb: gadget: udc: core: Prevent redundant calls to pullup" (Desnes Nunes) [RHEL-15948]
- usb: gadget: udc: core: Prevent redundant calls to pullup (Desnes Nunes) [RHEL-15948]
- usb: gadget: udc: core: Invoke usb_gadget_connect only when started (Desnes Nunes) [RHEL-15948]
- usb: xhci: tegra: enable stream protocol support (Desnes Nunes) [RHEL-15948]
- usb: xhci: plat: remove error log for failure to get usb-phy (Desnes Nunes) [RHEL-15948]
- usb: dwc3: core: add support for realtek SoCs custom's global register start address (Desnes Nunes) [RHEL-15948]
- xhci: Improve the XHCI system resume time (Desnes Nunes) [RHEL-15948]
- USB: Extend pci resume function to handle PM events (Desnes Nunes) [RHEL-15948]
- usb: typec: mux: Remove some unneeded includes (Desnes Nunes) [RHEL-15948]
- dt-bindings: usb: qcom,dwc3: Add bindings for SA8775P (Desnes Nunes) [RHEL-15948]
- USB: misc: Remove unused include file usb_u132.h (Desnes Nunes) [RHEL-15948]
- platform/x86/intel/wmi: thunderbolt: Use dev_groups callback (Desnes Nunes) [RHEL-15948]
- platform/x86: intel-wmi-thunderbolt: Move to intel sub-directory (Desnes Nunes) [RHEL-15948]
- platform/x86: intel-wmi-sbl-fw-update: Move to intel sub-directory (Desnes Nunes) [RHEL-15948]
- thunderbolt: Fix spelling mistake "simultaneusly" -> "simultaneously" (Desnes Nunes) [RHEL-15948]
- platform/x86: Update Mario Limonciello's email address in the docs (Desnes Nunes) [RHEL-15948]
- media: pulse8-cec: handle possible ping error (Desnes Nunes) [RHEL-15948]
- dt-bindings: connector: usb: allow a single HS port (Desnes Nunes) [RHEL-15948]
- dt-bindings: connector: usb: align example indentation to four-space (Desnes Nunes) [RHEL-15948]
- dt-bindings: Another pass removing cases of 'allOf' containing a '$ref' (Desnes Nunes) [RHEL-15948]
- dt-bindings: connector: Add pd-disable property (Desnes Nunes) [RHEL-15948]
- usb: chipidea: clarify Documentation/ABI text (Desnes Nunes) [RHEL-15948]
- ABI: sysfs-class-uwb_rc-wusbhc: use wildcards on What definitions (Desnes Nunes) [RHEL-15948]
Resolves: RHEL-15550, RHEL-15948, RHEL-16059, RHEL-2002, RHEL-2421, RHEL-8585

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-11-30 13:27:34 -05:00
Jan Stancek
a2dcd48c9b kernel-5.14.0-388.el9
* Tue Nov 21 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-388.el9]
- scsi: qedi: Remove unused declarations (Nilesh Javali) [RHEL-9868]
- scsi: qedi: Fix firmware halt over suspend and resume (Nilesh Javali) [RHEL-9868]
- scsi: qedi: Fix potential deadlock on &qedi_percpu->p_work_lock (Nilesh Javali) [RHEL-9868]
- scsi: qedi: Replace all non-returning strlcpy with strscpy (Nilesh Javali) [RHEL-9868]
- scsi: qedi: Fix use after free bug in qedi_remove() (Nilesh Javali) [RHEL-9868]
- scsi: qedi: Remove unneeded version.h include (Nilesh Javali) [RHEL-9868]
- scsi: qla2xxx: Fix system crash due to bad pointer access (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix double free of dsd_list during driver load (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix NULL vs IS_ERR() bug for debugfs_create_dir() (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Use raw_smp_processor_id() instead of smp_processor_id() (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Correct endianness for rqstlen and rsplen (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix unused variable warning in qla2xxx_process_purls_pkt() (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix spelling mistake "tranport" -> "transport" (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Remove unused variables in qla24xx_build_scsi_type_6_iocbs() (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix nvme_fc_rcv_ls_req() undefined error (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Update version to 10.02.09.100-k (Nilesh Javali) [RHEL-9867]
- Revert "scsi: qla2xxx: Fix buffer overrun" (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix smatch warn for qla_init_iocb_limit() (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Remove unsupported ql2xenabledif option (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Error code did not return to upper layer (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Add logs for SFP temperature monitoring (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix firmware resource tracking (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Flush mailbox commands on chip reset (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Add Unsolicited LS Request and Response Support for NVMe (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Allow 32-byte CDBs (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Move resource to allow code reuse (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Remove unused declarations (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Update version to 10.02.08.500-k (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: fix inconsistent TMF timeout (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix TMF leak through (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Turn off noisy message log (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix session hang in gnl (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix erroneous link up failure (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix command flush during TMF (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Limit TMF to 8 per function (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Adjust IOCB resource on qpair create (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix deletion race condition (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix error code in qla2x00_start_sp() (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Silence a static checker warning (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Remove unused nvme_ls_waitq wait queue (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Update version to 10.02.08.400-k (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Correct the index of array (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Pointer may be dereferenced (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix buffer overrun (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Check valid rport returned by fc_bsg_to_rport() (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Avoid fcport pointer dereference (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix potential NULL pointer dereference (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Array index may go out of bound (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Drop useless LIST_HEAD (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Replace one-element array with DECLARE_FLEX_ARRAY() helper (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix end of loop test (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix NULL pointer dereference in target mode (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Replace all non-returning strlcpy() with strscpy() (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Update version to 10.02.08.300-k (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Wait for io return on terminate rport (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix mem access after free (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix hang in task management (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix task management cmd fail due to unavailable resource (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix task management cmd failure (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Multi-que support for TMF (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Fix memory leak in qla2x00_probe_one() (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Refer directly to the qla2xxx_driver_template (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Remove default fabric ops callouts (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Drop redundant pci_enable_pcie_error_reporting() (Nilesh Javali) [RHEL-9867]
- scsi: qla2xxx: Add option to disable FC2 Target support (Nilesh Javali) [RHEL-9867]
- net: add missing includes and forward declarations under net/ (Ivan Vecera) [RHEL-15262]
- igb: set max size RX buffer when store bad packet is enabled (Wander Lairson Costa) [RHEL-15192] {CVE-2023-45871}
- selftests: mptcp: display simult in extra_msg (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: sockopt: drop mptcp_connect var (Paolo Abeni) [RHEL-15036]
- mptcp: define more local variables sk (Paolo Abeni) [RHEL-15036]
- mptcp: move sk assignment statement ahead (Paolo Abeni) [RHEL-15036]
- mptcp: use mptcp_get_ext helper (Paolo Abeni) [RHEL-15036]
- mptcp: use mptcp_check_fallback helper (Paolo Abeni) [RHEL-15036]
- mptcp: drop useless ssk in pm_subflow_check_next (Paolo Abeni) [RHEL-15036]
- mptcp: userspace pm send RM_ADDR for ID 0 (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: fix wait_rm_addr/sf parameters (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: run userspace pm tests slower (Paolo Abeni) [RHEL-15036]
- mptcp: refactor sndbuf auto-tuning (Paolo Abeni) [RHEL-15036]
- mptcp: ignore notsent_lowat setting at the subflow level (Paolo Abeni) [RHEL-15036]
- mptcp: consolidate sockopt synchronization (Paolo Abeni) [RHEL-15036]
- mptcp: use copy_from_iter helpers on transmit (Paolo Abeni) [RHEL-15036]
- mptcp: give rcvlowat some love (Paolo Abeni) [RHEL-15036]
- tcp: define initial scaling factor value as a macro (Paolo Abeni) [RHEL-15036]
- mptcp: use plain bool instead of custom binary enum (Paolo Abeni) [RHEL-15036]
- mptcp: properly account fastopen data (Paolo Abeni) [RHEL-15036]
- mptcp: add a new sysctl for make after break timeout (Paolo Abeni) [RHEL-15036]
- net: mptcp: use policy generated by YAML spec (Paolo Abeni) [RHEL-15036]
- net: mptcp: rename netlink handlers to mptcp_pm_nl_<blah>_{doit,dumpit} (Paolo Abeni) [RHEL-15036]
- uapi: mptcp: use header file generated from YAML spec (Paolo Abeni) [RHEL-15036]
- Documentation: netlink: add a YAML spec for mptcp (Paolo Abeni) [RHEL-15036]
- net: mptcp: convert netlink from small_ops to ops (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: no RST when rm subflow/addr (Paolo Abeni) [RHEL-15036]
- mptcp: avoid sending RST when closing the initial subflow (Paolo Abeni) [RHEL-15036]
- mptcp: more conservative check for zero probes (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: correctly check for no RST (Paolo Abeni) [RHEL-15036]
- mptcp: userspace pm allow creating id 0 subflow (Paolo Abeni) [RHEL-15036]
- mptcp: fix delegated action races (Paolo Abeni) [RHEL-15036]
- mptcp: fix dangling connection hang-up (Paolo Abeni) [RHEL-15036]
- mptcp: rename timer related helper to less confusing names (Paolo Abeni) [RHEL-15036]
- mptcp: process pending subflow error on close (Paolo Abeni) [RHEL-15036]
- mptcp: move __mptcp_error_report in protocol.c (Paolo Abeni) [RHEL-15036]
- mptcp: fix bogus receive window shrinkage with multiple subflows (Paolo Abeni) [RHEL-15036]
- mptcp: annotate data-races around msk->rmem_fwd_alloc (Paolo Abeni) [RHEL-15036]
- mptcp: register default scheduler (Paolo Abeni) [RHEL-15036]
- mptcp: use get_retrans wrapper (Paolo Abeni) [RHEL-15036]
- mptcp: use get_send wrapper (Paolo Abeni) [RHEL-15036]
- mptcp: add scheduler wrappers (Paolo Abeni) [RHEL-15036]
- mptcp: add scheduled in mptcp_subflow_context (Paolo Abeni) [RHEL-15036]
- mptcp: add sched in mptcp_sock (Paolo Abeni) [RHEL-15036]
- mptcp: add a new sysctl scheduler (Paolo Abeni) [RHEL-15036]
- mptcp: add struct mptcp_sched_ops (Paolo Abeni) [RHEL-15036]
- mptcp: drop last_snd and MPTCP_RESET_SCHEDULER (Paolo Abeni) [RHEL-15036]
- mptcp: refactor push_pending logic (Paolo Abeni) [RHEL-15036]
- bpf: Add update_socket_protocol hook (Paolo Abeni) [RHEL-15036]
- mptcp: Remove unnecessary test for __mptcp_init_sock() (Paolo Abeni) [RHEL-15036]
- mptcp: get rid of msk->subflow (Paolo Abeni) [RHEL-15036]
- mptcp: change the mpc check helper to return a sk (Paolo Abeni) [RHEL-15036]
- mptcp: avoid ssock usage in mptcp_pm_nl_create_listen_socket() (Paolo Abeni) [RHEL-15036]
- mptcp: avoid additional indirection in sockopt (Paolo Abeni) [RHEL-15036]
- mptcp: avoid unneeded indirection in mptcp_stream_accept() (Paolo Abeni) [RHEL-15036]
- mptcp: avoid additional indirection in mptcp_poll() (Paolo Abeni) [RHEL-15036]
- mptcp: avoid additional indirection in mptcp_listen() (Paolo Abeni) [RHEL-15036]
- mptcp: mptcp: avoid additional indirection in mptcp_bind() (Paolo Abeni) [RHEL-15036]
- net: factor out inet{,6}_bind_sk helpers (Paolo Abeni) [RHEL-15036]
- mptcp: avoid subflow socket usage in mptcp_get_port() (Paolo Abeni) [RHEL-15036]
- mptcp: avoid additional __inet_stream_connect() call (Paolo Abeni) [RHEL-15036]
- mptcp: avoid unneeded mptcp_token_destroy() calls (Paolo Abeni) [RHEL-15036]
- net: annotate data-races around sock->ops (Paolo Abeni) [RHEL-15036]
- mptcp: fix disconnect vs accept race (Paolo Abeni) [RHEL-15036]
- mptcp: avoid bogus reset on fallback close (Paolo Abeni) [RHEL-15036]
- mptcp: fix the incorrect judgment for msk->cb_flags (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: userspace_pm: unmute unexpected errors (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: pm_nl_ctl: always look for errors (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: colored results (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: rework detailed report (Paolo Abeni) [RHEL-15036]
- net: annotate data-races around sk->sk_mark (Paolo Abeni) [RHEL-15036]
- mptcp: more accurate NL event generation (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: only check for ip6tables if needed (Paolo Abeni) [RHEL-15036]
- mptcp: fix rcv buffer auto-tuning (Paolo Abeni) [RHEL-15036]
- tcp: get rid of sysctl_tcp_adv_win_scale (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: userspace_pm: format subtests results in TAP (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: sockopt: format subtests results in TAP (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: simult flows: format subtests results in TAP (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: diag: format subtests results in TAP (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: format subtests results in TAP (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: pm_netlink: format subtests results in TAP (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: connect: format subtests results in TAP (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: lib: format subtests results in TAP (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: userspace_pm: reduce dup code around printf (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: userspace_pm: uniform results printing (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: userspace_pm: fix shellcheck warnings (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: userspace pm: don't stop if error (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: connect: don't stop if error (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: add speed env var (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: add fullmesh env var (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: add fastclose env var (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: set all env vars as local ones (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: pm_nl_ctl: fix 32-bit support (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: depend on SYN_COOKIES (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: userspace_pm: report errors with 'remove' tests (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: userspace_pm: use correct server port (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: sockopt: return error if wrong mark (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: sockopt: use 'iptables-legacy' if available (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: connect: fail if nft supposed to work (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: connect: fix comment typo (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: add pm_nl_set_endpoint helper (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: drop sflags parameter (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: drop addr_nr_ns1/2 parameters (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: drop test_linkfail parameter (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: set FAILING_LINKS in run_tests (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: check subflow and addr infos (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: test userspace pm out of transfer (Paolo Abeni) [RHEL-15036]
- mptcp: pass addr to mptcp_pm_alloc_anno_list (Paolo Abeni) [RHEL-15036]
- mptcp: consolidate transition to TCP_CLOSE in mptcp_do_fastclose() (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: skip check if MIB counter not supported (part 2) (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: add MPTCP_FULL_INFO testcase (Paolo Abeni) [RHEL-15036]
- mptcp: introduce MPTCP_FULL_INFO getsockopt (Paolo Abeni) [RHEL-15036]
- mptcp: add subflow unique id (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: explicitly tests aggregate counters (Paolo Abeni) [RHEL-15036]
- mptcp: track some aggregate data counters (Paolo Abeni) [RHEL-15036]
- mptcp: move snd_una update earlier for fallback socket (Paolo Abeni) [RHEL-15036]
- mptcp: drop legacy code around RX EOF (Paolo Abeni) [RHEL-15036]
- mptcp: consolidate fallback and non fallback state machine (Paolo Abeni) [RHEL-15036]
- mptcp: Reorder fields in 'struct mptcp_pm_add_entry' (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: skip mixed tests if not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: uniform listener tests (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: skip PM listener tests if not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: skip MPC backups tests if not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: skip fail tests if not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: skip userspace PM tests if not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: skip fullmesh flag tests if not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: skip backup if set flag on ID not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: skip implicit tests if not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: support RM_ADDR for used endpoints or not (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: skip Fastclose tests if not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: support local endpoint being tracked or not (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: skip test if iptables/tc cmds fail (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: skip check if MIB counter not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: helpers to skip tests (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: use 'iptables-legacy' if available (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: lib: skip if not below kernel version (Paolo Abeni) [RHEL-15036]
- mptcp: unify pm set_flags interfaces (Paolo Abeni) [RHEL-15036]
- mptcp: unify pm get_flags_and_ifindex_by_id (Paolo Abeni) [RHEL-15036]
- mptcp: unify pm get_local_id interfaces (Paolo Abeni) [RHEL-15036]
- mptcp: export local_address (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: userspace pm: skip PM listener events tests if unavailable (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: userspace pm: skip if not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: userspace pm: skip if 'ip' tool is unavailable (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: sockopt: skip TCP_INQ checks if not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: sockopt: skip getsockopt checks if not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: sockopt: relax expected returned size (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: pm nl: skip fullmesh flag checks if not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: pm nl: remove hardcoded default limits (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: diag: skip inuse tests if not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: diag: skip listen tests if not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: connect: skip TFO tests if not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: connect: skip disconnect tests if not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: connect: skip transp tests if not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: lib: skip if missing symbol (Paolo Abeni) [RHEL-15036]
- mptcp: update userspace pm infos (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: update userspace pm subflow tests (Paolo Abeni) [RHEL-15036]
- mptcp: add address into userspace pm list (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: update userspace pm addr tests (Paolo Abeni) [RHEL-15036]
- mptcp: only send RM_ADDR in nl_cmd_remove (Paolo Abeni) [RHEL-15036]
- mptcp: add annotations around sk->sk_shutdown accesses (Paolo Abeni) [RHEL-15036]
- mptcp: fix data race around msk->first access (Paolo Abeni) [RHEL-15036]
- mptcp: consolidate passive msk socket initialization (Paolo Abeni) [RHEL-15036]
- mptcp: add annotations around msk->subflow accesses (Paolo Abeni) [RHEL-15036]
- mptcp: fix connect timeout handling (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: userspace pm: skip if MPTCP is not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: sockopt: skip if MPTCP is not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: simult flows: skip if MPTCP is not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: diag: skip if MPTCP is not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: skip if MPTCP is not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: pm nl: skip if MPTCP is not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: connect: skip if MPTCP is not supported (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: join: avoid using 'cmp --bytes' (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: centralize stats dumping (Paolo Abeni) [RHEL-15036]
- selftests: mptcp: add explicit check for new mibs (Paolo Abeni) [RHEL-15036]
- mptcp: introduces more address related mibs (Paolo Abeni) [RHEL-15036]
- mptcp: refactor mptcp_stream_accept() (Paolo Abeni) [RHEL-15036]
- inet: factor out locked section of inet_accept() in a new helper (Paolo Abeni) [RHEL-15036]
- net: factor out __inet_listen_sk() helper (Paolo Abeni) [RHEL-15036]
- mptcp: preserve const qualifier in mptcp_sk() (Paolo Abeni) [RHEL-15036]
- mptcp: annotate lockless accesses to sk->sk_err (Paolo Abeni) [RHEL-15036]
- tcp: enforce receive buffer memory limits by allowing the tcp window to shrink (Felix Maurer) [RHEL-11592]
- tcp: adjust rcv_ssthresh according to sk_reserved_mem (Felix Maurer) [RHEL-11592]
- macsec: Don't rely solely on the dst MAC address to identify destination MACsec device (Sabrina Dubroca) [RHEL-14698]
- net: ipv4: fix return value check in esp_remove_trailer (Sabrina Dubroca) [RHEL-14517]
- net: ipv6: fix return value check in esp_remove_trailer (Sabrina Dubroca) [RHEL-14517]
- net: xfrm: skip policies marked as dead while reinserting policies (Sabrina Dubroca) [RHEL-14517]
- xfrm: don't skip free of empty state in acquire policy (Sabrina Dubroca) [RHEL-14517]
- xfrm: delete offloaded policy (Sabrina Dubroca) [RHEL-14517]
- ip_vti: fix potential slab-use-after-free in decode_session6 (Sabrina Dubroca) [RHEL-14517]
- ip6_vti: fix slab-use-after-free in decode_session6 (Sabrina Dubroca) [RHEL-14517]
- xfrm: fix slab-use-after-free in decode_session6 (Sabrina Dubroca) [RHEL-14517]
- net: xfrm: Amend XFRMA_SEC_CTX nla_policy structure (Sabrina Dubroca) [RHEL-14517]
- net: ipv4: Use kfree_sensitive instead of kfree (Sabrina Dubroca) [RHEL-14517]
- tipc: fix a potential deadlock on &tx->lock (Xin Long) [RHEL-14731]
- tipc: stop tipc crypto on failure in tipc_node_create (Xin Long) [RHEL-14731]
- tipc: check return value of pskb_trim() (Xin Long) [RHEL-14731]
- net: skb_queue_purge_reason() optimizations (Antoine Tenart) [RHEL-14554]
- net: add skb_queue_purge_reason and __skb_queue_purge_reason (Antoine Tenart) [RHEL-14554]
- tcp: add TCP_OLD_SEQUENCE drop reason (Antoine Tenart) [RHEL-14554]
- net: skbuff: update and rename __kfree_skb_defer() (Antoine Tenart) [RHEL-14554]
- devlink: Hold devlink lock on health reporter dump get (Davide Caratti) [RHEL-14526]
- selftests/bpf: Update bpf_clone_redirect expected return code (Davide Caratti) [RHEL-14526]
- bpf: Clarify error expectations from bpf_clone_redirect (Davide Caratti) [RHEL-14526]
- veth: Update XDP feature set when bringing up device (Davide Caratti) [RHEL-14526]
- ethtool: plca: fix plca enable data type while parsing the value (Davide Caratti) [RHEL-14526]
- af_unix: Fix data-race around unix_tot_inflight. (Davide Caratti) [RHEL-14526]
- af_unix: Fix data-races around user->unix_inflight. (Davide Caratti) [RHEL-14526]
- veth: Fixing transmit return status for dropped packets (Davide Caratti) [RHEL-14526]
- net: fib: avoid warn splat in flow dissector (Davide Caratti) [RHEL-14526]
- net/packet: annotate data-races around tp->status (Davide Caratti) [RHEL-14526]
- net: dcb: choose correct policy to parse DCB_ATTR_BCN (Davide Caratti) [RHEL-14526]
- llc: Don't drop packet from non-root netns. (Davide Caratti) [RHEL-14526]
- net: af_key: fix sadb_x_filter validation (Davide Caratti) [RHEL-14526]
- netlink: Correct offload_xstats size (Paolo Abeni) [RHEL-14364]
- net: prevent address rewrite in kernel_bind() (Paolo Abeni) [RHEL-14364]
- net: prevent rewrite of msg_name in sock_sendmsg() (Paolo Abeni) [RHEL-14364]
- net: fix possible store tearing in neigh_periodic_work() (Paolo Abeni) [RHEL-14364]
- neigh: make sure used and confirmed times are valid (Paolo Abeni) [RHEL-14364]
- net/core: Fix ETH_P_1588 flow dissector (Paolo Abeni) [RHEL-14364]
- skbuff: skb_segment, Call zero copy functions before using skbuff frags (Paolo Abeni) [RHEL-14364]
- net: use sk_forward_alloc_get() in sk_get_meminfo() (Paolo Abeni) [RHEL-14364]
- rtnetlink: Reject negative ifindexes in RTM_NEWLINK (Paolo Abeni) [RHEL-14364]
- net: prevent skb corruption on frag list segmentation (Paolo Abeni) [RHEL-14364]
- netlink: do not hard code device address lenth in fdb dumps (Paolo Abeni) [RHEL-14364]
- net: fix UaF in netns ops registration error path (Paolo Abeni) [RHEL-14364]
- net: fix UAF issue in nfqnl_nf_hook_drop() when ops_init() failed (Paolo Abeni) [RHEL-14364]
- net/sched: sch_hfsc: upgrade 'rt' to 'sc' when it becomes a inner curve (Davide Caratti) [RHEL-14233]
- net/sched: sch_hfsc: Ensure inner classes have fsc curve (Davide Caratti) [RHEL-14233]
- net/sched: fix a qdisc modification with ambiguous command request (Davide Caratti) [RHEL-14233]
- bonding: Return pointer to data after pull on skb (Hangbin Liu) [RHEL-13964]
- selftests: bonding: create directly devices in the target namespaces (Hangbin Liu) [RHEL-13964]
- selftests: bonding: add macvlan over bond testing (Hangbin Liu) [RHEL-13964]
- selftest: bond: add new topo bond_topo_2d1c.sh (Hangbin Liu) [RHEL-13964]
- bonding: fix macvlan over alb bond support (Hangbin Liu) [RHEL-13964]
- selftests: bonding: do not set port down before adding to bond (Hangbin Liu) [RHEL-13964]
- selftests: bonding: remove redundant delete action of device link1_1 (Hangbin Liu) [RHEL-13964]
- bonding: remove unnecessary NULL check in bond_destructor (Hangbin Liu) [RHEL-13964]
- bonding: use bond_set_slave_arr to simplify code (Hangbin Liu) [RHEL-13964]
- bonding: add modifier to initialization function and exit function (Hangbin Liu) [RHEL-13964]
- bonding: Fix incorrect deletion of ETH_P_8021AD protocol vid from slaves (Hangbin Liu) [RHEL-13964]
- bonding: support balance-alb with openvswitch (Hangbin Liu) [RHEL-13964]
- bonding: Always assign be16 value to vlan_proto (Hangbin Liu) [RHEL-13964]
- selftests: bonding: delete unnecessary line (Hangbin Liu) [RHEL-13964]
- bonding: add software tx timestamping support (Hangbin Liu) [RHEL-13964]
- selftests/bpf: Add selftest for bpf_task_under_cgroup() in sleepable prog (Viktor Malik) [RHEL-9957]
- bpf: Fix missed rcu read lock in bpf_task_under_cgroup() (Viktor Malik) [RHEL-9957]
- selftests/bpf: precision tracking test for BPF_NEG and BPF_END (Viktor Malik) [RHEL-9957]
- bpf: Fix precision tracking for BPF_ALU | BPF_TO_BE | BPF_END (Viktor Malik) [RHEL-9957]
- redhat: update self-test data (Viktor Malik) [RHEL-9957]
- redhat: ignore BPFTOOLVERSION/bpftoolversion in self-test create-data.sh (Viktor Malik) [RHEL-9957]
- bpftool: Specify XDP Hints ifname when loading program (Viktor Malik) [RHEL-9957]
- selftests/bpf: Fix flaky cgroup_iter_sleepable subtest (Viktor Malik) [RHEL-9957]
- libbpf: Set close-on-exec flag on gzopen (Viktor Malik) [RHEL-9957]
- selftests/bpf: fix static assert compilation issue for test_cls_*.c (Viktor Malik) [RHEL-9957]
- bpf, cpumap: Handle skb as well when clean up ptr_ring (Viktor Malik) [RHEL-9957]
- bpf, cpumap: Make sure kthread is running before map update returns (Viktor Malik) [RHEL-9957]
- bpf: Disable preemption in bpf_event_output (Viktor Malik) [RHEL-9957]
- bpf: Disable preemption in bpf_perf_event_output (Viktor Malik) [RHEL-9957]
- bpf, arm64: Fix BTI type used for freplace attached functions (Viktor Malik) [RHEL-9957]
- selftests/bpf: Add more tests for check_max_stack_depth bug (Viktor Malik) [RHEL-9957]
- bpf: Repeat check_max_stack_depth for async callbacks (Viktor Malik) [RHEL-9957]
- bpf: Fix subprog idx logic in check_max_stack_depth (Viktor Malik) [RHEL-9957]
- bpf: cpumap: Fix memory leak in cpu_map_update_elem (Viktor Malik) [RHEL-9957]
- selftests/bpf: Add selftest for check_stack_max_depth bug (Viktor Malik) [RHEL-9957]
- bpf: Fix max stack depth check for async callbacks (Viktor Malik) [RHEL-9957]
- bpf, btf: Warn but return no error for NULL btf from __register_btf_kfunc_id_set() (Viktor Malik) [RHEL-9957]
- selftests/bpf: Set the default value of consumer_cnt as 0 (Viktor Malik) [RHEL-9957]
- selftests/bpf: Ensure that next_cpu() returns a valid CPU number (Viktor Malik) [RHEL-9957]
- selftests/bpf: Output the correct error code for pthread APIs (Viktor Malik) [RHEL-9957]
- selftests/bpf: Use producer_cnt to allocate local counter array (Viktor Malik) [RHEL-9957]
- bpf: Keep BPF_PROG_LOAD permission checks clear of validations (Viktor Malik) [RHEL-9957]
- bpf: Centralize permissions checks for all BPF map types (Viktor Malik) [RHEL-9957]
- bpf: Inline map creation logic in map_create() function (Viktor Malik) [RHEL-9957]
- bpf: Move unprivileged checks into map_create() and bpf_prog_load() (Viktor Malik) [RHEL-9957]
- bpf: Remove in_atomic() from bpf_link_put(). (Viktor Malik) [RHEL-9957]
- selftests/bpf: Verify that check_ids() is used for scalars in regsafe() (Viktor Malik) [RHEL-9957]
- bpf: Verify scalar ids mapping in regsafe() using check_ids() (Viktor Malik) [RHEL-9957]
- selftests/bpf: Check if mark_chain_precision() follows scalar ids (Viktor Malik) [RHEL-9957]
- bpf: Use scalar ids in mark_chain_precision() (Viktor Malik) [RHEL-9957]
- bpf/docs: Update documentation for new cpumask kfuncs (Viktor Malik) [RHEL-9957]
- selftests/bpf: Update bpf_cpumask_any* tests to use bpf_cpumask_any_distribute* (Viktor Malik) [RHEL-9957]
- bpf: Replace bpf_cpumask_any* with bpf_cpumask_any_distribute* (Viktor Malik) [RHEL-9957]
- selftests/bpf: Add test for new bpf_cpumask_first_and() kfunc (Viktor Malik) [RHEL-9957]
- bpf: Add bpf_cpumask_first_and() kfunc (Viktor Malik) [RHEL-9957]
- bpf: Hide unused bpf_patch_call_args (Viktor Malik) [RHEL-9957]
- selftests/bpf: Fix invalid pointer check in get_xlated_program() (Viktor Malik) [RHEL-9957]
- selftests/bpf: Add missing prototypes for several test kfuncs (Viktor Malik) [RHEL-9957]
- bpf: Factor out a common helper free_all() (Viktor Malik) [RHEL-9957]
- selftests/bpf: Fix check_mtu using wrong variable type (Viktor Malik) [RHEL-9957]
- bpf: Cleanup unused function declaration (Viktor Malik) [RHEL-9957]
- selftests/bpf: Add missing selftests kconfig options (Viktor Malik) [RHEL-9957]
- selftests/bpf: Add test for non-NULLable PTR_TO_BTF_IDs (Viktor Malik) [RHEL-9957]
- bpf: Teach verifier that trusted PTR_TO_BTF_ID pointers are non-NULL (Viktor Malik) [RHEL-9957]
- bpf: Replace open code with for allocated object check (Viktor Malik) [RHEL-9957]
- selftests/bpf: Test table ID fib lookup BPF helper (Viktor Malik) [RHEL-9957]
- bpf: Add table ID to bpf_fib_lookup BPF helper (Viktor Malik) [RHEL-9957]
- bpf: Replace all non-returning strlcpy with strscpy (Viktor Malik) [RHEL-9957]
- bpf/tests: Use struct_size() (Viktor Malik) [RHEL-9957]
- selftests/bpf: Add a test where map key_type_id with decl_tag type (Viktor Malik) [RHEL-9957]
- bpf: Silence a warning in btf_type_id_size() (Viktor Malik) [RHEL-9957]
- bpf: Fix bad unlock balance on freeze_mutex (Viktor Malik) [RHEL-9957]
- libbpf: Ensure FD >= 3 during bpf_map__reuse_fd() (Viktor Malik) [RHEL-9957]
- libbpf: Ensure libbpf always opens files with O_CLOEXEC (Viktor Malik) [RHEL-9957]
- selftests/bpf: Check whether to run selftest (Viktor Malik) [RHEL-9957]
- libbpf: Change var type in datasec resize func (Viktor Malik) [RHEL-9957]
- bpf: drop unnecessary bpf_capable() check in BPF_MAP_FREEZE command (Viktor Malik) [RHEL-9957]
- libbpf: Selftests for resizing datasec maps (Viktor Malik) [RHEL-9957]
- libbpf: Add capability for resizing datasec maps (Viktor Malik) [RHEL-9957]
- selftests/bpf: Add path_fd-based BPF_OBJ_PIN and BPF_OBJ_GET tests (Viktor Malik) [RHEL-9957]
- libbpf: Add opts-based bpf_obj_pin() API and add support for path_fd (Viktor Malik) [RHEL-9957]
- bpf: Support O_PATH FDs in BPF_OBJ_PIN and BPF_OBJ_GET commands (Viktor Malik) [RHEL-9957]
- libbpf: Start v1.3 development cycle (Viktor Malik) [RHEL-9957]
- bpf: Validate BPF object in BPF_OBJ_PIN before calling LSM (Viktor Malik) [RHEL-9957]
- bpf: Add kfunc filter function to 'struct btf_kfunc_id_set' (Viktor Malik) [RHEL-9957]
- bpftool: Show target_{obj,btf}_id in tracing link info (Viktor Malik) [RHEL-9957]
- bpf: Show target_{obj,btf}_id in tracing link fdinfo (Viktor Malik) [RHEL-9957]
- selftests/bpf: Make bpf_dynptr_is_rdonly() prototyype consistent with kernel (Viktor Malik) [RHEL-9957]
- selftests/bpf: Fix dynptr/test_dynptr_is_null (Viktor Malik) [RHEL-9957]
- bpf: drop unnecessary user-triggerable WARN_ONCE in verifierl log (Viktor Malik) [RHEL-9957]
- bpf, docs: Shift operations are defined to use a mask (Viktor Malik) [RHEL-9957]
- selftests/bpf: Remove extern from kfuncs declarations (Viktor Malik) [RHEL-9957]
- selftests/bpf: Allow to use kfunc from testmod.ko in test_verifier (Viktor Malik) [RHEL-9957]
- selftests/bpf: Load bpf_testmod for verifier test (Viktor Malik) [RHEL-9957]
- selftests/bpf: Use un/load_bpf_testmod functions in tests (Viktor Malik) [RHEL-9957]
- selftests/bpf: Do not unload bpf_testmod in load_bpf_testmod (Viktor Malik) [RHEL-9957]
- selftests/bpf: Use only stdout in un/load_bpf_testmod functions (Viktor Malik) [RHEL-9957]
- selftests/bpf: Move test_progs helpers to testing_helpers object (Viktor Malik) [RHEL-9957]
- selftests/bpf: Move kfunc exports to bpf_testmod/bpf_testmod_kfunc.h (Viktor Malik) [RHEL-9957]
- libbpf: Store zero fd to fd_array for loader kfunc relocation (Viktor Malik) [RHEL-9957]
- bpftool: Support bpffs mountpoint as pin path for prog loadall (Viktor Malik) [RHEL-9957]
- selftests/bpf: Fix s390 sock_field test failure (Viktor Malik) [RHEL-9957]
- selftests/bpf: improve netcnt test robustness (Viktor Malik) [RHEL-9957]
- bpf: fix calculation of subseq_idx during precision backtracking (Viktor Malik) [RHEL-9957]
- bpf: Fix memleak due to fentry attach failure (Viktor Malik) [RHEL-9957]
- bpf: Remove bpf trampoline selector (Viktor Malik) [RHEL-9957]
- bpf, arm64: Support struct arguments in the BPF trampoline (Viktor Malik) [RHEL-9957]
- bpftool: JIT limited misreported as negative value on aarch64 (Viktor Malik) [RHEL-9957]
- bpf: Document EFAULT changes for sockopt (Viktor Malik) [RHEL-9957]
- selftests/bpf: Correctly handle optlen > 4096 (Viktor Malik) [RHEL-9957]
- selftests/bpf: Update EFAULT {g,s}etsockopt selftests (Viktor Malik) [RHEL-9957]
- bpf: Don't EFAULT for {g,s}setsockopt with wrong optlen (Viktor Malik) [RHEL-9957]
- libbpf: fix offsetof() and container_of() to work with CO-RE (Viktor Malik) [RHEL-9957]
- bpf: Address KCSAN report on bpf_lru_list (Viktor Malik) [RHEL-9957]
- bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25 (Viktor Malik) [RHEL-9957]
- selftests/bpf: Accept mem from dynptr in helper funcs (Viktor Malik) [RHEL-9957]
- bpf: verifier: Accept dynptr mem as mem in helpers (Viktor Malik) [RHEL-9957]
- selftests/bpf: Check overflow in optional buffer (Viktor Malik) [RHEL-9957]
- selftests/bpf: Test allowing NULL buffer in dynptr slice (Viktor Malik) [RHEL-9957]
- bpf: Allow NULL buffers in bpf_dynptr_slice(_rw) (Viktor Malik) [RHEL-9957]
- selftests/bpf: Add testcase for bpf_task_under_cgroup (Viktor Malik) [RHEL-9957]
- bpf: Add bpf_task_under_cgroup() kfunc (Viktor Malik) [RHEL-9957]
- samples/bpf: Fix buffer overflow in tcp_basertt (Viktor Malik) [RHEL-9957]
- bpf, docs: Update llvm_relocs.rst with typo fixes (Viktor Malik) [RHEL-9957]
- selftests/bpf: revert iter test subprog precision workaround (Viktor Malik) [RHEL-9957]
- selftests/bpf: add precision propagation tests in the presence of subprogs (Viktor Malik) [RHEL-9957]
- bpf: support precision propagation in the presence of subprogs (Viktor Malik) [RHEL-9957]
- bpf: fix mark_all_scalars_precise use in mark_chain_precision (Viktor Malik) [RHEL-9957]
- bpf: fix propagate_precision() logic for inner frames (Viktor Malik) [RHEL-9957]
- bpf: maintain bitmasks across all active frames in __mark_chain_precision (Viktor Malik) [RHEL-9957]
- bpf: improve precision backtrack logging (Viktor Malik) [RHEL-9957]
- bpf: encapsulate precision backtracking bookkeeping (Viktor Malik) [RHEL-9957]
- bpf: mark relevant stack slots scratched for register read instructions (Viktor Malik) [RHEL-9957]
- veristat: add -t flag for adding BPF_F_TEST_STATE_FREQ program flag (Viktor Malik) [RHEL-9957]
- bpf: Print a warning only if writing to unprivileged_bpf_disabled. (Viktor Malik) [RHEL-9957]
- bpf: Emit struct bpf_tcp_sock type in vmlinux BTF (Viktor Malik) [RHEL-9957]
- selftests/bpf: Test_progs can read test lists from file (Viktor Malik) [RHEL-9957]
- selftests/bpf: Extract insert_test from parse_test_list (Viktor Malik) [RHEL-9957]
- libbpf: btf_dump_type_data_check_overflow needs to consider BTF_MEMBER_BITFIELD_SIZE (Viktor Malik) [RHEL-9957]
- selftests/bpf: Add fexit_sleep to DENYLIST.aarch64 (Viktor Malik) [RHEL-9957]
- selftests/bpf: Fix selftest test_global_funcs/global_func1 failure with latest clang (Viktor Malik) [RHEL-9957]
- bpftool: Dump map id instead of value for map_of_maps types (Viktor Malik) [RHEL-9957]
- selftests/bpf: Update the aarch64 tests deny list (Viktor Malik) [RHEL-9957]
- bpftool: Show map IDs along with struct_ops links. (Viktor Malik) [RHEL-9957]
- docs/bpf: Add LRU internals description and graph (Viktor Malik) [RHEL-9957]
- docs/bpf: Add table to describe LRU properties (Viktor Malik) [RHEL-9957]
- selftests/bpf: Add test case to assert precise scalar path pruning (Viktor Malik) [RHEL-9957]
- selftests/bpf: Add tests for dynptr convenience helpers (Viktor Malik) [RHEL-9957]
- bpf: Add bpf_dynptr_clone (Viktor Malik) [RHEL-9957]
- bpf: Add bpf_dynptr_size (Viktor Malik) [RHEL-9957]
- bpf: Add bpf_dynptr_is_null and bpf_dynptr_is_rdonly (Viktor Malik) [RHEL-9957]
- bpf: Add bpf_dynptr_adjust (Viktor Malik) [RHEL-9957]
- Add CONFIG_ADDRESS_MASKING to RHEL configs collection (Chris von Recklinghausen) [RHEL-11561]
- selftests/x86/lam: Zero out buffer for readlink() (Chris von Recklinghausen) [RHEL-11561]
- x86/mm: Remove unused current_untag_mask() (Chris von Recklinghausen) [RHEL-11561]
- tools headers disabled-features: Sync with the kernel sources (Chris von Recklinghausen) [RHEL-11561]
- tools headers UAPI: Sync arch prctl headers with the kernel sources (Chris von Recklinghausen) [RHEL-11561]
- x86: mm: remove 'sign' games from LAM untagged_addr*() macros (Chris von Recklinghausen) [RHEL-11561]
- x86: uaccess: move 32-bit and 64-bit parts into proper <asm/uaccess_N.h> header (Chris von Recklinghausen) [RHEL-11561]
- x86-64: make access_ok() independent of LAM (Chris von Recklinghausen) [RHEL-11561]
- x86/mm/iommu/sva: Do not allow to set FORCE_TAGGED_SVA bit from outside (Chris von Recklinghausen) [RHEL-11561]
- x86/mm/iommu/sva: Fix error code for LAM enabling failure due to SVA (Chris von Recklinghausen) [RHEL-11561]
- selftests/x86/lam: Add test cases for LAM vs thread creation (Chris von Recklinghausen) [RHEL-11561]
- selftests/x86/lam: Add ARCH_FORCE_TAGGED_SVA test cases for linear-address masking (Chris von Recklinghausen) [RHEL-11561]
- selftests/x86/lam: Add inherit test cases for linear-address masking (Chris von Recklinghausen) [RHEL-11561]
- selftests/x86/lam: Add io_uring test cases for linear-address masking (Chris von Recklinghausen) [RHEL-11561]
- selftests/x86/lam: Add mmap and SYSCALL test cases for linear-address masking (Chris von Recklinghausen) [RHEL-11561]
- selftests/x86/lam: Add malloc and tag-bits test cases for linear-address masking (Chris von Recklinghausen) [RHEL-11561]
- x86/mm/iommu/sva: Make LAM and SVA mutually exclusive (Chris von Recklinghausen) [RHEL-11561]
- mm: Expose untagging mask in /proc/$PID/status (Chris von Recklinghausen) [RHEL-11561]
- x86/mm: Provide arch_prctl() interface for LAM (Chris von Recklinghausen) [RHEL-11561]
- x86/mm: Reduce untagged_addr() overhead for systems without LAM (Chris von Recklinghausen) [RHEL-11561]
- x86/uaccess: Provide untagged_addr() and remove tags before address check (Chris von Recklinghausen) [RHEL-11561]
- mm: Introduce untagged_addr_remote() (Chris von Recklinghausen) [RHEL-11561]
- x86/mm: Handle LAM on context switch (Chris von Recklinghausen) [RHEL-11561]
- x86: CPUID and CR3/CR4 flags for Linear Address Masking (Chris von Recklinghausen) [RHEL-11561]
- x86: Allow atomic MM_CONTEXT flags setting (Chris von Recklinghausen) [RHEL-11561]
- x86/mm: Rework address range check in get_user() and put_user() (Chris von Recklinghausen) [RHEL-11561]
- mm: export dump_mm() (Chris von Recklinghausen) [RHEL-11561]
- tools headers cpufeatures: Sync with the kernel sources (Chris von Recklinghausen) [RHEL-11561]
- tools headers UAPI: Sync x86 arch prctl headers with the kernel sources (Chris von Recklinghausen) [RHEL-11561]
Resolves: RHEL-11561, RHEL-11592, RHEL-13964, RHEL-14233, RHEL-14364, RHEL-14517, RHEL-14526, RHEL-14554, RHEL-14698, RHEL-14731, RHEL-15036, RHEL-15192, RHEL-15262, RHEL-9867, RHEL-9868, RHEL-9957

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-11-21 07:43:50 +01:00
Jan Stancek
38637013d3 kernel-5.14.0-387.el9
* Sun Nov 19 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-387.el9]
- iommu: Avoid unnecessary cache invalidations (Jerry Snitselaar) [RHEL-10094]
- mm: slab: Do not create kmalloc caches smaller than arch_slab_minalign() (Jerry Snitselaar) [RHEL-10094]
- swiotlb: make io_tlb_default_mem local to swiotlb.c (Jerry Snitselaar) [RHEL-10094]
- swiotlb: optimize get_max_slots() (Jerry Snitselaar) [RHEL-10094]
- swiotlb: use the calculated number of areas (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Avoid memory allocation in iommu_suspend() (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu-v3: Avoid constructing invalid range commands (Jerry Snitselaar) [RHEL-10094]
- iommu: Remove kernel-doc warnings (Jerry Snitselaar) [RHEL-10094]
- iommu: Avoid locking/unlocking for iommu_probe_device() (Jerry Snitselaar) [RHEL-10094]
- iommu: Split iommu_group_add_device() (Jerry Snitselaar) [RHEL-10094]
- iommu: Always destroy the iommu_group during iommu_release_device() (Jerry Snitselaar) [RHEL-10094]
- iommu: Do not export iommu_device_link/unlink() (Jerry Snitselaar) [RHEL-10094]
- iommu: Move the iommu driver sysfs setup into iommu_init/deinit_device() (Jerry Snitselaar) [RHEL-10094]
- iommu: Add iommu_init/deinit_device() paired functions (Jerry Snitselaar) [RHEL-10094]
- iommu: Simplify the __iommu_group_remove_device() flow (Jerry Snitselaar) [RHEL-10094]
- iommu: Inline iommu_group_get_for_dev() into __iommu_probe_device() (Jerry Snitselaar) [RHEL-10094]
- iommu: Use iommu_group_ref_get/put() for dev->iommu_group (Jerry Snitselaar) [RHEL-10094]
- iommu: Have __iommu_probe_device() check for already probed devices (Jerry Snitselaar) [RHEL-10094]
- iommu/amd: Rearrange DTE bit definations (Jerry Snitselaar) [RHEL-10094]
- iommu/amd: Remove unsued extern declaration amd_iommu_init_hardware() (Jerry Snitselaar) [RHEL-10094]
- iommu/amd: Enable PPR/GA interrupt after interrupt handler setup (Jerry Snitselaar) [RHEL-3655]
- iommu/amd: Consolidate PPR log enablement (Jerry Snitselaar) [RHEL-3655]
- iommu/amd: Disable PPR log/interrupt in iommu_disable() (Jerry Snitselaar) [RHEL-3655]
- iommu/amd: Enable separate interrupt for PPR and GA log (Jerry Snitselaar) [RHEL-3655]
- iommu/amd: Refactor IOMMU interrupt handling logic for Event, PPR, and GA logs (Jerry Snitselaar) [RHEL-3655]
- iommu/amd: Handle PPR log overflow (Jerry Snitselaar) [RHEL-3655]
- iommu/amd: Generalize log overflow handling (Jerry Snitselaar) [RHEL-3655]
- iommu/vt-d: Fix to convert mm pfn to dma pfn (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Fix to flush cache of PASID directory table (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Remove rmrr check in domain attaching device path (Jerry Snitselaar) [RHEL-10094]
- iommu: Prevent RESV_DIRECT devices from blocking domains (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Add set_dev_pasid callback for dma domain (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Prepare for set_dev_pasid callback (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Make prq draining code generic (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Remove pasid_mutex (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Add domain_flush_pasid_iotlb() (Jerry Snitselaar) [RHEL-10094]
- iommu: Move global PASID allocation from SVA to core (Jerry Snitselaar) [RHEL-10094]
- iommu: Generalize PASID 0 for normal DMA w/o PASID (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu-qcom: Add SM6375 SMMUv2 (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu-qcom: Add SM6350 DPU compatible (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu-qcom: Add SM6375 DPU compatible (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu-qcom: Sort the compatible list alphabetically (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu-v3: Change vmid alloc strategy from bitmap to ida (Jerry Snitselaar) [RHEL-10094]
- iommu/ipmmu-vmsa: Allow PCIe devices (Jerry Snitselaar) [RHEL-10094]
- iommu/ipmmu-vmsa: Convert to read_poll_timeout_atomic() (Jerry Snitselaar) [RHEL-10094]
- iommufd/selftest: Don't leak the platform device memory when unloading the module (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Implement hw_info for iommu capability query (Jerry Snitselaar) [RHEL-10094]
- iommufd/selftest: Add coverage for IOMMU_GET_HW_INFO ioctl (Jerry Snitselaar) [RHEL-10094]
- iommufd: Add IOMMU_GET_HW_INFO (Jerry Snitselaar) [RHEL-10094]
- iommu: Add new iommu op to get iommu hardware information (Jerry Snitselaar) [RHEL-10094]
- iommu: Move dev_iommu_ops() to private header (Jerry Snitselaar) [RHEL-10094]
- iommufd: Remove iommufd_ref_to_users() (Jerry Snitselaar) [RHEL-10094]
- iommufd/selftest: Make the mock iommu driver into a real driver (Jerry Snitselaar) [RHEL-10094]
- iommufd/selftest: Add IOMMU_TEST_OP_ACCESS_REPLACE_IOAS coverage (Jerry Snitselaar) [RHEL-10094]
- iommufd: Add iommufd_access_replace() API (Jerry Snitselaar) [RHEL-10094]
- iommufd: Use iommufd_access_change_ioas in iommufd_access_destroy_object (Jerry Snitselaar) [RHEL-10094]
- iommufd: Add iommufd_access_change_ioas(_id) helpers (Jerry Snitselaar) [RHEL-10094]
- iommufd: Allow passing in iopt_access_list_id to iopt_remove_access() (Jerry Snitselaar) [RHEL-10094]
- iommufd/selftest: Add a selftest for IOMMU_HWPT_ALLOC (Jerry Snitselaar) [RHEL-10094]
- iommufd/selftest: Return the real idev id from selftest mock_domain (Jerry Snitselaar) [RHEL-10094]
- iommufd: Add IOMMU_HWPT_ALLOC (Jerry Snitselaar) [RHEL-10094]
- iommufd/selftest: Test iommufd_device_replace() (Jerry Snitselaar) [RHEL-10094]
- iommufd: Make destroy_rwsem use a lock class per object type (Jerry Snitselaar) [RHEL-10094]
- iommufd: Add iommufd_device_replace() (Jerry Snitselaar) [RHEL-10094]
- iommu: Introduce a new iommu_group_replace_domain() API (Jerry Snitselaar) [RHEL-10094]
- iommufd: Reorganize iommufd_device_attach into iommufd_device_change_pt (Jerry Snitselaar) [RHEL-10094]
- iommufd: Fix locking around hwpt allocation (Jerry Snitselaar) [RHEL-10094]
- iommufd: Allow a hwpt to be aborted after allocation (Jerry Snitselaar) [RHEL-10094]
- iommufd: Add enforced_cache_coherency to iommufd_hw_pagetable_alloc() (Jerry Snitselaar) [RHEL-10094]
- iommufd: Move putting a hwpt to a helper function (Jerry Snitselaar) [RHEL-10094]
- iommufd: Make sw_msi_start a group global (Jerry Snitselaar) [RHEL-10094]
- iommufd: Use the iommufd_group to avoid duplicate MSI setup (Jerry Snitselaar) [RHEL-10094]
- iommufd: Keep track of each device's reserved regions instead of groups (Jerry Snitselaar) [RHEL-10094]
- iommu: Export iommu_get_resv_regions() (Jerry Snitselaar) [RHEL-10094]
- iommufd: Replace the hwpt->devices list with iommufd_group (Jerry Snitselaar) [RHEL-10094]
- iommufd: Add iommufd_group (Jerry Snitselaar) [RHEL-10094]
- iommufd: Move isolated msi enforcement to iommufd_device_bind() (Jerry Snitselaar) [RHEL-10094]
- dma-pool: remove a __maybe_unused label in atomic_pool_expand (Jerry Snitselaar) [RHEL-10094]
- dma-debug: don't call __dma_entry_alloc_check_leak() under free_entries_lock (Jerry Snitselaar) [RHEL-10094]
- swiotlb: bail out of swiotlb_init_late() if swiotlb is already allocated (Jerry Snitselaar) [RHEL-10094]
- dma-mapping: move arch_dma_set_mask() declaration to header (Jerry Snitselaar) [RHEL-10094]
- swiotlb: unexport is_swiotlb_active (Jerry Snitselaar) [RHEL-10094]
- iommufd: Set end correctly when doing batch carry (Jerry Snitselaar) [RHEL-10094]
- iommufd: IOMMUFD_DESTROY should not increase the refcount (Jerry Snitselaar) [RHEL-10094]
- iommu/sva: Fix signedness bug in iommu_sva_alloc_pasid() (Jerry Snitselaar) [RHEL-10094]
- iommu: Fix crash during syfs iommu_groups/N/type (Jerry Snitselaar) [RHEL-10094]
- drm/nouveau: stop using is_swiotlb_active (Jerry Snitselaar) [RHEL-10094]
- swiotlb: use the atomic counter of total used slabs if available (Jerry Snitselaar) [RHEL-10094]
- swiotlb: remove unused field "used" from struct io_tlb_mem (Jerry Snitselaar) [RHEL-10094]
- dma-remap: use kvmalloc_array/kvfree for larger dma memory remap (Jerry Snitselaar) [RHEL-10094]
- dma-mapping: fix a Kconfig typo (Jerry Snitselaar) [RHEL-10094]
- iommufd: Do not access the area pointer after unlocking (Jerry Snitselaar) [RHEL-10094]
- iommu/amd: Remove extern from function prototypes (Jerry Snitselaar) [RHEL-10094]
- iommu/amd: Use BIT/BIT_ULL macro to define bit fields (Jerry Snitselaar) [RHEL-10094]
- iommu/amd: Fix compile error for unused function (Jerry Snitselaar) [RHEL-10026]
- iommu/amd: Improving Interrupt Remapping Table Invalidation (Jerry Snitselaar) [RHEL-10026]
- iommu/amd: Do not Invalidate IRT when IRTE caching is disabled (Jerry Snitselaar) [RHEL-10026]
- iommu/amd: Introduce Disable IRTE Caching Support (Jerry Snitselaar) [RHEL-10026]
- iommu/amd: Remove the unused struct amd_ir_data.ref (Jerry Snitselaar) [RHEL-10026]
- iommu/amd: Switch amd_iommu_update_ga() to use modify_irte_ga() (Jerry Snitselaar) [RHEL-10026]
- iommu/amd: Update copyright notice (Jerry Snitselaar) [RHEL-10094]
- iommu/amd: Use page mode macros in fetch_pte() (Jerry Snitselaar) [RHEL-10094]
- iommu: Tidy the control flow in iommu_group_store_type() (Jerry Snitselaar) [RHEL-10094]
- iommu: Remove __iommu_group_for_each_dev() (Jerry Snitselaar) [RHEL-10094]
- iommu: Allow IOMMU_RESV_DIRECT to work on ARM (Jerry Snitselaar) [RHEL-10094]
- iommu: Consolidate the default_domain setup to one function (Jerry Snitselaar) [RHEL-10094]
- iommu: Revise iommu_group_alloc_default_domain() (Jerry Snitselaar) [RHEL-10094]
- iommu: Consolidate the code to calculate the target default domain type (Jerry Snitselaar) [RHEL-10094]
- iommu: Remove the assignment of group->domain during default domain alloc (Jerry Snitselaar) [RHEL-10094]
- iommu: Do iommu_group_create_direct_mappings() before attach (Jerry Snitselaar) [RHEL-10094]
- iommu: Fix iommu_probe_device() to attach the right domain (Jerry Snitselaar) [RHEL-10094]
- iommu: Replace iommu_group_do_dma_first_attach with __iommu_device_set_domain (Jerry Snitselaar) [RHEL-10094]
- iommu: Remove iommu_group_do_dma_first_attach() from iommu_group_add_device() (Jerry Snitselaar) [RHEL-10094]
- iommu: Replace __iommu_group_dma_first_attach() with set_domain (Jerry Snitselaar) [RHEL-10094]
- iommu: Use __iommu_group_set_domain() in iommu_change_dev_def_domain() (Jerry Snitselaar) [RHEL-10094]
- iommu: Use __iommu_group_set_domain() for __iommu_attach_group() (Jerry Snitselaar) [RHEL-10094]
- iommu: Make __iommu_group_set_domain() handle error unwind (Jerry Snitselaar) [RHEL-10094]
- iommu: Add for_each_group_device() (Jerry Snitselaar) [RHEL-10094]
- iommu: Replace iommu_group_device_count() with list_count_nodes() (Jerry Snitselaar) [RHEL-10094]
- iommu: Suppress empty whitespaces in prints (Jerry Snitselaar) [RHEL-10094]
- iommu: Use flush queue capability (Jerry Snitselaar) [RHEL-10094]
- iommu: Add a capability for flush queue support (Jerry Snitselaar) [RHEL-10094]
- iommu/iova: Optimize iova_magazine_alloc() (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Remove commented-out code (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Remove two WARN_ON in domain_context_mapping_one() (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Handle the failure case of dmar_reenable_qi() (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Remove unnecessary (void*) conversions (Jerry Snitselaar) [RHEL-10094]
- iommu/virtio: Return size mapped for a detached domain (Jerry Snitselaar) [RHEL-10094]
- iommu/virtio: Detach domain on endpoint release (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu-v3: Set TTL invalidation hint better (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu-v3: Document nesting-related errata (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu-v3: Add explicit feature for nesting (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu-v3: Document MMU-700 erratum 2812531 (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu-v3: Work around MMU-600 erratum 1076982 (Jerry Snitselaar) [RHEL-10094]
- iommu/dma: force bouncing if the size is not cacheline-aligned (Jerry Snitselaar) [RHEL-10094]
- dma-mapping: force bouncing if the kmalloc() size is not cache-line-aligned (Jerry Snitselaar) [RHEL-10094]
- powerpc: move the ARCH_DMA_MINALIGN definition to asm/cache.h (Jerry Snitselaar) [RHEL-10094]
- arm64: enable ARCH_WANT_KMALLOC_DMA_BOUNCE for arm64 (Jerry Snitselaar) [RHEL-10094]
- mm: slab: reduce the kmalloc() minimum alignment if DMA bouncing possible (Jerry Snitselaar) [RHEL-10094]
- arm64: allow kmalloc() caches aligned to the smaller cache_line_size() (Jerry Snitselaar) [RHEL-10094]
- dm-crypt: use ARCH_DMA_MINALIGN instead of ARCH_KMALLOC_MINALIGN (Jerry Snitselaar) [RHEL-10094]
- drivers/spi: use ARCH_DMA_MINALIGN instead of ARCH_KMALLOC_MINALIGN (Jerry Snitselaar) [RHEL-10094]
- drivers/usb: use ARCH_DMA_MINALIGN instead of ARCH_KMALLOC_MINALIGN (Jerry Snitselaar) [RHEL-10094]
- drivers/gpu: use ARCH_DMA_MINALIGN instead of ARCH_KMALLOC_MINALIGN (Jerry Snitselaar) [RHEL-10094]
- drivers/base: use ARCH_DMA_MINALIGN instead of ARCH_KMALLOC_MINALIGN (Jerry Snitselaar) [RHEL-10094]
- mm/slab: limit kmalloc() minimum alignment to dma_get_cache_alignment() (Jerry Snitselaar) [RHEL-10094]
- mm/slab: simplify create_kmalloc_cache() args and make it static (Jerry Snitselaar) [RHEL-10094]
- dma: allow dma_get_cache_alignment() to be overridden by the arch code (Jerry Snitselaar) [RHEL-10094]
- mm/slab: decouple ARCH_KMALLOC_MINALIGN from ARCH_DMA_MINALIGN (Jerry Snitselaar) [RHEL-10094]
- mm: slab: fix comment for ARCH_KMALLOC_MINALIGN (Jerry Snitselaar) [RHEL-10094]
- dma-mapping: name SG DMA flag helpers consistently (Jerry Snitselaar) [RHEL-10094]
- scatterlist: add dedicated config for DMA flags (Jerry Snitselaar) [RHEL-10094]
- iommu/amd/pgtbl_v2: Fix domain max address (Jerry Snitselaar) [RHEL-10007]
- iommu/amd: Handle GALog overflows (Jerry Snitselaar) [RHEL-10094]
- iommu: Make IPMMU_VMSA dependencies more strict (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu-qcom: Fix missing adreno_smmu's (Jerry Snitselaar) [RHEL-10094]
- rhel: configs: Clean up s390 IOMMU configs that no longer exist (Jerry Snitselaar) [RHEL-10094]
- s390/iommu: get rid of S390_CCW_IOMMU and S390_AP_IOMMU (Jerry Snitselaar) [RHEL-10094]
- iommu: Spelling s/cpmxchg64/cmpxchg64/ (Jerry Snitselaar) [RHEL-10094]
- iommu/ipmmu-vmsa: Convert to platform remove callback returning void (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu: Convert to platform remove callback returning void (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu-v3: Convert to platform remove callback returning void (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu: Drop if with an always false condition (Jerry Snitselaar) [RHEL-10094]
- iommu: Remove iommu_group_get_by_id() (Jerry Snitselaar) [RHEL-10094]
- iommu: Make iommu_release_device() static (Jerry Snitselaar) [RHEL-10094]
- iommu: Remove ioasid infrastructure (Jerry Snitselaar) [RHEL-10094]
- iommu/ioasid: Rename INVALID_IOASID (Jerry Snitselaar) [RHEL-10094]
- iommu/sva: Use GFP_KERNEL for pasid allocation (Jerry Snitselaar) [RHEL-10094]
- iommu/sva: Stop using ioasid_set for SVA (Jerry Snitselaar) [RHEL-10094]
- iommu/sva: Remove PASID to mm lookup function (Jerry Snitselaar) [RHEL-10094]
- iommu/sva: Move PASID helpers to sva code (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Remove virtual command interface (Jerry Snitselaar) [RHEL-10094]
- iommu: Use sysfs_emit() for sysfs show (Jerry Snitselaar) [RHEL-10094]
- iommu: Cleanup iommu_change_dev_def_domain() (Jerry Snitselaar) [RHEL-10094]
- iommu: Replace device_lock() with group->mutex (Jerry Snitselaar) [RHEL-10094]
- iommu: Move lock from iommu_change_dev_def_domain() to its caller (Jerry Snitselaar) [RHEL-10094]
- iommu: Same critical region for device release and removal (Jerry Snitselaar) [RHEL-10094]
- iommu: Split iommu_group_remove_device() into helpers (Jerry Snitselaar) [RHEL-10094]
- iommu/ipmmu-vmsa: Call arm_iommu_release_mapping() in release path (Jerry Snitselaar) [RHEL-10094]
- iommu: Use of_property_present() for testing DT property presence (Jerry Snitselaar) [RHEL-10094]
- iommu: Make kobj_type structure constant (Jerry Snitselaar) [RHEL-10094]
- iommu/amd: Add 5 level guest page table support (Jerry Snitselaar) [RHEL-10042]
- iommu/amd: Allocate IOMMU irqs using numa locality info (Jerry Snitselaar) [RHEL-10094]
- iommu/amd: Allocate page table using numa locality info (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Remove BUG_ON in dmar_insert_dev_scope() (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Remove a useless BUG_ON(dev->is_virtfn) (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Remove BUG_ON in map/unmap() (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Remove BUG_ON when domain->pgd is NULL (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Remove BUG_ON in handling iotlb cache invalidation (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Remove BUG_ON on checking valid pfn range (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Make size of operands same in bitwise operations (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Remove PASID supervisor request support (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Use non-privileged mode for all PASIDs (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Remove extern from function prototypes (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Do not use GFP_ATOMIC when not needed (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Remove unnecessary checks in iopf disabling path (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Move PRI handling to IOPF feature path (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Move pfsid and ats_qdep calculation to device probe path (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Move iopf code from SVA to IOPF enabling path (Jerry Snitselaar) [RHEL-10094]
- iommu/vt-d: Allow SVA with device-specific IOPF (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu-v3: Acknowledge pri/event queue overflow if any (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu-qcom: Limit the SMR groups to 128 (Jerry Snitselaar) [RHEL-10094]
- iommu/arm-smmu-v3: Explain why ATS stays disabled with bypass (Jerry Snitselaar) [RHEL-10094]
- iommu/ipmmu-vmsa: remove R-Car H3 ES1.* handling (Jerry Snitselaar) [RHEL-10094]
- swiotlb: Omit total_used and used_hiwater if !CONFIG_DEBUG_FS (Jerry Snitselaar) [RHEL-10094]
- swiotlb: track and report io_tlb_used high water marks in debugfs (Jerry Snitselaar) [RHEL-10094]
- swiotlb: fix debugfs reporting of reserved memory pools (Jerry Snitselaar) [RHEL-10094]
- swiotlb: relocate PageHighMem test away from rmem_swiotlb_setup (Jerry Snitselaar) [RHEL-10094]
- dma-mapping: provide CONFIG_ARCH_DMA_DEFAULT_COHERENT (Jerry Snitselaar) [RHEL-10094]
- dma-mapping: provide a fallback dma_default_coherent (Jerry Snitselaar) [RHEL-10094]
- dma-debug: Use %%pa to format phys_addr_t (Jerry Snitselaar) [RHEL-10094]
- dma-debug: add cacheline to user/kernel space dump messages (Jerry Snitselaar) [RHEL-10094]
- dma-debug: small dma_debug_entry's comment and variable name updates (Jerry Snitselaar) [RHEL-10094]
- dma-direct: cleanup parameters to dma_direct_optimal_gfp_mask (Jerry Snitselaar) [RHEL-10094]
- iommu/sva: Replace pasid_valid() helper with mm_valid_pasid() (Jerry Snitselaar) [RHEL-10094]
- dma-mapping: benchmark: remove MODULE_LICENSE in non-modules (Jerry Snitselaar) [RHEL-10094]
- iommufd/selftest: Cover domain unmap with huge pages and access (Jerry Snitselaar) [RHEL-10094]
- iommufd/selftest: Set varaiable mock_iommu_device storage-class-specifier to static (Jerry Snitselaar) [RHEL-10094]
- iommufd/selftest: Catch overflow of uptr and length (Jerry Snitselaar) [RHEL-10094]
- iommufd/selftest: Add a selftest for iommufd_device_attach() with a hwpt argument (Jerry Snitselaar) [RHEL-10094]
- iommufd/selftest: Make selftest create a more complete mock device (Jerry Snitselaar) [RHEL-10094]
- iommufd/selftest: Rename the remaining mock device_id's to stdev_id (Jerry Snitselaar) [RHEL-10094]
- iommufd/selftest: Rename domain_id to hwpt_id for FIXTURE iommufd_mock_domain (Jerry Snitselaar) [RHEL-10094]
- iommufd/selftest: Rename domain_id to stdev_id for FIXTURE iommufd_ioas (Jerry Snitselaar) [RHEL-10094]
- iommufd/selftest: Rename the sefltest 'device_id' to 'stdev_id' (Jerry Snitselaar) [RHEL-10094]
- iommufd: Make iommufd_hw_pagetable_alloc() do iopt_table_add_domain() (Jerry Snitselaar) [RHEL-10094]
- iommufd: Move iommufd_device to iommufd_private.h (Jerry Snitselaar) [RHEL-10094]
- iommufd: Move ioas related HWPT destruction into iommufd_hw_pagetable_destroy() (Jerry Snitselaar) [RHEL-10094]
- iommufd: Consistently manage hwpt_item (Jerry Snitselaar) [RHEL-10094]
- iommufd: Add iommufd_lock_obj() around the auto-domains hwpts (Jerry Snitselaar) [RHEL-10094]
- iommufd: Assert devices_lock for iommufd_hw_pagetable_has_group() (Jerry Snitselaar) [RHEL-10094]
- iommu/amd: Set page size bitmap during V2 domain allocation (Jerry Snitselaar) [RHEL-10007]
- iommu/amd: Fix sparse warning (Jerry Snitselaar) [RHEL-10007]
- iommu/amd: Remove outdated comment (Jerry Snitselaar) [RHEL-10007]
- iommu/amd: Add command-line option to enable different page table (Jerry Snitselaar) [RHEL-10007]
- iommu/amd: Add support for using AMD IOMMU v2 page table for DMA-API (Jerry Snitselaar) [RHEL-10007]
- iommu/amd: Add support for Guest IO protection (Jerry Snitselaar) [RHEL-10007]
- iommu/amd: Initial support for AMD IOMMU v2 page table (Jerry Snitselaar) [RHEL-10007]
- iommu/amd: Update sanity check when enable PRI/ATS for IOMMU v1 table (Jerry Snitselaar) [RHEL-10007]
- iommu/amd: Refactor amd_iommu_domain_enable_v2 to remove locking (Jerry Snitselaar) [RHEL-10007]
- iommu/ipmmu-vmsa: Remove ipmmu_utlb_disable() (Jerry Snitselaar) [RHEL-10094]
- iommu: Remove detach_dev callbacks (Jerry Snitselaar) [RHEL-10094]
- iommu/ipmmu-vmsa: Update to {map,unmap}_pages (Jerry Snitselaar) [RHEL-10094]
- iommu/ipmmu-vmsa: Clean up bus_set_iommu() (Jerry Snitselaar) [RHEL-10094]
- iommu/ipmmu-vmsa: Fix compatible for rcar-gen4 (Jerry Snitselaar) [RHEL-10094]
- iommu/ipmmu-vmsa: Add support for R-Car Gen4 (Jerry Snitselaar) [RHEL-10094]
- iommu/ipmmu-vmsa: Check for error num after setting mask (Jerry Snitselaar) [RHEL-10094]
- iommu/ipmmu-vmsa: Hook up r8a77980 DT matching code (Jerry Snitselaar) [RHEL-10094]
- iommu/ipmmu-vmsa: Add support for r8a779a0 (Jerry Snitselaar) [RHEL-10094]
- iommu/ipmmu-vmsa: Drop IOVA cookie management (Jerry Snitselaar) [RHEL-10094]
- x86_64: Remove pointless set_64bit() usage (Jerry Snitselaar) [RHEL-10094]
- iommu/amd: Fix possible memory leak of 'domain' (Jerry Snitselaar) [RHEL-800]
- iommu/amd/iommu_v2: Clear pasid state in free path (Jerry Snitselaar) [2223717]
- iommu/amd/iommu_v2: Fix pasid_state refcount dec hit 0 warning on pasid unbind (Jerry Snitselaar) [2223717]
- iommu/amd: Fix DTE_IRQ_PHYS_ADDR_MASK macro (Jerry Snitselaar) [RHEL-800]
- iommu/amd: Fix domain flush size when syncing iotlb (Jerry Snitselaar) [RHEL-800]
- iommu/amd: Add missing domain type checks (Jerry Snitselaar) [RHEL-800]
- iommu/amd: Don't block updates to GATag if guest mode is on (Jerry Snitselaar) [RHEL-800]
- iommu/amd: Fix "Guest Virtual APIC Table Root Pointer" configuration in IRTE (Jerry Snitselaar) [RHEL-800]
- swiotlb: reduce the number of areas to match actual memory pool size (Jerry Snitselaar) [RHEL-800]
- swiotlb: always set the number of areas before allocating the pool (Jerry Snitselaar) [RHEL-800]
- redhat: Enable -Werror for s390x and ppc64le, too (Thomas Huth) [RHEL-12454]
- cachestat: wire up cachestat for other architectures (Thomas Huth) [RHEL-12454]
- genirq: Allow irq_chip registration functions to take a const irq_chip (Thomas Huth) [RHEL-12454]
- scsi: ibmvscsi: Avoid multi-field memset() overflow by aiming at srp (Thomas Huth) [RHEL-12454]
- uaccess: fix type mismatch warnings from access_ok() (Thomas Huth) [RHEL-12454]
- s390/con3270: use proper type for tasklet function (Thomas Huth) [RHEL-12454]
- net/smc: Remove left-over label and code from a previous incomplete backport (Thomas Huth) [RHEL-12454]
- KVM: s390: add tracepoint in gmap notifier (Thomas Huth) [RHEL-11438]
- KVM: s390: add stat counter for shadow gmap events (Thomas Huth) [RHEL-11438]
- powerpc/pseries: Honour current SMT state when DLPAR onlining CPUs (Mamatha Inamdar) [RHEL-5838]
- powerpc: Add HOTPLUG_SMT support (Mamatha Inamdar) [RHEL-5838]
- powerpc/pseries: Initialise CPU hotplug callbacks earlier (Mamatha Inamdar) [RHEL-5838]
- cpu/SMT: Allow enabling partial SMT states via sysfs (Mamatha Inamdar) [RHEL-5838]
- cpu/SMT: Create topology_smt_thread_allowed() (Mamatha Inamdar) [RHEL-5838]
- cpu/SMT: Remove topology_smt_supported() (Mamatha Inamdar) [RHEL-5838]
- cpu/SMT: Store the current/max number of threads (Mamatha Inamdar) [RHEL-5838]
- cpu/SMT: Move smt/control simple exit cases earlier (Mamatha Inamdar) [RHEL-5838]
- cpu/SMT: Move SMT prototypes into cpu_smt.h (Mamatha Inamdar) [RHEL-5838]
- hwmon: (ina3221) Add support for channel summation disable (Steve Best) [RHEL-1899]
- virtchnl: Add header dependencies (Ivan Vecera) [RHEL-15261]
- virtchnl: Add CRC stripping capability (Ivan Vecera) [RHEL-15261]
- virtchnl: fix fake 1-elem arrays for structures allocated as `nents` (Ivan Vecera) [RHEL-15261]
- virtchnl: fix fake 1-elem arrays in structures allocated as `nents + 1` (Ivan Vecera) [RHEL-15261]
- virtchnl: fix fake 1-elem arrays in structs allocated as `nents + 1` - 1 (Ivan Vecera) [RHEL-15261]
- virtchnl: do structure hardening (Ivan Vecera) [RHEL-15261]
- virtchnl: update header and increase header clarity (Ivan Vecera) [RHEL-15261]
- virtchnl: remove unused structure declaration (Ivan Vecera) [RHEL-15261]
- powerpc/iommu: TCEs are incorrectly manipulated with DLPAR add/remove of memory (Mamatha Inamdar) [RHEL-11213]
- powerpc/iommu: Incorrect DDW Table is referenced for SR-IOV device (Mamatha Inamdar) [RHEL-11213]
- powerpc/iommu: DMA address offset is incorrectly calculated with 2MB TCEs (Mamatha Inamdar) [RHEL-11213]
- powerpc/iommu: fix memory leak with using debugfs_lookup() (Mamatha Inamdar) [RHEL-11213]
- powerpc/iommu: Fix iommu_table_in_use for a small default DMA window case (Mamatha Inamdar) [RHEL-11213]
- pseries/iommu/ddw: Fix kdump to work in absence of ibm,dma-window (Mamatha Inamdar) [RHEL-11213]
- powerpc/pseries/iommu: Print ibm,query-pe-dma-windows parameters (Mamatha Inamdar) [RHEL-11213]
- powerpc/pseries/ddw: Do not try direct mapping with persistent memory and one window (Mamatha Inamdar) [RHEL-11213]
- powerpc/pseries/ddw: simplify enable_ddw() (Mamatha Inamdar) [RHEL-11213]
- powerpc/pseries/iommu: Create huge DMA window if no MMIO32 is present (Mamatha Inamdar) [RHEL-11213]
- powerpc/pseries/iommu: Check if the default window in use before removing it (Mamatha Inamdar) [RHEL-11213]
- powerpc/pseries/iommu: Use correct vfree for it_map (Mamatha Inamdar) [RHEL-11213]
- powerpc/pseries/iommu: Add of_node_put() before break (Mamatha Inamdar) [RHEL-11213]
- powerpc/pseries/iommu: Rename "direct window" to "dma window" (Mamatha Inamdar) [RHEL-11213]
- powerpc/pseries/iommu: Make use of DDW for indirect mapping (Mamatha Inamdar) [RHEL-11213]
- powerpc/pseries/iommu: Find existing DDW with given property name (Mamatha Inamdar) [RHEL-11213]
- powerpc/pseries/iommu: Update remove_dma_window() to accept property name (Mamatha Inamdar) [RHEL-11213]
- powerpc/pseries/iommu: Reorganize iommu_table_setparms*() with new helper (Mamatha Inamdar) [RHEL-11213]
- powerpc/pseries/iommu: Add ddw_property_create() and refactor enable_ddw() (Mamatha Inamdar) [RHEL-11213]
- powerpc/pseries/iommu: Allow DDW windows starting at 0x00 (Mamatha Inamdar) [RHEL-11213]
- powerpc/pseries/iommu: Add ddw_list_new_entry() helper (Mamatha Inamdar) [RHEL-11213]
- powerpc/pseries/iommu: Add iommu_pseries_alloc_table() helper (Mamatha Inamdar) [RHEL-11213]
- powerpc/pseries/iommu: Replace hard-coded page shift (Mamatha Inamdar) [RHEL-11213]
- powerpc/kernel/iommu: Add new iommu_table_in_use() helper (Mamatha Inamdar) [RHEL-11213]
- dt-bindings: usb: qcom,dwc3: allow required-opps (Desnes Nunes) [RHEL-13058]
- usb: dwc3: gadget: Reset num TRBs before giving back the request (Desnes Nunes) [RHEL-13058]
- usb: dwc3: gadget: Improve dwc3_gadget_suspend() and dwc3_gadget_resume() (Desnes Nunes) [RHEL-13058]
- usb: dwc3: gadget: Refactor EP0 forced stall/restart into a separate API (Desnes Nunes) [RHEL-13058]
- usb: dwc3: gadget: Execute gadget stop after halting the controller (Desnes Nunes) [RHEL-13058]
- usb: dwc3: gadget: Stall and restart EP0 if host is unresponsive (Desnes Nunes) [RHEL-13058]
- USB: dwc3: gadget: drop dead hibernation code (Desnes Nunes) [RHEL-13058]
- usb: remove dead code in dwc3_gadget_get_irq (Desnes Nunes) [RHEL-13058]
- usb: dwc3: gadget: Change condition for processing suspend event (Desnes Nunes) [RHEL-13058]
- usb: dwc3: gadget: Add 1ms delay after end transfer command without IOC (Desnes Nunes) [RHEL-13058]
- usb: ulpi: Use of_request_module() (Desnes Nunes) [RHEL-13058]
- of: device: Kill of_device_request_module() (Desnes Nunes) [RHEL-13058]
- of: Move the request module helper logic to module.c (Desnes Nunes) [RHEL-13058]
- of: Move of_modalias() to module.c (Desnes Nunes) [RHEL-13058]
- of: Rename of_modalias_node() (Desnes Nunes) [RHEL-13058]
- of: move from strlcpy with unused retval to strscpy (Desnes Nunes) [RHEL-13058]
- of: Update of_device_get_modalias() (Desnes Nunes) [RHEL-13058]
- of: device: Do not ignore error code in of_device_uevent_modalias (Desnes Nunes) [RHEL-13058]
- of: device: Ignore modalias of reused nodes (Desnes Nunes) [RHEL-13058]
- of: Fix modalias string generation (Desnes Nunes) [RHEL-13058]
- usb: typec: Fix fast_role_swap_current show function (Desnes Nunes) [RHEL-13058]
- usb: typec: ucsi: Fix command cancellation (Desnes Nunes) [RHEL-13058]
- USB: dwc3: fix use-after-free on core driver unbind (Desnes Nunes) [RHEL-13058]
- USB: dwc3: qcom: fix NULL-deref on suspend (Desnes Nunes) [RHEL-13058]
- USB: serial: option: add Quectel EM061KGL series (Desnes Nunes) [RHEL-13058]
- usb: typec: tps6598x: Fix broken polling mode after system suspend/resume (Desnes Nunes) [RHEL-13058]
- usb: usbfs: Use consistent mmap functions (Desnes Nunes) [RHEL-13058]
- usb: usbfs: Enforce page requirements for mmap (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: snps,dwc3: Fix "snps,hsphy_interface" type (Desnes Nunes) [RHEL-13058]
- thunderbolt: Do not touch CL state configuration during discovery (Desnes Nunes) [RHEL-13058]
- thunderbolt: dma_test: Use correct value for absent rings when creating paths (Desnes Nunes) [RHEL-13058]
- xhci: Fix incorrect tracking of free space on transfer rings (Desnes Nunes) [RHEL-13058]
- xhci-pci: Only run d3cold avoidance quirk for s2idle (Desnes Nunes) [RHEL-13058]
- media: ttusb-dec: fix memory leak in ttusb_dec_exit_dvb() (Desnes Nunes) [RHEL-13058]
- media: dvb-usb-v2: rtl28xxu: fix null-ptr-deref in rtl28xxu_i2c_xfer (Desnes Nunes) [RHEL-13058]
- media: dvb-usb-v2: ce6230: fix null-ptr-deref in ce6230_i2c_master_xfer() (Desnes Nunes) [RHEL-13058]
- media: dvb-usb-v2: ec168: fix null-ptr-deref in ec168_i2c_xfer() (Desnes Nunes) [RHEL-13058]
- usb-storage: fix deadlock when a scsi command timeouts more than once (Desnes Nunes) [RHEL-13058]
- usb: dwc3: fix a test for error in dwc3_core_init() (Desnes Nunes) [RHEL-13058]
- usb: typec: tps6598x: Fix fault at module removal (Desnes Nunes) [RHEL-13058]
- usb: typec: altmodes/displayport: fix pin_assignment_show (Desnes Nunes) [RHEL-13058]
- usb: dwc3: debugfs: Resume dwc3 before accessing registers (Desnes Nunes) [RHEL-13058]
- USB: UHCI: adjust zhaoxin UHCI controllers OverCurrent bit value (Desnes Nunes) [RHEL-13058]
- usb: dwc3: fix gadget mode suspend interrupt handler issue (Desnes Nunes) [RHEL-13058]
- USB: usbtmc: Fix direction for 0-length ioctl control messages (Desnes Nunes) [RHEL-13058]
- media: pvrusb2: fix DVB_CORE dependency (Desnes Nunes) [RHEL-13058]
- USB: sisusbvga: Add endpoint checks (Desnes Nunes) [RHEL-13058]
- USB: core: Add routines for endpoint checks in old drivers (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: snps,dwc3: Add 'snps,parkmode-disable-hs-quirk' quirk (Desnes Nunes) [RHEL-13058]
- usb: dwc3: core: add support for disabling High-speed park mode (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: ci-hdrc-usb2: allow multiple PHYs (Desnes Nunes) [RHEL-13058]
- usb: chipidea: fix missing goto in `ci_hdrc_probe` (Desnes Nunes) [RHEL-13058]
- usb: typec: ucsi: don't print PPM init deferred errors (Desnes Nunes) [RHEL-13058]
- usb: typec: tcpm: fix multiple times discover svids error (Desnes Nunes) [RHEL-13058]
- USB: dwc3: clean up probe declarations (Desnes Nunes) [RHEL-13058]
- USB: dwc3: refactor clock lookups (Desnes Nunes) [RHEL-13058]
- USB: dwc3: refactor phy handling (Desnes Nunes) [RHEL-13058]
- USB: dwc3: clean up core init error handling (Desnes Nunes) [RHEL-13058]
- USB: dwc3: clean up phy init error handling (Desnes Nunes) [RHEL-13058]
- USB: dwc3: clean up probe error labels (Desnes Nunes) [RHEL-13058]
- USB: dwc3: drop dead hibernation code (Desnes Nunes) [RHEL-13058]
- USB: dwc3: disable autosuspend on unbind (Desnes Nunes) [RHEL-13058]
- USB: dwc3: fix runtime pm imbalance on unbind (Desnes Nunes) [RHEL-13058]
- USB: dwc3: fix runtime pm imbalance on probe errors (Desnes Nunes) [RHEL-13058]
- usb: xhci-mtk: add optional frame count clock (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: mtk-xhci: add an optional frame count clock (Desnes Nunes) [RHEL-13058]
- usb: xhci: plat: Add USB 3.0 phy support (Desnes Nunes) [RHEL-13058]
- usb: host: xhci-plat: Use dev_is_pci() helper (Desnes Nunes) [RHEL-13058]
- xhci: fix debugfs register accesses while suspended (Desnes Nunes) [RHEL-13058]
- usb: gadget: tegra-xudc: Remove unneeded return variable (Desnes Nunes) [RHEL-13058]
- usb: gadget: tegra-xudc: Fix crash in vbus_draw (Desnes Nunes) [RHEL-13058]
- USB: serial: option: add UNISOC vendor and TOZED LT70C product (Desnes Nunes) [RHEL-13058]
- net: thunderbolt: Fix typos in comments (Desnes Nunes) [RHEL-13058]
- net: thunderbolt: Fix sparse warnings in tbnet_xmit_csum_and_map() (Desnes Nunes) [RHEL-13058]
- net: thunderbolt: Fix sparse warnings in tbnet_check_frame() and tbnet_poll() (Desnes Nunes) [RHEL-13058]
- media: mc-device: remove unnecessary __must_check (Desnes Nunes) [RHEL-13058]
- media: au0828: remove unnecessary (void*) conversions (Desnes Nunes) [RHEL-13058]
- USB: core: Fix docs warning caused by wireless_status feature (Desnes Nunes) [RHEL-13058]
- dt-bindings: Add missing (unevaluated|additional)Properties on child node schemas (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: mediatek,mtk-xhci: drop assigned-clocks (Desnes Nunes) [RHEL-13058]
- usb: chipidea: imx: avoid unnecessary probe defer (Desnes Nunes) [RHEL-13058]
- usb: typec: ucsi: acpi: add quirk for ASUS Zenbook UM325 (Desnes Nunes) [RHEL-13058]
- usb: dwc3: pci: Change PCI device macros (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: tps6598x: make interrupts optional (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: typec-tcpci: convert to DT schema format (Desnes Nunes) [RHEL-13058]
- usb: typec: tcpm: try to get role switch from tcpc fwnode (Desnes Nunes) [RHEL-13058]
- USB: core: Add API to change the wireless_status (Desnes Nunes) [RHEL-13058]
- USB: core: Add wireless_status sysfs attribute (Desnes Nunes) [RHEL-13058]
- ABI: sysfs-bus-usb: add missing sysfs fields (Desnes Nunes) [RHEL-13058]
- ABI: sysfs-bus-usb: use a wildcard for interface name on What (Desnes Nunes) [RHEL-13058]
- ABI: sysfs-bus-usb: better document variable argument (Desnes Nunes) [RHEL-13058]
- thunderbolt: Introduce usb4_port_sb_opcode_err_to_errno() helper (Desnes Nunes) [RHEL-13058]
- media: pvrusb2: clean up unneeded complexity in pvrusb2 class logic (Desnes Nunes) [RHEL-13058]
- usb: move config USB_USS720 to usb's misc Kconfig (Desnes Nunes) [RHEL-13058]
- usb: gadget: f_ecm: Add suspend/resume and remote wakeup support (Desnes Nunes) [RHEL-13058]
- usb: gadget: Handle function suspend feature selector (Desnes Nunes) [RHEL-13058]
- usb: dwc3: Add function suspend and function wakeup support (Desnes Nunes) [RHEL-13058]
- usb: gadget: Add function wakeup support (Desnes Nunes) [RHEL-13058]
- usb: dwc3: Add remote wakeup handling (Desnes Nunes) [RHEL-13058]
- usb: gadget: Properly configure the device for remote wakeup (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: usb-nop-xceiv: add power-domains property (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: ci-hdrc-usb2: convert to DT schema format (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: usbmisc-imx: convert to DT schema (Desnes Nunes) [RHEL-13058]
- thunderbolt: Make use of SI units from units.h (Desnes Nunes) [RHEL-13058]
- thunderbolt: Get rid of redundant 'else' (Desnes Nunes) [RHEL-13058]
- usb: dwc3: host: remove dead code in dwc3_host_get_irq() (Desnes Nunes) [RHEL-13058]
- usb: pci-quirks: Reduce the length of a spinlock section in usb_amd_find_chipset_info() (Desnes Nunes) [RHEL-13058]
- xhci: use pm_ptr() instead of #ifdef for CONFIG_PM conditionals (Desnes Nunes) [RHEL-13058]
- usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [RHEL-13058]
- usb: typec: tps6598x: Add support for polling interrupts status (Desnes Nunes) [RHEL-13058]
- usb: dwc3: add several registers dump for debugfs (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: snps,dwc3: document HS & SS OF graph ports (Desnes Nunes) [RHEL-13058]
- usb: typec: ucsi: add PMIC Glink UCSI driver (Desnes Nunes) [RHEL-13058]
- usb: phy: tegra: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-13058]
- usb: phy: mxs: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-13058]
- usb: phy: generic: Convert to platform remove callback returning void (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: snps,dwc3: correct i.MX8MQ support (Desnes Nunes) [RHEL-13058]
- USB: serial: quatech2: remove unused qt2_setdevice function (Desnes Nunes) [RHEL-13058]
- usb: typec: tipd: remove unused tps6598x_write16,32 functions (Desnes Nunes) [RHEL-13058]
- usb: typec: tcpm: remove unnecessary (void*) conversions (Desnes Nunes) [RHEL-13058]
- usb: chipidea: debug: remove redundant 'role' debug file (Desnes Nunes) [RHEL-13058]
- xhci: Move xhci MSI sync function to to xhci-pci (Desnes Nunes) [RHEL-13058]
- xhci: Call MSI sync function from xhci-pci instead of generic xhci code (Desnes Nunes) [RHEL-13058]
- xhci: Move functions to cleanup MSI to xhci-pci (Desnes Nunes) [RHEL-13058]
- xhci: move PCI specific MSI/MSIX cleanup away from generic xhci functions (Desnes Nunes) [RHEL-13058]
- xhci: Move functions to setup msi to xhci-pci (Desnes Nunes) [RHEL-13058]
- xhci: Avoid PCI MSI/MSIX interrupt reinitialization at resume (Desnes Nunes) [RHEL-13058]
- xhci: dbc: Provide sysfs option to configure dbc descriptors (Desnes Nunes) [RHEL-13058]
- xhci: mem: Join string literals back (Desnes Nunes) [RHEL-13058]
- xhci: mem: Replace explicit castings with appropriate specifiers (Desnes Nunes) [RHEL-13058]
- xhci: mem: Use while (i--) pattern to clean up (Desnes Nunes) [RHEL-13058]
- xhci: mem: Drop useless return:s (Desnes Nunes) [RHEL-13058]
- xhci: mem: Get rid of redundant 'else' (Desnes Nunes) [RHEL-13058]
- xhci: mem: Use dma_poll_zalloc() instead of explicit memset() (Desnes Nunes) [RHEL-13058]
- xhci: mem: Carefully calculate size for memory allocations (Desnes Nunes) [RHEL-13058]
- redhat/configs: delete ftdi-elan driver config (Desnes Nunes) [RHEL-13058]
- usb: ftdi-elan: Delete driver (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: Drop unneeded quotes (Desnes Nunes) [RHEL-13058]
- redhat/configs: delete u132-hcd driver config (Desnes Nunes) [RHEL-13058]
- usb: host: u132-hcd: Delete driver (Desnes Nunes) [RHEL-13058]
- usb: host: u132-hcd: Drop if with an always true condition (Desnes Nunes) [RHEL-13058]
- usb: host: u132-hcd: Various style improvements (Desnes Nunes) [RHEL-13058]
- media: pvrusb2: VIDEO_PVRUSB2 depends on DVB_CORE to use dvb_* symbols (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: dwc3: Add QCM2290 compatible (Desnes Nunes) [RHEL-13058]
- usb: misc: usb3503: support usb3803 and bypass mode (Desnes Nunes) [RHEL-13058]
- usb: misc: usb3503: refactor code to prepare for usb3803 addition (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: smsc,usb3503: Add usb3803 (Desnes Nunes) [RHEL-13058]
- usb: typec: fusb302: mark OF related data as maybe unused (Desnes Nunes) [RHEL-13058]
- usb: acpi: Switch to use acpi_evaluate_dsm_typed() (Desnes Nunes) [RHEL-13058]
- usb: Use of_property_read_bool() for boolean properties (Desnes Nunes) [RHEL-13058]
- usb: usb251xb: Switch to use dev_err_probe() helper (Desnes Nunes) [RHEL-13058]
- usb: Use of_property_present() for testing DT property presence (Desnes Nunes) [RHEL-13058]
- usb: musb: fix error return code in omap2430_probe() (Desnes Nunes) [RHEL-13058]
- usb: musb: omap2430: Fix probe regression for missing resources (Desnes Nunes) [RHEL-13058]
- thunderbolt: Refactor DROM reading (Desnes Nunes) [RHEL-13058]
- thunderbolt: use `tb_eeprom_get_drom_offset` to discover DROM offset (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: snps,dwc3: support i.MX8MQ (Desnes Nunes) [RHEL-13058]
- usb: xhci: change some trace event __dynamic_array() to __get_buf() (Desnes Nunes) [RHEL-13058]
- tracing: Acquire buffer from temparary trace sequence (Desnes Nunes) [RHEL-13058]
- usb: dwc3: change some trace event __dynamic_array() to __get_buf() (Desnes Nunes) [RHEL-13058]
- usb: dwc3: core: add external vBus supply support for ulpi phy (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: dwc3: Add snps,ulpi-ext-vbus-drv quirk (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: allow evaluated properties in OHCI controllers (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: usb-device: make "compatible" optional (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: snps,dwc3: document extcon property (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: snps,dwc3: Allow power-domains property (Desnes Nunes) [RHEL-13058]
- usb: host: xhci-tegra: Drop using of_irq_parse_one() (Desnes Nunes) [RHEL-13058]
- dt-bindings: Fix SPI and I2C bus node names in examples (Desnes Nunes) [RHEL-13058]
- usb: gadget: Use correct endianness of the wLength field for WebUSB (Desnes Nunes) [RHEL-13058]
- usb: gadget: add WebUSB landing page support (Desnes Nunes) [RHEL-13058]
- usb: dwc3: pci: add support for the Intel Meteor Lake-S (Desnes Nunes) [RHEL-13058]
- usb: dwc3: Fix a typo in field name (Desnes Nunes) [RHEL-13058]
- usb: dwc3: xilinx: Remove unused of_gpio,h (Desnes Nunes) [RHEL-13058]
- usb: dwc3: pci: add support for the Intel Meteor Lake-M (Desnes Nunes) [RHEL-13058]
- USB: dwc3: fix memory leak with using debugfs_lookup() (Desnes Nunes) [RHEL-13058]
- dt-bindings: usb: dwc3-imx8mp: add power domain property (Desnes Nunes) [RHEL-13058]
- ipmi: Explicitly include correct DT includes (Tony Camuso) [RHEL-12707]
- ipmi_si: fix -Wvoid-pointer-to-enum-cast warning (Tony Camuso) [RHEL-12707]
- ipmi: fix potential deadlock on &kcs_bmc->lock (Tony Camuso) [RHEL-12707]
- ipmi_si: fix a memleak in try_smi_init() (Tony Camuso) [RHEL-12707]
- ipmi: Change request_module to request_module_nowait (Tony Camuso) [RHEL-12707]
- ipmi:ssif: Fix a memory leak when scanning for an adapter (Tony Camuso) [RHEL-12707]
- ipmi:ssif: Add check for kstrdup (Tony Camuso) [RHEL-12707]
- ipmi_watchdog: Fix read syscall not responding to signals during sleep (Tony Camuso) [RHEL-12707]
- ipmi:ssif: Drop if blocks with always false condition (Tony Camuso) [RHEL-12707]
- ipmi: fix SSIF not responding under certain cond. (Tony Camuso) [RHEL-12707]
- ipmi:ssif: Add send_retries increment (Tony Camuso) [RHEL-12707]
- char:ipmi:Fix spelling mistake "asychronously" -> "asynchronously" (Tony Camuso) [RHEL-12707]
- ipmi: simplify sysctl registration (Tony Camuso) [RHEL-12707]
- ipmi: ASPEED_BT_IPMI_BMC: select REGMAP_MMIO instead of depending on it (Tony Camuso) [RHEL-12707]
- vfio/mdev: Fix a null-ptr-deref bug for mdev_unregister_parent() (Alex Williamson) [RHEL-14318]
- vfio: Do not allow !ops->dma_unmap in vfio_pin/unpin_pages() (Alex Williamson) [RHEL-14318]
- vfio: align capability structures (Alex Williamson) [RHEL-14318]
- vfio/type1: fix cap_migration information leak (Alex Williamson) [RHEL-14318]
- vfio/fsl-mc: Use module_fsl_mc_driver macro to simplify the code (Alex Williamson) [RHEL-14318]
- vfio: Commonize combine_ranges for use in other VFIO drivers (Alex Williamson) [RHEL-14318]
- kvm/vfio: avoid bouncing the mutex when adding and deleting groups (Alex Williamson) [RHEL-14318]
- kvm/vfio: ensure kvg instance stays around in kvm_vfio_group_add() (Alex Williamson) [RHEL-14318]
- docs: vfio: Add vfio device cdev description (Alex Williamson) [RHEL-14318]
- vfio: Compile vfio_group infrastructure optionally (Alex Williamson) [RHEL-14318]
- vfio: Move the IOMMU_CAP_CACHE_COHERENCY check in __vfio_register_dev() (Alex Williamson) [RHEL-14318]
- vfio: Add VFIO_DEVICE_[AT|DE]TACH_IOMMUFD_PT (Alex Williamson) [RHEL-14318]
- vfio: Add VFIO_DEVICE_BIND_IOMMUFD (Alex Williamson) [RHEL-14318]
- vfio: Avoid repeated user pointer cast in vfio_device_fops_unl_ioctl() (Alex Williamson) [RHEL-14318]
- iommufd: Add iommufd_ctx_from_fd() (Alex Williamson) [RHEL-14318]
- vfio: Test kvm pointer in _vfio_device_get_kvm_safe() (Alex Williamson) [RHEL-14318]
- vfio: Add cdev for vfio_device (Alex Williamson) [RHEL-14318]
- vfio: Move device_del() before waiting for the last vfio_device registration refcount (Alex Williamson) [RHEL-14318]
- vfio: Move vfio_device_group_unregister() to be the first operation in unregister (Alex Williamson) [RHEL-14318]
- vfio-iommufd: Add detach_ioas support for emulated VFIO devices (Alex Williamson) [RHEL-14318]
- iommufd/device: Add iommufd_access_detach() API (Alex Williamson) [RHEL-14318]
- iommufd: Call iopt_area_contig_done() under the lock (Alex Williamson) [RHEL-14318]
- vfio-iommufd: Add detach_ioas support for physical VFIO devices (Alex Williamson) [RHEL-14318]
- vfio: Record devid in vfio_device_file (Alex Williamson) [RHEL-14318]
- vfio-iommufd: Split bind/attach into two steps (Alex Williamson) [RHEL-14318]
- vfio-iommufd: Move noiommu compat validation out of vfio_iommufd_bind() (Alex Williamson) [RHEL-14318]
- vfio: Make vfio_df_open() single open for device cdev path (Alex Williamson) [RHEL-14318]
- vfio: Add cdev_device_open_cnt to vfio_group (Alex Williamson) [RHEL-14318]
- vfio: Block device access via device fd until device is opened (Alex Williamson) [RHEL-14318]
- vfio: Pass struct vfio_device_file * to vfio_device_open/close() (Alex Williamson) [RHEL-14318]
- kvm/vfio: Accept vfio device file from userspace (Alex Williamson) [RHEL-14318]
- kvm/vfio: Prepare for accepting vfio device fd (Alex Williamson) [RHEL-14318]
- vfio: Accept vfio device file in the KVM facing kAPI (Alex Williamson) [RHEL-14318]
- vfio: Refine vfio file kAPIs for KVM (Alex Williamson) [RHEL-14318]
- vfio: Allocate per device file structure (Alex Williamson) [RHEL-14318]
- vfio/pci: Allow passing zero-length fd array in VFIO_DEVICE_PCI_HOT_RESET (Alex Williamson) [RHEL-14318]
- vfio/pci: Copy hot-reset device info to userspace in the devices loop (Alex Williamson) [RHEL-14318]
- vfio/pci: Extend VFIO_DEVICE_GET_PCI_HOT_RESET_INFO for vfio device cdev (Alex Williamson) [RHEL-14318]
- vfio: Add helper to search vfio_device in a dev_set (Alex Williamson) [RHEL-14318]
- vfio: Mark cdev usage in vfio_device (Alex Williamson) [RHEL-14318]
- iommufd: Add helper to retrieve iommufd_ctx and devid (Alex Williamson) [RHEL-14318]
- iommufd: Add iommufd_ctx_has_group() (Alex Williamson) [RHEL-14318]
- iommufd: Reserve all negative IDs in the iommufd xarray (Alex Williamson) [RHEL-14318]
- vfio/pci: Move the existing hot reset logic to be a helper (Alex Williamson) [RHEL-14318]
- vfio/pci: Update comment around group_fd get in vfio_pci_ioctl_pci_hot_reset() (Alex Williamson) [RHEL-14318]
- vfio/mdev: Move the compat_class initialization to module init (Alex Williamson) [RHEL-14318]
- vfio/fsl: Create Kconfig sub-menu (Alex Williamson) [RHEL-14318]
- redhat/configs: Disable CONFIG_VFIO_AMBA (Alex Williamson) [RHEL-14318]
- vfio/platform: Cleanup Kconfig (Alex Williamson) [RHEL-14318]
- vfio/pci: Cleanup Kconfig (Alex Williamson) [RHEL-14318]
- vfio/pci-core: Add capability for AtomicOp completer support (Alex Williamson) [RHEL-14318]
- vfio/pci: Clear VFIO_IRQ_INFO_NORESIZE for MSI-X (Alex Williamson) [RHEL-14318]
- vfio/pci: Support dynamic MSI-X (Alex Williamson) [RHEL-14318]
- vfio/pci: Probe and store ability to support dynamic MSI-X (Alex Williamson) [RHEL-14318]
- vfio/pci: Use bitfield for struct vfio_pci_core_device flags (Alex Williamson) [RHEL-14318]
- vfio/pci: Update stale comment (Alex Williamson) [RHEL-14318]
- vfio/pci: Remove interrupt context counter (Alex Williamson) [RHEL-14318]
- vfio/pci: Use xarray for interrupt context storage (Alex Williamson) [RHEL-14318]
- vfio/pci: Move to single error path (Alex Williamson) [RHEL-14318]
- vfio/pci: Prepare for dynamic interrupt context storage (Alex Williamson) [RHEL-14318]
- vfio/pci: Remove negative check on unsigned vector (Alex Williamson) [RHEL-14318]
- vfio/pci: Consolidate irq cleanup on MSI/MSI-X disable (Alex Williamson) [RHEL-14318]
- vfio/ccw: use struct_size() helper (Alex Williamson) [RHEL-14318]
- vfio/ccw: replace one-element array with flexible-array member (Alex Williamson) [RHEL-14318]
- vfio/type1: check pfn valid before converting to struct page (Alex Williamson) [RHEL-14318]
- docs: kvm: vfio: Suggest KVM_DEV_VFIO_GROUP_ADD vs VFIO_GROUP_GET_DEVICE_FD ordering (Alex Williamson) [RHEL-14318]
- vfio: correct kdoc for ops structures (Alex Williamson) [RHEL-14318]
- vfio/pci: Add DVSEC PCI Extended Config Capability to user visible list. (Alex Williamson) [RHEL-14318]
- vfio: Check the presence for iommufd callbacks in __vfio_register_dev() (Alex Williamson) [RHEL-14318]
- vfio/mdev: Uses the vfio emulated iommufd ops set in the mdev sample drivers (Alex Williamson) [RHEL-14318]
- vfio-iommufd: Make vfio_iommufd_emulated_bind() return iommufd_access ID (Alex Williamson) [RHEL-14318]
- vfio-iommufd: No need to record iommufd_ctx in vfio_device (Alex Williamson) [RHEL-14318]
- iommufd: Create access in vfio_iommufd_emulated_bind() (Alex Williamson) [RHEL-14318]
- iommu/iommufd: Pass iommufd_ctx pointer in iommufd_get_ioas() (Alex Williamson) [RHEL-14318]
- nvme: avoid bogus CRTO values (Maurizio Lombardi) [RHEL-11472]
- nvme-pci: do not set the NUMA node of device if it has none (Maurizio Lombardi) [RHEL-11472]
- nvme: host: hwmon: constify pointers to hwmon_channel_info (Maurizio Lombardi) [RHEL-11472]
- nvmet-tcp: pass iov_len instead of sg->length to bvec_set_page() (Maurizio Lombardi) [RHEL-11472]
- nvme-pci: add NVME_QUIRK_BOGUS_NID for Samsung PM9B1 256G and 512G (Maurizio Lombardi) [RHEL-11472]
- scsi: nvme: zns: Set zone limits before revalidating zones (Maurizio Lombardi) [RHEL-11472]
- nvme: don't reject probe due to duplicate IDs for single-ported PCIe devices (Maurizio Lombardi) [RHEL-11472]
- nvme-fc: fix race between error recovery and creating association (Maurizio Lombardi) [RHEL-11472]
- nvme-fc: return non-zero status code when fails to create association (Maurizio Lombardi) [RHEL-11472]
- nvme: fix parameter check in nvme_fault_inject_init() (Maurizio Lombardi) [RHEL-11472]
- nvme: warn only once for legacy uuid attribute (Maurizio Lombardi) [RHEL-11472]
- nvme: forward port sysfs delete fix (Maurizio Lombardi) [RHEL-11472]
- nvme: skip optional id ctrl csi if it failed (Maurizio Lombardi) [RHEL-11472]
- nvme-core: use nvme_ns_head_multipath instead of ns->head->disk (Maurizio Lombardi) [RHEL-11472]
- nvmet-fcloop: Do not wait on completion when unregister fails (Maurizio Lombardi) [RHEL-11472]
- nvme: Increase block size variable size to 32-bit (Maurizio Lombardi) [RHEL-11472]
- nvme-fcloop: no need to return from void function (Maurizio Lombardi) [RHEL-11472]
- nvmet-auth: remove unnecessary break after goto (Maurizio Lombardi) [RHEL-11472]
- nvmet-auth: remove some dead code (Maurizio Lombardi) [RHEL-11472]
- nvme: move sysfs code to a dedicated sysfs.c file (Maurizio Lombardi) [RHEL-11472]
- nvme-fabrics: check hostid using uuid_equal (Maurizio Lombardi) [RHEL-11472]
- nvme-fabrics: unify common code in admin and io queue connect (Maurizio Lombardi) [RHEL-11472]
- nvmet: reorder fields in 'struct nvmefc_fcp_req' (Maurizio Lombardi) [RHEL-11472]
- nvmet: reorder fields in 'struct nvme_dhchap_queue_context' (Maurizio Lombardi) [RHEL-11472]
- nvmet: reorder fields in 'struct nvmf_ctrl_options' (Maurizio Lombardi) [RHEL-11472]
- nvme: reorder fields in 'struct nvme_ctrl' (Maurizio Lombardi) [RHEL-11472]
- nvmet: reorder fields in 'struct nvmet_sq' (Maurizio Lombardi) [RHEL-11472]
- nvme-fabrics: add queue setup helpers (Maurizio Lombardi) [RHEL-11472]
- nvme-pci: cleaning up nvme_pci_init_request (Maurizio Lombardi) [RHEL-11472]
- nvme-rdma: fix typo in comment (Maurizio Lombardi) [RHEL-11472]
- nvme-tcp: fix a possible UAF when failing to allocate an io queue (Maurizio Lombardi) [RHEL-11472]
- nvme-pci: mark Lexar NM760 as IGNORE_DEV_SUBNQN (Maurizio Lombardi) [RHEL-11472]
- nvme-tcp: fix nvme_tcp_term_pdu to match spec (Maurizio Lombardi) [RHEL-11472]
- nvme: send Identify with CNS 06h only to I/O controllers (Maurizio Lombardi) [RHEL-11472]
- nvmet-tcp: Fix a possible UAF in queue intialization setup (John Meneghini) [RHEL-11488 RHEL-11492] {CVE-2023-5178}
- sched: remove wait bookmarks (Carlos Maiolino) [RHEL-5335]
- filemap: remove use of wait bookmarks (Carlos Maiolino) [RHEL-5335]
- ext4: fix rec_len verify error (Carlos Maiolino) [RHEL-5335]
- ext4: remove unused parameter from ext4_mb_new_blocks_simple() (Carlos Maiolino) [RHEL-5335]
- ext4: fix wrong unit use in ext4_mb_new_blocks (Carlos Maiolino) [RHEL-5335]
- ext4: fix wrong unit use in ext4_mb_clear_bb (Carlos Maiolino) [RHEL-5335]
- ext4: treat stripe in block unit (Carlos Maiolino) [RHEL-5335]
- ext4: fix wrong unit use in ext4_mb_find_by_goal (Carlos Maiolino) [RHEL-5335]
- ext4: fix wrong unit use in ext4_mb_normalize_request (Carlos Maiolino) [RHEL-5335]
- ext4: only check dquot_initialize_needed() when debugging (Carlos Maiolino) [RHEL-5335]
- Revert "ext4: don't clear SB_RDONLY when remounting r/w until quota is re-enabled" (Carlos Maiolino) [RHEL-5335]
- Revert "ext4: remove ac->ac_found > sbi->s_mb_min_to_scan dead check in ext4_mb_check_limits" (Carlos Maiolino) [RHEL-5335]
- ext4: enable the lazy init thread when remounting read/write (Carlos Maiolino) [RHEL-5335]
- ext4: fix fsync for non-directories (Carlos Maiolino) [RHEL-5335]
- ext4: add lockdep annotations for i_data_sem for ea_inode's (Carlos Maiolino) [RHEL-5335]
- ext4: disallow ea_inodes with extended attributes (Carlos Maiolino) [RHEL-5335]
- ext4: set lockdep subclass for the ea_inode in ext4_xattr_inode_cache_find() (Carlos Maiolino) [RHEL-5335]
- ext4: add EA_INODE checking to ext4_iget() (Carlos Maiolino) [RHEL-5335]
- ext4: bail out of ext4_xattr_ibody_get() fails for any reason (Carlos Maiolino) [RHEL-5335]
- ext4: add bounds checking in get_max_inline_xattr_value_size() (Carlos Maiolino) [RHEL-5335]
- ext4: add indication of ro vs r/w mounts in the mount message (Carlos Maiolino) [RHEL-5335]
- ext4: fix deadlock when converting an inline directory in nojournal mode (Carlos Maiolino) [RHEL-5335]
- ext4: improve error recovery code paths in __ext4_remount() (Carlos Maiolino) [RHEL-5335]
- ext4: improve error handling from ext4_dirhash() (Carlos Maiolino) [RHEL-5335]
- ext4: don't clear SB_RDONLY when remounting r/w until quota is re-enabled (Carlos Maiolino) [RHEL-5335]
- ext4: check iomap type only if ext4_iomap_begin() does not fail (Carlos Maiolino) [RHEL-5335]
- ext4: avoid a potential slab-out-of-bounds in ext4_group_desc_csum (Carlos Maiolino) [RHEL-5335]
- ext4: fix data races when using cached status extents (Carlos Maiolino) [RHEL-5335]
- ext4: avoid deadlock in fs reclaim with page writeback (Carlos Maiolino) [RHEL-5335]
- ext4: fix invalid free tracking in ext4_xattr_move_to_block() (Carlos Maiolino) [RHEL-5335]
- ext4: fix lockdep warning when enabling MMP (Carlos Maiolino) [RHEL-5335]
- ext4: fix WARNING in mb_find_extent (Carlos Maiolino) [RHEL-5335]
- ext4: reflect error codes from ext4_multi_mount_protect() to its callers (Carlos Maiolino) [RHEL-5335]
- ext4: fix use-after-free read in ext4_find_extent for bigalloc + inline (Carlos Maiolino) [RHEL-5335]
- ext4: fix i_disksize exceeding i_size problem in paritally written case (Carlos Maiolino) [RHEL-5335]
- jdb2: Don't refuse invalidation of already invalidated buffers (Carlos Maiolino) [RHEL-5335]
- ext4: fix BUG in ext4_mb_new_inode_pa() due to overflow (Carlos Maiolino) [RHEL-5335]
- ext4: add two helper functions extent_logical_end() and pa_logical_end() (Carlos Maiolino) [RHEL-5335]
- ext4: fix rbtree traversal bug in ext4_mb_use_preallocated (Carlos Maiolino) [RHEL-5335]
- ext4: Remove unused extern variables declaration (Carlos Maiolino) [RHEL-5335]
- ext4: mballoc: Remove useless setting of ac_criteria (Carlos Maiolino) [RHEL-5335]
- ext4: try all groups in ext4_mb_new_blocks_simple (Carlos Maiolino) [RHEL-5335]
- ext4: remove ext4_block_group and ext4_block_group_offset declaration (Carlos Maiolino) [RHEL-5335]
- ext4: add EXT4_MB_HINT_GOAL_ONLY test in ext4_mb_use_preallocated (Carlos Maiolino) [RHEL-5335]
- ext4: fix unit mismatch in ext4_mb_new_blocks_simple (Carlos Maiolino) [RHEL-5335]
- ext4: Remove the logic to trim inode PAs (Carlos Maiolino) [RHEL-5335]
- ext4: Use rbtrees to manage PAs instead of inode i_prealloc_list (Carlos Maiolino) [RHEL-5335]
- ext4: Convert pa->pa_inode_list and pa->pa_obj_lock into a union (Carlos Maiolino) [RHEL-5335]
- ext4: Fix best extent lstart adjustment logic in ext4_mb_new_inode_pa() (Carlos Maiolino) [RHEL-5335]
- ext4: Abstract out overlap fix/check logic in ext4_mb_normalize_request() (Carlos Maiolino) [RHEL-5335]
- ext4: Move overlap assert logic into a separate function (Carlos Maiolino) [RHEL-5335]
- ext4: Refactor code in ext4_mb_normalize_request() and ext4_mb_use_preallocated() (Carlos Maiolino) [RHEL-5335]
- ext4: Refactor code related to freeing PAs (Carlos Maiolino) [RHEL-5335]
- ext4: Stop searching if PA doesn't satisfy non-extent file (Carlos Maiolino) [RHEL-5335]
- ext4: convert some BUG_ON's in mballoc to use WARN_RATELIMITED instead (Carlos Maiolino) [RHEL-5335]
- ext4: avoid unnecessary pointer dereference in ext4_mb_normalize_request (Carlos Maiolino) [RHEL-5335]
- ext4: fix typos in mballoc (Carlos Maiolino) [RHEL-5335]
- ext4: simplify calculation of blkoff in ext4_mb_new_blocks_simple (Carlos Maiolino) [RHEL-5335]
- ext4: remove comment code ext4_discard_preallocations (Carlos Maiolino) [RHEL-5335]
- ext4: remove repeat assignment to ac_f_ex (Carlos Maiolino) [RHEL-5335]
- ext4: remove unnecessary goto in ext4_mb_mark_diskspace_used (Carlos Maiolino) [RHEL-5335]
- ext4: remove unnecessary count2 in ext4_free_data_in_buddy (Carlos Maiolino) [RHEL-5335]
- ext4: remove unnecessary exit_meta_group_info tag (Carlos Maiolino) [RHEL-5335]
- ext4: use best found when complex scan of group finishs (Carlos Maiolino) [RHEL-5335]
- ext4: remove ac->ac_found > sbi->s_mb_min_to_scan dead check in ext4_mb_check_limits (Carlos Maiolino) [RHEL-5335]
- ext4: remove dead check in mb_buddy_mark_free (Carlos Maiolino) [RHEL-5335]
- ext4: remove unnecessary check in ext4_mb_new_blocks (Carlos Maiolino) [RHEL-5335]
- ext4: remove unnecessary e4b->bd_buddy_page check in ext4_mb_load_buddy_gfp (Carlos Maiolino) [RHEL-5335]
- ext4: Remove unnecessary release when memory allocation failed in ext4_mb_init_cache (Carlos Maiolino) [RHEL-5335]
- ext4: remove unused return value of ext4_mb_try_best_found and ext4_mb_free_metadata (Carlos Maiolino) [RHEL-5335]
- ext4: add missed brelse in ext4_free_blocks_simple (Carlos Maiolino) [RHEL-5335]
- ext4: protect pa->pa_free in ext4_discard_allocated_blocks (Carlos Maiolino) [RHEL-5335]
- ext4: correct start of used group pa for debug in ext4_mb_use_group_pa (Carlos Maiolino) [RHEL-5335]
- ext4: correct calculation of s_mb_preallocated (Carlos Maiolino) [RHEL-5335]
- ext4: get correct ext4_group_info in ext4_mb_prefetch_fini (Carlos Maiolino) [RHEL-5335]
- ext4: allow to find by goal if EXT4_MB_HINT_GOAL_ONLY is set (Carlos Maiolino) [RHEL-5335]
- ext4: set goal start correctly in ext4_mb_normalize_request (Carlos Maiolino) [RHEL-5335]
- ext4: remove unused group parameter in ext4_block_bitmap_csum_set (Carlos Maiolino) [RHEL-5335]
- ext4: remove unused group parameter in ext4_block_bitmap_csum_verify (Carlos Maiolino) [RHEL-5335]
- ext4: remove unused group parameter in ext4_inode_bitmap_csum_set (Carlos Maiolino) [RHEL-5335]
- ext4: remove unused group parameter in ext4_inode_bitmap_csum_verify (Carlos Maiolino) [RHEL-5335]
- ext4: improve inode table blocks counting in ext4_num_overhead_clusters (Carlos Maiolino) [RHEL-5335]
- ext4: stop trying to verify just initialized bitmap in ext4_read_block_bitmap_nowait (Carlos Maiolino) [RHEL-5335]
- ext4: remove stale comment in ext4_init_block_bitmap (Carlos Maiolino) [RHEL-5335]
- Revert "ext4: remove unnecessary check in ext4_bg_num_gdb_nometa" (Carlos Maiolino) [RHEL-5335]
- ext4: remove unnecessary check in ext4_bg_num_gdb_nometa (Carlos Maiolino) [RHEL-5335]
- ext4: call ext4_bg_num_gdb_[no]meta directly in ext4_num_base_meta_clusters (Carlos Maiolino) [RHEL-5335]
- ext4: correct validation check of inode table in ext4_valid_block_bitmap (Carlos Maiolino) [RHEL-5335]
- ext4: properly handle error of ext4_init_block_bitmap in ext4_read_block_bitmap_nowait (Carlos Maiolino) [RHEL-5335]
- ext4: Move mpage_page_done() calls after error handling (Carlos Maiolino) [RHEL-5335]
- ext4: Move page unlocking out of mpage_submit_page() (Carlos Maiolino) [RHEL-5335]
- ext4: Don't unlock page in ext4_bio_write_page() (Carlos Maiolino) [RHEL-5335]
- ext4: Mark page for delayed dirtying only if it is pinned (Carlos Maiolino) [RHEL-5335]
- ext4: Use nr_to_write directly in mpage_prepare_extent_to_map() (Carlos Maiolino) [RHEL-5335]
- ext4: Update stale comment about write constraints (Carlos Maiolino) [RHEL-5335]
- ext4: convert mext_page_double_lock() to mext_folio_double_lock() (Carlos Maiolino) [RHEL-5335]
- ext4: simplify ext4_readpage_limit() (Carlos Maiolino) [RHEL-5335]
- ext4: fix inode leak in ext4_xattr_inode_create() on an error path (Carlos Maiolino) [RHEL-5335]
- ext4: avoid unaccounted block allocation when expanding inode (Carlos Maiolino) [RHEL-5335]
- ext4: initialize quota before expanding inode in setproject ioctl (Carlos Maiolino) [RHEL-5335]
- ext4: stop providing .writepage hook (Carlos Maiolino) [RHEL-5335]
- mm: export buffer_migrate_folio_norefs() (Carlos Maiolino) [RHEL-5335]
- ext4: switch to using write_cache_pages() for data=journal writeout (Carlos Maiolino) [RHEL-5335]
- jbd2: switch jbd2_submit_inode_data() to use fs-provided hook for data writeout (Carlos Maiolino) [RHEL-5335]
- ext4: switch to using ext4_do_writepages() for ordered data writeout (Carlos Maiolino) [RHEL-5335]
- ext4: move percpu_rwsem protection into ext4_writepages() (Carlos Maiolino) [RHEL-5335]
- ext4: provide ext4_do_writepages() (Carlos Maiolino) [RHEL-5335]
- ext4: add support for writepages calls that cannot map blocks (Carlos Maiolino) [RHEL-5335]
- ext4: drop pointless IO submission from ext4_bio_write_page() (Carlos Maiolino) [RHEL-5335]
- ext4: remove nr_submitted from ext4_bio_write_page() (Carlos Maiolino) [RHEL-5335]
- ext4: remove unneeded check of nr_to_submit (Carlos Maiolino) [RHEL-5335]
- ext4: move keep_towrite handling to ext4_bio_write_page() (Carlos Maiolino) [RHEL-5335]
- ext4: handle redirtying in ext4_bio_write_page() (Carlos Maiolino) [RHEL-5335]
- ext4: make ext4_mb_initialize_context return void (Carlos Maiolino) [RHEL-5335]
- ext4: fix delayed allocation bug in ext4_clu_mapped for bigalloc + inline (Carlos Maiolino) [RHEL-5335]
- ext4: don't fail GETFSUUID when the caller provides a long buffer (Carlos Maiolino) [RHEL-5335]
- ext4: dont return EINVAL from GETFSUUID when reporting UUID length (Carlos Maiolino) [RHEL-5335]
- ext4: fix error code return to user-space in ext4_get_branch() (Carlos Maiolino) [RHEL-5335]
- ext4: replace kmem_cache_create with KMEM_CACHE (Carlos Maiolino) [RHEL-5335]
- ext4: correct inconsistent error msg in nojournal mode (Carlos Maiolino) [RHEL-5335]
- ext4: print file system UUID on mount, remount and unmount (Carlos Maiolino) [RHEL-5335]
- ext4: init quota for 'old.inode' in 'ext4_rename' (Carlos Maiolino) [RHEL-5335]
- ext4: simplify fast-commit CRC calculation (Carlos Maiolino) [RHEL-5335]
- ext4: fix use-after-free in ext4_orphan_cleanup (Carlos Maiolino) [RHEL-5335]
- ext4: don't allow journal inode to have encrypt flag (Carlos Maiolino) [RHEL-5335]
- ext4: fix bug_on in __es_tree_search caused by bad quota inode (Carlos Maiolino) [RHEL-5335]
- ext4: fix bug_on in __es_tree_search caused by bad boot loader inode (Carlos Maiolino) [RHEL-5335]
- ext4: add EXT4_IGET_BAD flag to prevent unexpected bad inode (Carlos Maiolino) [RHEL-5335]
- ext4: add helper to check quota inums (Carlos Maiolino) [RHEL-5335]
- ext4: remove trailing newline from ext4_msg() message (Carlos Maiolino) [RHEL-5335]
- ext4: split ext4_journal_start trace for debug (Carlos Maiolino) [RHEL-5335]
- ext4: check the return value of ext4_xattr_inode_dec_ref() (Carlos Maiolino) [RHEL-5335]
- ext4: remove redundant variable err (Carlos Maiolino) [RHEL-5335]
- ext4: add inode table check in __ext4_get_inode_loc to aovid possible infinite loop (Carlos Maiolino) [RHEL-5335]
- fs/ext4: replace ternary operator with min()/max() and min_t() (Carlos Maiolino) [RHEL-5335]
- ext4: check and assert if marking an no_delete evicting inode dirty (Carlos Maiolino) [RHEL-5335]
- netfilter: ipset: add the missing IP_SET_HASH_WITH_NET0 macro for ip_set_hash_netportnet.c (Florian Westphal) [RHEL-8437] {CVE-2023-42753}
- arm64: dts: imx8mq: Deduplicate PCIe clock-names property (Steve Best) [RHEL-2711]
- arm64: dts: imx8mm-verdin: Do not power down eth-phy (Steve Best) [RHEL-2711]
- arm64: dts: imx8mp: fix address length for LCDIF2 (Steve Best) [RHEL-2711]
- arm64: dts: imx8mp: Fix LCDIF2 node clock order (Steve Best) [RHEL-2711]
- arm64: dts: imx8mp: Drop simple-bus from fsl,imx8mp-media-blk-ctrl (Steve Best) [RHEL-2711]
- Revert "arm64: dts: ls1028a: sl28: get MAC addresses from VPD" (Steve Best) [RHEL-2711]
- arm64: dts: imx8mm: Fix pad control for UART1_DTE_RX (Steve Best) [RHEL-2711]
- arm64: dts: verdin-imx8mp: unify gpio-key node name (Steve Best) [RHEL-2711]
- arm64: dts: ls1028a: sl28: get MAC addresses from VPD (Steve Best) [RHEL-2711]
- arm64: dts: imx8mp: Add LCDIF2 & LDB nodes (Steve Best) [RHEL-2711]
- arm64: dts: imx8mp: use syscon for iomuxc-gpr (Steve Best) [RHEL-2711]
- arm64: dts: imx8mn: update iomuxc-gpr node name (Steve Best) [RHEL-2711]
- arm64: dts: imx8mm: correct iomuxc-gpr compatible (Steve Best) [RHEL-2711]
- net: tun: fix bugs for oversize packet when napi frags enabled (Ricardo Robaina) [RHEL-7186 RHEL-7264] {CVE-2023-3812}
- serial: fsl_lpuart: Reset prior to registration (Steve Best) [RHEL-2715]
- tty: serial: fsl_lpuart: don't break the on-going transfer when global reset (Steve Best) [RHEL-2715]
- tty: serial: fsl_lpuart: count tty buffer overruns (Steve Best) [RHEL-2715]
- tty: serial: fsl_lpuart: Add i.MXRT1050 support (Steve Best) [RHEL-2715]
- tty: serial: fsl_lpuart: add timeout for wait_event_interruptible in .shutdown() (Steve Best) [RHEL-2715]
- Revert "tty: serial: fsl_lpuart: drop earlycon entry for i.MX8QXP" (Steve Best) [RHEL-2715]
- tty: serial: fsl_lpuart: do software reset for imx7ulp and imx8qxp (Steve Best) [RHEL-2715]
- tty: serial: fsl_lpuart: enable two stop bits for lpuart32 (Steve Best) [RHEL-2715]
- tty: serial: fsl_lpuart: fix the wrong mapbase value (Steve Best) [RHEL-2715]
- tty: serial: fsl_lpuart: check dma_tx_in_progress in tx dma callback (Steve Best) [RHEL-2715]
- Bluetooth: hci_sync: Fix handling of HCI_QUIRK_STRICT_DUPLICATE_FILTER (Bastien Nocera) [RHEL-2530]
- Bluetooth: Fix hci_link_tx_to RCU lock usage (Bastien Nocera) [RHEL-2530]
- redhat/configs: Disable NXP Bluetooth driver by default (Bastien Nocera) [RHEL-2530]
- New configs in net/bluetooth (Bastien Nocera) [RHEL-2530]
- New configs in drivers/bluetooth (Bastien Nocera) [RHEL-2530]
- PCI: Add locking to RMW PCI Express Capability Register accessors (Bastien Nocera) [RHEL-2530]
- Bluetooth: MGMT: Use correct address for memcpy() (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_sync: Avoid use-after-free in dbg for hci_remove_adv_monitor() (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_event: call disconnect callback before deleting conn (Bastien Nocera) [RHEL-2530]
- Bluetooth: use RCU for hci_conn_params and iterate safely in hci_sync (Bastien Nocera) [RHEL-2530]
- virtio_bt: call scheduler when we free unused buffs (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: Use kmemdup() to replace kzalloc + memcpy (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add MT7922 bluetooth ID for the Asus Ally (Bastien Nocera) [RHEL-2530]
- Bluetooth: MGMT: Fix marking SCAN_RSP as not connectable (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_event: fix Set CIG Parameters error status handling (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_bcm: do not mark valid bd_addr as invalid (Bastien Nocera) [RHEL-2530]
- Bluetooth: fix use-bdaddr-property quirk (Bastien Nocera) [RHEL-2530]
- Bluetooth: fix invalid-bdaddr quirk for non-persistent setup (Bastien Nocera) [RHEL-2530]
- Bluetooth: L2CAP: Fix use-after-free in l2cap_sock_ready_cb (Bastien Nocera) [RHEL-2530 RHEL-2717] {CVE-2023-40283}
- Bluetooth: L2CAP: Fix use-after-free (Bastien Nocera) [RHEL-2530]
- Bluetooth: btqca: use le32_to_cpu for ver.soc_id (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add device 6655:8771 to device tables (Bastien Nocera) [RHEL-2530]
- dt-bindings: net: bluetooth: nxp: Add missing type for "fw-init-baudrate" (Bastien Nocera) [RHEL-2530]
- Bluetooth: Fix potential use-after-free when clear keys (Bastien Nocera) [RHEL-2530]
- Bluetooth: HCI: Introduce HCI_QUIRK_BROKEN_LE_CODED (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: fail SCO/ISO via hci_conn_failed if ACL gone early (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: avoid checking uninitialized CIG/CIS ids (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: Fix not allowing valid CIS ID (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: Fix modifying handle while aborting (Bastien Nocera) [RHEL-2530]
- Bluetooth: ISO: handle bound CIS cleanup via hci_conn (Bastien Nocera) [RHEL-2530]
- Bluetooth: ISO: Notify user space about failed bis connections (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: Always allocate unique handles (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_sync: Fix not handling ISO_LINK in hci_abort_conn_sync (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: Consolidate code for aborting connections (Bastien Nocera) [RHEL-2530]
- Bluetooth: ISO: Support multiple BIGs (Bastien Nocera) [RHEL-2530]
- Bluetooth: btrtl: Load FW v2 otherwise FW v1 for RTL8852C (Bastien Nocera) [RHEL-2530]
- Bluetooth: msft: Extended monitor tracking by address filter (Bastien Nocera) [RHEL-2530]
- Bluetooth: btrtl: Correct the length of the HCI command for drop fw (Bastien Nocera) [RHEL-2530]
- Bluetooth: btrtl: Add Realtek devcoredump support (Bastien Nocera) [RHEL-2530]
- Bluetooth: btrtl: Add missing MODULE_FIRMWARE declarations (Bastien Nocera) [RHEL-2530]
- Bluetooth: ISO: do not emit new LE Create CIS if previous is pending (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_event: Fix parsing of CIS Established Event (Bastien Nocera) [RHEL-2530]
- Bluetooth: ISO: Rework sync_interval to be sync_factor (Bastien Nocera) [RHEL-2530]
- Bluetooth: ISO: Add support for connecting multiple BISes (Bastien Nocera) [RHEL-2530]
- Bluetooth: ISO: use hci_sync for setting CIG parameters (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Fix bluetooth on Intel Macbook 2014 (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: return ERR_PTR instead of NULL when there is no link (Bastien Nocera) [RHEL-2530]
- Bluetooth: SCO: fix sco_conn related locking and validity issues (Bastien Nocera) [RHEL-2530]
- Bluetooth: coredump: fix building with coredump disabled (Bastien Nocera) [RHEL-2530]
- Bluetooth: ISO: fix iso_conn related locking and validity issues (Bastien Nocera) [RHEL-2530]
- Bluetooth: L2CAP: Add missing checks for invalid DCID (Bastien Nocera) [RHEL-2530]
- Bluetooth: ISO: use correct CIS order in Set CIG Parameters event (Bastien Nocera) [RHEL-2530]
- Bluetooth: ISO: don't try to remove CIG if there are bound CIS left (Bastien Nocera) [RHEL-2530]
- Bluetooth: Fix l2cap_disconnect_req deadlock (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_qca: fix debugfs registration (Bastien Nocera) [RHEL-2530]
- Bluetooth: fix debugfs registration (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_sync: add lock to protect HCI_UNREGISTER (Bastien Nocera) [RHEL-2530]
- Bluetooth: Fix use-after-free in hci_remove_ltk/hci_remove_irk (Bastien Nocera) [RHEL-2530]
- Bluetooth: ISO: Fix CIG auto-allocation to select configurable CIG (Bastien Nocera) [RHEL-2530]
- Bluetooth: ISO: consider right CIS when removing CIG at cleanup (Bastien Nocera) [RHEL-2530]
- Bluetooth: btnxpuart: Fix compiler warnings (Bastien Nocera) [RHEL-2530]
- Bluetooth: Unlink CISes when LE disconnects in hci_conn_del (Bastien Nocera) [RHEL-2530]
- Bluetooth: Fix UAF in hci_conn_hash_flush again (Bastien Nocera) [RHEL-2530]
- Bluetooth: Refcnt drop must be placed last in hci_conn_unlink (Bastien Nocera) [RHEL-2530]
- Bluetooth: Fix potential double free caused by hci_conn_unlink (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_sync: Only allow hci_cmd_sync_queue if running (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add WCN6855 devcoredump support (Bastien Nocera) [RHEL-2530]
- Bluetooth: btnxpuart: Enable flow control before checking boot signature (Bastien Nocera) [RHEL-2530]
- Bluetooth: Cancel sync command before suspend and power off (Bastien Nocera) [RHEL-2530]
- Bluetooth: btrtl: Add the support for RTL8851B (Bastien Nocera) [RHEL-2530]
- Bluetooth: btnxpuart: Fix sparse warnings (Bastien Nocera) [RHEL-2530]
- Bluetooth: btrtl: Firmware format v2 support (Bastien Nocera) [RHEL-2530]
- Bluetooth: Devcoredump: Fix storing u32 without specifying byte order issue (Bastien Nocera) [RHEL-2530]
- Bluetooth: L2CAP: fix "bad unlock balance" in l2cap_disconnect_rsp (Bastien Nocera) [RHEL-2530]
- bluetooth: Add cmd validity checks at the start of hci_sock_ioctl() (Bastien Nocera) [RHEL-2530]
- Revert "Bluetooth: btsdio: fix use after free bug in btsdio_remove due to unfinished work" (Bastien Nocera) [RHEL-2530]
- Bluetooth: Add new quirk for broken set random RPA timeout for ATS2851 (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: Fix not waiting for HCI_EVT_LE_CIS_ESTABLISHED (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: Fix not matching by CIS ID (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: Add support for linking multiple hcon (Bastien Nocera) [RHEL-2530]
- Bluetooth: vhci: Fix info leak in force_devcd_write() (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_qca: mark OF related data as maybe unused (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: remove extra line in hci_le_big_create_sync (Bastien Nocera) [RHEL-2530]
- Bluetooth: fix inconsistent indenting (Bastien Nocera) [RHEL-2530]
- Bluetooth: btnxpuart: No need to check the received bootloader signature (Bastien Nocera) [RHEL-2530]
- Bluetooth: btnxpuart: Disable Power Save feature on startup (Bastien Nocera) [RHEL-2530]
- Bluetooth: btnxpuart: Deasset UART break before closing serdev device (Bastien Nocera) [RHEL-2530]
- Bluetooth: btnxpuart: Add support to download helper FW file for w8997 (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_bcm: Add Acer Iconia One 7 B1-750 to the bcm_broken_irq_dmi_table (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_bcm: Add Lenovo Yoga Tablet 2 830 / 1050 to the bcm_broken_irq_dmi_table (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_bcm: Limit bcm43430a0 / bcm43430a1 baudrate to 2000000 (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_bcm: Fall back to getting bdaddr from EFI if not set (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_h5: Complements reliable packet processing logic (Bastien Nocera) [RHEL-2530]
- Bluetooth: Enable all supported LE PHY by default (Bastien Nocera) [RHEL-2530]
- Bluetooth: Split bt_iso_qos into dedicated structures (Bastien Nocera) [RHEL-2530]
- Bluetooth: btintel: Add Intel devcoredump support (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add btusb devcoredump support (Bastien Nocera) [RHEL-2530]
- Bluetooth: Add vhci devcoredump support (Bastien Nocera) [RHEL-2530]
- Bluetooth: Add support for hci devcoredump (Bastien Nocera) [RHEL-2530]
- Bluetooth: btintel: Add LE States quirk support (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_qca: Add support for QTI Bluetooth chip wcn6855 (Bastien Nocera) [RHEL-2530]
- Bluetooth: btrtl: check for NULL in btrtl_set_quirks() (Bastien Nocera) [RHEL-2530]
- bluetooth: Add device 13d3:3571 to device tables (Bastien Nocera) [RHEL-2530]
- bluetooth: Add device 0bda:887b to device tables (Bastien Nocera) [RHEL-2530]
- Bluetooth: NXP: select CONFIG_CRC8 (Bastien Nocera) [RHEL-2530]
- Bluetooth: NXP: Add protocol support for NXP Bluetooth chipsets (Bastien Nocera) [RHEL-2530]
- dt-bindings: net: bluetooth: Add NXP bluetooth support (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_ldisc: Fix tty_set_termios() return value assumptions (Bastien Nocera) [RHEL-2530]
- Bluetooth: Improve support for Actions Semi ATS2851 based devices (Bastien Nocera) [RHEL-2530]
- Bluetooth: btrtl: add support for the RTL8723CS (Bastien Nocera) [RHEL-2530]
- Bluetooth: Add new quirk for broken local ext features page 2 (Bastien Nocera) [RHEL-2530]
- Bluetooth: btmtkuart: mark OF related data as maybe unused (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_qca: mark OF related data as maybe unused (Bastien Nocera) [RHEL-2530]
- Bluetooth: btmrvl_sdio: mark OF related data as maybe unused (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_ll: drop of_match_ptr for ID table (Bastien Nocera) [RHEL-2530]
- Bluetooth: L2CAP: Delay identity address updates (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_sync: Remove duplicate statement (Bastien Nocera) [RHEL-2530]
- Bluetooth: Convert MSFT filter HCI cmd to hci_sync (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_sync: Don't wait peer's reply when powering off (Bastien Nocera) [RHEL-2530]
- Bluetooth: Add VID/PID 0489/e0e4 for MediaTek MT7922 (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_h5: btrtl: Add support for RTL8821CS (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add new PID/VID 04ca:3801 for MT7663 (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_sync: Fix smatch warning (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_core: Make hci_conn_hash_add append to the list (Bastien Nocera) [RHEL-2530]
- Bluetooth: btrtl: Add support for RTL8852BS (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_mrvl: Add serdev support for 88W8997 (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_mrvl: use maybe_unused macro for device tree ids (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Do not require hardcoded interface numbers (Bastien Nocera) [RHEL-2530]
- Bluetooth: MGMT: Use BIT macro when defining bitfields (Bastien Nocera) [RHEL-2530]
- Bluetooth: L2CAP: Fix use-after-free in l2cap_disconnect_{req,rsp} (Bastien Nocera) [RHEL-2530]
- Bluetooth: Set ISO Data Path on broadcast sink (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: Fix possible UAF (Bastien Nocera) [RHEL-2530]
- Bluetooth: SCO: Fix possible circular locking dependency sco_sock_getsockopt (Bastien Nocera) [RHEL-2530]
- Bluetooth: SCO: Fix possible circular locking dependency on sco_connect_cfm (Bastien Nocera) [RHEL-2530]
- bluetooth: btbcm: Fix logic error in forming the board name. (Bastien Nocera) [RHEL-2530]
- Bluetooth: Fix race condition in hidp_session_thread (Bastien Nocera) [RHEL-2530]
- Bluetooth: Fix printing errors if LE Connection times out (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: Fix not cleaning up on LE Connection failure (Bastien Nocera) [RHEL-2530]
- Bluetooth: HCI: Fix global-out-of-bounds (Bastien Nocera) [RHEL-2530 RHEL-2556] {CVE-2023-28866}
- Bluetooth: mgmt: Fix MGMT add advmon with RSSI command (Bastien Nocera) [RHEL-2530]
- Bluetooth: btsdio: fix use after free bug in btsdio_remove due to unfinished work (Bastien Nocera) [RHEL-2530]
- Bluetooth: L2CAP: Fix responding with wrong PDU type (Bastien Nocera) [RHEL-2530]
- Bluetooth: btqcomsmd: Fix command timeout after setting BD address (Bastien Nocera) [RHEL-2530]
- Bluetooth: btinel: Check ACPI handle for NULL before accessing (Bastien Nocera) [RHEL-2530]
- Bluetooth: Remove "Power-on" check from Mesh feature (Bastien Nocera) [RHEL-2530]
- Bluetooth: Fix race condition in hci_cmd_sync_clear (Bastien Nocera) [RHEL-2530]
- Bluetooth: btintel: Iterate only bluetooth device ACPI entries (Bastien Nocera) [RHEL-2530]
- Bluetooth: ISO: fix timestamped HCI ISO data packet parsing (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Remove detection of ISO packets over bulk (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_core: Detect if an ACL packet is in fact an ISO packet (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_sync: Resume adv with no RPA when active scan (Bastien Nocera) [RHEL-2530]
- Bluetooth: btintel: Set Per Platform Antenna Gain(PPAG) (Bastien Nocera) [RHEL-2530]
- Bluetooth: Make sure LE create conn cancel is sent when timeout (Bastien Nocera) [RHEL-2530]
- Bluetooth: Free potentially unfreed SCO connection (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_qca: get wakeup status from serdev device handle (Bastien Nocera) [RHEL-2530]
- Bluetooth: L2CAP: Fix potential user-after-free (Bastien Nocera) [RHEL-2530]
- Bluetooth: MGMT: add CIS feature bits to controller information (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: Refactor hci_bind_bis() since it always succeeds (Bastien Nocera) [RHEL-2530]
- Bluetooth: HCI: Replace zero-length arrays with flexible-array members (Bastien Nocera) [RHEL-2530]
- Bluetooth: qca: Fix sparse warnings (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add VID:PID 13d3:3529 for Realtek RTL8821CE (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add new PID/VID 0489:e0f2 for MT7921 (Bastien Nocera) [RHEL-2530]
- Bluetooth: Fix issue with Actions Semi ATS2851 based devices (Bastien Nocera) [RHEL-2530]
- Bluetooth: Use crypto_wait_req (Bastien Nocera) [RHEL-2530]
- Bluetooth: Fix possible deadlock in rfcomm_sk_state_change (Bastien Nocera) [RHEL-2530]
- Bluetooth: ISO: Fix possible circular locking dependency (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_event: Fix Invalid wait context (Bastien Nocera) [RHEL-2530]
- Bluetooth: ISO: Fix possible circular locking dependency (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_sync: fix memory leak in hci_update_adv_data() (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_qca: Fix driver shutdown on closed serdev (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: Fix memory leaks (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_sync: Fix use HCI_OP_LE_READ_BUFFER_SIZE_V2 (Bastien Nocera) [RHEL-2530]
- Bluetooth: Fix a buffer overflow in mgmt_mesh_add() (Bastien Nocera) [RHEL-2530]
- Bluetooth: Wait for HCI_OP_WRITE_AUTH_PAYLOAD_TO to complete (Bastien Nocera) [RHEL-2530]
- Bluetooth: ISO: Avoid circular locking dependency (Bastien Nocera) [RHEL-2530]
- Bluetooth: RFCOMM: don't call kfree_skb() under spin_lock_irqsave() (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_core: don't call kfree_skb() under spin_lock_irqsave() (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_bcsp: don't call kfree_skb() under spin_lock_irqsave() (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_h5: don't call kfree_skb() under spin_lock_irqsave() (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_ll: don't call kfree_skb() under spin_lock_irqsave() (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_qca: don't call kfree_skb() under spin_lock_irqsave() (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: don't call kfree_skb() under spin_lock_irqsave() (Bastien Nocera) [RHEL-2530]
- Bluetooth: btintel: Fix missing free skb in btintel_setup_combined() (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: Fix crash on hci_create_cis_sync (Bastien Nocera) [RHEL-2530]
- Bluetooth: btintel: Fix existing sparce warnings (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Fix existing sparce warning (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Fix new sparce warnings (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add a new PID/VID 13d3/3549 for RTL8822CU (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add Realtek RTL8852BE support ID 0x0cb8:0xc559 (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add a new VID/PID 0489/e0f2 for MT7922 (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_bcm4377: Fix missing pci_disable_device() on error in bcm4377_probe() (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add Realtek 8761BUV support ID 0x2B89:0x8761 (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_bcm4377: Add new driver for BCM4377 PCIe boards (Bastien Nocera) [RHEL-2530]
- Bluetooth: Add quirk to disable MWS Transport Configuration (Bastien Nocera) [RHEL-2530]
- Bluetooth: Add quirk to disable extended scanning (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_event: Ignore reserved bits in LE Extended Adv Report (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_bcm: Add CYW4373A0 support (Bastien Nocera) [RHEL-2530]
- Bluetooth: Use kzalloc instead of kmalloc/memset (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add more device IDs for WCN6855 (Bastien Nocera) [RHEL-2530]
- Bluetooth: Fix EALREADY and ELOOP cases in bt_status() (Bastien Nocera) [RHEL-2530]
- Bluetooth: Add CONFIG_BT_LE_L2CAP_ECRED (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Default CONFIG_BT_HCIBTUSB_POLL_SYNC=y (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add CONFIG_BT_HCIBTUSB_POLL_SYNC (Bastien Nocera) [RHEL-2530]
- virtio_bt: Fix alignment in configuration struct (Bastien Nocera) [RHEL-2530]
- Bluetooth: MGMT: Fix error report for ADD_EXT_ADV_PARAMS (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_core: fix error handling in hci_register_dev() (Bastien Nocera) [RHEL-2530]
- Bluetooth: Use kzalloc instead of kmalloc/memset (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_qca: only assign wakeup with serial port support (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: use HCI dst_type values also for BIS (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Ignore zero length of USB packets on ALT 6 for specific chip (Bastien Nocera) [RHEL-2530]
- Bluetooth: btrtl: Add btrealtek data struct (Bastien Nocera) [RHEL-2530]
- Bluetooth: virtio_bt: fix device removal (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_sync: cancel cmd_timer if hci_open failed (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_sync: Fix not able to set force_static_address (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_sync: Fix not setting static address (Bastien Nocera) [RHEL-2530]
- Bluetooth: Work around SCO over USB HCI design defect (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Introduce generic USB reset (Bastien Nocera) [RHEL-2530]
- btusb: Avoid reset of ISOC endpoint alt settings to zero (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_qca: Fix the teardown problem for real (Bastien Nocera) [RHEL-2530]
- Bluetooth: Fix crash when replugging CSR fake controllers (Bastien Nocera) [RHEL-2530]
- Bluetooth: Fix not cleanup led when bt_init fails (Bastien Nocera) [RHEL-2530]
- Bluetooth: Fix support for Read Local Supported Codecs V2 (Bastien Nocera) [RHEL-2530]
- Bluetooth: Remove codec id field in vendor codec definition (Bastien Nocera) [RHEL-2530]
- Bluetooth: silence a dmesg error message in hci_request.c (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_conn: add missing hci_dev_put() in iso_listen_bis() (Bastien Nocera) [RHEL-2530]
- Bluetooth: 6LoWPAN: add missing hci_dev_put() in get_l2cap_conn() (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add debug message for CSR controllers (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Fix CSR clones again by re-adding ERR_DATA_REPORTING quirk (Bastien Nocera) [RHEL-2530]
- Bluetooth: L2CAP: Fix l2cap_global_chan_by_psm (Bastien Nocera) [RHEL-2530]
- Bluetooth: virtio_bt: Use skb_put to set length (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_sync: Fix not indicating power state (Bastien Nocera) [RHEL-2530]
- Bluetooth: L2CAP: Fix user-after-free (Bastien Nocera) [RHEL-2530]
- Bluetooth: Call shutdown for HCI_USER_CHANNEL (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_core: Fix not handling link timeouts propertly (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_event: Make sure ISO events don't affect non-ISO connections (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_debugfs: Fix not checking conn->debugfs (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_sysfs: Fix attempting to call device_add multiple times (Bastien Nocera) [RHEL-2530]
- Bluetooth: MGMT: fix zalloc-simple.cocci warnings (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_{ldisc,serdev}: check percpu_init_rwsem() failure (Bastien Nocera) [RHEL-2530]
- Bluetooth: L2CAP: initialize delayed works at l2cap_chan_create() (Bastien Nocera) [RHEL-2530]
- Bluetooth: RFCOMM: Fix possible deadlock on socket shutdown/release (Bastien Nocera) [RHEL-2530]
- Bluetooth: hci_sync: allow advertise when scan without RPA (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add a new VID/PID 0e8d/0608 for MT7921 (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add a new PID/VID 13d3/3583 for MT7921 (Bastien Nocera) [RHEL-2530]
- Bluetooth: btintel: Mark Intel controller to support LE_STATES quirk (Bastien Nocera) [RHEL-2530]
- Bluetooth: btintel: Add support for Magnetor (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add a new PID/VID 13d3/3578 for MT7921 (Bastien Nocera) [RHEL-2530]
- Bluetooth: Add experimental wrapper for MGMT based mesh (Bastien Nocera) [RHEL-2530]
- Bluetooth: Implement support for Mesh (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: mediatek: fix WMT failure during runtime suspend (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add BT device 0cb8:c549 from RTW8852AE to tables (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add RTL8761BUV device (Edimax BT-8500) (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: RTL8761BUV consistent naming (Bastien Nocera) [RHEL-2530]
- Bluetooth: btusb: Add Realtek RTL8852C support ID 0x13D3:0x3592 (Bastien Nocera) [RHEL-2530]
- Bluetooth: Move hci_abort_conn to hci_conn.c (Bastien Nocera) [RHEL-2530]
- Bluetooth: Normalize HCI_OP_READ_ENC_KEY_SIZE cmdcmplt (Bastien Nocera) [RHEL-2530]
- Bluetooth: convert hci_update_adv_data to hci_sync (Bastien Nocera) [RHEL-2530]
- Bluetooth: move hci_get_random_address() to hci_sync (Bastien Nocera) [RHEL-2530]
- Bluetooth: Delete unreferenced hci_request code (Bastien Nocera) [RHEL-2530]
- Bluetooth: Move Adv Instance timer to hci_sync (Bastien Nocera) [RHEL-2530]
- Bluetooth: Convert SCO configure_datapath to hci_sync (Bastien Nocera) [RHEL-2530]
- Bluetooth: Delete unused hci_req_stop_discovery() (Bastien Nocera) [RHEL-2530]
- Bluetooth: Rework le_scan_restart for hci_sync (Bastien Nocera) [RHEL-2530]
- Bluetooth: Convert le_scan_disable timeout to hci_sync (Bastien Nocera) [RHEL-2530]
- Bluetooth: clean up error pointer checking (Bastien Nocera) [RHEL-2530]
- regmap: Account for register length in SMBus I/O limits (Mark Langsdorf) [RHEL-1023]
- regmap: Drop initial version of maximum transfer length fixes (Mark Langsdorf) [RHEL-1023]
- regmap: spi-avmm: Fix regmap_bus max_raw_write (Mark Langsdorf) [RHEL-1023]
- drivers: base: cacheinfo: Update cpu_map_populated during CPU Hotplug (Mark Langsdorf) [RHEL-1023]
- drivers: base: cacheinfo: Fix shared_cpu_map changes in event of CPU hotplug (Mark Langsdorf) [RHEL-1023]
- firmware_loader: Fix a NULL vs IS_ERR() check (Mark Langsdorf) [RHEL-1023]
- driver core: class: properly reference count class_dev_iter() (Mark Langsdorf) [RHEL-1023]
- regmap: Account for register length when chunking (Mark Langsdorf) [RHEL-1023]
- device property: make device_property functions take const device * (Mark Langsdorf) [RHEL-1023]
- driver core: update comments in device_rename() (Mark Langsdorf) [RHEL-1023]
- driver core: Don't require dynamic_debug for initcall_debug probe timing (Mark Langsdorf) [RHEL-1023]
- firmware_loader: rework crypto dependencies (Mark Langsdorf) [RHEL-1023]
- firmware_loader: Strip off \n from customized path (Mark Langsdorf) [RHEL-1023]
- cacheinfo: Adjust includes to remove of_device.h (Mark Langsdorf) [RHEL-1023]
- of: Move CPU node related functions to their own file (Mark Langsdorf) [RHEL-1023]
- regmap: allow upshifting register addresses before performing operations (Mark Langsdorf) [RHEL-1023]
- PM: core: Remove unnecessary (void *) conversions (Mark Langsdorf) [RHEL-1023]
- regmap: Pass irq_drv_data as a parameter for set_type_config() (Mark Langsdorf) [RHEL-1023]
- tty: make tty_class a static const structure (Mark Langsdorf) [RHEL-1023]
- driver core: class: remove struct class_interface * from callbacks (Mark Langsdorf) [RHEL-1023]
- driver core: class: mark the struct class in struct class_interface constant (Mark Langsdorf) [RHEL-1023]
- driver core: class: make class_register() take a const * (Mark Langsdorf) [RHEL-1023]
- driver core: class: mark class_release() as taking a const * (Mark Langsdorf) [RHEL-1023]
- pktcdvd: simplify the class_pktcdvd logic (Mark Langsdorf) [RHEL-1023]
- driver core: remove incorrect comment for device_create* (Mark Langsdorf) [RHEL-1023]
- regmap: Factor out single value register syncing (Mark Langsdorf) [RHEL-1023]
- driver core: class: fix slab-use-after-free Read in class_register() (Mark Langsdorf) [RHEL-1023]
- driver core: make sysfs_dev_char_kobj static (Mark Langsdorf) [RHEL-1023]
- driver core: make sysfs_dev_block_kobj static (Mark Langsdorf) [RHEL-1023]
- driver core: class: remove dev_kobj from struct class (Mark Langsdorf) [RHEL-1023]
- driver core: clean up the logic to determine which /sys/dev/ directory to use (Mark Langsdorf) [RHEL-1023]
- driver core: class: remove subsystem private pointer from struct class (Mark Langsdorf) [RHEL-1023]
- driver core: create class_is_registered() (Mark Langsdorf) [RHEL-1023]
- driver core: core: move to use class_to_subsys() (Mark Langsdorf) [RHEL-1023]
- regmap: Removed compressed cache support (Mark Langsdorf) [RHEL-1023]
- firmware_loader: Add debug message with checksum for FW file (Mark Langsdorf) [RHEL-1023]
- redhat/configs: enable the new firmware loader debug logging (Mark Langsdorf) [RHEL-1023]
- base: soc: populate machine name in soc_device_register if empty (Mark Langsdorf) [RHEL-1023]
- driver core: class.c: convert to only use class_to_subsys (Mark Langsdorf) [RHEL-1023]
- driver core: class: implement class_get/put without the private pointer. (Mark Langsdorf) [RHEL-1023]
- zram: fix up permission for the hot_add sysfs file (Mark Langsdorf) [RHEL-1023]
- driver core: class: mark the struct class for sysfs callbacks as constant (Mark Langsdorf) [RHEL-1023]
- driver core: Add CONFIG_FW_DEVLINK_SYNC_STATE_TIMEOUT (Mark Langsdorf) [RHEL-1023]
- redhat/configs: disable the new fw_devlink option (Mark Langsdorf) [RHEL-1023]
- driver core: bus: constify class_unregister/destroy() (Mark Langsdorf) [RHEL-1023]
- driver core: Add a comment to set_primary_fwnode() on nullifying (Mark Langsdorf) [RHEL-1023]
- driver core: move sysfs_dev_char_kobj out of class.h (Mark Langsdorf) [RHEL-1023]
- driver core: class: fix documentation for class_create() (Mark Langsdorf) [RHEL-1023]
- regmap: Support paging for buses with reg_read()/reg_write() (Mark Langsdorf) [RHEL-1023]
- regmap: Clarify error for unknown cache types (Mark Langsdorf) [RHEL-1023]
- regmap: Handle sparse caches in the default sync (Mark Langsdorf) [RHEL-1023]
- regmap: add a helper to translate the register address (Mark Langsdorf) [RHEL-1023]
- kobject.h remove extern from function prototypes (Greg Kroah-Hartman) [RHEL-1023]
- driver core: device.h: remove extern from function prototypes (Mark Langsdorf) [RHEL-1023]
- driver core: physical_location.h remove extern from function prototypes (Mark Langsdorf) [RHEL-1023]
- driver core: base.h: remove extern from function prototypes (Mark Langsdorf) [RHEL-1023]
- driver core: driver.h: remove extern from function prototypes (Mark Langsdorf) [RHEL-1023]
- driver core: bus.h: remove extern from function prototypes (Mark Langsdorf) [RHEL-1023]
- driver core: class.h: remove extern from function prototypes (Mark Langsdorf) [RHEL-1023]
- driver core: class: use lock_class_key already present in struct subsys_private (Mark Langsdorf) [RHEL-1023]
- device property: Constify a few fwnode APIs (Mark Langsdorf) [RHEL-1023]
- device property: constify fwnode_get_phy_mode() argument (Mark Langsdorf) [RHEL-1023]
- driver core: bus: constify bus_get() (Mark Langsdorf) [RHEL-1023]
- driver core: bus: constify driver_find() (Mark Langsdorf) [RHEL-1023]
- driver core: bus: constify bus_rescan_devices() (Mark Langsdorf) [RHEL-1023]
- driver core: bus: constantify bus_register() (Mark Langsdorf) [RHEL-1023]
- driver core: bus: mark the struct bus_type for sysfs callbacks as constant (Mark Langsdorf) [RHEL-1023]
- workqueue: move to use bus_get_dev_root() (Mark Langsdorf) [RHEL-1023]
- ACPI: LPIT: move to use bus_get_dev_root() (Mark Langsdorf) [RHEL-1023]
- cpu/hotplug: move to use bus_get_dev_root() (Mark Langsdorf) [RHEL-1023]
- EDAC/sysfs: move to use bus_get_dev_root() (Mark Langsdorf) [RHEL-1023]
- x86/umwait: move to use bus_get_dev_root() (Mark Langsdorf) [RHEL-1023]
- x86/microcode: move to use bus_get_dev_root() (Mark Langsdorf) [RHEL-1023]
- irqchip/mbigen: move to use bus_get_dev_root() (Mark Langsdorf) [RHEL-1023]
- s390/smp: move to use bus_get_dev_root() (Mark Langsdorf) [RHEL-1023]
- s390/topology: move to use bus_get_dev_root() (Mark Langsdorf) [RHEL-1023]
- powerpc/fsl: fix compiler warning in fsl_wakeup_sys_init() (Mark Langsdorf) [RHEL-1023]
- powerpc/fsl: move to use bus_get_dev_root() (Mark Langsdorf) [RHEL-1023]
- powerpc/pseries: move to use bus_get_dev_root() (Mark Langsdorf) [RHEL-1023]
- powerpc/powernv: move to use bus_get_dev_root() (Mark Langsdorf) [RHEL-1023]
- powerpc/sysfs: move to use bus_get_dev_root() (Mark Langsdorf) [RHEL-1023]
- arm64: cpufeature: move to use bus_get_dev_root() (Mark Langsdorf) [RHEL-1023]
- platform/x86: ibm_rtl: move to use bus_get_dev_root() (Mark Langsdorf) [RHEL-1023]
- cpufreq: move to use bus_get_dev_root() (Mark Langsdorf) [RHEL-1023]
- cpuidle: move to use bus_get_dev_root() (Mark Langsdorf) [RHEL-1023]
- driver core: bus: move dev_root out of struct bus_type (Mark Langsdorf) [RHEL-1023]
- driver core: device: make device_create*() take a const struct class * (Mark Langsdorf) [RHEL-1023]
- driver core: device: mark struct class in struct device as constant (Mark Langsdorf) [RHEL-1023]
- driver core: device: make device_destroy() take a const class * (Mark Langsdorf) [RHEL-1023]
- driver core: class: make class_create/remove_file*() options const (Mark Langsdorf) [RHEL-1023]
- driver core: class: make class_find_device*() options const (Mark Langsdorf) [RHEL-1023]
- driver core: class: make class_for_each_device() options const (Mark Langsdorf) [RHEL-1023]
- driver core: class: make class_dev_iter_init() options const (Mark Langsdorf) [RHEL-1023]
- driver core: class: remove module * from class_create() (Mark Langsdorf) [RHEL-1023]
- driver core: class: remove struct module owner out of struct class (Mark Langsdorf) [RHEL-1023]
- drivers: remove struct module * setting from struct class (Mark Langsdorf) [RHEL-1023]
- driver core: class: specify the module owner in __class_register() (Mark Langsdorf) [RHEL-1023]
- regmap: cache: Silence checkpatch warning (Mark Langsdorf) [RHEL-1023]
- regmap: cache: Return error in cache sync operations for REGCACHE_NONE (Mark Langsdorf) [RHEL-1023]
- devres: Pass unique name of the resource to devm_add_action() (Mark Langsdorf) [RHEL-1023]
- device property: Clarify description of returned value in some functions (Mark Langsdorf) [RHEL-1023]
- driver core: Make state_synced device attribute writeable (Mark Langsdorf) [RHEL-1023]
- driver core: Add fw_devlink.sync_state command line param (Mark Langsdorf) [RHEL-1023]
- driver core: class: fix block class problem when removing CONFIG_SYSFS_DEPRECATED* (Mark Langsdorf) [RHEL-1023]
- driver core: remove CONFIG_SYSFS_DEPRECATED and CONFIG_SYSFS_DEPRECATED_V2 (Mark Langsdorf) [RHEL-1023]
- regmap-irq: Add no_status support (Mark Langsdorf) [RHEL-1023]
- regmap-irq: Remove unused mask_invert flag (Mark Langsdorf) [RHEL-1023]
- regmap-irq: Remove unused type_invert flag (Mark Langsdorf) [RHEL-1023]
- mfd: rohm-bd718x7: Drop useless mask_invert flag on irqchip (Mark Langsdorf) [RHEL-1023]
- genirq: Allow irq_chip registration functions to take a const irq_chip (Mark Langsdorf) [RHEL-1023]
- PM: domains: fix memory leak with using debugfs_lookup() (Mark Langsdorf) [RHEL-1023]
- driver core: make kobj_type structures constant (Mark Langsdorf) [RHEL-1023]
- kobject: kset_uevent_ops: make uevent() callback take a const * (Mark Langsdorf) [RHEL-1023]
- i3c: fix device.h kernel-doc warnings (Mark Langsdorf) [RHEL-1023]
- i3c: move dev_to_i3cdev() to use container_of_const() (Mark Langsdorf) [RHEL-1023]
- mcb: move to_mcb_device() to use container_of_const() (Mark Langsdorf) [RHEL-1023]
- drivers: hv: move device_to_hv_device to use container_of_const() (Mark Langsdorf) [RHEL-1023]
- platform/x86: wmi: move dev_to_wblock() and dev_to_wdev to use container_of_const() (Mark Langsdorf) [RHEL-1023]
- vio: move to_vio_dev() to use container_of_const() (Mark Langsdorf) [RHEL-1023]
- firewire: move fw_device() and fw_unit() to use container_of_const() (Mark Langsdorf) [RHEL-1023]
- platform/surface: aggregator: move to_ssam_device() to use container_of_const() (Mark Langsdorf) [RHEL-1023]
- driver core: fixup for "driver core: make struct bus_type.uevent() take a const *" (Mark Langsdorf) [RHEL-1023]
- driver core: make struct bus_type.uevent() take a const * (Mark Langsdorf) [RHEL-1023]
- driver core: make struct device_type.uevent() take a const * (Mark Langsdorf) [RHEL-1023]
- kobject: make kobject_namespace take a const * (Mark Langsdorf) [RHEL-1023]
- kobject: kset_uevent_ops: make name() callback take a const * (Mark Langsdorf) [RHEL-1023]
- kobject: kset_uevent_ops: make filter() callback take a const * (Mark Langsdorf) [RHEL-1023]
- kobject: make kobject_get_ownership() take a constant kobject * (Mark Langsdorf) [RHEL-1023]
- kobject: make get_ktype() take a const pointer (Mark Langsdorf) [RHEL-1023]
Resolves: rhbz#2223717, RHEL-8455, RHEL-10007, RHEL-10026, RHEL-10042, RHEL-10094, RHEL-1023, RHEL-11213, RHEL-11438, RHEL-11472, RHEL-11488, RHEL-11492, RHEL-12454, RHEL-12707, RHEL-13058, RHEL-14318, RHEL-15261, RHEL-1899, RHEL-2530, RHEL-2556, RHEL-2711, RHEL-2715, RHEL-2717, RHEL-3655, RHEL-5335, RHEL-5838, RHEL-7186, RHEL-7264, RHEL-800, RHEL-8437

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-11-19 18:45:46 +01:00
Jan Stancek
5984d5bc43 kernel-5.14.0-385.el9
* Mon Nov 13 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-385.el9]
- s390/qdio: fix do_sqbs() inline assembly constraint (Tobias Huschle) [RHEL-11201]
- s390/lcs: Convert sysfs sprintf to sysfs_emit (Tobias Huschle) [RHEL-11201]
- s390/lcs: Convert sprintf to scnprintf (Tobias Huschle) [RHEL-11201]
- s390/ctcm: Convert sysfs sprintf to sysfs_emit (Tobias Huschle) [RHEL-11201]
- s390/ctcm: Convert sprintf/snprintf to scnprintf (Tobias Huschle) [RHEL-11201]
- s390/qeth: Fix vipa deletion (Tobias Huschle) [RHEL-11201]
- s390/lcs: Remove FDDI option (Tobias Huschle) [RHEL-11201]
- nd_btt: Make BTT lanes preemptible (Tomas Glozar) [RHEL-9172]
- clk: Sanitize possible_parent_show to Handle Return Value of of_clk_get_parent_name (Alessandro Carminati) [RHEL-15417]
- Revert "rcu: Permit start_poll_synchronize_rcu_expedited() to be invoked early" (Čestmír Kalina) [RHEL-14709]
- scsi: sd: Remove the number of forward declarations (Ewan D. Milne) [RHEL-14312]
- scsi: core: Report error list information in debugfs (Ewan D. Milne) [RHEL-14312]
- scsi: core: Use 32-bit hostnum in scsi_host_lookup() (Ewan D. Milne) [RHEL-14312]
- scsi: core: Remove unused extern declarations (Ewan D. Milne) [RHEL-14312]
- scsi: core: Fix legacy /proc parsing buffer overflow (Ewan D. Milne) [RHEL-14312]
- scsi: sd_zbc: Set zone limits before revalidating zones (Ewan D. Milne) [RHEL-14312]
- scsi: core: Improve warning message in scsi_device_block() (Ewan D. Milne) [RHEL-14312]
- scsi: core: Replace scsi_target_block() with scsi_block_targets() (Ewan D. Milne) [RHEL-14312]
- scsi: core: Don't wait for quiesce in scsi_device_block() (Ewan D. Milne) [RHEL-14312]
- scsi: core: Don't wait for quiesce in scsi_stop_queue() (Ewan D. Milne) [RHEL-14312]
- scsi: core: Merge scsi_internal_device_block() and device_block() (Ewan D. Milne) [RHEL-14312]
- scsi: sg: Increase number of devices (Ewan D. Milne) [RHEL-14312]
- scsi: sd: sd_zbc: Use PAGE_SECTORS_SHIFT (Ewan D. Milne) [RHEL-14312]
- scsi: core: Support setting BLK_MQ_F_BLOCKING (Ewan D. Milne) [RHEL-14312]
- scsi: core: Rework scsi_host_block() (Ewan D. Milne) [RHEL-14312]
- scsi: core: Only kick the requeue list if necessary (Ewan D. Milne) [RHEL-14312]
- scsi: core: Use min() instead of open-coding it (Ewan D. Milne) [RHEL-14312]
- scsi: scsi_transport_fc: Remove unused 'desc_cnt' variable (Ewan D. Milne) [RHEL-14312]
- scsi: sr: Simplify the sr_open() function (Ewan D. Milne) [RHEL-14312]
- scsi: core: Improve scsi_vpd_inquiry() checks (Ewan D. Milne) [RHEL-14312]
- scsi: core: Fix a procfs host directory removal regression (Ewan D. Milne) [RHEL-14312]
- scsi: core: Remove the /proc/scsi/${proc_name} directory earlier (Ewan D. Milne) [RHEL-14312]
- scsi: sd: Update DIX config every time sd_revalidate_disk() is called (Ewan D. Milne) [RHEL-14312]
- tcp: fix delayed ACKs for MSS boundary condition (Paolo Abeni) [RHEL-14348]
- tcp: fix quick-ack counting to count actual ACKs of new data (Paolo Abeni) [RHEL-14348]
- net: tcp: fix unexcepted socket die when snd_wnd is 0 (Paolo Abeni) [RHEL-14348]
- net: fix the RTO timer retransmitting skb every 1ms if linear option is enabled (Paolo Abeni) [RHEL-14348]
- tcp: gso: really support BIG TCP (Paolo Abeni) [RHEL-14348]
- tcp: fix mishandling when the sack compression is deferred. (Paolo Abeni) [RHEL-14348]
- wifi: rtw89: Fix loading of compressed firmware (Jose Ignacio Tornos Martinez) [RHEL-13881]
- Bluetooth: hci_ldisc: check HCI_UART_PROTO_READY flag in HCIUARTGETPROTO (Jose Ignacio Tornos Martinez) [RHEL-6358] {CVE-2023-31083}
- x86/retpoline: Document some thunk handling aspects (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- objtool: Fix return thunk patching in retpolines (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Remove unnecessary semicolon (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/calldepth: Rename __x86_return_skl() to call_depth_return_thunk() (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/nospec: Refactor UNTRAIN_RET[_*] (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/rethunk: Use SYM_CODE_START[_LOCAL]_NOALIGN macros (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Disentangle rethunk-dependent options (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Move retbleed IBPB check into existing 'has_microcode' code block (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/bugs: Remove default case for fully switched enums (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Remove 'pred_cmd' label (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Unexport untraining functions (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Improve i-cache locality for alias mitigation (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Fix unret validation dependencies (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Fix vulnerability reporting for missing microcode (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Print mitigation for retbleed IBPB case (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Print actual mitigation if requested mitigation isn't possible (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Fix SBPB enablement for (possible) future fixed HW (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86,static_call: Fix static-call vs return-thunk (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/alternatives: Remove faulty optimization (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Fix SBPB enablement for spec_rstack_overflow=off (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Don't probe microcode in a guest (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Set CPUID feature bits independently of bug or mitigation status (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Fix srso_show_state() side effect (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/cpu: Fix amd_check_microcode() declaration (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Correct the mitigation status when SMT is disabled (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/static_call: Fix __static_call_fixup() (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- objtool/x86: Fixup frame-pointer vs rethunk (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Explain the untraining sequences a bit more (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/cpu/kvm: Provide UNTRAIN_RET_VM (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/cpu: Cleanup the untrain mess (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/cpu: Rename srso_(.*)_alias to srso_alias_\1 (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/cpu: Rename original retbleed methods (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/cpu: Clean up SRSO return thunk mess (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/alternative: Make custom return thunk unconditional (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- objtool/x86: Fix SRSO mess (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/cpu: Fix up srso_safe_ret() and __x86_return_thunk() (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/cpu: Fix __x86_return_thunk symbol type (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/retpoline,kprobes: Skip optprobe check for indirect jumps with retpolines and IBT (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/retpoline,kprobes: Fix position of thunk sections with CONFIG_LTO_CLANG (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Disable the mitigation on unaffected configurations (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/CPU/AMD: Fix the DIV(0) initial fix attempt (Waiman Long) [RHEL-8594] {CVE-2023-20588}
- x86/retpoline: Don't clobber RFLAGS during srso_safe_ret() (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/cpu/amd: Enable Zenbleed fix for AMD Custom APU 0405 (Waiman Long) [RHEL-8594] {CVE-2023-20593}
- driver core: cpu: Fix the fallback cpu_show_gds() name (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86: Move gds_ucode_mitigated() declaration to header (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/speculation: Add cpu_show_gds() prototype (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- driver core: cpu: Make cpu_show_not_affected() static (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Fix build breakage with the LLVM linker (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- Documentation/srso: Document IBPB aspect and fix formatting (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- driver core: cpu: Unify redundant silly stubs (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- Documentation/hw-vuln: Unify filename specification in index (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/CPU/AMD: Do not leak quotient data after a division by 0 (Waiman Long) [RHEL-8594] {CVE-2023-20588}
- x86/srso: Tie SBPB bit setting to microcode patch detection (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Add a forgotten NOENDBR annotation (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Fix return thunks in generated code (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Add IBPB on VMEXIT (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Add IBPB (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Add SRSO_NO support (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Add IBPB_BRTYPE support (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- redhat/configs/x86: Enable CONFIG_CPU_SRSO (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/srso: Add a Speculative RAS Overflow mitigation (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/retbleed: Add __x86_return_thunk alignment checks (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/retbleed: Fix return thunk alignment (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/alternative: Optimize returns patching (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86,objtool: Separate unret validation from unwind hints (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- objtool: Add objtool_types.h (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- objtool: Union instruction::{call_dest,jump_table} (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- x86/kprobes: Fix optprobe optimization check with CONFIG_RETHUNK (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- objtool: Fix SEGFAULT (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- vmlinux.lds.h: add BOUNDED_SECTION* macros (Waiman Long) [RHEL-8594] {CVE-2023-20569}
- livepatch: Make 'klp_stack_entries' static (Ryan Sullivan) [RHEL-2768]
- livepatch: Convert stack entries array to percpu (Ryan Sullivan) [RHEL-2768]
- livepatch: fix ELF typos (Ryan Sullivan) [RHEL-2768]
- livepatch: Make kobj_type structures constant (Ryan Sullivan) [RHEL-2768]
- Documentation: livepatch: module-elf-format: Remove local klp_modinfo definition (Ryan Sullivan) [RHEL-2768]
- module.h: Document klp_modinfo struct using kdoc (Ryan Sullivan) [RHEL-2768]
- livepatch,x86: Clear relocation targets on a module removal (Ryan Sullivan) [RHEL-2768]
- x86/module: remove unused code in __apply_relocate_add (Ryan Sullivan) [RHEL-2768]
Resolves: RHEL-7056, RHEL-11201, RHEL-13881, RHEL-14312, RHEL-14114, RHEL-14348, RHEL-14709, RHEL-15417, RHEL-2768, RHEL-6358, RHEL-8594, RHEL-9172

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-11-13 10:48:05 +01:00
Scott Weaver
9fac45e9fe kernel-5.14.0-383.el9
* Mon Nov 06 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-383.el9]
- redhat: configs: disable CONFIG_REMOTE_TARGET (Maurizio Lombardi) [RHEL-5751]
- scsi: target: core: Fix target_cmd_counter leak (Maurizio Lombardi) [RHEL-5751]
- scsi: target: Replace strlcpy() with strscpy() (Maurizio Lombardi) [RHEL-5751]
- scsi: target: Fix write perf due to unneeded throttling (Maurizio Lombardi) [RHEL-5751]
- scsi: target: iscsi: Stop using sprintf() in iscsi_target_configfs.c (Maurizio Lombardi) [RHEL-5751]
- scsi: target: iscsi: Fix buffer overflow in lio_target_nacl_info_show() (Maurizio Lombardi) [RHEL-5751]
- scsi: target: iscsi: Remove the unused netif_timeout attribute (Maurizio Lombardi) [RHEL-5751]
- scsi: target: tcmu: Replace strlcpy() with strscpy() (Maurizio Lombardi) [RHEL-5751]
- scsi: target: Rename sbc_ops to exec_cmd_ops (Maurizio Lombardi) [RHEL-5751]
- scsi: target: Replace all non-returning strlcpy() with strscpy() (Maurizio Lombardi) [RHEL-5751]
- scsi: target: core: Fix error path in target_setup_session() (Maurizio Lombardi) [RHEL-5751]
- scsi: target: iscsi: Prevent login threads from racing between each other (Maurizio Lombardi) [RHEL-5751]
- scsi: target: iscsi: Fix hang in the iSCSI login code (Maurizio Lombardi) [RHEL-5751]
- scsi: target: core: Fix invalid memory access (Maurizio Lombardi) [RHEL-5751]
- scsi: target: core: Remove unused 'prod_len' variable (Maurizio Lombardi) [RHEL-5751]
- scsi: target: tcm_loop: Remove redundant driver match function (Maurizio Lombardi) [RHEL-5751]
- scsi: target: iscsi: Handle abort for WRITE_PENDING cmds (Maurizio Lombardi) [RHEL-5751]
- scsi: target: iscsit: Fix TAS handling during conn cleanup (Maurizio Lombardi) [RHEL-5751]
- scsi: target: Fix multiple LUN_RESET handling (Maurizio Lombardi) [RHEL-5751]
- scsi: target: iscsit: Free cmds before session free (Maurizio Lombardi) [RHEL-5751]
- scsi: target: iscsit: Stop/wait on cmds during conn close (Maurizio Lombardi) [RHEL-5751]
- scsi: target: iscsit: isert: Alloc per conn cmd counter (Maurizio Lombardi) [RHEL-5751]
- scsi: target: Pass in cmd counter to use during cmd setup (Maurizio Lombardi) [RHEL-5751]
- scsi: target: Move cmd counter allocation (Maurizio Lombardi) [RHEL-5751]
- scsi: target: Move sess cmd counter to new struct (Maurizio Lombardi) [RHEL-5751]
- scsi: target: Add virtual remote target (Maurizio Lombardi) [RHEL-5751]
- scsi: target: fcoe: Remove default fabric ops callouts (Maurizio Lombardi) [RHEL-5751]
- scsi: target: sbp: Remove default fabric ops callouts (Maurizio Lombardi) [RHEL-5751]
- scsi: target: loop: Remove default fabric ops callouts (Maurizio Lombardi) [RHEL-5751]
- scsi: target: Add default fabric ops callouts (Maurizio Lombardi) [RHEL-5751]
- scsi: target: core: Add RTPI attribute for target port (Maurizio Lombardi) [RHEL-5751]
- scsi: target: core: Drop device-based RTPI (Maurizio Lombardi) [RHEL-5751]
- scsi: target: core: Use RTPI from target port (Maurizio Lombardi) [RHEL-5751]
- scsi: target: core: Add RTPI field to target port (Maurizio Lombardi) [RHEL-5751]
- kernel/fork: beware of __put_task_struct() calling context (Wander Lairson Costa) [2060283]
- virtio_balloon: Fix endless deflation and inflation on arm64 (Gavin Shan) [RHEL-1673]
- ice: do not re-enable miscellaneous interrupt until thread_fn completes (Petr Oros) [2229762]
- ice: trigger PFINT_OICR_TSYN_TX interrupt instead of polling (Petr Oros) [2229762]
- ice: introduce ICE_TX_TSTAMP_WORK enumeration (Petr Oros) [2229762]
- spi: spi-geni-qcom: Add SPI Device mode support for GENI based QuPv3 (Shawn Doherty) [RHEL-14715]
- spi: spi-geni-qcom: enable SPI_CONTROLLER_MUST_TX for GPI DMA mode (Shawn Doherty) [RHEL-14715]
- spi: spi-geni-qcom: correctly handle -EPROBE_DEFER from dma_request_chan() (Shawn Doherty) [RHEL-14715]
- soc: qcom: geni-se: Add SPI Device mode support for GENI based QuPv3 (Shawn Doherty) [RHEL-14715]
- i40e: Fix VF VLAN offloading when port VLAN is configured (Ivan Vecera) [RHEL-6382]
- KVM: arm64: Avoid soft lockups due to I-cache maintenance (Gavin Shan) [RHEL-1859]
- arm64: tlbflush: Rename MAX_TLBI_OPS (Gavin Shan) [RHEL-1859]
- KVM: arm64: Drop is_kernel_in_hyp_mode() from __invalidate_icache_guest_page() (Gavin Shan) [RHEL-1859]
- x86/platform/uv: Use alternate source for socket to node data (Frank Ramsay) [RHEL-11428]
- ovl: Handle verity during copy-up (Alexander Larsson) [RHEL-2146]
- ovl: Validate verity xattr when resolving lowerdata (Alexander Larsson) [RHEL-2146]
- ovl: Add versioned header for overlay.metacopy xattr (Alexander Larsson) [RHEL-2146]
- ovl: Add framework for verity support (Alexander Larsson) [RHEL-2146]
- fsverity: rework fsverity_get_digest() again (Alexander Larsson) [RHEL-2146]
- fsverity: simplify fsverity_get_digest() (Alexander Larsson) [RHEL-2146]
- fs-verity: define a function to return the integrity protected file digest (Alexander Larsson) [RHEL-2146]
- ovl: implement lazy lookup of lowerdata in data-only layers (Alexander Larsson) [RHEL-2146]
- ovl: prepare for lazy lookup of lowerdata inode (Alexander Larsson) [RHEL-2146]
- ovl: prepare to store lowerdata redirect for lazy lowerdata lookup (Alexander Larsson) [RHEL-2146]
- ovl: implement lookup in data-only layers (Alexander Larsson) [RHEL-2146]
- ovl: introduce data-only lower layers (Alexander Larsson) [RHEL-2146]
- ovl: remove unneeded goto instructions (Alexander Larsson) [RHEL-2146]
- ovl: move freeing ovl_entry past rcu delay (Alexander Larsson) [RHEL-2146]
- ovl: deduplicate lowerdata and lowerstack[] (Alexander Larsson) [RHEL-2146]
- ovl: deduplicate lowerpath and lowerstack[] (Alexander Larsson) [RHEL-2146]
- ovl: move ovl_entry into ovl_inode (Alexander Larsson) [RHEL-2146]
- ovl: factor out ovl_free_entry() and ovl_stack_*() helpers (Alexander Larsson) [RHEL-2146]
- ovl: fetch inode once in ovl_dentry_revalidate_common() (Alexander Larsson) [RHEL-2146]
- ovl: use ovl_numlower() and ovl_lowerstack() accessors (Alexander Larsson) [RHEL-2146]
- ovl: use OVL_E() and OVL_E_FLAGS() accessors (Alexander Larsson) [RHEL-2146]
- ovl: update of dentry revalidate flags after copy up (Alexander Larsson) [RHEL-2146]
- ovl: let helper ovl_i_path_real() return the realinode (Alexander Larsson) [RHEL-2146]
- ovl: fix use inode directly in rcu-walk mode (Alexander Larsson) [RHEL-2146]
- ovl: handle idmappings in ovl_xattr_{g,s}et() (Alexander Larsson) [RHEL-2146]
- ovl: use ovl_copy_{real,upper}attr() wrappers (Alexander Larsson) [RHEL-2146]
- ovl: store lower path in ovl_inode (Alexander Larsson) [RHEL-2146]
- ovl: update ctime when changing fileattr (Alexander Larsson) [RHEL-2146]
Resolves: rhbz#2060283, rhbz#2229762, RHEL-11428, RHEL-14715, RHEL-1673, RHEL-1859, RHEL-2146, RHEL-5751, RHEL-6382

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-11-06 15:11:19 -05:00
Scott Weaver
3dab189d8c kernel-5.14.0-382.el9
* Thu Nov 02 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-382.el9]
- fbdev: Use /* */ comment in initializer macro (Mika Penttilä) [RHEL-1349]
- kunit: Fix obsolete name in documentation headers (func->action) (Mika Penttilä) [RHEL-1349]
- pagevec: rename fbatch_count() (Mika Penttilä) [RHEL-1349]
- scatterlist: add sg_set_folio() (Mika Penttilä) [RHEL-1349]
- mm: add __folio_batch_release() (Mika Penttilä) [RHEL-1349]
- mm: fix a few rare cases of using swapin error pte marker (Mika Penttilä) [RHEL-1349]
- mm/uffd: fix pte marker when fork() without fork event (Mika Penttilä) [RHEL-1349]
- shmem: fix W=1 build warnings with CONFIG_SHMEM=n (Mika Penttilä) [RHEL-1349]
- shmem: add shmem_read_folio() and shmem_read_folio_gfp() (Mika Penttilä) [RHEL-1349]
- mm: fix khugepaged with shmem_enabled=advise (Mika Penttilä) [RHEL-1349]
- shmem: convert shmem_write_end() to use a folio (Mika Penttilä) [RHEL-1349]
- swap: avoid holding swap reference in swap_cache_get_folio (Mika Penttilä) [RHEL-1349]
- mm/memfd: add F_SEAL_EXEC (Mika Penttilä) [RHEL-1349]
- mm: fix vma->anon_name memory leak for anonymous shmem VMAs (Mika Penttilä) [RHEL-1349]
- mm: anonymous shared memory naming (Mika Penttilä) [RHEL-1349]
- mm: use pte markers for swap errors (Mika Penttilä) [RHEL-1349]
- mm/shmem: remove unneeded assignments in shmem_get_folio_gfp() (Mika Penttilä) [RHEL-1349]
- kunit: Add kunit_add_action() to defer a call until test exit (Mika Penttilä) [RHEL-1349]
- debugfs: fix error when writing negative value to atomic_t debugfs file (Mika Penttilä) [RHEL-1349]
- libfs: add DEFINE_SIMPLE_ATTRIBUTE_SIGNED for signed value (Mika Penttilä) [RHEL-1349]
- fbdev: Add initializer macros for struct fb_ops (Mika Penttilä) [RHEL-1349]
- fbdev: Move I/O read and write code into helper functions (Mika Penttilä) [RHEL-1349]
- fbdev: Return number of bytes read or written (Mika Penttilä) [RHEL-1349]
- of: Rename of_modalias_node() (Mika Penttilä) [RHEL-1349]
- of: move from strlcpy with unused retval to strscpy (Mika Penttilä) [RHEL-1349]
- fbdev: Handle video= parameter in video/cmdline.c (Mika Penttilä) [RHEL-1349]
- fbdev: Move option-string lookup into helper (Mika Penttilä) [RHEL-1349]
- fbdev: Unexport fb_mode_option (Mika Penttilä) [RHEL-1349]
- fbdev: Read video= option with fb_get_option() in modedb (Mika Penttilä) [RHEL-1349]
- fbdev: Support NULL for name in option-string lookup (Mika Penttilä) [RHEL-1349]
- fbdev: Transfer video= option strings to caller; clarify ownership (Mika Penttilä) [RHEL-1349]
- fbdev: Fix contact info in fb_cmdline.c (Mika Penttilä) [RHEL-1349]
- nfsd: lock_rename() needs both directories to live on the same fs (Jeffrey Layton) [RHEL-14840]
- iommu: Optimise PCI SAC address trick (Jerry Snitselaar) [RHEL-11705]
- ipv4: Set offload_failed flag in fibmatch results (Guillaume Nault) [RHEL-14295]
- net: ipv4: fix one memleak in __inet_del_ifa() (Guillaume Nault) [RHEL-14295]
- nexthop: Fix infinite nexthop bucket dump when using maximum nexthop ID (Guillaume Nault) [RHEL-14295]
- nexthop: Make nexthop bucket dump more efficient (Guillaume Nault) [RHEL-14295]
- nexthop: Fix infinite nexthop dump when using maximum nexthop ID (Guillaume Nault) [RHEL-14295]
- Revert "tcp: avoid the lookup process failing to get sk in ehash table" (Guillaume Nault) [RHEL-14295]
- pptp: Fix fib lookup calls. (Guillaume Nault) [RHEL-14296]
- x86/sgx: mark as supported (Vladis Dronov) [2041883]
- x86/sgx: Resolves SECS reclaim vs. page fault for EAUG race (Vladis Dronov) [2041883]
- x86/sgx: Break up long non-preemptible delays in sgx_vepc_release() (Vladis Dronov) [2041883]
- Documentation: Fix typos (only the SGX part) (Vladis Dronov) [2041883]
- x86/sgx: Avoid using iterator after loop in sgx_mmu_notifier_release() (Vladis Dronov) [2041883]
- selftests/sgx: Add "test_encl.elf" to TEST_FILES (Vladis Dronov) [2041883]
- convert sgx_set_attribute() to fdget()/fdput() (Vladis Dronov) [2041883]
- x86/sgx: use VM_ACCESS_FLAGS (Vladis Dronov) [2041883]
- x86/sgx: Replace kmap/kunmap_atomic() calls (Vladis Dronov) [2041883]
- x86/sgx: Reduce delay and interference of enclave release (Vladis Dronov) [2041883]
- x86/vdso: Conditionally export __vdso_sgx_enter_enclave() (Vladis Dronov) [2041883]
- x86/sgx: Add overflow check in sgx_validate_offset_length() (Vladis Dronov) [2041883]
- vhost: Allow null msg.size on VHOST_IOTLB_INVALIDATE (Eric Auger) [RHEL-7126]
- scsi: megaraid_sas: Driver version update to 07.727.03.00-rc1 (Tomas Henzl) [RHEL-8245]
- scsi: megaraid_sas: Log message when controller reset is requested but not issued (Tomas Henzl) [RHEL-8245]
- scsi: megaraid_sas: Increase register read retry rount from 3 to 30 for selected registers (Tomas Henzl) [RHEL-8245]
- scsi: megaraid_sas: Fix deadlock on firmware crashdump (Tomas Henzl) [RHEL-8245]
- scsi: megaraid_sas: Use pci_dev_id() to simplify the code (Tomas Henzl) [RHEL-8245]
- scsi: Add HAS_IOPORT dependencies (Tomas Henzl) [RHEL-8245]
- scsi: megaraid_sas: Convert union megasas_sgl to flex-arrays (Tomas Henzl) [RHEL-8245]
- x86/sev: Make enc_dec_hypercall() accept a size instead of npages (Paolo Bonzini) [RHEL-10059]
- x86/sev: Do not handle #VC for DR7 read/write (Paolo Bonzini) [RHEL-10059]
- x86/sev: Use the GHCB protocol when available for SNP CPUID requests (Paolo Bonzini) [RHEL-10059]
- x86/sev: Check for user-space IOIO pointing to kernel space (Paolo Bonzini) [RHEL-10059]
- x86/sev: Check IOBM for IOIO exceptions from user-space (Paolo Bonzini) [RHEL-10059]
- x86/sev: Disable MMIO emulation from user mode (Paolo Bonzini) [RHEL-10059]
- x86/mm: Fix enc_status_change_finish_noop() (Paolo Bonzini) [RHEL-10059]
- x86/tdx: Fix race between set_memory_encrypted() and load_unaligned_zeropad() (Paolo Bonzini) [RHEL-10059]
- x86/mm: Allow guest.enc_status_change_prepare() to fail (Paolo Bonzini) [RHEL-10059]
- x86/coco: Mark cc_platform_has() and descendants noinstr (Paolo Bonzini) [RHEL-10059]
- virt: sevguest: Add CONFIG_CRYPTO dependency (Paolo Bonzini) [RHEL-10059]
- mm/page_alloc: make deferred page init free pages in MAX_ORDER blocks (Paolo Bonzini) [RHEL-10059]
- x86/sev: Change npages to unsigned long in snp_accept_memory() (Paolo Bonzini) [RHEL-10059]
- efi/unaccepted: Fix soft lockups caused by parallel memory acceptance (Paolo Bonzini) [RHEL-10059]
- efi/unaccepted: Make sure unaccepted table is mapped (Paolo Bonzini) [RHEL-10059]
- x86/efi: Safely enable unaccepted memory in UEFI (Paolo Bonzini) [RHEL-10059]
- x86/sev: Add SNP-specific unaccepted memory support (Paolo Bonzini) [RHEL-10059]
- x86/sev: Use large PSC requests if applicable (Paolo Bonzini) [RHEL-10059]
- x86/sev: Allow for use of the early boot GHCB for PSC requests (Paolo Bonzini) [RHEL-10059]
- x86/sev: Put PSC struct on the stack in prep for unaccepted memory support (Paolo Bonzini) [RHEL-10059]
- x86/sev: Fix calculation of end address based on number of pages (Paolo Bonzini) [RHEL-10059]
- x86/tdx: Wrap exit reason with hcall_func() (Paolo Bonzini) [RHEL-10059]
- x86/tdx: Add unaccepted memory support (Paolo Bonzini) [RHEL-10059]
- x86/tdx: Refactor try_accept_one() (Paolo Bonzini) [RHEL-10059]
- efi/unaccepted: Avoid load_unaligned_zeropad() stepping into unaccepted memory (Paolo Bonzini) [RHEL-10059]
- efi: Add unaccepted memory support (Paolo Bonzini) [RHEL-10059]
- x86/boot/compressed: Handle unaccepted memory (Paolo Bonzini) [RHEL-10059]
- efi/unaccepted: Use ACPI reclaim memory for unaccepted memory table (Paolo Bonzini) [RHEL-10059]
- efi/libstub: Implement support for unaccepted memory (Paolo Bonzini) [RHEL-10059]
- efi/x86: Get full memory map in allocate_e820() (Paolo Bonzini) [RHEL-10059]
- memblock tests: Fix compilation errors. (Paolo Bonzini) [RHEL-10059]
- mm: Add support for unaccepted memory (Paolo Bonzini) [RHEL-10059]
- x86/boot: Centralize __pa()/__va() definitions (Paolo Bonzini) [RHEL-10059]
- x86/boot: Add an efi.h header for the decompressor (Paolo Bonzini) [RHEL-10059]
- x86/tdx: Make _tdx_hypercall() and __tdx_module_call() available in boot stub (Paolo Bonzini) [RHEL-10059]
- x86/tdx: Drop flags from __tdx_hypercall() (Paolo Bonzini) [RHEL-10059]
- x86/tdx: Disable NOTIFY_ENABLES (Paolo Bonzini) [RHEL-10059]
- x86/tdx: Relax SEPT_VE_DISABLE check for debug TD (Paolo Bonzini) [RHEL-10059]
- x86/tdx: Use ReportFatalError to report missing SEPT_VE_DISABLE (Paolo Bonzini) [RHEL-10059]
- cpuidle, tdx: Make TDX code noinstr clean (Paolo Bonzini) [RHEL-10059]
- x86/tdx: Remove TDX_HCALL_ISSUE_STI (Paolo Bonzini) [RHEL-10059]
- arch/idle: Change arch_cpu_idle() behavior: always exit with IRQs disabled (Paolo Bonzini) [RHEL-10059]
- x86/insn: Avoid namespace clash by separating instruction decoder MMIO type from MMIO trace type (Paolo Bonzini) [RHEL-10059]
- mm: avoid passing 0 to __ffs() (Paolo Bonzini) [RHEL-10059]
- mm, treewide: redefine MAX_ORDER sanely (Paolo Bonzini) [RHEL-10059]
- net: hns3: add max order judgement for tx spare buffer (Paolo Bonzini) [RHEL-10059]
- iommu: fix MAX_ORDER usage in __iommu_dma_alloc_pages() (Paolo Bonzini) [RHEL-10059]
- mm/slub: fix MAX_ORDER usage in calculate_order() (Paolo Bonzini) [RHEL-10059]
- mm/page_reporting: fix MAX_ORDER usage in page_reporting_register() (Paolo Bonzini) [RHEL-10059]
- perf/core: fix MAX_ORDER usage in rb_alloc_aux_page() (Paolo Bonzini) [RHEL-10059]
- genwqe: fix MAX_ORDER usage (Paolo Bonzini) [RHEL-10059]
- drm/i915: fix MAX_ORDER usage in i915_gem_object_get_pages_internal() (Paolo Bonzini) [RHEL-10059]
- floppy: fix MAX_ORDER usage (Paolo Bonzini) [RHEL-10059]
- um: fix MAX_ORDER usage in linux_main() (Paolo Bonzini) [RHEL-10059]
- sparc/mm: fix MAX_ORDER usage in tsb_grow() (Paolo Bonzini) [RHEL-10059]
- arch: mm: rename FORCE_MAX_ZONEORDER to ARCH_FORCE_MAX_ORDER (Paolo Bonzini) [RHEL-10059]
- redhat/configs: update efi configs (Paolo Bonzini) [RHEL-10059]
- efi: libstub: fix efi_load_initrd_dev_path() kernel-doc comment (Paolo Bonzini) [RHEL-10059]
- firmware/sysfb: Fix VESA format selection (Paolo Bonzini) [RHEL-10059]
- efi: Bump stub image version for macOS HVF compatibility (Paolo Bonzini) [RHEL-10059]
- efi/libstub: randomalloc: Return EFI_OUT_OF_RESOURCES on failure (Paolo Bonzini) [RHEL-10059]
- efi/libstub: zboot: Add compressed image to make targets (Paolo Bonzini) [RHEL-10059]
- efi: sysfb_efi: Add quirk for Lenovo Yoga Book X91F/L (Paolo Bonzini) [RHEL-10059]
- efi: sysfb_efi: Fix DMI quirks not working for simpledrm (Paolo Bonzini) [RHEL-10059]
- efi/libstub: smbios: Drop unused 'recsize' parameter (Paolo Bonzini) [RHEL-10059]
- arm64: efi: Use SMBIOS processor version to key off Ampere quirk (Paolo Bonzini) [RHEL-10059]
- efi/libstub: smbios: Use length member instead of record struct size (Paolo Bonzini) [RHEL-10059]
- efi: earlycon: Reprobe after parsing config tables (Paolo Bonzini) [RHEL-10059]
- firmware/efi sysfb_efi: Add quirk for Lenovo IdeaPad Duet 3 (Paolo Bonzini) [RHEL-10059]
- efi: Use standard format for printing the EFI revision (Paolo Bonzini) [RHEL-10059]
- efi: zboot: Use EFI protocol to remap code/data with the right attributes (Paolo Bonzini) [RHEL-10059]
- efi/libstub: Add memory attribute protocol definitions (Paolo Bonzini) [RHEL-10059]
- efi: efivars: prevent double registration (Paolo Bonzini) [RHEL-10059]
- efi: verify that variable services are supported (Paolo Bonzini) [RHEL-10059]
- efi: memmap: Disregard bogus entries instead of returning them (Paolo Bonzini) [RHEL-10059]
- arm64: efi: Force the use of SetVirtualAddressMap() on eMAG and Altra Max machines (Paolo Bonzini) [RHEL-10059]
- firmware/sysfb: Fix EFI/VESA format selection (Paolo Bonzini) [RHEL-10059]
- efi: fix potential NULL deref in efi_mem_reserve_persistent (Paolo Bonzini) [RHEL-10059]
- efi: Accept version 2 of memory attributes table (Paolo Bonzini) [RHEL-10059]
- efi: fix userspace infinite retry read efivars after EFI runtime services page fault (Paolo Bonzini) [RHEL-10059]
- efi: fix NULL-deref in init error path (Paolo Bonzini) [RHEL-10059]
- efi: Put Linux specific magic number in the DOS header (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Always enable initrd command line loader and bump version (Paolo Bonzini) [RHEL-10059]
- efi: stub: use random seed from EFI variable (Paolo Bonzini) [RHEL-10059]
- efi: random: combine bootloader provided RNG seed with RNG protocol output (Paolo Bonzini) [RHEL-10059]
- efi: memmap: Move manipulation routines into x86 arch tree (Paolo Bonzini) [RHEL-10059]
- efi: memmap: Move EFI fake memmap support into x86 arch tree (Paolo Bonzini) [RHEL-10059]
- arm64: efi: Force the use of SetVirtualAddressMap() on Altra machines (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Undeprecate the command line initrd loader (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Add mixed mode support to command line initrd loader (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Permit mixed mode return types other than efi_status_t (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Implement devicepath support for initrd commandline loader (Paolo Bonzini) [RHEL-10059]
- efi: libstub: use EFI_LOADER_CODE region when moving the kernel in memory (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Merge zboot decompressor with the ordinary stub (Paolo Bonzini) [RHEL-10059]
- efi/arm64: libstub: Split off kernel image relocation for builtin stub (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Factor out min alignment and preferred kernel load address (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Add image code and data size to the zimage metadata (Paolo Bonzini) [RHEL-10059]
- efi/libstub: Use relocated version of kernel's struct screen_info (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Factor out EFI stub entrypoint into separate file (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Provide local implementations of strrchr() and memchr() (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Move screen_info handling to common code (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Enable efi_printk() in zboot decompressor (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Clone memcmp() into the stub (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Use local strncmp() implementation unconditionally (Paolo Bonzini) [RHEL-10059]
- MAINTAINERS: adjust entry after arm64 efi-entry.S file movement (Paolo Bonzini) [RHEL-10059]
- arm64: efi: Move efi-entry.S into the libstub source directory (Paolo Bonzini) [RHEL-10059]
- arm64: efi: Avoid dcache_clean_poc() altogether in efi_enter_kernel() (Paolo Bonzini) [RHEL-10059]
- arm64: efi: Move dcache cleaning of loaded image out of efi_enter_kernel() (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Deduplicate ftrace command line argument filtering (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Drop handling of EFI properties table (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Drop randomization of runtime memory map (Paolo Bonzini) [RHEL-10059]
- ARM: 9255/1: efi/dump UEFI runtime page tables for ARM (Paolo Bonzini) [RHEL-10059]
- efi: random: Use 'ACPI reclaim' memory for random seed (Paolo Bonzini) [RHEL-10059]
- efi: random: reduce seed size to 32 bytes (Paolo Bonzini) [RHEL-10059]
- efi: runtime: Don't assume virtual mappings are missing if VA == PA == 0 (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Fix incorrect payload size in zboot header (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Give efi_main() asmlinkage qualification (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Remove zboot signing from build options (Paolo Bonzini) [RHEL-10059]
- efi: pstore: Follow convention for the efi-pstore backend name (Paolo Bonzini) [RHEL-10059]
- efi/arm64: libstub: avoid SetVirtualAddressMap() when possible (Paolo Bonzini) [RHEL-10059]
- efi: zboot: create MemoryMapped() device path for the parent if needed (Paolo Bonzini) [RHEL-10059]
- efi: libstub: fix up the last remaining open coded boot service call (Paolo Bonzini) [RHEL-10059]
- efi/arm: libstub: move ARM specific code out of generic routines (Paolo Bonzini) [RHEL-10059]
- efi/libstub: measure EFI LoadOptions (Paolo Bonzini) [RHEL-10059]
- efi/libstub: refactor the initrd measuring functions (Paolo Bonzini) [RHEL-10059]
- efi: libstub: install boot-time memory map as config table (Paolo Bonzini) [RHEL-10059]
- efi: libstub: remove DT dependency from generic stub (Paolo Bonzini) [RHEL-10059]
- efi: libstub: unify initrd loading between architectures (Paolo Bonzini) [RHEL-10059]
- efi: libstub: remove pointless goto kludge (Paolo Bonzini) [RHEL-10059]
- efi: libstub: simplify efi_get_memory_map() and struct efi_boot_memmap (Paolo Bonzini) [RHEL-10059]
- efi: libstub: avoid efi_get_memory_map() for allocating the virt map (Paolo Bonzini) [RHEL-10059]
- efi: libstub: drop pointless get_memory_map() call (Paolo Bonzini) [RHEL-10059]
- efi: libstub: fix type confusion for load_options_size (Paolo Bonzini) [RHEL-10059]
- efi/libstub: implement generic EFI zboot (Paolo Bonzini) [RHEL-10059]
- efi/libstub: move efi_system_table global var into separate object (Paolo Bonzini) [RHEL-10059]
- efi/libstub: use EFI provided memcpy/memset routines (Paolo Bonzini) [RHEL-10059]
- efi/libstub: add some missing EFI prototypes (Paolo Bonzini) [RHEL-10059]
- efi: libstub: check Shim mode using MokSBStateRT (Paolo Bonzini) [RHEL-10059]
- efi: capsule-loader: Fix use-after-free in efi_capsule_write (Paolo Bonzini) [RHEL-10059]
- efi/x86: libstub: remove unused variable (Paolo Bonzini) [RHEL-10059]
- efi: libstub: Disable struct randomization (Paolo Bonzini) [RHEL-10059]
- efi: Correct comment on efi_memmap_alloc (Paolo Bonzini) [RHEL-10059]
- drivers: fix typo in firmware/efi/memmap.c (Paolo Bonzini) [RHEL-10059]
Resolves: rhbz#2041883, RHEL-10059, RHEL-11705, RHEL-1349, RHEL-14295, RHEL-14296, RHEL-14840, RHEL-7126, RHEL-8245

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-11-02 13:09:26 -04:00
Scott Weaver
201583b8f6 kernel-5.14.0-380.el9
* Wed Oct 25 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-380.el9]
- Add CONFIG_LRU_GEN to RHEL configs collection (Chris von Recklinghausen) [RHEL-1848]
- Add CONFIG_LRU_GEN_STATS to RHEL configs collection (Chris von Recklinghausen) [RHEL-1848]
- Add CONFIG_LRU_GEN_ENABLED to RHEL configs collection (Chris von Recklinghausen) [RHEL-1848]
- Add CONFIG_CACHESTAT_SYSCALL to RHEL configs collection (Chris von Recklinghausen) [RHEL-1848]
- ovl: fix failed copyup of fileattr on a symlink (Chris von Recklinghausen) [RHEL-1848]
- memcontrol: ensure memcg acquired by id is properly set up (Chris von Recklinghausen) [RHEL-1848]
- mm/pagewalk: fix bootstopping regression from extra pte_unmap() (Chris von Recklinghausen) [RHEL-1848]
- Multi-gen LRU: skip CMA pages when they are not eligible (Chris von Recklinghausen) [RHEL-1848]
- Multi-gen LRU: fix can_swap in lru_gen_look_around() (Chris von Recklinghausen) [RHEL-1848]
- Multi-gen LRU: avoid race in inc_min_seq() (Chris von Recklinghausen) [RHEL-1848]
- Multi-gen LRU: fix per-zone reclaim (Chris von Recklinghausen) [RHEL-1848]
- madvise:madvise_free_pte_range(): don't use mapcount() against large folio for sharing check (Chris von Recklinghausen) [RHEL-1848]
- madvise:madvise_free_huge_pmd(): don't use mapcount() against large folio for sharing check (Chris von Recklinghausen) [RHEL-1848]
- madvise:madvise_cold_or_pageout_pte_range(): don't use mapcount() against large folio for sharing check (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: don't spin during memcg release (Chris von Recklinghausen) [RHEL-1848]
- mm: compaction: fix endless looping over same migrate block (Chris von Recklinghausen) [RHEL-1848]
- mm/pagewalk: fix EFI_PGT_DUMP of espfix area (Chris von Recklinghausen) [RHEL-1848]
- fuse: ioctl: translate ENOSYS in outarg (Chris von Recklinghausen) [RHEL-1848]
- mm/mglru: make memcg_lru->lock irq safe (Chris von Recklinghausen) [RHEL-1848]
- mm/memory: handle_pte_fault() use pte_offset_map_nolock() (Chris von Recklinghausen) [RHEL-1848]
- mm/memory: allow pte_offset_map[_lock]() to fail (Chris von Recklinghausen) [RHEL-1848]
- mm/khugepaged: allow pte_offset_map[_lock]() to fail (Chris von Recklinghausen) [RHEL-1848]
- mm/huge_memory: split huge pmd under one pte_offset_map() (Chris von Recklinghausen) [RHEL-1848]
- mm/gup: remove FOLL_SPLIT_PMD use of pmd_trans_unstable() (Chris von Recklinghausen) [RHEL-1848]
- mm/migrate_device: allow pte_offset_map_lock() to fail (Chris von Recklinghausen) [RHEL-1848]
- mm/mglru: allow pte_offset_map_nolock() to fail (Chris von Recklinghausen) [RHEL-1848]
- mm/swapoff: allow pte_offset_map[_lock]() to fail (Chris von Recklinghausen) [RHEL-1848]
- mm/madvise: clean up force_shm_swapin_readahead() (Chris von Recklinghausen) [RHEL-1848]
- mm/madvise: clean up pte_offset_map_lock() scans (Chris von Recklinghausen) [RHEL-1848]
- mm/mremap: retry if either pte_offset_map_*lock() fails (Chris von Recklinghausen) [RHEL-1848]
- mm/mprotect: delete pmd_none_or_clear_bad_unless_trans_huge() (Chris von Recklinghausen) [RHEL-1848]
- mm/various: give up if pte_offset_map[_lock]() fails (Chris von Recklinghausen) [RHEL-1848]
- mm/debug_vm_pgtable,page_table_check: warn pte map fails (Chris von Recklinghausen) [RHEL-1848]
- mm/userfaultfd: allow pte_offset_map_lock() to fail (Chris von Recklinghausen) [RHEL-1848]
- mm/userfaultfd: retry if pte_offset_map() fails (Chris von Recklinghausen) [RHEL-1848]
- mm/hmm: retry if pte_offset_map() fails (Chris von Recklinghausen) [RHEL-1848]
- mm/vmalloc: vmalloc_to_page() use pte_offset_kernel() (Chris von Recklinghausen) [RHEL-1848]
- mm/vmwgfx: simplify pmd & pud mapping dirty helpers (Chris von Recklinghausen) [RHEL-1848]
- mm/pagewalk: walk_pte_range() allow for pte_offset_map() (Chris von Recklinghausen) [RHEL-1848]
- mm/pagewalkers: ACTION_AGAIN if pte_offset_map_lock() fails (Chris von Recklinghausen) [RHEL-1848]
- mm/page_vma_mapped: pte_offset_map_nolock() not pte_lockptr() (Chris von Recklinghausen) [RHEL-1848]
- mm/page_vma_mapped: reformat map_pte() with less indentation (Chris von Recklinghausen) [RHEL-1848]
- mm/page_vma_mapped: delete bogosity in page_vma_mapped_walk() (Chris von Recklinghausen) [RHEL-1848]
- mm/filemap: allow pte_offset_map_lock() to fail (Chris von Recklinghausen) [RHEL-1848]
- mm/pgtable: allow pte_offset_map[_lock]() to fail (Chris von Recklinghausen) [RHEL-1848]
- mm/pgtable: kmap_local_page() instead of kmap_atomic() (Chris von Recklinghausen) [RHEL-1848]
- mm/migrate: remove cruft from migration_entry_wait()s (Chris von Recklinghausen) [RHEL-1848]
- mm: use pmdp_get_lockless() without surplus barrier() (Chris von Recklinghausen) [RHEL-1848]
- mm: skip CMA pages when they are not available (Chris von Recklinghausen) [RHEL-1848]
- memcg: use helper macro FLUSH_TIME (Chris von Recklinghausen) [RHEL-1848]
- mm: fix failure to unmap pte on highmem systems (Chris von Recklinghausen) [RHEL-1848]
- Multi-gen LRU: fix workingset accounting (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: cleanup lru_gen_test_recent() (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: add helpers in page table walks (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: cleanup lru_gen_soft_reclaim() (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: use macro for bitmap (Chris von Recklinghausen) [RHEL-1848]
- selftests: cgroup: fix unexpected failure on test_memcg_low (Chris von Recklinghausen) [RHEL-1848]
- mm/memcontrol: fix typo in comment (Chris von Recklinghausen) [RHEL-1848]
- Revert "Revert "mm/compaction: fix set skip in fast_find_migrateblock"" (Chris von Recklinghausen) [RHEL-1848]
- mm: compaction: update pageblock skip when first migration candidate is not at the start (Chris von Recklinghausen) [RHEL-1848]
- mm: compaction: only force pageblock scan completion when skip hints are obeyed (Chris von Recklinghausen) [RHEL-1848]
- mm: compaction: ensure rescanning only happens on partially scanned pageblocks (Chris von Recklinghausen) [RHEL-1848]
- cachestat: implement cachestat syscall (Chris von Recklinghausen) [RHEL-1848]
- workingset: refactor LRU refault to expose refault recency check (Chris von Recklinghausen) [RHEL-1848]
- scripts: fix the gfp flags header path in gfp-translate (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/core: fix divide error in damon_nr_accesses_to_accesses_bp() (Chris von Recklinghausen) [RHEL-1848]
- mm: Multi-gen LRU: remove wait_event_killable() (Chris von Recklinghausen) [RHEL-1848]
- memcg: do not modify rstat tree for zero updates (Chris von Recklinghausen) [RHEL-1848]
- vmscan: memcg: sleep when flushing stats during reclaim (Chris von Recklinghausen) [RHEL-1848]
- workingset: memcg: sleep when flushing stats in workingset_refault() (Chris von Recklinghausen) [RHEL-1848]
- memcg: sleep during flushing stats in safe contexts (Chris von Recklinghausen) [RHEL-1848]
- memcg: replace stats_flush_lock with an atomic (Chris von Recklinghausen) [RHEL-1848]
- memcg: do not flush stats in irq context (Chris von Recklinghausen) [RHEL-1848]
- memcg: rename mem_cgroup_flush_stats_"delayed" to "ratelimited" (Chris von Recklinghausen) [RHEL-1848]
- cgroup: rename cgroup_rstat_flush_"irqsafe" to "atomic" (Chris von Recklinghausen) [RHEL-1848]
- selftests/mm: smoke test UFFD_FEATURE_WP_UNPOPULATED (Chris von Recklinghausen) [RHEL-1848]
- mm/uffd: UFFD_FEATURE_WP_UNPOPULATED (Chris von Recklinghausen) [RHEL-1848]
- selftests/mm: fix split huge page tests (Chris von Recklinghausen) [RHEL-1848]
- slab: Adjust comment after refactoring of gfp.h (Chris von Recklinghausen) [RHEL-1848]
- fs: Fix description of vfs_tmpfile() (Chris von Recklinghausen) [RHEL-1848]
- instrumented.h: Fix all kernel-doc format warnings (Chris von Recklinghausen) [RHEL-1848]
- mm: kmsan: handle alloc failures in kmsan_ioremap_page_range() (Chris von Recklinghausen) [RHEL-1848]
- mm: kmsan: handle alloc failures in kmsan_vmap_pages_range_noflush() (Chris von Recklinghausen) [RHEL-1848]
- mm: fix memory leak on mm_init error handling (Chris von Recklinghausen) [RHEL-1848]
- objtool: add UACCESS exceptions for __tsan_volatile_read/write (Chris von Recklinghausen) [RHEL-1848]
- kmsan: disable ftrace in kmsan core code (Chris von Recklinghausen) [RHEL-1848]
- kasan: mark addr_has_metadata __always_inline (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: avoid futile retries (Chris von Recklinghausen) [RHEL-1848]
- mm: add folio_estimated_sharers() (Chris von Recklinghausen) [RHEL-1848]
- kasan: reset page tags properly with sampling (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: simplify lru_gen_look_around() (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: improve walk_pmd_range() (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: improve lru_gen_exit_memcg() (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: section for memcg LRU (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: section for Bloom filters (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: section for rmap/PT walk feedback (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: section for working set protection (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/core-test: add a test for damon_update_monitoring_results() (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/core: update monitoring results for new monitoring attributes (Chris von Recklinghausen) [RHEL-1848]
- mm/damon: update comments in damon.h for damon_attrs (Chris von Recklinghausen) [RHEL-1848]
- swap_state: update shadow_nodes for anonymous page (Chris von Recklinghausen) [RHEL-1848]
- filemap: convert filemap_map_pmd() to take a folio (Chris von Recklinghausen) [RHEL-1848]
- mm: convert wp_page_copy() to use folios (Chris von Recklinghausen) [RHEL-1848]
- mm: add vma_alloc_zeroed_movable_folio() (Chris von Recklinghausen) [RHEL-1848]
- Revert "x86: kmsan: sync metadata pages on page fault" (Chris von Recklinghausen) [RHEL-1848]
- mm: add folio_add_new_anon_rmap() (Chris von Recklinghausen) [RHEL-1848]
- mm: convert total_compound_mapcount() to folio_total_mapcount() (Chris von Recklinghausen) [RHEL-1848]
- mm: convert head_subpages_mapcount() into folio_nr_pages_mapped() (Chris von Recklinghausen) [RHEL-1848]
- mm: remove folio_pincount_ptr() and head_compound_pincount() (Chris von Recklinghausen) [RHEL-1848]
- mm/kasan: simplify and refine kasan_cache code (Chris von Recklinghausen) [RHEL-1848]
- mm/slab: add is_kmalloc_cache() helper function (Chris von Recklinghausen) [RHEL-1848]
- workingset: fix confusion around eviction vs refault container (Chris von Recklinghausen) [RHEL-1848]
- mm/thp: check and bail out if page in deferred queue already (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: simplify arch_has_hw_pte_young() check (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: clarify scan_control flags (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: per-node lru_gen_folio lists (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: shuffle should_run_aging() (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: remove aging fairness safeguard (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: remove eviction fairness safeguard (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: rename lrugen->lists[] to lrugen->folios[] (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: rename lru_gen_struct to lru_gen_folio (Chris von Recklinghausen) [RHEL-1848]
- madvise: convert madvise_cold_or_pageout_pte_range() to use folios (Chris von Recklinghausen) [RHEL-1848]
- mm/memory: add vm_normal_folio() (Chris von Recklinghausen) [RHEL-1848]
- kasan: allow sampling page_alloc allocations for HW_TAGS (Chris von Recklinghausen) [RHEL-1848]
- swapfile: get rid of volatile and avoid redundant read (Chris von Recklinghausen) [RHEL-1848]
- mm: huge_memory: convert madvise_free_huge_pmd to use a folio (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: introduce hugetlb_walk() (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: make walk_hugetlb_range() safe to pmd unshare (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: make follow_hugetlb_page() safe to pmd unshare (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: make hugetlb_follow_page_mask() safe to pmd unshare (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: make userfaultfd_huge_must_wait() safe to pmd unshare (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: move swap entry handling into vma lock when faulted (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: document huge_pte_offset usage (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: don't wait for migration entry during follow page (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: let vma_offset_start() to return start (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: update vma flag check for hugetlb vma lock (Chris von Recklinghausen) [RHEL-1848]
- mm/uffd: always wr-protect pte in pte|pmd_mkuffd_wp() (Chris von Recklinghausen) [RHEL-1848]
- mm: move folio_set_compound_order() to mm/internal.h (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: Update the translation of kasan to 6.1-rc8 (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: Update the translation of page_owner to 6.1-rc8 (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: Update the translation of mm-api to 6.1-rc8 (Chris von Recklinghausen) [RHEL-1848]
- mm/MADV_COLLAPSE: set EAGAIN on unexpected page refcount (Chris von Recklinghausen) [RHEL-1848]
- mm/gup: add folio to list when folio_isolate_lru() succeed (Chris von Recklinghausen) [RHEL-1848]
- mm: extend max struct page size for kmsan (Chris von Recklinghausen) [RHEL-1848]
- nvdimm: Support sizeof(struct page) > MAX_STRUCT_PAGE_SIZE (Chris von Recklinghausen) [RHEL-1848]
- coredump: Move dump_emit_page() to kill unused warning (Chris von Recklinghausen) [RHEL-1848]
- mm/MADV_COLLAPSE: catch !none !huge !bad pmd lookups (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: fix crash during cgroup migration (Chris von Recklinghausen) [RHEL-1848]
- Revert "mm/compaction: fix set skip in fast_find_migrateblock" (Chris von Recklinghausen) [RHEL-1848]
- ovl: fix tmpfile leak (Chris von Recklinghausen) [RHEL-1848]
- mm/shmem: restore SHMEM_HUGE_DENY precedence over MADV_COLLAPSE (Chris von Recklinghausen) [RHEL-1848]
- mm/MADV_COLLAPSE: don't expand collapse when vm_end is past requested end (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: really allocate vma lock for all sharable vmas (Chris von Recklinghausen) [RHEL-1848]
- kmsan: export kmsan_handle_urb (Chris von Recklinghausen) [RHEL-1848]
- kmsan: include linux/vmalloc.h (Chris von Recklinghausen) [RHEL-1848]
- mm: Rename pmd_read_atomic() (Chris von Recklinghausen) [RHEL-1848]
- mm: Fix pmd_read_atomic() (Chris von Recklinghausen) [RHEL-1848]
- x86/mm/pae: Make pmd_t similar to pte_t (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: set head flag before setting compound_order in __prep_compound_gigantic_folio (Chris von Recklinghausen) [RHEL-1848]
- mm: fix typo in struct pglist_data code comment (Chris von Recklinghausen) [RHEL-1848]
- mm,thp,rmap: fix races between updates of subpages_mapcount (Chris von Recklinghausen) [RHEL-1848]
- mm: memcg: fix swapcached stat accounting (Chris von Recklinghausen) [RHEL-1848]
- selftests: cgroup: make sure reclaim target memcg is unprotected (Chris von Recklinghausen) [RHEL-1848]
- selftests: cgroup: refactor proactive reclaim code to reclaim_until() (Chris von Recklinghausen) [RHEL-1848]
- mm: memcg: fix stale protection of reclaim target memcg (Chris von Recklinghausen) [RHEL-1848]
- selftests/damon: test removed scheme sysfs dir access bug (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: change hugetlb allocation functions to return a folio (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: convert hugetlb prep functions to folios (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: convert free_gigantic_page() to folios (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: convert enqueue_huge_page() to folios (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: convert add_hugetlb_page() to folios and add hugetlb_cma_folio() (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: convert update_and_free_page() to folios (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: convert remove_hugetlb_page() to folios (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: convert dissolve_free_huge_page() to folios (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: convert destroy_compound_gigantic_page() to folios (Chris von Recklinghausen) [RHEL-1848]
- mm: add folio dtor and order setter functions (Chris von Recklinghausen) [RHEL-1848]
- folio-compat: remove lru_cache_add() (Chris von Recklinghausen) [RHEL-1848]
- khugepage: replace lru_cache_add() with folio_add_lru() (Chris von Recklinghausen) [RHEL-1848]
- userfaultfd: replace lru_cache functions with folio_add functions (Chris von Recklinghausen) [RHEL-1848]
- fuse: convert fuse_try_move_page() to use folios (Chris von Recklinghausen) [RHEL-1848]
- filemap: convert replace_page_cache_page() to replace_page_cache_folio() (Chris von Recklinghausen) [RHEL-1848]
- mm/madvise: fix madvise_pageout for private file mappings (Chris von Recklinghausen) [RHEL-1848]
- Revert "kmsan: unpoison @tlb in arch_tlb_gather_mmu()" (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: remove NULL checks on NODE_DATA() (Chris von Recklinghausen) [RHEL-1848]
- mm/kfence: remove hung_task cruft (Chris von Recklinghausen) [RHEL-1848]
- mm,thp,rmap: clean up the end of __split_huge_pmd_locked() (Chris von Recklinghausen) [RHEL-1848]
- mm,thp,rmap: subpages_mapcount COMPOUND_MAPPED if PMD-mapped (Chris von Recklinghausen) [RHEL-1848]
- mm,thp,rmap: subpages_mapcount of PTE-mapped subpages (Chris von Recklinghausen) [RHEL-1848]
- selftests/damon: test non-context inputs to rm_contexts file (Chris von Recklinghausen) [RHEL-1848]
- mm,thp,rmap: handle the normal !PageCompound case first (Chris von Recklinghausen) [RHEL-1848]
- mm,thp,rmap: lock_compound_mapcounts() on THP mapcounts (Chris von Recklinghausen) [RHEL-1848]
- mm,thp,rmap: simplify compound page mapcount handling (Chris von Recklinghausen) [RHEL-1848]
- mm,hugetlb: use folio fields in second tail page (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: convert move_hugetlb_state() to folios (Chris von Recklinghausen) [RHEL-1848]
- mm/hugeltb_cgroup: convert hugetlb_cgroup_commit_charge*() to folios (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb_cgroup: convert hugetlb_cgroup_uncharge_page() to folios (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: convert free_huge_page to folios (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: convert isolate_or_dissolve_huge_page to folios (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb_cgroup: convert hugetlb_cgroup_migrate to folios (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb_cgroup: convert set_hugetlb_cgroup*() to folios (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb_cgroup: convert hugetlb_cgroup_from_page() to folios (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb_cgroup: convert __set_hugetlb_cgroup() to folios (Chris von Recklinghausen) [RHEL-1848]
- mm: vmscan: split khugepaged stats from direct reclaim stats (Chris von Recklinghausen) [RHEL-1848]
- mm, hwpoison: when copy-on-write hits poison, take page offline (Chris von Recklinghausen) [RHEL-1848]
- mm, hwpoison: try to recover from copy-on write faults (Chris von Recklinghausen) [RHEL-1848]
- percpu_counter: add percpu_counter_sum_all interface (Chris von Recklinghausen) [RHEL-1848]
- mm: convert mm's rss stats into percpu_counter (Chris von Recklinghausen) [RHEL-1848]
- selftests/damon: add tests for DAMON_LRU_SORT's enabled parameter (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/lru_sort: enable and disable synchronously (Chris von Recklinghausen) [RHEL-1848]
- selftests/damon: add tests for DAMON_RECLAIM's enabled parameter (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/reclaim: enable and disable synchronously (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/{reclaim,lru_sort}: remove unnecessarily included headers (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/modules: deduplicate init steps for DAMON context setup (Chris von Recklinghausen) [RHEL-1848]
- mm/hwpoison: introduce per-memory_block hwpoison counter (Chris von Recklinghausen) [RHEL-1848]
- mm/hwpoison: pass pfn to num_poisoned_pages_*() (Chris von Recklinghausen) [RHEL-1848]
- mm/hwpoison: move definitions of num_poisoned_pages_* to memory-failure.c (Chris von Recklinghausen) [RHEL-1848]
- mm,hwpoison,hugetlb,memory_hotplug: hotremove memory section with hwpoisoned hugepage (Chris von Recklinghausen) [RHEL-1848]
- Revert "mm/uffd: fix warning without PTE_MARKER_UFFD_WP compiled in" (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: unify clearing of RestoreReserve for private pages (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: add folio_hstate() (Chris von Recklinghausen) [RHEL-1848]
- hugetlbfs: convert hugetlb_delete_from_page_cache() to use folios (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: add hugetlb_folio_subpool() helpers (Chris von Recklinghausen) [RHEL-1848]
- mm: add private field of first tail to struct page and struct folio (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: add folio support to hugetlb specific flag macros (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: simplify hugetlb handling in follow_page_mask (Chris von Recklinghausen) [RHEL-1848]
- Revert "docs/zh_CN: core-api: Add timekeeping Chinese translation" (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: core-api: Add errseq Chinese translation (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: core-api: Add timekeeping Chinese translation (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: core-api: Add this_cpu_ops Chinese translation (Chris von Recklinghausen) [RHEL-1848]
- mm: kasan: Extend kasan_metadata_size() to also cover in-object size (Chris von Recklinghausen) [RHEL-1848]
- mm/slub: only zero requested size of buffer for kzalloc when debug enabled (Chris von Recklinghausen) [RHEL-1848]
- Revert "mm: align larger anonymous mappings on THP boundaries" (Chris von Recklinghausen) [RHEL-1848]
- mm: introduce arch_has_hw_nonleaf_pmd_young() (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: don't delete vma_lock in hugetlb MADV_DONTNEED processing (Chris von Recklinghausen) [RHEL-1848]
- madvise: use zap_page_range_single for madvise dontneed (Chris von Recklinghausen) [RHEL-1848]
- mm: replace VM_WARN_ON to pr_warn if the node is offline with __GFP_THISNODE (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: fix __prep_compound_gigantic_page page flag setting (Chris von Recklinghausen) [RHEL-1848]
- kfence: fix stack trace pruning (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: retry folios written back while isolated (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/sysfs-schemes: skip stats update if the scheme directory is removed (Chris von Recklinghausen) [RHEL-1848]
- mm/khugepaged: refactor mm_khugepaged_scan_file tracepoint to remove filename from function call (Chris von Recklinghausen) [RHEL-1848]
- mm/page_exit: fix kernel doc warning in page_ext_put() (Chris von Recklinghausen) [RHEL-1848]
- mm: khugepaged: allow page allocation fallback to eligible nodes (Chris von Recklinghausen) [RHEL-1848]
- vfs: vfs_tmpfile: ensure O_EXCL flag is enforced (Chris von Recklinghausen) [RHEL-1848]
- docs: kmsan: fix formatting of "Example report" (Chris von Recklinghausen) [RHEL-1848]
- x86/traps: avoid KMSAN bugs originating from handle_bug() (Chris von Recklinghausen) [RHEL-1848]
- kmsan: make sure PREEMPT_RT is off (Chris von Recklinghausen) [RHEL-1848]
- Kconfig.debug: ensure early check for KMSAN in CONFIG_KMSAN_WARN (Chris von Recklinghausen) [RHEL-1848]
- kmsan: core: kmsan_in_runtime() should return true in NMI context (Chris von Recklinghausen) [RHEL-1848]
- mm/slab_common: Restore passing "caller" for tracing (Chris von Recklinghausen) [RHEL-1848]
- mm/slab: remove !CONFIG_TRACING variants of kmalloc_[node_]trace() (Chris von Recklinghausen) [RHEL-1848]
- mm/slab_common: repair kernel-doc for __ksize() (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: move lru_gen_add_mm() out of IRQ-off region (Chris von Recklinghausen) [RHEL-1848]
- mm/shmem: ensure proper fallback if page faults (Chris von Recklinghausen) [RHEL-1848]
- mm/userfaultfd: replace kmap/kmap_atomic() with kmap_local_page() (Chris von Recklinghausen) [RHEL-1848]
- x86: fortify: kmsan: fix KMSAN fortify builds (Chris von Recklinghausen) [RHEL-1848]
- x86: asm: make sure __put_user_size() evaluates pointer once (Chris von Recklinghausen) [RHEL-1848]
- Kconfig.debug: disable CONFIG_FRAME_WARN for KMSAN by default (Chris von Recklinghausen) [RHEL-1848]
- mm: kmsan: export kmsan_copy_page_meta() (Chris von Recklinghausen) [RHEL-1848]
- mm/page_isolation: fix clang deadcode warning (Chris von Recklinghausen) [RHEL-1848]
- rhashtable: make test actually random (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: fix memory leak associated with vma_lock structure (Chris von Recklinghausen) [RHEL-1848]
- mm/page_alloc: reduce potential fragmentation in make_alloc_exact() (Chris von Recklinghausen) [RHEL-1848]
- zsmalloc: zs_destroy_pool: add size_class NULL check (Chris von Recklinghausen) [RHEL-1848]
- treewide: use get_random_u32() when possible (Chris von Recklinghausen) [RHEL-1848]
- treewide: use prandom_u32_max() when possible, part 2 (Chris von Recklinghausen) [RHEL-1848]
- mm/slab: use kmalloc_node() for off slab freelist_idx_t array allocation (Chris von Recklinghausen) [RHEL-1848]
- highmem: fix kmap_to_page() for kmap_local_page() addresses (Chris von Recklinghausen) [RHEL-1848]
- zram: always expose rw_page (Chris von Recklinghausen) [RHEL-1848]
- kasan: fix array-bounds warnings in tests (Chris von Recklinghausen) [RHEL-1848]
- mm/damon: use damon_sz_region() in appropriate place (Chris von Recklinghausen) [RHEL-1848]
- mm/damon: move sz_damon_region to damon_sz_region (Chris von Recklinghausen) [RHEL-1848]
- kmsan: unpoison @tlb in arch_tlb_gather_mmu() (Chris von Recklinghausen) [RHEL-1848]
- mmap: fix copy_vma() failure path (Chris von Recklinghausen) [RHEL-1848]
- mm/compaction: fix set skip in fast_find_migrateblock (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb.c: make __hugetlb_vma_unlock_write_put() static (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: Update the translation of page_owner to 6.0-rc7 (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: Update the translation of ksm to 6.0-rc7 (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/core: initialize damon_target->list in damon_new_target() (Chris von Recklinghausen) [RHEL-1848]
- xfs: port to vfs{g,u}id_t and associated helpers (Chris von Recklinghausen) [RHEL-1848]
- vfs: open inside ->tmpfile() (Chris von Recklinghausen) [RHEL-1848]
- vfs: move open right after ->tmpfile() (Chris von Recklinghausen) [RHEL-1848]
- vfs: make vfs_tmpfile() static (Chris von Recklinghausen) [RHEL-1848]
- ovl: use vfs_tmpfile_open() helper (Chris von Recklinghausen) [RHEL-1848]
- cachefiles: use vfs_tmpfile_open() helper (Chris von Recklinghausen) [RHEL-1848]
- cachefiles: only pass inode to *mark_inode_inuse() helpers (Chris von Recklinghausen) [RHEL-1848]
- cachefiles: tmpfile error handling cleanup (Chris von Recklinghausen) [RHEL-1848]
- hugetlbfs: cleanup mknod and tmpfile (Chris von Recklinghausen) [RHEL-1848]
- vfs: add vfs_tmpfile_open() helper (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: allocate vma lock for all sharable vmas (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: take hugetlb vma_lock when clearing vma_lock->vma pointer (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: fix vma lock handling during split vma and range unmapping (Chris von Recklinghausen) [RHEL-1848]
- mglru: mm/vmscan.c: fix imprecise comments (Chris von Recklinghausen) [RHEL-1848]
- mm/mglru: don't sync disk for each aging cycle (Chris von Recklinghausen) [RHEL-1848]
- mm: memcontrol: don't allocate cgroup swap arrays when memcg is disabled (Chris von Recklinghausen) [RHEL-1848]
- mm/secretmem: remove reduntant return value (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: add available_huge_pages() func (Chris von Recklinghausen) [RHEL-1848]
- selftests/vm: add selftest for MADV_COLLAPSE of uffd-minor memory (Chris von Recklinghausen) [RHEL-1848]
- selftests/vm: add file/shmem MADV_COLLAPSE selftest for cleared pmd (Chris von Recklinghausen) [RHEL-1848]
- selftests/vm: add thp collapse shmem testing (Chris von Recklinghausen) [RHEL-1848]
- selftests/vm: add thp collapse file and tmpfs testing (Chris von Recklinghausen) [RHEL-1848]
- selftests/vm: modularize thp collapse memory operations (Chris von Recklinghausen) [RHEL-1848]
- selftests/vm: dedup THP helpers (Chris von Recklinghausen) [RHEL-1848]
- mm/khugepaged: add tracepoint to hpage_collapse_scan_file() (Chris von Recklinghausen) [RHEL-1848]
- mm/madvise: add file and shmem support to MADV_COLLAPSE (Chris von Recklinghausen) [RHEL-1848]
- mm/khugepaged: attempt to map file/shmem-backed pte-mapped THPs by pmds (Chris von Recklinghausen) [RHEL-1848]
- mm/shmem: add flag to enforce shmem THP in hugepage_vma_check() (Chris von Recklinghausen) [RHEL-1848]
- selftests/vm: retry on EAGAIN for MADV_COLLAPSE selftest (Chris von Recklinghausen) [RHEL-1848]
- mm/madvise: MADV_COLLAPSE return EAGAIN when page cannot be isolated (Chris von Recklinghausen) [RHEL-1848]
- mm/khugepaged: check compound_order() in collapse_pte_mapped_thp() (Chris von Recklinghausen) [RHEL-1848]
- mm/damon: deduplicate damon_{reclaim,lru_sort}_apply_parameters() (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/sysfs: return 'err' value when call kstrtoul() failed (Chris von Recklinghausen) [RHEL-1848]
- mm/page_alloc: update comments for rmqueue() (Chris von Recklinghausen) [RHEL-1848]
- mm/damon: rename damon_pageout_score() to damon_cold_score() (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: freeze allocated pages before creating hugetlb pages (Chris von Recklinghausen) [RHEL-1848]
- mm/page_alloc: fix obsolete comment in deferred_pfn_valid() (Chris von Recklinghausen) [RHEL-1848]
- mm/page_alloc: remove obsolete gfpflags_normal_context() (Chris von Recklinghausen) [RHEL-1848]
- mm/page_alloc: use costly_order in WARN_ON_ONCE_GFP() (Chris von Recklinghausen) [RHEL-1848]
- mm/page_alloc: init local variable buddy_pfn (Chris von Recklinghausen) [RHEL-1848]
- mm/page_alloc: use helper macro SZ_1{K,M} (Chris von Recklinghausen) [RHEL-1848]
- mm/page_alloc: make boot_nodestats static (Chris von Recklinghausen) [RHEL-1848]
- mm/page_table_check: fix typos (Chris von Recklinghausen) [RHEL-1848]
- mm/damon: return void from damon_set_schemes() (Chris von Recklinghausen) [RHEL-1848]
- mm/secretmem: add __init annotation to secretmem_init() (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/lru_sort: change damon_lru_sort_wmarks to static (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/reclaim: change damon_reclaim_wmarks to static (Chris von Recklinghausen) [RHEL-1848]
- mm/damon: use 'struct damon_target *' instead of 'void *' in target_valid() (Chris von Recklinghausen) [RHEL-1848]
- mm/damon: simplify scheme create in lru_sort.c (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/sysfs: avoid call damon_target_has_pid() repeatedly (Chris von Recklinghausen) [RHEL-1848]
- x86: kmsan: handle CPU entry area (Chris von Recklinghausen) [RHEL-1848]
- x86: kmsan: enable KMSAN builds for x86 (Chris von Recklinghausen) [RHEL-1848]
- entry: kmsan: introduce kmsan_unpoison_entry_regs() (Chris von Recklinghausen) [RHEL-1848]
- x86: kmsan: don't instrument stack walking functions (Chris von Recklinghausen) [RHEL-1848]
- x86: kasan: kmsan: support CONFIG_GENERIC_CSUM on x86, enable it for KASAN/KMSAN (Chris von Recklinghausen) [RHEL-1848]
- x86: kmsan: sync metadata pages on page fault (Chris von Recklinghausen) [RHEL-1848]
- x86: kmsan: use __msan_ string functions where possible. (Chris von Recklinghausen) [RHEL-1848]
- x86: kmsan: handle open-coded assembly in lib/iomem.c (Chris von Recklinghausen) [RHEL-1848]
- x86: kmsan: skip shadow checks in __switch_to() (Chris von Recklinghausen) [RHEL-1848]
- x86: kmsan: disable instrumentation of unsupported code (Chris von Recklinghausen) [RHEL-1848]
- security: kmsan: fix interoperability with auto-initialization (Chris von Recklinghausen) [RHEL-1848]
- kcov: kmsan: unpoison area->list in kcov_remote_area_put() (Chris von Recklinghausen) [RHEL-1848]
- kmsan: disable physical page merging in biovec (Chris von Recklinghausen) [RHEL-1848]
- crypto: kmsan: disable accelerated configs under KMSAN (Chris von Recklinghausen) [RHEL-1848]
- kmsan: disable strscpy() optimization under KMSAN (Chris von Recklinghausen) [RHEL-1848]
- kmsan: add tests for KMSAN (Chris von Recklinghausen) [RHEL-1848]
- kmsan: handle memory sent to/from USB (Chris von Recklinghausen) [RHEL-1848]
- virtio: kmsan: check/unpoison scatterlist in vring_map_one_sg() (Chris von Recklinghausen) [RHEL-1848]
- dma: kmsan: unpoison DMA mappings (Chris von Recklinghausen) [RHEL-1848]
- input: libps2: mark data received in __ps2_command() as initialized (Chris von Recklinghausen) [RHEL-1848]
- kmsan: add iomap support (Chris von Recklinghausen) [RHEL-1848]
- instrumented.h: add KMSAN support (Chris von Recklinghausen) [RHEL-1848]
- init: kmsan: call KMSAN initialization routines (Chris von Recklinghausen) [RHEL-1848]
- kmsan: handle task creation and exiting (Chris von Recklinghausen) [RHEL-1848]
- mm: kmsan: call KMSAN hooks from SLUB code (Chris von Recklinghausen) [RHEL-1848]
- mm: kmsan: maintain KMSAN metadata for page operations (Chris von Recklinghausen) [RHEL-1848]
- MAINTAINERS: add entry for KMSAN (Chris von Recklinghausen) [RHEL-1848]
- kmsan: disable instrumentation of unsupported common kernel code (Chris von Recklinghausen) [RHEL-1848]
- kmsan: add KMSAN runtime core (Chris von Recklinghausen) [RHEL-1848]
- libnvdimm/pfn_dev: increase MAX_STRUCT_PAGE_SIZE (Chris von Recklinghausen) [RHEL-1848]
- kmsan: mark noinstr as __no_sanitize_memory (Chris von Recklinghausen) [RHEL-1848]
- kmsan: introduce __no_sanitize_memory and __no_kmsan_checks (Chris von Recklinghausen) [RHEL-1848]
- kmsan: add ReST documentation (Chris von Recklinghausen) [RHEL-1848]
- asm-generic: instrument usercopy in cacheflush.h (Chris von Recklinghausen) [RHEL-1848]
- x86: asm: instrument usercopy in get_user() and put_user() (Chris von Recklinghausen) [RHEL-1848]
- instrumented.h: allow instrumenting both sides of copy_from_user() (Chris von Recklinghausen) [RHEL-1848]
- stackdepot: reserve 5 extra bits in depot_stack_handle_t (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb: remove unnecessary 'NULL' values from pointer (Chris von Recklinghausen) [RHEL-1848]
- mm/mempolicy: use PAGE_ALIGN instead of open-coding it (Chris von Recklinghausen) [RHEL-1848]
- mm/page_alloc.c: document bulkfree_pcp_prepare() return value (Chris von Recklinghausen) [RHEL-1848]
- mm/page_alloc.c: rename check_free_page() to free_page_is_bad() (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/core: simplify the kdamond stop mechanism by removing 'done' (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/sysfs: simplify the variable 'pid' assignment operation (Chris von Recklinghausen) [RHEL-1848]
- mm/damon: simplify the parameter passing for 'prepare_access_checks' (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/lru_sort: deduplicate hot/cold schemes generators (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/lru_sort: use quotas param generator (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/reclaim: use the quota params generator macro (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/modules-common: implement damos time quota params generator (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/modules-common: implement a damos quota params generator (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/lru_sort: use stat generator (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/reclaim: use stat parameters generator (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/modules-common: implement a stats parameters generator macro (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/reclaim: use watermarks parameters generator macro (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/lru_sort: use watermarks parameters generator macro (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/modules-common: implement a watermarks module parameters generator macro (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/reclaim: use monitoring attributes parameters generator macro (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/lru_sort: use monitoring attributes parameters generaotr macro (Chris von Recklinghausen) [RHEL-1848]
- mm/damon: implement a monitoring attributes module parameters generator macro (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/lru_sort: use 'struct damon_attrs' for storing parameters for it (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/reclaim: use 'struct damon_attrs' for storing parameters for it (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/core: reduce parameters for damon_set_attrs() (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/core: use a dedicated struct for monitoring attributes (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/core: factor out 'damos_quota' private fileds initialization (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/core: copy struct-to-struct instead of field-to-field in damon_new_scheme() (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/paddr: deduplicate damon_pa_{mark_accessed,deactivate_pages}() (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/paddr: make supported DAMOS actions of paddr clear (Chris von Recklinghausen) [RHEL-1848]
- mm/damon: simplify scheme create in damon_lru_sort_apply_parameters (Chris von Recklinghausen) [RHEL-1848]
- mm/damon: improve damon_new_region strategy (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/sysfs: use the wrapper directly to check if the kdamond is running (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/sysfs: change few functions execute order (Chris von Recklinghausen) [RHEL-1848]
- mm/huge_memory: prevent THP_ZERO_PAGE_ALLOC increased twice (Chris von Recklinghausen) [RHEL-1848]
- mm/damon: remove duplicate get_monitoring_region() definitions (Chris von Recklinghausen) [RHEL-1848]
- mm: kfence: convert to DEFINE_SEQ_ATTRIBUTE (Chris von Recklinghausen) [RHEL-1848]
- mm/shuffle: convert module_param_call to module_param_cb (Chris von Recklinghausen) [RHEL-1848]
- tmpfs: add support for an i_version counter (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/vaddr: add a comment for 'default' case in damon_va_apply_scheme() (Chris von Recklinghausen) [RHEL-1848]
- mm/rodata_test: use PAGE_ALIGNED() helper (Chris von Recklinghausen) [RHEL-1848]
- mm/hwpoison: add __init/__exit annotations to module init/exit funcs (Chris von Recklinghausen) [RHEL-1848]
- mm: add pageblock_aligned() macro (Chris von Recklinghausen) [RHEL-1848]
- mm: add pageblock_align() macro (Chris von Recklinghausen) [RHEL-1848]
- mm: reuse pageblock_start/end_pfn() macro (Chris von Recklinghausen) [RHEL-1848]
- mm/page_owner.c: remove redundant drain_all_pages (Chris von Recklinghausen) [RHEL-1848]
- mm/damon: simplify damon_ctx check in damon_sysfs_before_terminate (Chris von Recklinghausen) [RHEL-1848]
- kasan: better invalid/double-free report header (Chris von Recklinghausen) [RHEL-1848]
- ubject: kasan: move tests to mm/kasan/ (Chris von Recklinghausen) [RHEL-1848]
- kasan: add another use-after-free test (Chris von Recklinghausen) [RHEL-1848]
- kasan: better identify bug types for tag-based modes (Chris von Recklinghausen) [RHEL-1848]
- kasan: dynamically allocate stack ring entries (Chris von Recklinghausen) [RHEL-1848]
- kasan: support kasan.stacktrace for SW_TAGS (Chris von Recklinghausen) [RHEL-1848]
- kasan: implement stack ring for tag-based modes (Chris von Recklinghausen) [RHEL-1848]
- kasan: introduce kasan_complete_mode_report_info (Chris von Recklinghausen) [RHEL-1848]
- kasan: rework function arguments in report.c (Chris von Recklinghausen) [RHEL-1848]
- kasan: fill in cache and object in complete_report_info (Chris von Recklinghausen) [RHEL-1848]
- kasan: introduce complete_report_info (Chris von Recklinghausen) [RHEL-1848]
- kasan: simplify print_report (Chris von Recklinghausen) [RHEL-1848]
- kasan: make kasan_addr_to_page static (Chris von Recklinghausen) [RHEL-1848]
- kasan: use kasan_addr_to_slab in print_address_description (Chris von Recklinghausen) [RHEL-1848]
- kasan: use virt_addr_valid in kasan_addr_to_page/slab (Chris von Recklinghausen) [RHEL-1848]
- kasan: cosmetic changes in report.c (Chris von Recklinghausen) [RHEL-1848]
- kasan: move kasan_get_alloc/free_track definitions (Chris von Recklinghausen) [RHEL-1848]
- kasan: pass tagged pointers to kasan_save_alloc/free_info (Chris von Recklinghausen) [RHEL-1848]
- kasan: only define kasan_cache_create for Generic mode (Chris von Recklinghausen) [RHEL-1848]
- kasan: only define metadata structs for Generic mode (Chris von Recklinghausen) [RHEL-1848]
- kasan: only define metadata offsets for Generic mode (Chris von Recklinghausen) [RHEL-1848]
- kasan: only define kasan_never_merge for Generic mode (Chris von Recklinghausen) [RHEL-1848]
- kasan: only define kasan_metadata_size for Generic mode (Chris von Recklinghausen) [RHEL-1848]
- kasan: drop CONFIG_KASAN_GENERIC check from kasan_init_cache_meta (Chris von Recklinghausen) [RHEL-1848]
- kasan: introduce kasan_init_cache_meta (Chris von Recklinghausen) [RHEL-1848]
- kasan: introduce kasan_requires_meta (Chris von Recklinghausen) [RHEL-1848]
- kasan: move kasan_get_*_meta to generic.c (Chris von Recklinghausen) [RHEL-1848]
- kasan: clear metadata functions for tag-based modes (Chris von Recklinghausen) [RHEL-1848]
- kasan: introduce kasan_init_object_meta (Chris von Recklinghausen) [RHEL-1848]
- kasan: introduce kasan_get_alloc_track (Chris von Recklinghausen) [RHEL-1848]
- kasan: introduce kasan_print_aux_stacks (Chris von Recklinghausen) [RHEL-1848]
- kasan: drop CONFIG_KASAN_TAGS_IDENTIFY (Chris von Recklinghausen) [RHEL-1848]
- kasan: split save_alloc_info implementations (Chris von Recklinghausen) [RHEL-1848]
- kasan: move is_kmalloc check out of save_alloc_info (Chris von Recklinghausen) [RHEL-1848]
- kasan: rename kasan_set_*_info to kasan_save_*_info (Chris von Recklinghausen) [RHEL-1848]
- kasan: check KASAN_NO_FREE_META in __kasan_metadata_size (Chris von Recklinghausen) [RHEL-1848]
- filemap: convert filemap_range_has_writeback() to use folios (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/sysfs: simplify the judgement whether kdamonds are busy (Chris von Recklinghausen) [RHEL-1848]
- mm/hugetlb.c: remove unnecessary initialization of local `err' (Chris von Recklinghausen) [RHEL-1848]
- uprobes: use new_folio in __replace_page() (Chris von Recklinghausen) [RHEL-1848]
- rmap: remove page_unlock_anon_vma_read() (Chris von Recklinghausen) [RHEL-1848]
- mm: convert page_get_anon_vma() to folio_get_anon_vma() (Chris von Recklinghausen) [RHEL-1848]
- huge_memory: convert unmap_page() to unmap_folio() (Chris von Recklinghausen) [RHEL-1848]
- huge_memory: convert split_huge_page_to_list() to use a folio (Chris von Recklinghausen) [RHEL-1848]
- migrate: convert unmap_and_move_huge_page() to use folios (Chris von Recklinghausen) [RHEL-1848]
- migrate: convert __unmap_and_move() to use folios (Chris von Recklinghausen) [RHEL-1848]
- rmap: convert page_move_anon_rmap() to use a folio (Chris von Recklinghausen) [RHEL-1848]
- mm: remove try_to_free_swap() (Chris von Recklinghausen) [RHEL-1848]
- memcg: convert mem_cgroup_swap_full() to take a folio (Chris von Recklinghausen) [RHEL-1848]
- mm: convert do_swap_page() to use folio_free_swap() (Chris von Recklinghausen) [RHEL-1848]
- ksm: use a folio in replace_page() (Chris von Recklinghausen) [RHEL-1848]
- uprobes: use folios more widely in __replace_page() (Chris von Recklinghausen) [RHEL-1848]
- madvise: convert madvise_free_pte_range() to use a folio (Chris von Recklinghausen) [RHEL-1848]
- huge_memory: convert do_huge_pmd_wp_page() to use a folio (Chris von Recklinghausen) [RHEL-1848]
- mm: convert do_wp_page() to use a folio (Chris von Recklinghausen) [RHEL-1848]
- swap: convert swap_writepage() to use a folio (Chris von Recklinghausen) [RHEL-1848]
- swap_state: convert free_swap_cache() to use a folio (Chris von Recklinghausen) [RHEL-1848]
- mm: remove lookup_swap_cache() (Chris von Recklinghausen) [RHEL-1848]
- mm: convert do_swap_page() to use swap_cache_get_folio() (Chris von Recklinghausen) [RHEL-1848]
- swapfile: convert unuse_pte_range() to use a folio (Chris von Recklinghausen) [RHEL-1848]
- swapfile: convert __try_to_reclaim_swap() to use a folio (Chris von Recklinghausen) [RHEL-1848]
- swapfile: convert try_to_unuse() to use a folio (Chris von Recklinghausen) [RHEL-1848]
- shmem: remove shmem_getpage() (Chris von Recklinghausen) [RHEL-1848]
- userfaultfd: convert mcontinue_atomic_pte() to use a folio (Chris von Recklinghausen) [RHEL-1848]
- khugepaged: call shmem_get_folio() (Chris von Recklinghausen) [RHEL-1848]
- shmem: convert shmem_get_link() to use a folio (Chris von Recklinghausen) [RHEL-1848]
- shmem: convert shmem_symlink() to use a folio (Chris von Recklinghausen) [RHEL-1848]
- shmem: convert shmem_fallocate() to use a folio (Chris von Recklinghausen) [RHEL-1848]
- shmem: convert shmem_file_read_iter() to use shmem_get_folio() (Chris von Recklinghausen) [RHEL-1848]
- shmem: convert shmem_write_begin() to use shmem_get_folio() (Chris von Recklinghausen) [RHEL-1848]
- shmem: convert shmem_get_partial_folio() to use shmem_get_folio() (Chris von Recklinghausen) [RHEL-1848]
- shmem: add shmem_get_folio() (Chris von Recklinghausen) [RHEL-1848]
- shmem: convert shmem_read_mapping_page_gfp() to use shmem_get_folio_gfp() (Chris von Recklinghausen) [RHEL-1848]
- shmem: convert shmem_fault() to use shmem_get_folio_gfp() (Chris von Recklinghausen) [RHEL-1848]
- shmem: convert shmem_getpage_gfp() to shmem_get_folio_gfp() (Chris von Recklinghausen) [RHEL-1848]
- shmem: eliminate struct page from shmem_swapin_folio() (Chris von Recklinghausen) [RHEL-1848]
- swap: add swap_cache_get_folio() (Chris von Recklinghausen) [RHEL-1848]
- shmem: convert shmem_replace_page() to shmem_replace_folio() (Chris von Recklinghausen) [RHEL-1848]
- shmem: convert shmem_mfill_atomic_pte() to use a folio (Chris von Recklinghausen) [RHEL-1848]
- memcg: convert mem_cgroup_swapin_charge_page() to mem_cgroup_swapin_charge_folio() (Chris von Recklinghausen) [RHEL-1848]
- mm: convert do_swap_page()'s swapcache variable to a folio (Chris von Recklinghausen) [RHEL-1848]
- mm/swap: convert put_swap_page() to put_swap_folio() (Chris von Recklinghausen) [RHEL-1848]
- mm/swap: convert add_to_swap_cache() to take a folio (Chris von Recklinghausen) [RHEL-1848]
- mm/swap: convert __read_swap_cache_async() to use a folio (Chris von Recklinghausen) [RHEL-1848]
- mm/swapfile: convert try_to_free_swap() to folio_free_swap() (Chris von Recklinghausen) [RHEL-1848]
- mm/swapfile: remove page_swapcount() (Chris von Recklinghausen) [RHEL-1848]
- mm: add split_folio() (Chris von Recklinghausen) [RHEL-1848]
- mm: reimplement folio_order() and folio_nr_pages() (Chris von Recklinghausen) [RHEL-1848]
- mm: add the first tail page to struct folio (Chris von Recklinghausen) [RHEL-1848]
- mm/vmscan: fix a lot of comments (Chris von Recklinghausen) [RHEL-1848]
- ksm: convert to use common struct mm_slot (Chris von Recklinghausen) [RHEL-1848]
- ksm: convert ksm_mm_slot.link to ksm_mm_slot.hash (Chris von Recklinghausen) [RHEL-1848]
- ksm: convert ksm_mm_slot.mm_list to ksm_mm_slot.mm_node (Chris von Recklinghausen) [RHEL-1848]
- ksm: add the ksm prefix to the names of the ksm private structures (Chris von Recklinghausen) [RHEL-1848]
- ksm: remove redundant declarations in ksm.h (Chris von Recklinghausen) [RHEL-1848]
- mm: thp: convert to use common struct mm_slot (Chris von Recklinghausen) [RHEL-1848]
- mm: introduce common struct mm_slot (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: design doc (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: admin guide (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: debugfs interface (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: thrashing prevention (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: kill switch (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: optimize multiple memcgs (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: support page table walks (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: exploit locality in rmap (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: minimal implementation (Chris von Recklinghausen) [RHEL-1848]
- mm: multi-gen LRU: groundwork (Chris von Recklinghausen) [RHEL-1848]
- Revert "include/linux/mm_inline.h: fold __update_lru_size() into its sole caller" (Chris von Recklinghausen) [RHEL-1848]
- mm/vmscan.c: refactor shrink_node() (Chris von Recklinghausen) [RHEL-1848]
- mm: x86: add CONFIG_ARCH_HAS_NONLEAF_PMD_YOUNG (Chris von Recklinghausen) [RHEL-1848]
- mm: x86, arm64: add arch_has_hw_pte_young() (Chris von Recklinghausen) [RHEL-1848]
- mm: migrate: do not retry 10 times for the subpages of fail-to-migrate THP (Chris von Recklinghausen) [RHEL-1848]
- mm: MADV_COLLAPSE: refetch vm_end after reacquiring mmap_lock (Chris von Recklinghausen) [RHEL-1848]
- mm/mremap_pages: save a few cycles in get_dev_pagemap() (Chris von Recklinghausen) [RHEL-1848]
- mm: remove BUG_ON() in __isolate_free_page() (Chris von Recklinghausen) [RHEL-1848]
- mm/kmemleak: make create_object return void (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: make hugetlb depends on SYSFS or SYSCTL (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: add comment for subtle SetHPageVmemmapOptimized() (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: kill hugetlbfs_pagecache_page() (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: pass NULL to kobj_to_hstate() if nid is unused (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: use helper {huge_pte|pmd}_lock() (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: use sizeof() to get the array size (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: use LIST_HEAD() to define a list head (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: Use helper macro SZ_1K (Chris von Recklinghausen) [RHEL-1848]
- hugetlb: make hugetlb_cma_check() static (Chris von Recklinghausen) [RHEL-1848]
- mm/page_alloc.c: delete a redundant parameter of rmqueue_pcplist (Chris von Recklinghausen) [RHEL-1848]
- mm/damon: get the hotness from damon_hot_score() in damon_pageout_score() (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/vaddr: remove comparison between mm and last_mm when checking region accesses (Chris von Recklinghausen) [RHEL-1848]
- mm/damon: simplify the parameter passing for 'check_accesses' (Chris von Recklinghausen) [RHEL-1848]
- mm: fix null-ptr-deref in kswapd_is_running() (Chris von Recklinghausen) [RHEL-1848]
- filemap: remove find_get_pages_contig() (Chris von Recklinghausen) [RHEL-1848]
- ramfs: convert ramfs_nommu_get_unmapped_area() to use filemap_get_folios_contig() (Chris von Recklinghausen) [RHEL-1848]
- filemap: add filemap_get_folios_contig() (Chris von Recklinghausen) [RHEL-1848]
- zram: don't retry compress incompressible page (Chris von Recklinghausen) [RHEL-1848]
- mm: backing-dev: Remove the unneeded result variable (Chris von Recklinghausen) [RHEL-1848]
- page_ext: introduce boot parameter 'early_page_ext' (Chris von Recklinghausen) [RHEL-1848]
- mm: remove EXPERIMENTAL flag for zswap (Chris von Recklinghausen) [RHEL-1848]
- drivers/block/zram/zram_drv.c: do not keep dangling zcomp pointer after zram reset (Chris von Recklinghausen) [RHEL-1848]
- mm/gup.c: refactor check_and_migrate_movable_pages() (Chris von Recklinghausen) [RHEL-1848]
- mm/gup.c: don't pass gup_flags to check_and_migrate_movable_pages() (Chris von Recklinghausen) [RHEL-1848]
- mm: skip retry when new limit is not below old one in page_counter_set_max (Chris von Recklinghausen) [RHEL-1848]
- mm: pagewalk: add api documentation for walk_page_range_novma() (Chris von Recklinghausen) [RHEL-1848]
- mm: pagewalk: fix documentation of PTE hole handling (Chris von Recklinghausen) [RHEL-1848]
- mm: memcg: export workingset refault stats for cgroup v1 (Chris von Recklinghausen) [RHEL-1848]
- mm/page_owner.c: add llseek for page_owner (Chris von Recklinghausen) [RHEL-1848]
- mm: thp: remove redundant pgtable check in set_huge_zero_page() (Chris von Recklinghausen) [RHEL-1848]
- mm: hugetlb_vmemmap: simplify reset_struct_pages() (Chris von Recklinghausen) [RHEL-1848]
- mm, hwpoison: fix page refcnt leaking in unpoison_memory() (Chris von Recklinghausen) [RHEL-1848]
- mm: fix use-after free of page_ext after race with memory-offline (Chris von Recklinghausen) [RHEL-1848]
- mm: memcontrol: fix a typo in comment (Chris von Recklinghausen) [RHEL-1848]
- mm: kill find_min_pfn_with_active_regions() (Chris von Recklinghausen) [RHEL-1848]
- zsmalloc: zs_object_copy: replace email link to doc (Chris von Recklinghausen) [RHEL-1848]
- memory tiering: adjust hot threshold automatically (Chris von Recklinghausen) [RHEL-1848]
- memory tiering: rate limit NUMA migration throughput (Chris von Recklinghausen) [RHEL-1848]
- mm/util.c: add warning if __vm_enough_memory fails (Chris von Recklinghausen) [RHEL-1848]
- mm/gup.c: simplify and fix check_and_migrate_movable_pages() return codes (Chris von Recklinghausen) [RHEL-1848]
- hugetlb_cgroup: use helper for_each_hstate and hstate_index (Chris von Recklinghausen) [RHEL-1848]
- hugetlb_cgroup: use helper macro NUMA_NO_NODE (Chris von Recklinghausen) [RHEL-1848]
- hugetlb_cgroup: remove unneeded return value (Chris von Recklinghausen) [RHEL-1848]
- hugetlb_cgroup: hugetlbfs: use helper macro SZ_1{K,M,G} (Chris von Recklinghausen) [RHEL-1848]
- hugetlb_cgroup: remove unneeded nr_pages > 0 check (Chris von Recklinghausen) [RHEL-1848]
- kfence: add sysfs interface to disable kfence for selected slabs. (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/core: simplify the parameter passing for region split operation (Chris von Recklinghausen) [RHEL-1848]
- mm/util: reduce stack usage of folio_mapcount (Chris von Recklinghausen) [RHEL-1848]
- mm/page_alloc: only search higher order when fallback (Chris von Recklinghausen) [RHEL-1848]
- page_alloc: remove inactive initialization (Chris von Recklinghausen) [RHEL-1848]
- mm/cma_debug: show complete cma name in debugfs directories (Chris von Recklinghausen) [RHEL-1848]
- mm/swap: remove the end_write_func argument to __swap_writepage (Chris von Recklinghausen) [RHEL-1848]
- zsmalloc: remove unnecessary size_class NULL check (Chris von Recklinghausen) [RHEL-1848]
- zsmalloc: zs_object_copy: add clarifying comment (Chris von Recklinghausen) [RHEL-1848]
- mm/vmscan: define macros for refaults in struct lruvec (Chris von Recklinghausen) [RHEL-1848]
- userfaultfd: selftests: modify selftest to use /dev/userfaultfd (Chris von Recklinghausen) [RHEL-1848]
- mm/damon/dbgfs: use kmalloc for allocating only one element (Chris von Recklinghausen) [RHEL-1848]
- mm/filemap.c: convert page_endio() to use a folio (Chris von Recklinghausen) [RHEL-1848]
- mm: align larger anonymous mappings on THP boundaries (Chris von Recklinghausen) [RHEL-1848]
- mm/page_ext: remove unused variable in offline_page_ext (Chris von Recklinghausen) [RHEL-1848]
- mm/madvise: add MADV_COLLAPSE to process_madvise() (Chris von Recklinghausen) [RHEL-1848]
- mm/khugepaged: rename prefix of shared collapse functions (Chris von Recklinghausen) [RHEL-1848]
- mm/madvise: introduce MADV_COLLAPSE sync hugepage collapse (Chris von Recklinghausen) [RHEL-1848]
- mm/khugepaged: record SCAN_PMD_MAPPED when scan_pmd() finds hugepage (Chris von Recklinghausen) [RHEL-1848]
- mm/thp: add flag to enforce sysfs THP in hugepage_vma_check() (Chris von Recklinghausen) [RHEL-1848]
- mm/khugepaged: add flag to predicate khugepaged-only behavior (Chris von Recklinghausen) [RHEL-1848]
- mm/khugepaged: propagate enum scan_result codes back to callers (Chris von Recklinghausen) [RHEL-1848]
- mm/khugepaged: dedup and simplify hugepage alloc and charging (Chris von Recklinghausen) [RHEL-1848]
- mm/khugepaged: add struct collapse_control (Chris von Recklinghausen) [RHEL-1848]
- mm: khugepaged: don't carry huge page to the next loop for !CONFIG_NUMA (Chris von Recklinghausen) [RHEL-1848]
- slab: Remove __malloc attribute from realloc functions (Chris von Recklinghausen) [RHEL-1848]
- mm/slub: enable debugging memory wasting of kmalloc (Chris von Recklinghausen) [RHEL-1848]
- mm/slub: clean up create_unique_id() (Chris von Recklinghausen) [RHEL-1848]
- mm/sl[au]b: check if large object is valid in __ksize() (Chris von Recklinghausen) [RHEL-1848]
- mm/slab_common: move declaration of __ksize() to mm/slab.h (Chris von Recklinghausen) [RHEL-1848]
- mm/slab_common: drop kmem_alloc & avoid dereferencing fields when not using (Chris von Recklinghausen) [RHEL-1848]
- mm/slab_common: unify NUMA and UMA version of tracepoints (Chris von Recklinghausen) [RHEL-1848]
- mm/sl[au]b: cleanup kmem_cache_alloc[_node]_trace() (Chris von Recklinghausen) [RHEL-1848]
- mm/sl[au]b: generalize kmalloc subsystem (Chris von Recklinghausen) [RHEL-1848]
- mm/sl[au]b: introduce common alloc/free functions without tracepoint (Chris von Recklinghausen) [RHEL-1848]
- mm/slab: kmalloc: pass requests larger than order-1 page to page allocator (Chris von Recklinghausen) [RHEL-1848]
- mm/slab_common: cleanup kmalloc_large() (Chris von Recklinghausen) [RHEL-1848]
- mm/slab_common: kmalloc_node: pass large requests to page allocator (Chris von Recklinghausen) [RHEL-1848]
- mm/slub: move kmalloc_large_node() to slab_common.c (Chris von Recklinghausen) [RHEL-1848]
- mm/slab_common: fold kmalloc_order_trace() into kmalloc_large() (Chris von Recklinghausen) [RHEL-1848]
- mm/sl[au]b: factor out __do_kmalloc_node() (Chris von Recklinghausen) [RHEL-1848]
- mm/slab_common: cleanup kmalloc_track_caller() (Chris von Recklinghausen) [RHEL-1848]
- mm/slab_common: remove CONFIG_NUMA ifdefs for common kmalloc functions (Chris von Recklinghausen) [RHEL-1848]
- mm/slab: cleanup slab_alloc() and slab_alloc_node() (Chris von Recklinghausen) [RHEL-1848]
- mm/slab: move NUMA-related code to __do_cache_alloc() (Chris von Recklinghausen) [RHEL-1848]
- mm/slub: Remove the unneeded result variable (Chris von Recklinghausen) [RHEL-1848]
- mm/slab_common: Remove the unneeded result variable (Chris von Recklinghausen) [RHEL-1848]
- sched: Widen TAKS_state literals (Chris von Recklinghausen) [RHEL-1848]
- sched/wait: Add wait_event_state() (Chris von Recklinghausen) [RHEL-1848]
- sched/completion: Add wait_for_completion_state() (Chris von Recklinghausen) [RHEL-1848]
- freezer,umh: Clean up freezer/initrd interaction (Chris von Recklinghausen) [RHEL-1848]
- freezer: Have {,un}lock_system_sleep() save/restore flags (Chris von Recklinghausen) [RHEL-1848]
- s390/mm: uninline copy_oldmem_kernel() function (Chris von Recklinghausen) [RHEL-1848]
- block: use on-stack page vec for <= UIO_FASTIOV (Chris von Recklinghausen) [RHEL-1848]
- mm: export balance_dirty_pages_ratelimited_flags() (Chris von Recklinghausen) [RHEL-1848]
- overlayfs: constify path (Chris von Recklinghausen) [RHEL-1848]
- fat: port to vfs{g,u}id_t and associated helpers (Chris von Recklinghausen) [RHEL-1848]
- fix coredump breakage (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: core-api: Add packing Chinese translation (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: core-api: Add generic-radix-tree Chinese translation (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: core-api: Add circular-buffers Chinese translation (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: core-api: Add idr Chinese translation (Chris von Recklinghausen) [RHEL-1848]
- don't use __kernel_write() on kmap_local_page() (Chris von Recklinghausen) [RHEL-1848]
- tools: fix compilation after gfp_types.h split (Chris von Recklinghausen) [RHEL-1848]
- Revert "fs/exec: allow to unshare a time namespace on vfork+exec" (Chris von Recklinghausen) [RHEL-1848]
- take care to handle NULL ->proc_lseek() (Chris von Recklinghausen) [RHEL-1848]
- mm: hugetlb_vmemmap: move code comments to vmemmap_dedup.rst (Chris von Recklinghausen) [RHEL-1848]
- fix copy_page_from_iter() for compound destinations (Chris von Recklinghausen) [RHEL-1848]
- hugetlbfs: copy_page_to_iter() can deal with compound pages (Chris von Recklinghausen) [RHEL-1848]
- copy_page_to_iter(): don't split high-order page in case of ITER_PIPE (Chris von Recklinghausen) [RHEL-1848]
- expand those iov_iter_advance()... (Chris von Recklinghausen) [RHEL-1848]
- pipe_get_pages(): switch to append_pipe() (Chris von Recklinghausen) [RHEL-1848]
- get rid of non-advancing variants (Chris von Recklinghausen) [RHEL-1848]
- ceph: switch the last caller of iov_iter_get_pages_alloc() (Chris von Recklinghausen) [RHEL-1848]
- af_alg_make_sg(): switch to advancing variant of iov_iter_get_pages() (Chris von Recklinghausen) [RHEL-1848]
- iter_to_pipe(): switch to advancing variant of iov_iter_get_pages() (Chris von Recklinghausen) [RHEL-1848]
- block: convert to advancing variants of iov_iter_get_pages{,_alloc}() (Chris von Recklinghausen) [RHEL-1848]
- iov_iter: advancing variants of iov_iter_get_pages{,_alloc}() (Chris von Recklinghausen) [RHEL-1848]
- iov_iter: saner helper for page array allocation (Chris von Recklinghausen) [RHEL-1848]
- fold __pipe_get_pages() into pipe_get_pages() (Chris von Recklinghausen) [RHEL-1848]
- ITER_XARRAY: don't open-code DIV_ROUND_UP() (Chris von Recklinghausen) [RHEL-1848]
- unify the rest of iov_iter_get_pages()/iov_iter_get_pages_alloc() guts (Chris von Recklinghausen) [RHEL-1848]
- unify xarray_get_pages() and xarray_get_pages_alloc() (Chris von Recklinghausen) [RHEL-1848]
- unify pipe_get_pages() and pipe_get_pages_alloc() (Chris von Recklinghausen) [RHEL-1848]
- iov_iter_get_pages(): sanity-check arguments (Chris von Recklinghausen) [RHEL-1848]
- iov_iter_get_pages_alloc(): lift freeing pages array on failure exits into wrapper (Chris von Recklinghausen) [RHEL-1848]
- ITER_PIPE: fold data_start() and pipe_space_for_user() together (Chris von Recklinghausen) [RHEL-1848]
- ITER_PIPE: cache the type of last buffer (Chris von Recklinghausen) [RHEL-1848]
- ITER_PIPE: clean iov_iter_revert() (Chris von Recklinghausen) [RHEL-1848]
- ITER_PIPE: clean pipe_advance() up (Chris von Recklinghausen) [RHEL-1848]
- ITER_PIPE: lose iter_head argument of __pipe_get_pages() (Chris von Recklinghausen) [RHEL-1848]
- ITER_PIPE: fold push_pipe() into __pipe_get_pages() (Chris von Recklinghausen) [RHEL-1848]
- ITER_PIPE: allocate buffers as we go in copy-to-pipe primitives (Chris von Recklinghausen) [RHEL-1848]
- ITER_PIPE: helpers for adding pipe buffers (Chris von Recklinghausen) [RHEL-1848]
- ITER_PIPE: helper for getting pipe buffer by index (Chris von Recklinghausen) [RHEL-1848]
- splice: stop abusing iov_iter_advance() to flush a pipe (Chris von Recklinghausen) [RHEL-1848]
- switch new_sync_{read,write}() to ITER_UBUF (Chris von Recklinghausen) [RHEL-1848]
- new iov_iter flavour - ITER_UBUF (Chris von Recklinghausen) [RHEL-1848]
- fuse: ioctl: translate ENOSYS (Chris von Recklinghausen) [RHEL-1848]
- fuse: limit nsec (Chris von Recklinghausen) [RHEL-1848]
- lib/cpumask: move some one-line wrappers to header file (Chris von Recklinghausen) [RHEL-1848]
- headers/deps: mm: align MANITAINERS and Docs with new gfp.h structure (Chris von Recklinghausen) [RHEL-1848]
- headers/deps: mm: Split <linux/gfp_types.h> out of <linux/gfp.h> (Chris von Recklinghausen) [RHEL-1848]
- headers/deps: mm: Optimize <linux/gfp.h> header dependencies (Chris von Recklinghausen) [RHEL-1848]
- selftests: add soft-dirty into run_vmtests.sh (Chris von Recklinghausen) [RHEL-1848]
- selftests: soft-dirty: add test for mprotect (Chris von Recklinghausen) [RHEL-1848]
- zram: fix unused 'zram_wb_devops' warning (Chris von Recklinghausen) [RHEL-1848]
- zram: do not lookup algorithm in backends table (Chris von Recklinghausen) [RHEL-1848]
- lib/test_vmalloc: switch to prandom_u32() (Chris von Recklinghausen) [RHEL-1848]
- RDMA/rxe: Fix spelling mistake in error print (Chris von Recklinghausen) [RHEL-1848]
- IB: Fix spelling of 'writable' (Chris von Recklinghausen) [RHEL-1848]
- net: add missing includes and forward declarations under net/ (Chris von Recklinghausen) [RHEL-1848]
- fix short copy handling in copy_mc_pipe_to_iter() (Chris von Recklinghausen) [RHEL-1848]
- iov_iter_get_pages{,_alloc}(): cap the maxsize with MAX_RW_COUNT (Chris von Recklinghausen) [RHEL-1848]
- iov_iter_bvec_advance(): don't bother with bvec_iter (Chris von Recklinghausen) [RHEL-1848]
- copy_page_{to,from}_iter(): switch iovec variants to generic (Chris von Recklinghausen) [RHEL-1848]
- fs: remove no_llseek (Chris von Recklinghausen) [RHEL-1848]
- fs: check FMODE_LSEEK to control internal pipe splicing (Chris von Recklinghausen) [RHEL-1848]
- fs: do not compare against ->llseek (Chris von Recklinghausen) [RHEL-1848]
- fs: clear or set FMODE_LSEEK based on llseek function (Chris von Recklinghausen) [RHEL-1848]
- Docs/zh_CN: Update the translation of kasan to 5.19-rc8 (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: core-api: Add watch_queue Chinese translation (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: core-api: Update the translation of mm-api.rst to 5.19-rc3 (Chris von Recklinghausen) [RHEL-1848]
- fs/exec: allow to unshare a time namespace on vfork+exec (Chris von Recklinghausen) [RHEL-1848]
- PM: hibernate: defer device probing when resuming from hibernation (Chris von Recklinghausen) [RHEL-1848]
- mnt_idmapping: add vfs[g,u]id_into_k[g,u]id() (Chris von Recklinghausen) [RHEL-1848]
- mnt_idmapping: use new helpers in mapped_fs{g,u}id() (Chris von Recklinghausen) [RHEL-1848]
- fs: port HAS_UNMAPPED_ID() to vfs{g,u}id_t (Chris von Recklinghausen) [RHEL-1848]
- fs: add two type safe mapping helpers (Chris von Recklinghausen) [RHEL-1848]
- mnt_idmapping: add vfs{g,u}id_t (Chris von Recklinghausen) [RHEL-1848]
- iov_iter: fix build issue due to possible type mis-match (Chris von Recklinghausen) [RHEL-1848]
- iov_iter: Fix iter_xarray_get_pages{,_alloc}() (Chris von Recklinghausen) [RHEL-1848]
- arm64: Initialize jump labels before setup_machine_fdt() (Chris von Recklinghausen) [RHEL-1848]
- ovl: handle idmappings for layer lookup (Chris von Recklinghausen) [RHEL-1848]
- ovl: use ovl_path_getxattr() wrapper (Chris von Recklinghausen) [RHEL-1848]
- ovl: use ovl_do_notify_change() wrapper (Chris von Recklinghausen) [RHEL-1848]
- ovl: pass layer mnt to ovl_open_realfile() (Chris von Recklinghausen) [RHEL-1848]
- ovl: pass ofs to setattr operations (Chris von Recklinghausen) [RHEL-1848]
- ovl: handle idmappings in creation operations (Chris von Recklinghausen) [RHEL-1848]
- ovl: add ovl_upper_mnt_userns() wrapper (Chris von Recklinghausen) [RHEL-1848]
- ovl: pass ofs to creation operations (Chris von Recklinghausen) [RHEL-1848]
- ovl: use wrappers to all vfs_*xattr() calls (Chris von Recklinghausen) [RHEL-1848]
- fs: add two trivial lookup helpers (Chris von Recklinghausen) [RHEL-1848]
- selftests: memcg: factor out common parts of memory.{low,min} tests (Chris von Recklinghausen) [RHEL-1848]
- selftests: memcg: remove protection from top level memcg (Chris von Recklinghausen) [RHEL-1848]
- selftests: memcg: adjust expected reclaim values of protected cgroups (Chris von Recklinghausen) [RHEL-1848]
- cgroup: fix an error handling path in alloc_pagecache_max_30M() (Chris von Recklinghausen) [RHEL-1848]
- mm/highmem: fix kernel-doc warnings in highmem*.h (Chris von Recklinghausen) [RHEL-1848]
- kasan: clean-up kconfig options descriptions (Chris von Recklinghausen) [RHEL-1848]
- kasan: move boot parameters section in documentation (Chris von Recklinghausen) [RHEL-1848]
- kasan: update documentation (Chris von Recklinghausen) [RHEL-1848]
- cgroup: fix racy check in alloc_pagecache_max_30M() helper function (Chris von Recklinghausen) [RHEL-1848]
- cgroup: remove racy check in test_memcg_sock() (Chris von Recklinghausen) [RHEL-1848]
- cgroup: account for memory_localevents in test_memcg_oom_group_leaf_events() (Chris von Recklinghausen) [RHEL-1848]
- cgroups: refactor children cgroups in memcg tests (Chris von Recklinghausen) [RHEL-1848]
- selftests: vm: add test for Soft-Dirty PTE bit (Chris von Recklinghausen) [RHEL-1848]
- selftests: vm: bring common functions to a new file (Chris von Recklinghausen) [RHEL-1848]
- MAINTAINERS: add corresponding kselftests to memcg entry (Chris von Recklinghausen) [RHEL-1848]
- kcov: don't generate a warning on vm_insert_page()'s failure (Chris von Recklinghausen) [RHEL-1848]
- fs: fix acl translation (Chris von Recklinghausen) [RHEL-1848]
- Revert "ubsan, kcsan: Don't combine sanitizer with kcov on clang" (Chris von Recklinghausen) [RHEL-1848]
- kcov: properly handle subsequent mmap calls (Chris von Recklinghausen) [RHEL-1848]
- kcov: split ioctl handling into locked and unlocked parts (Chris von Recklinghausen) [RHEL-1848]
- ubsan: no need to unset panic_on_warn in ubsan_epilogue() (Chris von Recklinghausen) [RHEL-1848]
- panic: unset panic_on_warn inside panic() (Chris von Recklinghausen) [RHEL-1848]
- docs: kdump: add scp example to write out the dump file (Chris von Recklinghausen) [RHEL-1848]
- docs: kdump: update description about sysfs file system support (Chris von Recklinghausen) [RHEL-1848]
- fat: use pointer to simple type in put_user() (Chris von Recklinghausen) [RHEL-1848]
- treewide: Replace zero-length arrays with flexible-array members (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: Add rbtree Chinese translation (Chris von Recklinghausen) [RHEL-1848]
- fuse: fix fileattr op failure (Chris von Recklinghausen) [RHEL-1848]
- ovl: don't fail copy up if no fileattr support on upper (Chris von Recklinghausen) [RHEL-1848]
- ovl: fix NULL pointer dereference in copy up warning (Chris von Recklinghausen) [RHEL-1848]
- tools headers UAPI: Sync files changed by new set_mempolicy_home_node syscall (Chris von Recklinghausen) [RHEL-1848]
- mm/vmstat: add events for THP max_ptes_* exceeds (Chris von Recklinghausen) [RHEL-1848]
- include/linux/gfp.h: further document GFP_DMA32 (Chris von Recklinghausen) [RHEL-1848]
- fs: support mapped mounts of mapped filesystems (Chris von Recklinghausen) [RHEL-1848]
- fs: add i_user_ns() helper (Chris von Recklinghausen) [RHEL-1848]
- fs: port higher-level mapping helpers (Chris von Recklinghausen) [RHEL-1848]
- fs: use low-level mapping helpers (Chris von Recklinghausen) [RHEL-1848]
- fs: account for filesystem mappings (Chris von Recklinghausen) [RHEL-1848]
- fs: tweak fsuidgid_has_mapping() (Chris von Recklinghausen) [RHEL-1848]
- fs: move mapping helpers (Chris von Recklinghausen) [RHEL-1848]
- mm/page_alloc: fix __alloc_size attribute for alloc_pages_exact_nid (Chris von Recklinghausen) [RHEL-1848]
- ovl: fix filattr copy-up failure (Chris von Recklinghausen) [RHEL-1848]
- ovl: fix warning in ovl_create_real() (Chris von Recklinghausen) [RHEL-1848]
- percpu: add __alloc_size attributes for better bounds checking (Chris von Recklinghausen) [RHEL-1848]
- mm/page_alloc: add __alloc_size attributes for better bounds checking (Chris von Recklinghausen) [RHEL-1848]
- mm/kvmalloc: add __alloc_size attributes for better bounds checking (Chris von Recklinghausen) [RHEL-1848]
- rapidio: avoid bogus __alloc_size warning (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: add core-api xarray translation (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: add core-api assoc_array translation (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: add core-api gfp_mask-from-fs-io translation (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: add core-api boot-time-mm translation (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: add core-api genalloc translation (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: add core-api mm-api translation (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: add core-api unaligned-memory-access translation (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: add core-api memory-allocation translation (Chris von Recklinghausen) [RHEL-1848]
- docs/zh_CN: add core api kref translation (Chris von Recklinghausen) [RHEL-1848]
- hugetlbfs: s390 is always 64bit (Chris von Recklinghausen) [RHEL-1848]
- fs/coredump.c: log if a core dump is aborted due to changed file permissions (Chris von Recklinghausen) [RHEL-1848]
- lib/iov_iter.c: fix kernel-doc warnings (Chris von Recklinghausen) [RHEL-1848]
- lib/dump_stack: correct kernel-doc notation (Chris von Recklinghausen) [RHEL-1848]
- ovl: fix BUG_ON() in may_delete() when called from ovl_cleanup() (Chris von Recklinghausen) [RHEL-1848]
- ovl: do not set overlay.opaque for new directories (Chris von Recklinghausen) [RHEL-1848]
- ovl: add ovl_allow_offline_changes() helper (Chris von Recklinghausen) [RHEL-1848]
- ovl: disable decoding null uuid with redirect_dir (Chris von Recklinghausen) [RHEL-1848]
- ovl: consistent behavior for immutable/append-only inodes (Chris von Recklinghausen) [RHEL-1848]
- ovl: copy up sync/noatime fileattr flags (Chris von Recklinghausen) [RHEL-1848]
- ovl: pass ovl_fs to ovl_check_setxattr() (Chris von Recklinghausen) [RHEL-1848]
- fs: add generic helper for filling statx attribute flags (Chris von Recklinghausen) [RHEL-1848]
- namei: add mapping aware lookup helper (Chris von Recklinghausen) [RHEL-1848]
- maple_tree: mtree_insert: fix typo in kernel-doc description of GFP flags (Nico Pache) [RHEL-5595]
- maple_tree: mtree_insert*: fix typo in kernel-doc description (Nico Pache) [RHEL-5595]
- maple_tree: disable mas_wr_append() when other readers are possible (Nico Pache) [RHEL-5595]
- maple_tree: set the node limit when creating a new root node (Nico Pache) [RHEL-5595]
- maple_tree: fix a few documentation issues (Nico Pache) [RHEL-5595]
- maple_tree: relocate the declaration of mas_empty_area_rev(). (Nico Pache) [RHEL-5595]
- maple_tree: simplify and clean up mas_wr_node_store() (Nico Pache) [RHEL-5595]
- maple_tree: rework mas_wr_slot_store() to be cleaner and more efficient. (Nico Pache) [RHEL-5595]
- maple_tree: add comments and some minor cleanups to mas_wr_append() (Nico Pache) [RHEL-5595]
- maple_tree: add mas_wr_new_end() to calculate new_end accurately (Nico Pache) [RHEL-5595]
- maple_tree: make the code symmetrical in mas_wr_extend_null() (Nico Pache) [RHEL-5595]
- maple_tree: simplify mas_is_span_wr() (Nico Pache) [RHEL-5595]
- maple_tree: fix the arguments to __must_hold() (Nico Pache) [RHEL-5595]
- maple_tree: drop mas_{rev_}alloc() and mas_fill_gap() (Nico Pache) [RHEL-5595]
- maple_tree: rework mtree_alloc_{range,rrange}() (Nico Pache) [RHEL-5595]
- maple_tree: update testing code for mas_{next,prev,walk} (Nico Pache) [RHEL-5595]
- maple_tree: clear up index and last setting in single entry tree (Nico Pache) [RHEL-5595]
- maple_tree: add mas_prev_range() and mas_find_range_rev interface (Nico Pache) [RHEL-5595]
- maple_tree: remove the parameter entry of mas_preallocate (Nico Pache) [RHEL-5595]
- maple_tree: introduce mas_prev_slot() interface (Nico Pache) [RHEL-5595]
- maple_tree: relocate mas_rewalk() and mas_rewalk_if_dead() (Nico Pache) [RHEL-5595]
- maple_tree: add mas_next_range() and mas_find_range() interfaces (Nico Pache) [RHEL-5595]
- maple_tree: introduce mas_next_slot() interface (Nico Pache) [RHEL-5595]
- maple_tree: fix testing mas_empty_area() (Nico Pache) [RHEL-5595]
- maple_tree: revise limit checks in mas_empty_area{_rev}() (Nico Pache) [RHEL-5595]
- maple_tree: try harder to keep active node with mas_prev() (Nico Pache) [RHEL-5595]
- maple_tree: try harder to keep active node after mas_next() (Nico Pache) [RHEL-5595]
- maple_tree: mas_start() reset depth on dead node (Nico Pache) [RHEL-5595]
- maple_tree: remove unnecessary check from mas_destroy() (Nico Pache) [RHEL-5595]
- maple_tree: add __init and __exit to test module (Nico Pache) [RHEL-5595]
- maple_tree: make test code work without debug enabled (Nico Pache) [RHEL-5595]
- maple_tree: return error on mte_pivots() out of range (Nico Pache) [RHEL-5595]
- maple_tree: use MAS_BUG_ON() prior to calling mas_meta_gap() (Nico Pache) [RHEL-5595]
- maple_tree: use MAS_WR_BUG_ON() in mas_store_prealloc() (Nico Pache) [RHEL-5595]
- maple_tree: use MAS_BUG_ON() from mas_topiary_range() (Nico Pache) [RHEL-5595]
- maple_tree: use MAS_BUG_ON() in mas_set_height() (Nico Pache) [RHEL-5595]
- maple_tree: use MAS_BUG_ON() when setting a leaf node as a parent (Nico Pache) [RHEL-5595]
- maple_tree: convert debug code to use MT_WARN_ON() and MAS_WARN_ON() (Nico Pache) [RHEL-5595]
- maple_tree: change RCU checks to WARN_ON() instead of BUG_ON() (Nico Pache) [RHEL-5595]
- maple_tree: convert BUG_ON() to MT_BUG_ON() (Nico Pache) [RHEL-5595]
- maple_tree: add debug BUG_ON and WARN_ON variants (Nico Pache) [RHEL-5595]
- maple_tree: add format option to mt_dump() (Nico Pache) [RHEL-5595]
- maple_tree: clean up mas_dfs_postorder() (Nico Pache) [RHEL-5595]
- maple_tree: avoid unnecessary ascending (Nico Pache) [RHEL-5595]
- maple_tree: clean up mas_parent_enum() and rename to mas_parent_type() (Nico Pache) [RHEL-5595]
- maple_tree: fix static analyser cppcheck issue (Nico Pache) [RHEL-5595]
- maple_tree: fix potential out-of-bounds access in mas_wr_end_piv() (Nico Pache) [RHEL-5595]
- maple_tree: make maple state reusable after mas_empty_area() (Nico Pache) [RHEL-5595]
- maple_tree: fix allocation in mas_sparse_area() (Nico Pache) [RHEL-5595]
- maple_tree: use correct variable type in sizeof (Nico Pache) [RHEL-5595]
- maple_tree: simplify mas_wr_node_walk() (Nico Pache) [RHEL-5595]
- maple_tree: export symbol mas_preallocate() (Nico Pache) [RHEL-5595]
- maple_tree: fix mas_empty_area() search (Nico Pache) [RHEL-5595]
- maple_tree: make maple state reusable after mas_empty_area_rev() (Nico Pache) [RHEL-5595]
- maple_tree: fix a potential memory leak, OOB access, or other unpredictable bug (Nico Pache) [RHEL-5595]
- maple_tree: fix a potential concurrency bug in RCU mode (Nico Pache) [RHEL-5595]
- maple_tree: fix get wrong data_end in mtree_lookup_walk() (Nico Pache) [RHEL-5595]
- maple_tree: add RCU lock checking to rcu callback functions (Nico Pache) [RHEL-5595]
- maple_tree: add smp_rmb() to dead node detection (Nico Pache) [RHEL-5595]
- maple_tree: fix write memory barrier of nodes once dead for RCU mode (Nico Pache) [RHEL-5595]
- maple_tree: remove extra smp_wmb() from mas_dead_leaves() (Nico Pache) [RHEL-5595]
- maple_tree: fix freeing of nodes in rcu mode (Nico Pache) [RHEL-5595]
- maple_tree: detect dead nodes in mas_start() (Nico Pache) [RHEL-5595]
- maple_tree: be more cautious about dead nodes (Nico Pache) [RHEL-5595]
- test_maple_tree: add more testing for mas_empty_area() (Nico Pache) [RHEL-5595]
- maple_tree: fix mas_skip_node() end slot detection (Nico Pache) [RHEL-5595]
- ipvlan: Fix a reference count leak warning in ipvlan_ns_exit() (Davide Caratti) [RHEL-14369]
- macvlan: add forgotten nla_policy for IFLA_MACVLAN_BC_CUTOFF (Davide Caratti) [RHEL-14369]
- ipvlan: Fix return value of ipvlan_queue_xmit() (Davide Caratti) [RHEL-14369]
- lwt: Check LWTUNNEL_XMIT_CONTINUE strictly (Guillaume Nault) [RHEL-14360]
- lwt: Fix return values of BPF xmit ops (Guillaume Nault) [RHEL-14360]
- tunnels: fix kasan splat when generating ipv4 pmtu error (Guillaume Nault) [RHEL-14360]
- vxlan: Fix nexthop hash size (Guillaume Nault) [RHEL-14360]
- net:ipv6: check return value of pskb_trim() (Guillaume Nault) [RHEL-14360]
- ipv4: fix null-deref in ipv4_link_failure (Felix Maurer) [RHEL-5426] {CVE-2023-42754}
- powerpc/perf/hv-24x7: Update domain value check (Michael Petlan) [RHEL-8426]
- sctp: update hb timer immediately after users change hb_interval (Xin Long) [RHEL-14179]
- sctp: update transport state when processing a dupcook packet (Xin Long) [RHEL-14179]
- netfilter: handle the connecting collision properly in nf_conntrack_proto_sctp (Xin Long) [RHEL-14179]
- sctp: annotate data-races around sk->sk_wmem_queued (Xin Long) [RHEL-14179]
- PM: runtime: Add EXPORT[_GPL]_RUNTIME_DEV_PM_OPS macros (Jose Ignacio Tornos Martinez) [RHEL-12420]
- PM: runtime: Add DEFINE_RUNTIME_DEV_PM_OPS() macro (Jose Ignacio Tornos Martinez) [RHEL-12420]
- PM: core: Add EXPORT[_GPL]_SIMPLE_DEV_PM_OPS macros (Jose Ignacio Tornos Martinez) [RHEL-12420]
- PM: core: Remove DEFINE_UNIVERSAL_DEV_PM_OPS() macro (Jose Ignacio Tornos Martinez) [RHEL-12420]
- netfilter: set default timeout to 3 secs for sctp shutdown send and recv state (Xin Long) [RHEL-6004]
Resolves: RHEL-12420, RHEL-14179, RHEL-14360, RHEL-14369, RHEL-1848, RHEL-5426, RHEL-5595, RHEL-6004, RHEL-8426

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-10-25 12:04:17 -04:00
Scott Weaver
c1d2bce598 kernel-5.14.0-379.el9
* Tue Oct 24 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-379.el9]
- redhat/configs: Enable CONFIG_DEVICE_PRIVATE on aarch64 (David Hildenbrand) [2231407]
- nvme-fc: Prevent null pointer dereference in nvme_fc_io_getuuid() (Ewan D. Milne) [RHEL-1604]
- net: vlan: introduce skb_vlan_eth_hdr() (Ivan Vecera) [RHEL-864]
- net: vlan: don't adjust MAC header in __vlan_insert_inner_tag() unless set (Ivan Vecera) [RHEL-864]
- cxgb4: fix use after free bugs caused by circular dependency problem (Ricardo Robaina) [RHEL-6262 RHEL-7057] {CVE-2023-4133}
- CI: Remove -rt suffix from kpet_tree_name values (Nikolai Kondrashov)
- x86/sched: Add the SD_ASYM_PACKING flag to the die domain of hybrid processors (Phil Auld) [RHEL-3888]
- x86/sched/itmt: Give all SMT siblings of a core the same priority (Phil Auld) [RHEL-3888]
- x86/sched: Remove SD_ASYM_PACKING from the SMT domain flags (Phil Auld) [RHEL-3888]
- sched/topology: Remove SHARED_CHILD from ASYM_PACKING (Phil Auld) [RHEL-3888]
- sched/topology: Check SDF_SHARED_CHILD in highest_flag_domain() (Phil Auld) [RHEL-3888]
- sched/fair: Do not even the number of busy CPUs via asym_packing (Phil Auld) [RHEL-3888]
- sched/fair: Use the busiest group to set prefer_sibling (Phil Auld) [RHEL-3888]
- sched/fair: Keep a fully_busy SMT sched group as busiest (Phil Auld) [RHEL-3888]
- sched/fair: Let low-priority cores help high-priority busy SMT cores (Phil Auld) [RHEL-3888]
- sched/fair: Simplify asym_packing logic for SMT cores (Phil Auld) [RHEL-3888]
- sched/fair: Only do asym_packing load balancing from fully idle SMT cores (Phil Auld) [RHEL-3888]
- sched/fair: Move is_core_idle() out of CONFIG_NUMA (Phil Auld) [RHEL-3888]
- redhat: fix bug/zjira sort in the changelog (Herton R. Krzesinski)
- USB: core: Fix oversight in SuperSpeed initialization (Desnes Nunes) [RHEL-2566 RHEL-2680] {CVE-2023-37453}
- USB: core: Fix race by not overwriting udev->descriptor in hub_port_init() (Desnes Nunes) [RHEL-2566 RHEL-2680] {CVE-2023-37453}
- USB: core: Change usb_get_device_descriptor() API (Desnes Nunes) [RHEL-2566 RHEL-2680] {CVE-2023-37453}
- USB: core: Unite old scheme and new scheme descriptor reads (Desnes Nunes) [RHEL-2566 RHEL-2680] {CVE-2023-37453}
- selftests: forwarding: tc_tunnel_key: Make filters more specific (Ivan Vecera) [RHEL-1773]
- selftests: forwarding: tc_flower: Relax success criterion (Ivan Vecera) [RHEL-1773]
- selftests: forwarding: tc_actions: Use ncat instead of nc (Ivan Vecera) [RHEL-1773]
- selftests: forwarding: tc_flower_l2_miss: Fix failing test with old libnet (Ivan Vecera) [RHEL-1773]
- net/sched: taprio: Limit TCA_TAPRIO_ATTR_SCHED_CYCLE_TIME to INT_MAX. (Ivan Vecera) [RHEL-1773]
- net: sched: cls_u32: Fix match key mis-addressing (Ivan Vecera) [RHEL-1773]
- net: flower: fix stack-out-of-bounds in fl_set_key_cfm() (Ivan Vecera) [RHEL-1773]
- net/sched: mqprio: Add length check for TCA_MQPRIO_{MAX/MIN}_RATE64 (Ivan Vecera) [RHEL-1773]
- sch_htb: Allow HTB quantum parameter in offload mode (Ivan Vecera) [RHEL-1773]
- openvswitch: set IPS_CONFIRMED in tmpl status only when commit is set in conntrack (Ivan Vecera) [RHEL-1773]
- net: sched: set IPS_CONFIRMED in tmpl status only when commit is set in act_ct (Ivan Vecera) [RHEL-1773]
- netfilter: allow exp not to be removed in nf_ct_find_expectation (Ivan Vecera) [RHEL-1773]
- selftests: tc: add ConnTrack procfs kconfig (Ivan Vecera) [RHEL-1773]
- selftests: tc: add 'ct' action kconfig dep (Ivan Vecera) [RHEL-1773]
- selftests: tc: set timeout to 15 minutes (Ivan Vecera) [RHEL-1773]
- net: sched: cls_flower: Undo tcf_bind_filter in case of an error (Ivan Vecera) [RHEL-1773]
- net: sched: cls_bpf: Undo tcf_bind_filter in case of an error (Ivan Vecera) [RHEL-1773]
- net: sched: cls_u32: Undo refcount decrement in case update failed (Ivan Vecera) [RHEL-1773]
- net: sched: cls_u32: Undo tcf_bind_filter if u32_replace_hw_knode (Ivan Vecera) [RHEL-1773]
- net: sched: cls_matchall: Undo tcf_bind_filter in case of failure after mall_set_parms (Ivan Vecera) [RHEL-1773]
- pie: fix kernel-doc notation warning (Ivan Vecera) [RHEL-1773]
- selftests: tc-testing: add test for qfq with stab overhead (Ivan Vecera) [RHEL-1773]
- net/sched: sch_qfq: account for stab overhead in qfq_enqueue (Ivan Vecera) [RHEL-1773]
- selftests: tc-testing: add tests for qfq mtu sanity check (Ivan Vecera) [RHEL-1773]
- net/sched: sch_qfq: reintroduce lmax bound check for MTU (Ivan Vecera) [RHEL-1773]
- net/sched: make psched_mtu() RTNL-less safe (Ivan Vecera) [RHEL-1773]
- net/sched: flower: Ensure both minimum and maximum ports are specified (Ivan Vecera) [RHEL-1773]
- net: sched: Replace strlcpy with strscpy (Ivan Vecera) [RHEL-1773]
- net/sched: act_pedit: Add size check for TCA_PEDIT_PARMS_EX (Ivan Vecera) [RHEL-1773]
- net/sched: act_ipt: zero skb->cb before calling target (Ivan Vecera) [RHEL-1773]
- net/sched: act_ipt: add sanity checks on skb before calling target (Ivan Vecera) [RHEL-1773]
- net/sched: act_ipt: add sanity checks on table name and hook locations (Ivan Vecera) [RHEL-1773]
- selftests: tc-testing: add one test for flushing explicitly created chain (Ivan Vecera) [RHEL-1773]
- net: sched: Remove unused qdisc_l2t() (Ivan Vecera) [RHEL-1773]
- net/sched: qdisc_destroy() old ingress and clsact Qdiscs before grafting (Ivan Vecera) [RHEL-1773]
- net/sched: Refactor qdisc_graft() for ingress and clsact Qdiscs (Ivan Vecera) [RHEL-1773]
- net/sched: act_ct: Fix promotion of offloaded unreplied tuple (Ivan Vecera) [RHEL-1773]
- selftests/tc-testing: Remove configs that no longer exist (Ivan Vecera) [RHEL-1773]
- selftests/tc-testing: Fix SFB db test (Ivan Vecera) [RHEL-1773]
- selftests/tc-testing: Fix Error: failed to find target LOG (Ivan Vecera) [RHEL-1773]
- selftests/tc-testing: Fix Error: Specified qdisc kind is unknown. (Ivan Vecera) [RHEL-1773]
- selftests: forwarding: Fix layer 2 miss test syntax (Ivan Vecera) [RHEL-1773]
- selftests: net: add tc flower cfm test (Ivan Vecera) [RHEL-1773]
- net: flower: add support for matching cfm fields (Ivan Vecera) [RHEL-1773]
- net: flow_dissector: add support for cfm packets (Ivan Vecera) [RHEL-1773]
- net/sched: taprio: report class offload stats per TXQ, not per TC (Ivan Vecera) [RHEL-1773]
- net/sched: act_pedit: Use kmemdup() to replace kmalloc + memcpy (Ivan Vecera) [RHEL-1773]
- net/sched: act_pedit: Parse L3 Header for L4 offset (Ivan Vecera) [RHEL-1773]
- net: sched: act_police: fix sparse errors in tcf_police_dump() (Ivan Vecera) [RHEL-1773]
- net: sched: move rtm_tca_policy declaration to include file (Ivan Vecera) [RHEL-1773]
- net: sched: add rcu annotations around qdisc->qdisc_sleeping (Ivan Vecera) [RHEL-1773]
- net/sched: fq_pie: ensure reasonable TCA_FQ_PIE_QUANTUM values (Ivan Vecera) [RHEL-1773]
- net: sched: wrap tc_skip_wrapper with CONFIG_RETPOLINE (Ivan Vecera) [RHEL-1773]
- selftests/tc-testing: replace mq with invalid parent ID (Ivan Vecera) [RHEL-1773]
- net/sched: taprio: add netlink reporting for offload statistics counters (Ivan Vecera) [RHEL-1773]
- net/sched: taprio: replace tc_taprio_qopt_offload :: enable with a "cmd" enum (Ivan Vecera) [RHEL-1773]
- net/sched: taprio: don't overwrite "sch" variable in taprio_dump_class_stats() (Ivan Vecera) [RHEL-1773]
- selftests: forwarding: Add layer 2 miss test cases (Ivan Vecera) [RHEL-1773]
- flow_offload: Reject matching on layer 2 miss (Ivan Vecera) [RHEL-1773]
- net/sched: flower: Allow matching on layer 2 miss (Ivan Vecera) [RHEL-1773]
- flow_dissector: Dissect layer 2 miss from tc skb extension (Ivan Vecera) [RHEL-1773]
- skbuff: bridge: Add layer 2 miss indication (Ivan Vecera) [RHEL-1773]
- net/sched: Prohibit regrafting ingress or clsact Qdiscs (Ivan Vecera) [RHEL-1773]
- net/sched: Reserve TC_H_INGRESS (TC_H_CLSACT) for ingress (clsact) Qdiscs (Ivan Vecera) [RHEL-1773]
- net/sched: sch_clsact: Only create under TC_H_CLSACT (Ivan Vecera) [RHEL-1773]
- net/sched: sch_ingress: Only create under TC_H_INGRESS (Ivan Vecera) [RHEL-1773]
- sch_htb: Allow HTB priority parameter in offload mode (Ivan Vecera) [RHEL-1773]
- net/sched: act_mirred: Add carrier check (Ivan Vecera) [RHEL-1773]
- net/sched: act_pedit: free pedit keys on bail from offset check (Ivan Vecera) [RHEL-1773]
- selftests: tc-testing: add more tests for sch_qfq (Ivan Vecera) [RHEL-1773]
- net/sched: sch_qfq: refactor parsing of netlink parameters (Ivan Vecera) [RHEL-1773]
- net/sched: sch_qfq: use extack on errors messages (Ivan Vecera) [RHEL-1773]
- net/sched: sch_htb: use extack on errors messages (Ivan Vecera) [RHEL-1773]
- net/sched: act_pedit: rate limit datapath messages (Ivan Vecera) [RHEL-1773]
- net/sched: act_pedit: remove extra check for key type (Ivan Vecera) [RHEL-1773]
- net/sched: act_pedit: check static offsets a priori (Ivan Vecera) [RHEL-1773]
- net/sched: act_pedit: use extack in 'ex' parsing errors (Ivan Vecera) [RHEL-1773]
- net/sched: act_pedit: use NLA_POLICY for parsing 'ex' keys (Ivan Vecera) [RHEL-1773]
- net: sched: Print msecs when transmit queue time out (Ivan Vecera) [RHEL-1773]
- selftests: forwarding: sch_tbf_*: Add a pre-run hook (Ivan Vecera) [RHEL-1773]
- flow_dissector: Address kdoc warnings (Ivan Vecera) [RHEL-1773]
- net/sched: taprio: allow per-TC user input of FP adminStatus (Ivan Vecera) [RHEL-1773]
- net/sched: mqprio: allow per-TC user input of FP adminStatus (Ivan Vecera) [RHEL-1773]
- net/sched: pass netlink extack to mqprio and taprio offload (Ivan Vecera) [RHEL-1773]
- net/sched: mqprio: add an extack message to mqprio_parse_opt() (Ivan Vecera) [RHEL-1773]
- net/sched: mqprio: add extack to mqprio_parse_nlattr() (Ivan Vecera) [RHEL-1773]
- net/sched: mqprio: simplify handling of nlattr portion of TCA_OPTIONS (Ivan Vecera) [RHEL-1773]
- net/sched: sch_mqprio: use netlink payload helpers (Ivan Vecera) [RHEL-1773]
- fix typos in net/sched/* files (Ivan Vecera) [RHEL-1773]
- net/sched: act_api: use the correct TCA_ACT attributes in dump (Ivan Vecera) [RHEL-1773]
- net/sched: remove two skb_mac_header() uses (Ivan Vecera) [RHEL-1773]
- sch_cake: do not use skb_mac_header() in cake_overhead() (Ivan Vecera) [RHEL-1773]
- net: do not use skb_mac_header() in qdisc_pkt_len_init() (Ivan Vecera) [RHEL-1773]
- selftests: tc-testing: add tests for action binding (Ivan Vecera) [RHEL-1773]
- net: sched: remove qdisc_watchdog->last_expires (Ivan Vecera) [RHEL-1773]
- net/sched: use get_random_u32_below() instead of deprecated function (Ivan Vecera) [RHEL-1773]
- net/sched: use get_random_{u8,u16}() when possible, part 1 (Ivan Vecera) [RHEL-1773]
- net/sched: use get_random_{u8,u16}() when possible, part 2 (Ivan Vecera) [RHEL-1773]
- flow_dissector: fix false-positive __read_overflow2_field() warning (Ivan Vecera) [RHEL-1773]
- s390/zcrypt_ep11misc: support API ordinal 6 with empty pin-blob (Tobias Huschle) [RHEL-11202]
- platform/x86/amd/pmf: Fix a missing cleanup path (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Use str_on_off() helper (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Fix unsigned comparison with less than zero (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Notify OS power slider update (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: reduce verbosity of apmf_get_system_params (David Arcari) [RHEL-2037]
- platform/x86/amd: pmf: Add new ACPI ID AMDI0103 (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Register notify handler only if SPS is enabled (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Fix compiler warnings in static slider (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Add PMF debug facilities (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Add PMF acpi debug support (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Fix CnQF and auto-mode after resume (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Move out of BIOS SMN pair for driver probe (David Arcari) [RHEL-2037]
- platform/x86: amd: pmf: core: Convert to platform remove callback returning void (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Add depends on CONFIG_POWER_SUPPLY (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Ensure mutexes are initialized before use (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Fix to update SPS thermals when power supply change (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Fix to update SPS default pprof thermals (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: update to auto-mode limits only after AMT event (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Add helper routine to check pprof is balanced (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Add helper routine to update SPS thermals (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: pass the struct by reference (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Remove unused power_delta instances (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: install notify handler after acpi init (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Add sysfs to toggle CnQF (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Add support for CnQF (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Fix clang unused variable warning (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Fix undefined reference to platform_profile (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Force load driver on older supported platforms (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Handle AMT and CQL events for Auto mode (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Add support for Auto mode feature (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Get performance metrics from PMFW (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Add fan control support (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Add heartbeat signal support (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Add debugfs information (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Add support SPS PMF feature (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Add support for PMF APCI layer (David Arcari) [RHEL-2037]
- platform/x86/amd/pmf: Add support for PMF core layer (David Arcari) [RHEL-2037]
- redhat/configs: enable the AMD_PMF driver for RHEL (David Arcari) [RHEL-2037]
- lib/string_helpers: fix not adding strarray to device's resource list (David Arcari) [RHEL-2037]
- lib/string_helpers: Introduce managed variant of kasprintf_strarray() (David Arcari) [RHEL-2037]
- lib/string_helpers: Add kstrdup_and_replace() helper (David Arcari) [RHEL-2037]
- lib/string_choices: Add str_write_read() helper (David Arcari) [RHEL-2037]
- lib/string_choices: Add str_high_low() helper (David Arcari) [RHEL-2037]
- lib/string_helpers: Split out string_choices.h (David Arcari) [RHEL-2037]
- lib/string_helpers: Add missing header files to MAINTAINERS database (David Arcari) [RHEL-2037]
- string_helpers: Move string_is_valid() to the header (David Arcari) [RHEL-2037]
- lib/string_helpers: Add str_read_write() helper (David Arcari) [RHEL-2037]
Resolves: rhbz#2231407, RHEL-11202, RHEL-1604, RHEL-1773, RHEL-2037, RHEL-2566, RHEL-2680, RHEL-3888, RHEL-6262, RHEL-7057, RHEL-864

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-10-24 14:18:05 -04:00
Scott Weaver
814de1d1e5 kernel-5.14.0-378.el9
* Thu Oct 19 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-378.el9]
- sunvnet: fix sparc64 build error after gso code split (Ivan Vecera) [RHEL-12679]
- net: move gso declarations and functions to their own files (Ivan Vecera) [RHEL-12679]
- net: introduce and use skb_frag_fill_page_desc() (Ivan Vecera) [RHEL-12625]
- x86/microcode/AMD: Rip out static buffers (David Arcari) [RHEL-10030]
- x86/microcode/AMD: Load late on both threads too (David Arcari) [RHEL-10030]
- x86/microcode/amd: Remove unneeded pointer arithmetic (David Arcari) [RHEL-10030]
- x86/microcode/AMD: Get rid of __find_equiv_id() (David Arcari) [RHEL-10030]
- docs: move x86 documentation into Documentation/arch/ (David Arcari) [RHEL-10030]
- x86/microcode/AMD: Handle multiple glued containers properly (David Arcari) [RHEL-10030]
- md/raid5: release batch_last before waiting for another stripe_head (Nigel Croxon) [RHEL-12455]
- md: replace deprecated strncpy with memcpy (Nigel Croxon) [RHEL-12455]
- md: don't check 'mddev->pers' and 'pers->quiesce' from suspend_lo_store() (Nigel Croxon) [RHEL-12455]
- md: don't check 'mddev->pers' from suspend_hi_store() (Nigel Croxon) [RHEL-12455]
- md-bitmap: suspend array earlier in location_store() (Nigel Croxon) [RHEL-12455]
- md-bitmap: remove the checking of 'pers->quiesce' from location_store() (Nigel Croxon) [RHEL-12455]
- md: don't rely on 'mddev->pers' to be set in mddev_suspend() (Nigel Croxon) [RHEL-12455]
- md: initialize 'writes_pending' while allocating mddev (Nigel Croxon) [RHEL-12455]
- md: initialize 'active_io' while allocating mddev (Nigel Croxon) [RHEL-12455]
- md: delay remove_and_add_spares() for read only array to md_start_sync() (Nigel Croxon) [RHEL-12455]
- md: factor out a helper rdev_addable() from remove_and_add_spares() (Nigel Croxon) [RHEL-12455]
- md: factor out a helper rdev_is_spare() from remove_and_add_spares() (Nigel Croxon) [RHEL-12455]
- md: factor out a helper rdev_removeable() from remove_and_add_spares() (Nigel Croxon) [RHEL-12455]
- md: delay choosing sync action to md_start_sync() (Nigel Croxon) [RHEL-12455]
- md: factor out a helper to choose sync action from md_check_recovery() (Nigel Croxon) [RHEL-12455]
- md: use separate work_struct for md_start_sync() (Nigel Croxon) [RHEL-12455]
- md: Put the right device in md_seq_next (Nigel Croxon) [RHEL-12455]
- igmp: limit igmpv3_newpack() packet size to IP_MAX_MTU (Hangbin Liu) [RHEL-10448 RHEL-10449] {CVE-2023-42752}
- Enable CONFIG_DPLL (Petr Oros) [2232515]
- ice: implement dpll interface to control cgu (Petr Oros) [2232515]
- ice: add admin commands to access cgu configuration (Petr Oros) [2232515]
- netdev: expose DPLL pin handle for netdevice (Petr Oros) [2232515]
- dpll: netlink: Add DPLL framework base functions (Petr Oros) [2232515]
- dpll: core: Add DPLL framework base functions (Petr Oros) [2232515]
- dpll: spec: Add Netlink spec in YAML (Petr Oros) [2232515]
- dpll: documentation on DPLL subsystem interface (Petr Oros) [2232515]
- redhat/configs: arm64: modularize arm system pmu (Mark Salter) [RHEL-2042]
- perf/arm_cspmu: Clean up ACPI dependency (Mark Salter) [RHEL-1851]
- perf/arm_cspmu: Decouple APMT dependency (Mark Salter) [RHEL-1851]
- ACPI/APMT: Don't register invalid resource (Mark Salter) [RHEL-1851]
- vxlan: Expose helper vxlan_build_gbp_hdr (Izabela Bakollari) [RHEL-5077]
- vxlan: Remove unused argument from vxlan_build_gbp_hdr( ) and vxlan_build_gpe_hdr( ) (Izabela Bakollari) [RHEL-5077]
- perf/x86/amd: Do not WARN() on every IRQ (Michael Petlan) [RHEL-12341]
- bio-integrity: create multi-page bvecs in bio_integrity_add_page() (Ming Lei) [RHEL-13714]
- bio-integrity: cleanup adding integrity pages to bip's bvec. (Ming Lei) [RHEL-13714]
- bio-integrity: update the payload size in bio_integrity_add_page() (Ming Lei) [RHEL-13714]
- block: make bvec_try_merge_hw_page() non-static (Ming Lei) [RHEL-13714]
- block: don't pass a bio to bio_try_merge_hw_seg (Ming Lei) [RHEL-13714]
- block: move the bi_size update out of __bio_try_merge_page (Ming Lei) [RHEL-13714]
- block: downgrade a bio_full call in bio_add_page (Ming Lei) [RHEL-13714]
- block: move the bi_size overflow check in __bio_try_merge_page (Ming Lei) [RHEL-13714]
- block: move the bi_vcnt check out of __bio_try_merge_page (Ming Lei) [RHEL-13714]
- block: move the BIO_CLONED checks out of __bio_try_merge_page (Ming Lei) [RHEL-13714]
- block: use SECTOR_SHIFT bio_add_hw_page (Ming Lei) [RHEL-13714]
- block: tidy up the bio full checks in bio_add_hw_page (Ming Lei) [RHEL-13714]
- block: kmsan: skip bio block merging logic for KMSAN (Ming Lei) [RHEL-13714]
- thermal: intel: powerclamp: fix mismatch in get function for max_idle (David Arcari) [RHEL-2816]
- net: stmmac: dwmac-qcom-ethqos: Use max frequency for clk_ptp_ref (Andrew Halaney) [RHEL-904]
- net: stmmac: Make ptp_clk_freq_config variable type explicit (Andrew Halaney) [RHEL-904]
- net: stmmac: don't reject VLANs when IFF_PROMISC is set (Andrew Halaney) [RHEL-904]
- can: af_can: fix NULL pointer dereference in can_rcv_filter (Ricardo Robaina) [RHEL-6428 RHEL-7052] {CVE-2023-2166}
Resolves: rhbz#2232515, RHEL-10030, RHEL-10448, RHEL-10449, RHEL-12341, RHEL-12455, RHEL-12625, RHEL-12679, RHEL-13714, RHEL-1851, RHEL-2042, RHEL-2816, RHEL-5077, RHEL-6428, RHEL-7052, RHEL-904

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-10-19 10:58:03 -04:00
Scott Weaver
15117fabb5 kernel-5.14.0-377.el9
* Tue Oct 17 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-377.el9]
- fs/buffer.c: disable per-CPU buffer_head cache for isolated CPUs (Marcelo Tosatti) [2158709]
- notifier: Initialize new struct srcu_usage field (Waiman Long) [RHEL-5228]
- tools/testing/cxl: Use DEFINE_STATIC_SRCU() (Waiman Long) [RHEL-5228]
- rcu/kvfree: Avoid freeing new kfree_rcu() memory after old grace period (Waiman Long) [RHEL-5228]
- rcuscale: Rename kfree_rcu() to kfree_rcu_mightsleep() (Waiman Long) [RHEL-5228]
- rcu: Protect rcu_print_task_exp_stall() ->exp_tasks access (Waiman Long) [RHEL-5228]
- rcu: Avoid stack overflow due to __rcu_irq_enter_check_tick() being kprobe-ed (Waiman Long) [RHEL-5228]
- rcu-tasks: Report stalls during synchronize_srcu() in rcu_tasks_postscan() (Waiman Long) [RHEL-5228]
- rcu: Permit start_poll_synchronize_rcu_expedited() to be invoked early (Waiman Long) [RHEL-5228]
- rcu: Remove never-set needwake assignment from rcu_report_qs_rdp() (Waiman Long) [RHEL-5228]
- rcu: Register rcu-lazy shrinker only for CONFIG_RCU_LAZY=y kernels (Waiman Long) [RHEL-5228]
- rcu: Fix set/clear TICK_DEP_BIT_RCU_EXP bitmask race (Waiman Long) [RHEL-5228]
- rcu: Remove CONFIG_SRCU (Waiman Long) [RHEL-5228]
- rcu: Add comment to rcu_do_batch() identifying rcuoc code path (Waiman Long) [RHEL-5228]
- srcu: Clarify comments on memory barrier "E" (Waiman Long) [RHEL-5228]
- rcu: Further comment and explain the state space of GP sequences (Waiman Long) [RHEL-5228]
- srcu: Fix long lines in srcu_funnel_gp_start() (Waiman Long) [RHEL-5228]
- srcu: Fix long lines in srcu_gp_end() (Waiman Long) [RHEL-5228]
- srcu: Fix long lines in cleanup_srcu_struct() (Waiman Long) [RHEL-5228]
- srcu: Fix long lines in srcu_get_delay() (Waiman Long) [RHEL-5228]
- srcu: Check for readers at module-exit time (Waiman Long) [RHEL-5228]
- srcu: Move work-scheduling fields from srcu_struct to srcu_usage (Waiman Long) [RHEL-5228]
- srcu: Move srcu_barrier() fields from srcu_struct to srcu_usage (Waiman Long) [RHEL-5228]
- srcu: Move ->sda_is_static from srcu_struct to srcu_usage (Waiman Long) [RHEL-5228]
- srcu: Move heuristics fields from srcu_struct to srcu_usage (Waiman Long) [RHEL-5228]
- srcu: Move grace-period fields from srcu_struct to srcu_usage (Waiman Long) [RHEL-5228]
- srcu: Move ->srcu_gp_mutex from srcu_struct to srcu_usage (Waiman Long) [RHEL-5228]
- srcu: Move ->lock from srcu_struct to srcu_usage (Waiman Long) [RHEL-5228]
- srcu: Move ->lock initialization after srcu_usage allocation (Waiman Long) [RHEL-5228]
- srcu: Move ->srcu_cb_mutex from srcu_struct to srcu_usage (Waiman Long) [RHEL-5228]
- srcu: Move ->srcu_size_state from srcu_struct to srcu_usage (Waiman Long) [RHEL-5228]
- srcu: Move ->level from srcu_struct to srcu_usage (Waiman Long) [RHEL-5228]
- srcu: Begin offloading srcu_struct fields to srcu_update (Waiman Long) [RHEL-5228]
- srcu: Use static init for statically allocated in-module srcu_struct (Waiman Long) [RHEL-5228]
- srcu: Add whitespace to __SRCU_STRUCT_INIT() & __DEFINE_SRCU() (Waiman Long) [RHEL-5228]
- rcu-tasks: Fix warning for unused tasks_rcu_exit_srcu (Waiman Long) [RHEL-5228]
- atomics: Provide rcuref - scalable reference counting (Waiman Long) [RHEL-5228]
- atomics: Provide atomic_add_negative() variants (Waiman Long) [RHEL-5228]
- rcutorture: Add srcu_lockdep.sh (Waiman Long) [RHEL-5228]
- rcutorture: Add RCU Tasks Trace and SRCU deadlock scenarios (Waiman Long) [RHEL-5228]
- rcutorture: Add SRCU deadlock scenarios (Waiman Long) [RHEL-5228]
- locking/lockdep: Improve the deadlock scenario print for sync and read lock (Waiman Long) [RHEL-5228]
- locking: Reduce the number of locks in ww_mutex stress tests (Waiman Long) [RHEL-5228]
- rcu: Annotate SRCU's update-side lockdep dependencies (Waiman Long) [RHEL-5228]
- locking/lockdep: Introduce lock_sync() (Waiman Long) [RHEL-5228]
- refscale: Move shutdown from wait_event() to wait_event_idle() (Waiman Long) [RHEL-5228]
- rcuscale: Move shutdown from wait_event() to wait_event_idle() (Waiman Long) [RHEL-5228]
- rcutorture: Create nocb kthreads only when testing rcu in CONFIG_RCU_NOCB_CPU=y kernels (Waiman Long) [RHEL-5228]
- rcutorture: Eliminate variable n_rcu_torture_boost_rterror (Waiman Long) [RHEL-5228]
- rcutorture: Add test_nmis module parameter (Waiman Long) [RHEL-5228]
- rcu/kvfree: Add kvfree_rcu_mightsleep() and kfree_rcu_mightsleep() (Waiman Long) [RHEL-5228]
- kernel/notifier: Remove CONFIG_SRCU (Waiman Long) [RHEL-5228]
- fs: Remove CONFIG_SRCU (Waiman Long) [RHEL-5228]
- rcu: Disable laziness if lazy-tracking says so (Waiman Long) [RHEL-5228]
- rcu: Track laziness during boot and suspend (Waiman Long) [RHEL-5228]
- rcu: Remove redundant call to rcu_boost_kthread_setaffinity() (Waiman Long) [RHEL-5228]
- rcu: Allow up to five minutes expedited RCU CPU stall-warning timeouts (Waiman Long) [RHEL-5228]
- rcu: Align the output of RCU CPU stall warning messages (Waiman Long) [RHEL-5228]
- redhat/configs: Add new RCU Kconfig files (Waiman Long) [RHEL-5228]
- rcu: Add RCU stall diagnosis information (Waiman Long) [RHEL-5228]
- sched: Add helper nr_context_switches_cpu() (Waiman Long) [RHEL-5228]
- sched: Add helper kstat_cpu_softirqs_sum() (Waiman Long) [RHEL-5228]
- rcutorture: Drop sparse lock-acquisition annotations (Waiman Long) [RHEL-5228]
- refscale: Add tests using SLAB_TYPESAFE_BY_RCU (Waiman Long) [RHEL-5228]
- refscale: Provide for initialization failure (Waiman Long) [RHEL-5228]
- rcu-tasks: Handle queue-shrink/callback-enqueue race condition (Waiman Long) [RHEL-5228]
- rcu-tasks: Make rude RCU-Tasks work well with CPU hotplug (Waiman Long) [RHEL-5228]
- rcu-tasks: Fix synchronize_rcu_tasks() VS zap_pid_ns_processes() (Waiman Long) [RHEL-5228]
- rcu-tasks: Remove preemption disablement around srcu_read_[un]lock() calls (Waiman Long) [RHEL-5228]
- rcu-tasks: Improve comments explaining tasks_rcu_exit_srcu purpose (Waiman Long) [RHEL-5228]
- rcu-tasks: Use accurate runstart time for RCU Tasks boot-time testing (Waiman Long) [RHEL-5228]
- srcu: Update comment after the index flip (Waiman Long) [RHEL-5228]
- srcu: Yet more detail for srcu_readers_active_idx_check() comments (Waiman Long) [RHEL-5228]
- srcu: Remove needless rcu_seq_done() check while holding read lock (Waiman Long) [RHEL-5228]
- rcu: Add test code for semaphore-like SRCU readers (Waiman Long) [RHEL-5228]
- rcu: Add srcu_down_read() and srcu_up_read() (Waiman Long) [RHEL-5228]
- srcu: Fix the comparision in srcu_invl_snp_seq() (Waiman Long) [RHEL-5228]
- srcu: Release early_srcu resources when no longer in use (Waiman Long) [RHEL-5228]
- rcu/kvfree: Split ready for reclaim objects from a batch (Waiman Long) [RHEL-5228]
- rcu/kvfree: Carefully reset number of objects in krcp (Waiman Long) [RHEL-5228]
- rcu/kvfree: Use READ_ONCE() when access to krcp->head (Waiman Long) [RHEL-5228]
- rcu/kvfree: Use a polled API to speedup a reclaim process (Waiman Long) [RHEL-5228]
- rcu/kvfree: Move need_offload_krc() out of krcp->lock (Waiman Long) [RHEL-5228]
- rcu/kvfree: Move bulk/list reclaim to separate functions (Waiman Long) [RHEL-5228]
- rcu/kvfree: Switch to a generic linked list API (Waiman Long) [RHEL-5228]
- rcu: Refactor kvfree_call_rcu() and high-level helpers (Waiman Long) [RHEL-5228]
- rcu: Allow expedited RCU CPU stall warnings to dump task stacks (Waiman Long) [RHEL-5228]
- rcu: Test synchronous RCU grace periods at the end of rcu_init() (Waiman Long) [RHEL-5228]
- rcu: Make rcu_blocking_is_gp() stop early-boot might_sleep() (Waiman Long) [RHEL-5228]
- rcu: Suppress smp_processor_id() complaint in synchronize_rcu_expedited_wait() (Waiman Long) [RHEL-5228]
- rcu: Make RCU_LOCKDEP_WARN() avoid early lockdep checks (Waiman Long) [RHEL-5228]
- rcu: Upgrade header comment for poll_state_synchronize_rcu() (Waiman Long) [RHEL-5228]
- rcu: Throttle callback invocation based on number of ready callbacks (Waiman Long) [RHEL-5228]
- rcu: Consolidate initialization and CPU-hotplug code (Waiman Long) [RHEL-5228]
- rcu: Use hlist_nulls_next_rcu() in hlist_nulls_add_tail_rcu() (Waiman Long) [RHEL-5228]
- rcu: Don't assert interrupts enabled too early in boot (Waiman Long) [RHEL-5228]
- srcu: Make Tiny synchronize_srcu() check for readers (Waiman Long) [RHEL-5228]
- rcu: Make SRCU mandatory (Waiman Long) [RHEL-5228]
- rcu/rcutorture: Use call_rcu_hurry() where needed (Waiman Long) [RHEL-5228]
- rcu/rcuscale: Use call_rcu_hurry() for async reader test (Waiman Long) [RHEL-5228]
- rcu/sync: Use call_rcu_hurry() instead of call_rcu (Waiman Long) [RHEL-5228]
- rcuscale: Add laziness and kfree tests (Waiman Long) [RHEL-5228]
- rcu: Shrinker for lazy rcu (Waiman Long) [RHEL-5228]
- rcu: Refactor code a bit in rcu_nocb_do_flush_bypass() (Waiman Long) [RHEL-5228]
- rcu: Make call_rcu() lazy to save power (Waiman Long) [RHEL-5228]
- rcu: Implement lockdep_rcu_enabled for !CONFIG_DEBUG_LOCK_ALLOC (Waiman Long) [RHEL-5228]
- srcu: Debug NMI safety even on archs that don't require it (Waiman Long) [RHEL-5228]
- srcu: Explain the reason behind the read side critical section on GP start (Waiman Long) [RHEL-5228]
- srcu: Warn when NMI-unsafe API is used in NMI (Waiman Long) [RHEL-5228]
- arch/s390: Add ARCH_HAS_NMI_SAFE_THIS_CPU_OPS Kconfig option (Waiman Long) [RHEL-5228]
- arch/arm64: Add ARCH_HAS_NMI_SAFE_THIS_CPU_OPS Kconfig option (Waiman Long) [RHEL-5228]
- arch/x86: Add ARCH_HAS_NMI_SAFE_THIS_CPU_OPS Kconfig option (Waiman Long) [RHEL-5228]
- srcu: Check for consistent global per-srcu_struct NMI safety (Waiman Long) [RHEL-5228]
- srcu: Check for consistent per-CPU per-srcu_struct NMI safety (Waiman Long) [RHEL-5228]
- srcu: Create an srcu_read_lock_nmisafe() and srcu_read_unlock_nmisafe() (Waiman Long) [RHEL-5228]
- rcu: Fix missing nocb gp wake on rcu_barrier() (Waiman Long) [RHEL-5228]
- rcu: Fix late wakeup when flush of bypass cblist happens (Waiman Long) [RHEL-5228]
- rcu: Simplify rcu_init_nohz() cpumask handling (Waiman Long) [RHEL-5228]
- rcu: Use READ_ONCE() for lockless read of rnp->qsmask (Waiman Long) [RHEL-5228]
- srcu: Convert ->srcu_lock_count and ->srcu_unlock_count to atomic (Waiman Long) [RHEL-5228]
- rcu: Remove duplicate RCU exp QS report from rcu_report_dead() (Waiman Long) [RHEL-5228]
- redhat/configs: Add CONFIG_ANDROID_BINDER_IPC (Waiman Long) [RHEL-5228]
- remove CONFIG_ANDROID (Waiman Long) [RHEL-5228]
- kcsan: Only test clear_bit_unlock_is_negative_byte if arch defines it (Waiman Long) [RHEL-5228]
- kcsan: Avoid nested contexts reading inconsistent reorder_access (Waiman Long) [RHEL-5228]
- kcsan: Turn barrier instrumentation into macros (Waiman Long) [RHEL-5228]
- kcsan: Make barrier tests compatible with lockdep (Waiman Long) [RHEL-5228]
- kcsan: Support WEAK_MEMORY with Clang where no objtool support exists (Waiman Long) [RHEL-5228]
- compiler_attributes.h: Add __disable_sanitizer_instrumentation (Waiman Long) [RHEL-5228]
- sched, kcsan: Enable memory barrier instrumentation (Waiman Long) [RHEL-5228]
- x86/qspinlock, kcsan: Instrument barrier of pv_queued_spin_unlock() (Waiman Long) [RHEL-5228]
- asm-generic/bitops, kcsan: Add instrumentation for barriers (Waiman Long) [RHEL-5228]
- locking/atomics, kcsan: Add instrumentation for barriers (Waiman Long) [RHEL-5228]
- locking/barriers, kcsan: Support generic instrumentation (Waiman Long) [RHEL-5228]
- locking/barriers, kcsan: Add instrumentation for barriers (Waiman Long) [RHEL-5228]
- kcsan: selftest: Add test case to check memory barrier instrumentation (Waiman Long) [RHEL-5228]
- kcsan: Ignore GCC 11+ warnings about TSan runtime support (Waiman Long) [RHEL-5228]
- kcsan: test: Add test cases for memory barrier instrumentation (Waiman Long) [RHEL-5228]
- kcsan: test: Match reordered or normal accesses (Waiman Long) [RHEL-5228]
- kcsan: Document modeling of weak memory (Waiman Long) [RHEL-5228]
- kcsan: Show location access was reordered to (Waiman Long) [RHEL-5228]
- kcsan: Call scoped accesses reordered in reports (Waiman Long) [RHEL-5228]
- kcsan, kbuild: Add option for barrier instrumentation only (Waiman Long) [RHEL-5228]
- kcsan: Add core memory barrier instrumentation functions (Waiman Long) [RHEL-5228]
- kcsan: Add core support for a subset of weak memory modeling (Waiman Long) [RHEL-5228]
- kcsan: Avoid checking scoped accesses from nested contexts (Waiman Long) [RHEL-5228]
- kcsan: Remove redundant zero-initialization of globals (Waiman Long) [RHEL-5228]
- kcsan: Refactor reading of instrumented memory (Waiman Long) [RHEL-5228]
- kcsan: selftest: Cleanup and add missing __init (Waiman Long) [RHEL-5228]
- kcsan: Move ctx to start of argument list (Waiman Long) [RHEL-5228]
- kcsan: Support reporting scoped read-write access type (Waiman Long) [RHEL-5228]
- kcsan: Start stack trace with explicit location if provided (Waiman Long) [RHEL-5228]
- kcsan: Save instruction pointer for scoped accesses (Waiman Long) [RHEL-5228]
- kcsan: Add ability to pass instruction pointer of access to reporting (Waiman Long) [RHEL-5228]
- kcsan: test: Fix flaky test case (Waiman Long) [RHEL-5228]
- kcsan: Make strict mode imply interruptible watchers (Waiman Long) [RHEL-5228]
- kcsan: permissive: Ignore data-racy 1-bit value changes (Waiman Long) [RHEL-5228]
- kcsan: Print if strict or non-strict during init (Waiman Long) [RHEL-5228]
- kcsan: Rework atomic.h into permissive.h (Waiman Long) [RHEL-5228]
- kcsan: Reduce get_ctx() uses in kcsan_found_watchpoint() (Waiman Long) [RHEL-5228]
- kcsan: Introduce CONFIG_KCSAN_STRICT (Waiman Long) [RHEL-5228]
- kcsan: Remove CONFIG_KCSAN_DEBUG (Waiman Long) [RHEL-5228]
- kcsan: Improve some Kconfig comments (Waiman Long) [RHEL-5228]
- RDMA/qedr: clean up work queue on failure in qedr_alloc_resources() (Kamal Heib) [RHEL-10372 RHEL-11031]
- RDMA/qedr: fix repeated words in comments (Kamal Heib) [RHEL-10372 RHEL-11031]
- eth: ixgbe: fix the wake condition (Ivan Vecera) [RHEL-12422]
- eth: bnxt: fix the wake condition (Ivan Vecera) [RHEL-12422]
- net: piggy back on the memory barrier in bql when waking queues (Ivan Vecera) [RHEL-12422]
- bnxt: use new queue try_stop/try_wake macros (Ivan Vecera) [RHEL-12422]
- ixgbe: use new queue try_stop/try_wake macros (Ivan Vecera) [RHEL-12422]
- net: provide macros for commonly copied lockless queue stop/wake code (Ivan Vecera) [RHEL-12422]
- docs: net: use C syntax highlight in driver.rst (Ivan Vecera) [RHEL-12422]
- docs: net: move the probe and open/close sections of driver.rst up (Ivan Vecera) [RHEL-12422]
- docs: net: reformat driver.rst from a list to sections (Ivan Vecera) [RHEL-12422]
- Documentation: networking: correct possessive "its" (Ivan Vecera) [RHEL-12422]
- intel_th: pci: Add Meteor Lake-P support (Michael Petlan) [2156848]
- intel_th: msu: Fix vmalloced buffers (Michael Petlan) [2156848]
- Revert "sched/fair: Move unused stub functions to header" (Phil Auld) [RHEL-1536]
- sched/fair: remove util_est boosting (Phil Auld) [RHEL-1536]
- sched/topology: Align group flags when removing degenerate domain (Phil Auld) [RHEL-1536]
- sched/fair: Use recent_used_cpu to test p->cpus_ptr (Phil Auld) [RHEL-1536]
- sched/core: Avoid multiple calling update_rq_clock() in __cfsb_csd_unthrottle() (Phil Auld) [RHEL-1536]
- sched/core: Avoid double calling update_rq_clock() in __balance_push_cpu_stop() (Phil Auld) [RHEL-1536]
- sched/core: Fixed missing rq clock update before calling set_rq_offline() (Phil Auld) [RHEL-1536]
- sched/fair: Rename variable cpu_util eff_util (Phil Auld) [RHEL-1536]
- sched/topology: Mark set_sched_topology() __init (Phil Auld) [RHEL-1536]
- sched/wait: Fix a kthread_park race with wait_woken() (Phil Auld) [RHEL-1536]
- sched: Consider task_struct::saved_state in wait_task_inactive() (Phil Auld) [RHEL-1536]
- sched: Unconditionally use full-fat wait_task_inactive() (Phil Auld) [RHEL-1536]
- sched: Change wait_task_inactive()s match_state (Phil Auld) [RHEL-1536]
- Revert "sched: Consider task_struct::saved_state in wait_task_inactive()." (Phil Auld) [RHEL-1536]
- sched/deadline: Update GRUB description in the documentation (Phil Auld) [RHEL-1536]
- sched/deadline: Fix bandwidth reclaim equation in GRUB (Phil Auld) [RHEL-1536]
- sched/deadline: remove unused dl_bandwidth (Phil Auld) [RHEL-1536]
- sched/fair: Move unused stub functions to header (Phil Auld) [RHEL-1536]
- sched: Make task_vruntime_update() prototype visible (Phil Auld) [RHEL-1536]
- sched/fair: Hide unused init_cfs_bandwidth() stub (Phil Auld) [RHEL-1536]
- sched: Add schedule_user() declaration (Phil Auld) [RHEL-1536]
- sched: Hide unused sched_update_scaling() (Phil Auld) [RHEL-1536]
- sched/fair, cpufreq: Introduce 'runnable boosting' (Phil Auld) [RHEL-1536]
- sched/fair: Refactor CPU utilization functions (Phil Auld) [RHEL-1536]
- cpu/hotplug: Reset task stack state in _cpu_up() (Phil Auld) [RHEL-1536]
- sched/debug: Correct printing for rq->nr_uninterruptible (Phil Auld) [RHEL-1536]
- sched/topology: Propagate SMT flags when removing degenerate domain (Phil Auld) [RHEL-1536]
- sched/core: Make sched_dynamic_mutex static (Phil Auld) [RHEL-1536]
- sched/fair: Fix imbalance overflow (Phil Auld) [RHEL-1536]
- sched/core: Reduce cost of sched_move_task when config autogroup (Phil Auld) [RHEL-1536]
- sched/core: Avoid selecting the task that is throttled to run when core-sched enable (Phil Auld) [RHEL-1536]
- sched_getaffinity: don't assume 'cpumask_size()' is fully initialized (Phil Auld) [RHEL-1536]
- sched/topology: Make sched_energy_mutex,update static (Phil Auld) [RHEL-1536]
- livepatch,sched: Add livepatch task switching to cond_resched() (Phil Auld) [RHEL-1536]
- livepatch: Skip task_call_func() for current task (Phil Auld) [RHEL-1536]
- sched/fair: Fix inaccurate tally of ttwu_move_affine (Phil Auld) [RHEL-1536]
- ice: Don't tx before switchdev is fully configured (Michal Schmidt) [2241234]
- thermal/drivers/qcom: Switch to new of API (Lucas Karpinski) [RHEL-11886]
- thermal/drivers/bcm2835: Remove buggy call to thermal_of_zone_unregister (Lucas Karpinski) [RHEL-11886]
- thermal/drivers/qcom: Add support for PMIC5 Gen2 ADCTM (Lucas Karpinski) [RHEL-11886]
- thermal/drivers/qcom: Add support for multiple generations of devices (Lucas Karpinski) [RHEL-11886]
- thermal/drivers/qcom/spmi-adc-tm5: Add support for HC variant (Lucas Karpinski) [RHEL-11886]
- thermal/drivers/qcom/spmi-adc-tm5: Don't abort probing if a sensor is not used (Lucas Karpinski) [RHEL-11886]
- thermal/drivers/qcom: Remove duplicate set next trip point interrupt code (Lucas Karpinski) [RHEL-11886]
- cgroup: always put cset in cgroup_css_set_put_fork (Jay Shin) [RHEL-3218]
- phy: qcom-qmp-usb: split PCS_USB init table for sc8280xp and sa8775p (Adrien Thierry) [RHEL-10378]
- phy: qcom-qmp-usb: initialize PCS_USB registers (Adrien Thierry) [RHEL-10378]
- ice: always add legacy 32byte RXDID in supported_rxdids (Michal Schmidt) [RHEL-10357]
- KVM: s390: pv: Allow AP-instructions for pv-guests (Thomas Huth) [RHEL-5743]
- KVM: s390: Add UV feature negotiation (Thomas Huth) [RHEL-5743]
- s390/uv: UV feature check utility (Thomas Huth) [RHEL-5743]
- KVM: s390: pv: relax WARN_ONCE condition for destroy fast (Thomas Huth) [RHEL-5743]
- s390/vfio-ap: make sure nib is shared (Thomas Huth) [RHEL-5743]
- KVM: s390: export kvm_s390_pv*_is_protected functions (Thomas Huth) [RHEL-5743]
- s390/uv: export uv_pin_shared for direct usage (Thomas Huth) [RHEL-5743]
- s390/vfio-ap: check for TAPQ response codes 0x35 and 0x36 (Thomas Huth) [RHEL-5743]
- s390/vfio-ap: handle queue state change in progress on reset (Thomas Huth) [RHEL-5743]
- s390/vfio-ap: use work struct to verify queue reset (Thomas Huth) [RHEL-5743]
- s390/vfio-ap: store entire AP queue status word with the queue object (Thomas Huth) [RHEL-5743]
- s390/vfio-ap: remove upper limit on wait for queue reset to complete (Thomas Huth) [RHEL-5743]
- s390/vfio-ap: allow deconfigured queue to be passed through to a guest (Thomas Huth) [RHEL-5743]
- s390/vfio-ap: wait for response code 05 to clear on queue reset (Thomas Huth) [RHEL-5743]
- s390/vfio-ap: clean up irq resources if possible (Thomas Huth) [RHEL-5743]
- s390/vfio-ap: no need to check the 'E' and 'I' bits in APQSW after TAPQ (Thomas Huth) [RHEL-5743]
- KVM: s390: selftests: Add selftest for single-stepping (Thomas Huth) [RHEL-5743]
- KVM: s390: interrupt: Fix single-stepping keyless mode exits (Thomas Huth) [RHEL-5743]
- KVM: s390: interrupt: Fix single-stepping userspace-emulated instructions (Thomas Huth) [RHEL-5743]
- KVM: s390: interrupt: Fix single-stepping kernel-emulated instructions (Thomas Huth) [RHEL-5743]
- KVM: s390: interrupt: Fix single-stepping into program interrupt handlers (Thomas Huth) [RHEL-5743]
- KVM: s390: interrupt: Fix single-stepping into interrupt handlers (Thomas Huth) [RHEL-5743]
- KVM: s390: fix sthyi error handling (Thomas Huth) [RHEL-5743]
- KVM: s390: pci: fix virtual-physical confusion on module unload/load (Thomas Huth) [RHEL-5743]
- KVM: s390: vsie: clarifications on setting the APCB (Thomas Huth) [RHEL-5743]
- KVM: s390: interrupt: fix virtual-physical confusion for next alert GISA (Thomas Huth) [RHEL-5743]
- s390/vfio-ap: remove redundant driver match function (Thomas Huth) [RHEL-5743]
- KVM: s390: Use "int" as return type for kvm_s390_get/set_skeys() (Thomas Huth) [RHEL-5743]
- KVM: s390: GISA: sort out physical vs virtual pointers usage (Thomas Huth) [RHEL-5743]
- keys: Fix linking a duplicate key to a keyring's assoc_array (Jay Shin) [RHEL-9908]
- mm/memcontrol: export memcg.swap watermark via sysfs for v2 memcg (Waiman Long) [RHEL-10093]
- mm,kfence: decouple kfence from page granularity mapping judgement (Eric Chanudet) [RHEL-2769]
- redhat: update self-test data (Scott Weaver)
Resolves: rhbz#2156848, rhbz#2158709, rhbz#2241234, RHEL-10093, RHEL-10357, RHEL-10372, RHEL-10378, RHEL-11031, RHEL-11886, RHEL-12422, RHEL-1536, RHEL-2769, RHEL-3218, RHEL-5228, RHEL-5743, RHEL-9908

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-10-17 09:34:56 -04:00
Scott Weaver
3f945d37ad kernel-5.14.0-375.el9
* Mon Oct 09 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-375.el9]
- md/raid1: fix error: ISO C90 forbids mixed declarations (Nigel Croxon) [RHEL-3359]
- md: add rhel support for making bitmap file support optional (Nigel Croxon) [RHEL-3359]
- raid5: read data is wrong when recovery happens (Nigel Croxon) [RHEL-3359]
- md: raid0: account for split bio in iostat accounting (Nigel Croxon) [RHEL-2718 RHEL-3359]
- md/raid0: Fix performance regression for large sequential writes (Nigel Croxon) [RHEL-3359]
- md/raid0: Factor out helper for mapping and submitting a bio (Nigel Croxon) [RHEL-3359]
- md raid1: allow writebehind to work on any leg device set WriteMostly (Nigel Croxon) [RHEL-3359]
- md/raid1: hold the barrier until handle_read_error() finishes (Nigel Croxon) [RHEL-3359]
- md/raid1: free the r1bio before waiting for blocked rdev (Nigel Croxon) [RHEL-3359]
- md/raid1: call free_r1bio() before allow_barrier() in raid_end_bio_io() (Nigel Croxon) [RHEL-3359]
- md/raid5-cache: fix null-ptr-deref for r5l_flush_stripe_to_raid() (Nigel Croxon) [RHEL-3359]
- md: Hold mddev->reconfig_mutex when trying to get mddev->sync_thread (Nigel Croxon) [RHEL-3359]
- md/raid10: fix a 'conf->barrier' leakage in raid10_takeover() (Nigel Croxon) [RHEL-3359]
- md: raid1: fix potential OOB in raid1_remove_disk() (Nigel Croxon) [RHEL-3359]
- md/raid5-cache: fix a deadlock in r5l_exit_log() (Nigel Croxon) [RHEL-3359]
- md/md-bitmap: hold 'reconfig_mutex' in backlog_store() (Nigel Croxon) [RHEL-3359]
- md/md-bitmap: remove unnecessary local variable in backlog_store() (Nigel Croxon) [RHEL-3359]
- md/raid10: use dereference_rdev_and_rrdev() to get devices (Nigel Croxon) [RHEL-3359]
- md/raid10: factor out dereference_rdev_and_rrdev() (Nigel Croxon) [RHEL-3359]
- md/raid10: check replacement and rdev to prevent submit the same io twice (Nigel Croxon) [RHEL-3359]
- md/raid1: Avoid lock contention from wake_up() (Nigel Croxon) [RHEL-3359]
- md: restore 'noio_flag' for the last mddev_resume() (Nigel Croxon) [RHEL-3359]
- md: don't quiesce in mddev_suspend() (Nigel Croxon) [RHEL-3359]
- md: remove redundant check in fix_read_error() (Nigel Croxon) [RHEL-3359]
- md/raid10: optimize fix_read_error (Nigel Croxon) [RHEL-3359]
- md/raid1: prioritize adding disk to 'removed' mirror (Nigel Croxon) [RHEL-3359]
- md/md-faulty: enable io accounting (Nigel Croxon) [RHEL-3359]
- md/md-linear: enable io accounting (Nigel Croxon) [RHEL-3359]
- md/md-multipath: enable io accounting (Nigel Croxon) [RHEL-3359]
- md/raid10: switch to use md_account_bio() for io accounting (Nigel Croxon) [RHEL-3359]
- md/raid1: switch to use md_account_bio() for io accounting (Nigel Croxon) [RHEL-3359]
- raid5: fix missing io accounting in raid5_align_endio() (Nigel Croxon) [RHEL-3359]
- md: also clone new io if io accounting is disabled (Nigel Croxon) [RHEL-3359]
- md: move initialization and destruction of 'io_acct_set' to md.c (Nigel Croxon) [RHEL-3359]
- md: deprecate bitmap file support (Nigel Croxon) [RHEL-3359]
- md: make bitmap file support optional (Nigel Croxon) [RHEL-3359]
- md-bitmap: don't use ->index for pages backing the bitmap file (Nigel Croxon) [RHEL-3359]
- md-bitmap: account for mddev->bitmap_info.offset in read_sb_page (Nigel Croxon) [RHEL-3359]
- md-bitmap: cleanup read_sb_page (Nigel Croxon) [RHEL-3359]
- md-bitmap: refactor md_bitmap_init_from_disk (Nigel Croxon) [RHEL-3359]
- md-bitmap: rename read_page to read_file_page (Nigel Croxon) [RHEL-3359]
- md-bitmap: split file writes into a separate helper (Nigel Croxon) [RHEL-3359]
- md-bitmap: use %%pD to print the file name in md_bitmap_file_kick (Nigel Croxon) [RHEL-3359]
- md-bitmap: initialize variables at declaration time in md_bitmap_file_unmap (Nigel Croxon) [RHEL-3359]
- md-bitmap: set BITMAP_WRITE_ERROR in write_sb_page (Nigel Croxon) [RHEL-3359]
- md: enhance checking in md_check_recovery() (Nigel Croxon) [RHEL-3359]
- md: wake up 'resync_wait' at last in md_reap_sync_thread() (Nigel Croxon) [RHEL-3359]
- md: refactor idle/frozen_sync_thread() to fix deadlock (Nigel Croxon) [RHEL-3359]
- md: add a mutex to synchronize idle and frozen in action_store() (Nigel Croxon) [RHEL-3359]
- md: refactor action_store() for 'idle' and 'frozen' (Nigel Croxon) [RHEL-3359]
- Revert "md: unlock mddev before reap sync_thread in action_store" (Nigel Croxon) [RHEL-3359]
- md/raid0: add discard support for the 'original' layout (Nigel Croxon) [RHEL-3359]
- raid10: avoid spin_lock from fastpath from raid10_unplug() (Nigel Croxon) [RHEL-3359]
- md/raid1-10: fix casting from randomized structure in raid1_submit_write() (Nigel Croxon) [RHEL-3359]
- md/raid10: fix the condition to call bio_end_io_acct() (Nigel Croxon) [RHEL-3359]
- md/raid1-10: limit the number of plugged bio (Nigel Croxon) [RHEL-3359]
- md/raid1-10: don't handle pluged bio by daemon thread (Nigel Croxon) [RHEL-3359]
- md/md-bitmap: add a new helper to unplug bitmap asynchrously (Nigel Croxon) [RHEL-3359]
- md/raid1-10: submit write io directly if bitmap is not enabled (Nigel Croxon) [RHEL-3359]
- md/raid1-10: factor out a helper to submit normal write (Nigel Croxon) [RHEL-3359]
- md/raid1-10: factor out a helper to add bio to plug (Nigel Croxon) [RHEL-3359]
- md/raid10: prevent soft lockup while flush writes (Nigel Croxon) [RHEL-3359]
- md/raid10: fix io loss while replacement replace rdev (Nigel Croxon) [RHEL-3359]
- md/raid10: Do not add spare disk when recovery fails (Nigel Croxon) [RHEL-3359]
- md/raid10: clean up md_add_new_disk() (Nigel Croxon) [RHEL-3359]
- md/raid10: prioritize adding disk to 'removed' mirror (Nigel Croxon) [RHEL-3359]
- md/raid10: improve code of mrdev in raid10_sync_request (Nigel Croxon) [RHEL-3359]
- md/raid10: fix null-ptr-deref of mreplace in raid10_sync_request (Nigel Croxon) [RHEL-3359]
- md/raid5: don't start reshape when recovery or replace is in progress (Nigel Croxon) [RHEL-3359]
- md/raid10: fix wrong setting of max_corr_read_errors (Nigel Croxon) [RHEL-3359]
- md/raid10: fix overflow of md/safe_mode_delay (Nigel Croxon) [RHEL-3359]
- md/raid5: fix a deadlock in the case that reshape is interrupted (Nigel Croxon) [RHEL-3359]
- md: add a new api prepare_suspend() in md_personality (Nigel Croxon) [RHEL-3359]
- md: export md_is_rdwr() and is_md_suspended() (Nigel Croxon) [RHEL-3359]
- md: fix data corruption for raid456 when reshape restart while grow up (Nigel Croxon) [RHEL-3359]
- md/raid5: don't allow replacement while reshape is in progress (Nigel Croxon) [RHEL-3359]
- md/raid10: check slab-out-of-bounds in md_bitmap_get_counter (Nigel Croxon) [RHEL-3359]
- md/raid5: fix miscalculation of 'end_sector' in raid5_read_one_chunk() (Nigel Croxon) [RHEL-3359]
- md: Fix bitmap offset type in sb writer (Nigel Croxon) [RHEL-3359]
- rbd: take header_rwsem in rbd_dev_refresh() only when updating (Ilya Dryomov) [RHEL-9835]
- rbd: decouple parent info read-in from updating rbd_dev (Ilya Dryomov) [RHEL-9835]
- rbd: decouple header read-in from updating rbd_dev->header (Ilya Dryomov) [RHEL-9835]
- rbd: move rbd_dev_refresh() definition (Ilya Dryomov) [RHEL-9835]
- vdpa/mlx5: Correct default number of queues when MQ is on (Laurent Vivier) [RHEL-7015]
- x86/hyperv: Add hv_write_efer() for a TDX VM with the paravisor (Vitaly Kuznetsov) [2176350]
- x86/hyperv: Move the code in ivm.c around to avoid unnecessary ifdef's (Vitaly Kuznetsov) [2176350]
- x86/hyperv: Remove hv_isolation_type_en_snp (Vitaly Kuznetsov) [2176350]
- x86/hyperv: Use TDX GHCI to access some MSRs in a TDX VM with the paravisor (Vitaly Kuznetsov) [2176350]
- Drivers: hv: vmbus: Bring the post_msg_page back for TDX VMs with the paravisor (Vitaly Kuznetsov) [2176350]
- x86/hyperv: Introduce a global variable hyperv_paravisor_present (Vitaly Kuznetsov) [2176350]
- x86/hyperv: Add missing 'inline' to hv_snp_boot_ap() stub (Vitaly Kuznetsov) [2176350]
- x86/hyperv: Mark hv_ghcb_terminate() as noreturn (Vitaly Kuznetsov) [2176350]
- Drivers: hv: vmbus: Support >64 VPs for a fully enlightened TDX/SNP VM (Vitaly Kuznetsov) [2176350]
- x86/hyperv: Fix serial console interrupts for fully enlightened TDX guests (Vitaly Kuznetsov) [2176350]
- Drivers: hv: vmbus: Support fully enlightened TDX guests (Vitaly Kuznetsov) [2176350]
- x86/hyperv: Support hypercalls for fully enlightened TDX guests (Vitaly Kuznetsov) [2176350]
- x86/hyperv: Add hv_isolation_type_tdx() to detect TDX guests (Vitaly Kuznetsov) [2176350]
- x86/hyperv: Fix undefined reference to isolation_type_en_snp without CONFIG_HYPERV (Vitaly Kuznetsov) [2176350]
- x86/hyperv: Add hyperv-specific handling for VMMCALL under SEV-ES (Vitaly Kuznetsov) [2176350]
- x86/hyperv: Add smp support for SEV-SNP guest (Vitaly Kuznetsov) [2176350]
- x86/hyperv: Add VTL specific structs and hypercalls (Vitaly Kuznetsov) [2176350]
- clocksource: hyper-v: Mark hyperv tsc page unencrypted in sev-snp enlightened guest (Vitaly Kuznetsov) [2176350]
- x86/hyperv: Use vmmcall to implement Hyper-V hypercall in sev-snp enlightened guest (Vitaly Kuznetsov) [2176350]
- drivers: hv: Mark percpu hvcall input arg page unencrypted in SEV-SNP enlightened guest (Vitaly Kuznetsov) [2176350]
- Drivers: hv: vmbus: Remove the per-CPU post_msg_page (Vitaly Kuznetsov) [2176350]
- x86/hyperv: Mark Hyper-V vp assist page unencrypted in SEV-SNP enlightened guest (Vitaly Kuznetsov) [2176350]
- x86/hyperv: Set Virtual Trust Level in VMBus init message (Vitaly Kuznetsov) [2176350]
- x86/hyperv: Add sev-snp enlightened guest static key (Vitaly Kuznetsov) [2176350]
- x86/tdx: Do not corrupt frame-pointer in __tdx_hypercall() (Vitaly Kuznetsov) [2176350]
- x86/tdx: Expand __tdx_hypercall() to handle more arguments (Vitaly Kuznetsov) [2176350]
- x86/tdx: Refactor __tdx_hypercall() to allow pass down more arguments (Vitaly Kuznetsov) [2176350]
- x86/tdx: Add more registers to struct tdx_hypercall_args (Vitaly Kuznetsov) [2176350]
- x86/tdx: Fix typo in comment in __tdx_hypercall() (Vitaly Kuznetsov) [2176350]
- netfilter, bpf: Adjust timeouts of non-confirmed CTs in bpf_ct_insert_entry() (Artem Savkov) [2221599]
- selftests/bpf: fix mptcp test for rhel (Artem Savkov) [2221599]
- bpftool: Use "fallthrough;" keyword instead of comments (Artem Savkov) [2221599]
- selftests/bpf: Skip module_fentry_shadow test when bpf_testmod is not available (Artem Savkov) [2221599]
- selftests/bpf: fix unpriv_disabled check in test_verifier (Artem Savkov) [2221599]
- selftests/xsk: Put MAP_HUGE_2MB in correct argument (Artem Savkov) [2221599]
- bpf, sockmap: Test progs verifier error with latest clang (Artem Savkov) [2221599]
- selftests/bpf: Add a failure test for bpf_kptr_xchg() with local kptr (Artem Savkov) [2221599]
- libbpf: Fix realloc API handling in zero-sized edge cases (Artem Savkov) [2221599]
- bpf: Fix a bpf_kptr_xchg() issue with local kptr (Artem Savkov) [2221599]
- bpf: Fix an error around PTR_UNTRUSTED (Artem Savkov) [2221599]
- bpf: fix bpf_dynptr_slice() to stop return an ERR_PTR. (Artem Savkov) [2221599]
- net: Update an existing TCP congestion control algorithm. (Artem Savkov) [2221599]
- samples/bpf: Fix fout leak in hbm's run_bpf_prog (Artem Savkov) [2221599]
- kallsyms: move module-related functions under correct configs (Artem Savkov) [2221599]
- kallsyms: Disable preemption for find_kallsyms_symbol_value (Artem Savkov) [2221599]
- bpf, docs: BPF Iterator Document (Artem Savkov) [2221599]
- libbpf: only reset sec_def handler when necessary (Artem Savkov) [2221599]
- bpf, docs: Document existing macros instead of deprecated (Artem Savkov) [2221599]
- bpf: Force kprobe multi expected_attach_type for kprobe_multi link (Artem Savkov) [2221599]
- bpf/btf: Accept function names that contain dots (Artem Savkov) [2221599]
- selftests/bpf: add a test for subprogram extables (Artem Savkov) [2221599]
- bpf: ensure main program has an extable (Artem Savkov) [2221599]
- bpf: Fix a bpf_jit_dump issue for x86_64 with sysctl bpf_jit_enable. (Artem Savkov) [2221599]
- selftests/bpf: Add test cases to assert proper ID tracking on spill (Artem Savkov) [2221599]
- bpf: Fix verifier id tracking of scalars on spill (Artem Savkov) [2221599]
- bpf: Add extra path pointer check to d_path helper (Artem Savkov) [2221599]
- bpf: netfilter: Add BPF_NETFILTER bpf_attach_type (Artem Savkov) [2221599]
- bpf: Make bpf_refcount_acquire fallible for non-owning refs (Artem Savkov) [2221599]
- bpf: Fix __bpf_{list,rbtree}_add's beginning-of-node calculation (Artem Savkov) [2221599]
- bpf: Centralize btf_field-specific initialization logic (Artem Savkov) [2221599]
- bpf: Support refcounted local kptrs in existing semantics (Artem Savkov) [2221599]
- bpf: Set kptr_struct_meta for node param to list and rbtree insert funcs (Artem Savkov) [2221599]
- selftests/bpf: Add access_inner_map selftest (Artem Savkov) [2221599]
- bpf: Fix elem_size not being set for inner maps (Artem Savkov) [2221599]
- bpf: fix a memory leak in the LRU and LRU_PERCPU hash maps (Artem Savkov) [2221599]
- bpf: Fix mask generation for 32-bit narrow loads of 64-bit fields (Artem Savkov) [2221599]
- samples/bpf: Drop unnecessary fallthrough (Artem Savkov) [2221599]
- bpf: Remove anonymous union in bpf_kfunc_call_arg_meta (Artem Savkov) [2221599]
- selftests/bpf: Fix pkg-config call building sign-file (Artem Savkov) [2221599]
- selftests/bpf: avoid mark_all_scalars_precise() trigger in one of iter tests (Artem Savkov) [2221599]
- bpf: Add __rcu_read_{lock,unlock} into btf id deny list (Artem Savkov) [2221599]
- bpf: Disable bpf_refcount_acquire kfunc calls until race conditions are fixed (Artem Savkov) [2221599]
- selftests/bpf: verifier/prevent_map_lookup converted to inline assembly (Artem Savkov) [2221599]
- bpf: fix link failure with NETFILTER=y INET=n (Artem Savkov) [2221599]
- selftests/bpf: verifier/value_ptr_arith converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/value_illegal_alu converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/unpriv converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/subreg converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/spin_lock converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/sock converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/search_pruning converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/runtime_jit converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/regalloc converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/ref_tracking converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/map_ptr_mixing converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/map_in_map converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/lwt converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/loops1 converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/jeq_infer_not_null converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/direct_packet_access converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/d_path converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/ctx converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/btf_ctx_access converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/bpf_get_stack converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/bounds converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: Add notion of auxiliary programs for test_loader (Artem Savkov) [2221599]
- selftests/bpf: add missing netfilter return value and ctx access tests (Artem Savkov) [2221599]
- bpf: add test_run support for netfilter program type (Artem Savkov) [2221599]
- tools: bpftool: print netfilter link info (Artem Savkov) [2221599]
- bpf: minimal support for programs hooked into netfilter framework (Artem Savkov) [2221599]
- bpf: add bpf_link support for BPF_NETFILTER programs (Artem Savkov) [2221599]
- bpftool: Update doc to explain struct_ops register subcommand. (Artem Savkov) [2221599]
- bpftool: Register struct_ops with a link. (Artem Savkov) [2221599]
- selftests/bpf: Verify optval=NULL case (Artem Savkov) [2221599]
- bpf: Don't EFAULT for getsockopt with optval=NULL (Artem Savkov) [2221599]
- bpf: Fix bpf_refcount_acquire's refcount_t address calculation (Artem Savkov) [2221599]
- bpf: Fix race between btf_put and btf_idr walk. (Artem Savkov) [2221599]
- bpf: switch to fdget_raw() (Artem Savkov) [2221599]
- selftests/bpf: populate map_array_ro map for verifier_array_access test (Artem Savkov) [2221599]
- selftests/bpf: add pre bpf_prog_test_run_opts() callback for test_loader (Artem Savkov) [2221599]
- selftests/bpf: fix __retval() being always ignored (Artem Savkov) [2221599]
- selftests/bpf: disable program test run for progs/refcounted_kptr.c (Artem Savkov) [2221599]
- bpftool: Replace "__fallthrough" by a comment to address merge conflict (Artem Savkov) [2221599]
- selftests/bpf: Add test to access integer type of variable array (Artem Savkov) [2221599]
- bpf: support access variable length array of integer type (Artem Savkov) [2221599]
- selftests/xsk: Fix munmap for hugepage allocated umem (Artem Savkov) [2221599]
- libbpf: mark bpf_iter_num_{new,next,destroy} as __weak (Artem Savkov) [2221599]
- libbpf: move bpf_for(), bpf_for_each(), and bpf_repeat() into bpf_helpers.h (Artem Savkov) [2221599]
- selftests/bpf: add missing __weak kfunc log fixup test (Artem Savkov) [2221599]
- libbpf: improve handling of unresolved kfuncs (Artem Savkov) [2221599]
- libbpf: report vmlinux vs module name when dealing with ksyms (Artem Savkov) [2221599]
- libbpf: misc internal libbpf clean ups around log fixup (Artem Savkov) [2221599]
- selftests/bpf: Add a selftest for checking subreg equality (Artem Savkov) [2221599]
- bpf: Improve verifier u32 scalar equality checking (Artem Savkov) [2221599]
- bpf: lirc program type should not require SYS_CAP_ADMIN (Artem Savkov) [2221599]
- bpf: Set skb redirect and from_ingress info in __bpf_tx_skb (Artem Savkov) [2221599]
- bpf,docs: Remove KF_KPTR_GET from documentation (Artem Savkov) [2221599]
- bpf: Remove KF_KPTR_GET kfunc flag (Artem Savkov) [2221599]
- bpf: Remove bpf_kfunc_call_test_kptr_get() test kfunc (Artem Savkov) [2221599]
- selftests/bpf: Add refcounted_kptr tests (Artem Savkov) [2221599]
- bpf: Migrate bpf_rbtree_remove to possibly fail (Artem Savkov) [2221599]
- selftests/bpf: Modify linked_list tests to work with macro-ified inserts (Artem Savkov) [2221599]
- bpf: Migrate bpf_rbtree_add and bpf_list_push_{front,back} to possibly fail (Artem Savkov) [2221599]
- bpf: Add bpf_refcount_acquire kfunc (Artem Savkov) [2221599]
- bpf: Introduce opaque bpf_refcount struct and add btf_record plumbing (Artem Savkov) [2221599]
- bpf: Remove btf_field_offs, use btf_record's fields instead (Artem Savkov) [2221599]
- bpf: fix check_and_init_map_value comment (Artem Savkov) [2221599]
- samples/bpf: sampleip: Replace PAGE_OFFSET with _text address (Artem Savkov) [2221599]
- bpf: Support 64-bit pointers to kfuncs (Artem Savkov) [2221599]
- bpf: Add preempt_count_{sub,add} into btf id deny list (Artem Savkov) [2221599]
- selftests/bpf: Workaround for older vm_sockets.h. (Artem Savkov) [2221599]
- bpf, sockmap: Revert buggy deadlock fix in the sockhash and sockmap (Artem Savkov) [2221599]
- selftests/bpf: Fix compiler warnings in bpf_testmod for kfuncs (Artem Savkov) [2221599]
- selftests/bpf: Remove stand-along test_verifier_log test binary (Artem Savkov) [2221599]
- selftests/bpf: Keep the loop in bpf_testmod_loop_test (Artem Savkov) [2221599]
- selftests/bpf: Fix leaked bpf_link in get_stackid_cannot_attach (Artem Savkov) [2221599]
- selftests/bpf: Use read_perf_max_sample_freq() in perf_event_stackmap (Artem Savkov) [2221599]
- selftests/bpf: Fix use of uninitialized op_name in log tests (Artem Savkov) [2221599]
- bpf, sockmap: fix deadlocks in the sockhash and sockmap (Artem Savkov) [2221599]
- bpf,docs: Remove references to bpf_cgroup_kptr_get() (Artem Savkov) [2221599]
- bpf: Remove bpf_cgroup_kptr_get() kfunc (Artem Savkov) [2221599]
- bpf: Make bpf_cgroup_acquire() KF_RCU | KF_RET_NULL (Artem Savkov) [2221599]
- bpf: Handle NULL in bpf_local_storage_free. (Artem Savkov) [2221599]
- selftests/bpf: Add test to access u32 ptr argument in tracing program (Artem Savkov) [2221599]
- bpf/btf: Fix is_int_ptr() (Artem Savkov) [2221599]
- selftests/bpf: Add verifier log tests for BPF_BTF_LOAD command (Artem Savkov) [2221599]
- selftests/bpf: Add testing of log_buf==NULL condition for BPF_PROG_LOAD (Artem Savkov) [2221599]
- selftests/bpf: Add tests to validate log_true_size feature (Artem Savkov) [2221599]
- libbpf: Wire through log_true_size for bpf_btf_load() API (Artem Savkov) [2221599]
- libbpf: Wire through log_true_size returned from kernel for BPF_PROG_LOAD (Artem Savkov) [2221599]
- bpf: Relax log_buf NULL conditions when log_level>0 is requested (Artem Savkov) [2221599]
- bpf: Simplify internal verifier log interface (Artem Savkov) [2221599]
- bpf: Add log_true_size output field to return necessary log buffer size (Artem Savkov) [2221599]
- bpf: Keep track of total log content size in both fixed and rolling modes (Artem Savkov) [2221599]
- bpf: Simplify logging-related error conditions handling (Artem Savkov) [2221599]
- bpf: Avoid incorrect -EFAULT error in BPF_LOG_KERNEL mode (Artem Savkov) [2221599]
- bpf: Fix missing -EFAULT return on user log buf error in btf_parse() (Artem Savkov) [2221599]
- bpf: Ignore verifier log reset in BPF_LOG_KERNEL mode (Artem Savkov) [2221599]
- selftests/bpf: Add fixed vs rotating verifier log tests (Artem Savkov) [2221599]
- veristat: Add more veristat control over verifier log options (Artem Savkov) [2221599]
- libbpf: Don't enforce unnecessary verifier log restrictions on libbpf side (Artem Savkov) [2221599]
- bpf: Switch BPF verifier log to be a rotating log by default (Artem Savkov) [2221599]
- bpf: Remove minimum size restrictions on verifier log buffer (Artem Savkov) [2221599]
- bpf: Split off basic BPF verifier log into separate file (Artem Savkov) [2221599]
- bpf: Remove extra whitespace in SPDX tag for syscall/helpers man pages (Artem Savkov) [2221599]
- selftests/bpf: Reset err when symbol name already exist in kprobe_multi_test (Artem Savkov) [2221599]
- selftests/bpf: Prevent infinite loop in veristat when base file is too short (Artem Savkov) [2221599]
- bpftool: Set program type only if it differs from the desired one (Artem Savkov) [2221599]
- selftests/bpf: Use PERF_COUNT_HW_CPU_CYCLES event for get_branch_snapshot (Artem Savkov) [2221599]
- bpf: ensure all memory is initialized in bpf_get_current_comm (Artem Savkov) [2221599]
- selftests/bpf: Add verifier tests for code pattern '<const> <cond_op> <non_const>' (Artem Savkov) [2221599]
- bpf: Improve handling of pattern '<const> <cond_op> <non_const>' in verifier (Artem Savkov) [2221599]
- selftests/bpf: Add tests for non-constant cond_op NE/EQ bound deduction (Artem Savkov) [2221599]
- bpf: Improve verifier JEQ/JNE insn branch taken checking (Artem Savkov) [2221599]
- bpftool: Clean up _bpftool_once_attr() calls in bash completion (Artem Savkov) [2221599]
- bpftool: Support printing opcodes and source file references in CFG (Artem Savkov) [2221599]
- bpftool: Support "opcodes", "linum", "visual" simultaneously (Artem Savkov) [2221599]
- bpftool: Return an error on prog dumps if both CFG and JSON are required (Artem Savkov) [2221599]
- bpftool: Support inline annotations when dumping the CFG of a program (Artem Savkov) [2221599]
- bpftool: Fix bug for long instructions in program CFG dumps (Artem Savkov) [2221599]
- bpftool: Fix documentation about line info display for prog dumps (Artem Savkov) [2221599]
- selftests/bpf: Wait for receive in cg_storage_multi test (Artem Savkov) [2221599]
- selftests/bpf: Add tracing tests for walking skb and req. (Artem Savkov) [2221599]
- bpf: Undo strict enforcement for walking untagged fields. (Artem Savkov) [2221599]
- bpf: Allowlist few fields similar to __rcu tag. (Artem Savkov) [2221599]
- bpf: Refactor NULL-ness check in check_reg_type(). (Artem Savkov) [2221599]
- bpf: Teach verifier that certain helpers accept NULL pointer. (Artem Savkov) [2221599]
- bpf: Refactor btf_nested_type_is_trusted(). (Artem Savkov) [2221599]
- bpf: Remove unused arguments from btf_struct_access(). (Artem Savkov) [2221599]
- bpf: Invoke btf_struct_access() callback only for writes. (Artem Savkov) [2221599]
- selftests/bpf: Add RESOLVE_BTFIDS dependency to bpf_testmod.ko (Artem Savkov) [2221599]
- bpf, docs: Add docs on extended 64-bit immediate instructions (Artem Savkov) [2221599]
- bpf: compute hashes in bloom filter similar to hashmap (Artem Savkov) [2221599]
- bpf: optimize hashmap lookups when key_size is divisible by 4 (Artem Savkov) [2221599]
- bpf,docs: Update documentation to reflect new task kfuncs (Artem Savkov) [2221599]
- bpf: Remove now-defunct task kfuncs (Artem Savkov) [2221599]
- bpf: Make struct task_struct an RCU-safe type (Artem Savkov) [2221599]
- bpf: Remove now-unnecessary NULL checks for KF_RELEASE kfuncs (Artem Savkov) [2221599]
- veristat: small fixed found in -O2 mode (Artem Savkov) [2221599]
- veristat: avoid using kernel-internal headers (Artem Savkov) [2221599]
- veristat: improve version reporting (Artem Savkov) [2221599]
- veristat: relicense veristat.c as dual GPL-2.0-only or BSD-2-Clause licensed (Artem Savkov) [2221599]
- selftests/bpf: Fix conflicts with built-in functions in bench_local_storage_create (Artem Savkov) [2221599]
- selftests/bpf: Replace extract_build_id with read_build_id (Artem Savkov) [2221599]
- selftests/bpf: Add read_build_id function (Artem Savkov) [2221599]
- selftests/bpf: Add err.h header (Artem Savkov) [2221599]
- selftests/bpf: Add testcases for ptr_*_or_null_ in bpf_kptr_xchg (Artem Savkov) [2221599]
- bpf: Handle PTR_MAYBE_NULL case in PTR_TO_BTF_ID helper call arg (Artem Savkov) [2221599]
- veristat: change guess for __sk_buff from CGROUP_SKB to SCHED_CLS (Artem Savkov) [2221599]
- selftests/bpf: Rewrite two infinite loops in bound check cases (Artem Savkov) [2221599]
- veristat: guess and substitue underlying program type for freplace (EXT) progs (Artem Savkov) [2221599]
- veristat: add -d debug mode option to see debug libbpf log (Artem Savkov) [2221599]
- libbpf: disassociate section handler on explicit bpf_program__set_type() call (Artem Savkov) [2221599]
- tools: bpftool: json: Fix backslash escape typo in jsonw_puts (Artem Savkov) [2221599]
- selftests/bpf: Remove verifier/xdp_direct_packet_access.c, converted to progs/verifier_xdp_direct_packet_access.c (Artem Savkov) [2221599]
- selftests/bpf: Verifier/xdp_direct_packet_access.c converted to inline assembly (Artem Savkov) [2221599]
- libbpf: Fix double-free when linker processes empty sections (Artem Savkov) [2221599]
- selftests/bpf: Don't assume page size is 4096 (Artem Savkov) [2221599]
- libbpf: Ensure print callback usage is thread-safe (Artem Savkov) [2221599]
- bpf, docs: Add extended call instructions (Artem Savkov) [2221599]
- selftests/bpf: Add bench for task storage creation (Artem Savkov) [2221599]
- selftests/bpf: Test task storage when local_storage->smap is NULL (Artem Savkov) [2221599]
- bpf: Use bpf_mem_cache_alloc/free for bpf_local_storage (Artem Savkov) [2221599]
- bpf: Use bpf_mem_cache_alloc/free in bpf_local_storage_elem (Artem Savkov) [2221599]
- bpf: Add a few bpf mem allocator functions (Artem Savkov) [2221599]
- selftests/bpf: verifier/xdp.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/xadd.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/var_off.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/value_or_null.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/value.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/value_adj_spill.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/uninit.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/stack_ptr.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/spill_fill.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/ringbuf.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/raw_tp_writable.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/raw_stack.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/meta_access.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/masking.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/map_ret_val.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/map_ptr.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/leak_ptr.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/ld_ind.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/int_ptr.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/helper_value_access.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/helper_restricted.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/helper_packet_access.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/helper_access_var_len.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/div_overflow.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/div0.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/direct_stack_access_wraparound.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/ctx_sk_msg.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/const_or.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/cgroup_storage.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/cgroup_skb.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/cgroup_inv_retcode.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/cfg.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/bounds_mix_sign_unsign.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/bounds_deduction.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/basic_stack.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/array_access.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: verifier/and.c converted to inline assembly (Artem Savkov) [2221599]
- selftests/bpf: prog_tests entry point for migrated test_verifier tests (Artem Savkov) [2221599]
- selftests/bpf: Tests execution support for test_loader.c (Artem Savkov) [2221599]
- selftests/bpf: Unprivileged tests for test_loader.c (Artem Savkov) [2221599]
- selftests/bpf: __imm_insn & __imm_const macro for bpf_misc.h (Artem Savkov) [2221599]
- selftests/bpf: Report program name on parse_test_spec error (Artem Savkov) [2221599]
- bpf: Treat KF_RELEASE kfuncs as KF_TRUSTED_ARGS (Artem Savkov) [2221599]
- cgroup: bpf: use cgroup_lock()/cgroup_unlock() wrappers (Artem Savkov) [2221599]
- bpf: Only invoke kptr dtor following non-NULL xchg (Artem Savkov) [2221599]
- bpf: Check IS_ERR for the bpf_map_get() return value (Artem Savkov) [2221599]
- libbpf: Use .struct_ops.link section to indicate a struct_ops with a link. (Artem Savkov) [2221599]
- libbpf: Update a bpf_link with another struct_ops. (Artem Savkov) [2221599]
- bpf: Update the struct_ops of a bpf_link. (Artem Savkov) [2221599]
- libbpf: Create a bpf_link in bpf_map__attach_struct_ops(). (Artem Savkov) [2221599]
- bpf: Create links for BPF struct_ops maps. (Artem Savkov) [2221599]
- bpf: Retire the struct_ops map kvalue->refcnt. (Artem Savkov) [2221599]
- bpf: remember meta->iter info only for initialized iters (Artem Savkov) [2221599]
- selftests/bpf: Check when bounds are not in the 32-bit range (Artem Savkov) [2221599]
- bpf: Fix __reg_bound_offset 64->32 var_off subreg propagation (Artem Savkov) [2221599]
- bpf: return long from bpf_map_ops funcs (Artem Savkov) [2221599]
- bpf/selftests: coverage for bpf_map_ops errors (Artem Savkov) [2221599]
- selftests/bpf: Add light skeleton test for kfunc detection. (Artem Savkov) [2221599]
- libbpf: Support kfunc detection in light skeleton. (Artem Savkov) [2221599]
- bpf: Teach the verifier to recognize rdonly_mem as not null. (Artem Savkov) [2221599]
- libbpf: Rename RELO_EXTERN_VAR/FUNC. (Artem Savkov) [2221599]
- libbpf: Explicitly call write to append content to file (Artem Savkov) [2221599]
- selftest/bpf: Add a test case for ld_imm64 copy logic. (Artem Savkov) [2221599]
- libbpf: Fix ld_imm64 copy logic for ksym in light skeleton. (Artem Savkov) [2221599]
- bpf, docs: Libbpf overview documentation (Artem Savkov) [2221599]
- selftests/bpf: Add --json-summary option to test_progs (Artem Savkov) [2221599]
- selftests/bpf: Add test for bpf_ksym_exists(). (Artem Savkov) [2221599]
- libbpf: Introduce bpf_ksym_exists() macro. (Artem Savkov) [2221599]
- libbpf: Fix relocation of kfunc ksym in ld_imm64 insn. (Artem Savkov) [2221599]
- bpf: Allow ld_imm64 instruction to point to kfunc. (Artem Savkov) [2221599]
- selftests/bpf: fix "metadata marker" getting overwritten by the netstack (Artem Savkov) [2221599]
- kallsyms, bpf: Move find_kallsyms_symbol_value out of internal header (Artem Savkov) [2221599]
- bpf,docs: Remove bpf_cpumask_kptr_get() from documentation (Artem Savkov) [2221599]
- bpf: Remove bpf_cpumask_kptr_get() kfunc (Artem Savkov) [2221599]
- bpf/selftests: Test using global cpumask kptr with RCU (Artem Savkov) [2221599]
- bpf: Mark struct bpf_cpumask as rcu protected (Artem Savkov) [2221599]
- bpf: Remove misleading spec_v1 check on var-offset stack read (Artem Savkov) [2221599]
- libbpf: Ignore warnings about "inefficient alignment" (Artem Savkov) [2221599]
- selftests/bpf: Fix a fd leak in an error path in network_helpers.c (Artem Savkov) [2221599]
- selftests/bpf: Use ASSERT_EQ instead ASSERT_OK for testing memcmp result (Artem Savkov) [2221599]
- bpf/selftests: Test fentry attachment to shadowed functions (Artem Savkov) [2221599]
- bpf: Fix attaching fentry/fexit/fmod_ret/lsm to modules (Artem Savkov) [2221599]
- cgroup: Make current_cgns_cgroup_dfl() safe to call after exit_task_namespace() (Artem Savkov) [2221599]
- selftests/bpf: Fix trace_virtqueue_add_sgs test issue with LLVM 17. (Artem Savkov) [2221599]
- selftests/bpf: Add various tests to check helper access into ptr_to_btf_id. (Artem Savkov) [2221599]
- bpf: Allow helpers access trusted PTR_TO_BTF_ID. (Artem Savkov) [2221599]
- bpf: Fix bpf_strncmp proto. (Artem Savkov) [2221599]
- bpf, docs: Add signed comparison example (Artem Savkov) [2221599]
- selftests/bpf: use canonical ftrace path (Artem Savkov) [2221599]
- bpf: use canonical ftrace path (Artem Savkov) [2221599]
- bpf: Disable migration when freeing stashed local kptr using obj drop (Artem Savkov) [2221599]
- selftests/bpf: Add local kptr stashing test (Artem Savkov) [2221599]
- bpf: Allow local kptrs to be exchanged via bpf_kptr_xchg (Artem Savkov) [2221599]
- bpf: Support __kptr to local kptrs (Artem Savkov) [2221599]
- bpf, docs: Explain helper functions (Artem Savkov) [2221599]
- bpf: Change btf_record_find enum parameter to field_mask (Artem Savkov) [2221599]
- bpf: btf: Remove unused btf_field_info_type enum (Artem Savkov) [2221599]
- bpf: verifier: Rename kernel_type_name helper to btf_type_name (Artem Savkov) [2221599]
- selftests/bpf: Add local-storage-create benchmark (Artem Savkov) [2221599]
- selftests/bpf: Check freeing sk->sk_local_storage with sk_local_storage->smap is NULL (Artem Savkov) [2221599]
- selftests/bpf: Replace CHECK with ASSERT in test_local_storage (Artem Savkov) [2221599]
- bpf: Add bpf_local_storage_free() (Artem Savkov) [2221599]
- bpf: Add bpf_local_storage_rcu callback (Artem Savkov) [2221599]
- bpf: Add bpf_selem_free() (Artem Savkov) [2221599]
- bpf: Add bpf_selem_free_rcu callback (Artem Savkov) [2221599]
- bpf: Remove bpf_selem_free_fields*_rcu (Artem Savkov) [2221599]
- bpf: Repurpose use_trace_rcu to reuse_now in bpf_local_storage (Artem Savkov) [2221599]
- bpf: Remember smap in bpf_local_storage (Artem Savkov) [2221599]
- bpf: Remove the preceding __ from __bpf_selem_unlink_storage (Artem Savkov) [2221599]
- bpf: Remove __bpf_local_storage_map_alloc (Artem Savkov) [2221599]
- bpf: Refactor codes into bpf_local_storage_destroy (Artem Savkov) [2221599]
- bpf: Move a few bpf_local_storage functions to static scope (Artem Savkov) [2221599]
- bpf/selftests: Fix send_signal tracepoint tests (Artem Savkov) [2221599]
- bpf: take into account liveness when propagating precision (Artem Savkov) [2221599]
- bpf: ensure state checkpointing at iter_next() call sites (Artem Savkov) [2221599]
- selftests/bpf: make BPF compiler flags stricter (Artem Savkov) [2221599]
- selftests/bpf: fix lots of silly mistakes pointed out by compiler (Artem Savkov) [2221599]
- selftests/bpf: add __sink() macro to fake variable consumption (Artem Savkov) [2221599]
- selftests/bpf: prevent unused variable warning in bpf_for() (Artem Savkov) [2221599]
- selftests/bpf: Workaround verification failure for fexit_bpf2bpf/func_replace_return_code (Artem Savkov) [2221599]
- selftests/bpf: Improve error logs in XDP compliance test tool (Artem Savkov) [2221599]
- selftests/bpf: Use ifname instead of ifindex in XDP compliance test tool (Artem Savkov) [2221599]
- bpf: Fix a typo for BPF_F_ANY_ALIGNMENT in bpf.h (Artem Savkov) [2221599]
- selftests/bpf: implement and test custom testmod_seq iterator (Artem Savkov) [2221599]
- selftests/bpf: add number iterator tests (Artem Savkov) [2221599]
- selftests/bpf: add iterators tests (Artem Savkov) [2221599]
- selftests/bpf: add bpf_for_each(), bpf_for(), and bpf_repeat() macros (Artem Savkov) [2221599]
- bpf: implement numbers iterator (Artem Savkov) [2221599]
- bpf: add support for open-coded iterator loops (Artem Savkov) [2221599]
- bpf: add iterator kfuncs registration and validation logic (Artem Savkov) [2221599]
- bpf: factor out fetching basic kfunc metadata (Artem Savkov) [2221599]
- selftests/bpf: Fix IMA test (Artem Savkov) [2221599]
- libbpf: USDT arm arg parsing support (Artem Savkov) [2221599]
- libbpf: Refactor parse_usdt_arg() to re-use code (Artem Savkov) [2221599]
- libbpf: Fix theoretical u32 underflow in find_cd() function (Artem Savkov) [2221599]
- bpf: enforce all maps having memory usage callback (Artem Savkov) [2221599]
- bpf: offload map memory usage (Artem Savkov) [2221599]
- bpf, net: xskmap memory usage (Artem Savkov) [2221599]
- bpf, net: sock_map memory usage (Artem Savkov) [2221599]
- bpf, net: bpf_local_storage memory usage (Artem Savkov) [2221599]
- bpf: local_storage memory usage (Artem Savkov) [2221599]
- bpf: bpf_struct_ops memory usage (Artem Savkov) [2221599]
- bpf: queue_stack_maps memory usage (Artem Savkov) [2221599]
- bpf: devmap memory usage (Artem Savkov) [2221599]
- bpf: cpumap memory usage (Artem Savkov) [2221599]
- bpf: bloom_filter memory usage (Artem Savkov) [2221599]
- bpf: ringbuf memory usage (Artem Savkov) [2221599]
- bpf: reuseport_array memory usage (Artem Savkov) [2221599]
- bpf: stackmap memory usage (Artem Savkov) [2221599]
- bpf: arraymap memory usage (Artem Savkov) [2221599]
- bpf: hashtab memory usage (Artem Savkov) [2221599]
- bpf: lpm_trie memory usage (Artem Savkov) [2221599]
- bpf: add new map ops ->map_mem_usage (Artem Savkov) [2221599]
- bpf: Increase size of BTF_ID_LIST without CONFIG_DEBUG_INFO_BTF again (Artem Savkov) [2221599]
- selftests/bpf: Add test for legacy/perf kprobe/uprobe attach mode (Artem Savkov) [2221599]
- selftests/bpf: Split test_attach_probe into multi subtests (Artem Savkov) [2221599]
- libbpf: Add support to set kprobe/uprobe attach mode (Artem Savkov) [2221599]
- tools/resolve_btfids: Add /libsubcmd to .gitignore (Artem Savkov) [2221599]
- bpf: add support for fixed-size memory pointer returns for kfuncs (Artem Savkov) [2221599]
- bpf: generalize dynptr_get_spi to be usable for iters (Artem Savkov) [2221599]
- bpf: mark PTR_TO_MEM as non-null register type (Artem Savkov) [2221599]
- bpf: move kfunc_call_arg_meta higher in the file (Artem Savkov) [2221599]
- bpf: ensure that r0 is marked scratched after any function call (Artem Savkov) [2221599]
- bpf: fix visit_insn()'s detection of BPF_FUNC_timer_set_callback helper (Artem Savkov) [2221599]
- bpf: clean up visit_insn()'s instruction processing (Artem Savkov) [2221599]
- selftests/bpf: adjust log_fixup's buffer size for proper truncation (Artem Savkov) [2221599]
- bpf: honor env->test_state_freq flag in is_state_visited() (Artem Savkov) [2221599]
- selftests/bpf: enhance align selftest's expected log matching (Artem Savkov) [2221599]
- bpf: improve regsafe() checks for PTR_TO_{MEM,BUF,TP_BUFFER} (Artem Savkov) [2221599]
- bpf: improve stack slot state printing (Artem Savkov) [2221599]
- selftests/bpf: Disassembler tests for verifier.c:convert_ctx_access() (Artem Savkov) [2221599]
- selftests/bpf: test if pointer type is tracked for BPF_ST_MEM (Artem Savkov) [2221599]
- bpf: allow ctx writes using BPF_ST_MEM instruction (Artem Savkov) [2221599]
- bpf: Use separate RCU callbacks for freeing selem (Artem Savkov) [2221599]
- bpf: Refactor RCU enforcement in the verifier. (Artem Savkov) [2221599]
- selftests/bpf: Tweak cgroup kfunc test. (Artem Savkov) [2221599]
- selftests/bpf: Add a test case for kptr_rcu. (Artem Savkov) [2221599]
- bpf: Introduce kptr_rcu. (Artem Savkov) [2221599]
- bpf: Mark cgroups and dfl_cgrp fields as trusted. (Artem Savkov) [2221599]
- bpf: Rename __kptr_ref -> __kptr and __kptr -> __kptr_untrusted. (Artem Savkov) [2221599]
- selftests/bpf: Add absolute timer test (Artem Savkov) [2221599]
- bpf: Add support for absolute value BPF timers (Artem Savkov) [2221599]
- selftests/bpf: Add -Wuninitialized flag to bpf prog flags (Artem Savkov) [2221599]
- bpf: Make bpf_get_current_[ancestor_]cgroup_id() available for all program types (Artem Savkov) [2221599]
- bpf, docs: Fix final bpf docs build failure (Artem Savkov) [2221599]
- bpf: Fix bpf_dynptr_slice{_rdwr} to return NULL instead of 0 (Artem Savkov) [2221599]
- libbpf: Add support for attaching uprobes to shared objects in APKs (Artem Savkov) [2221599]
- libbpf: Introduce elf_find_func_offset_from_file() function (Artem Savkov) [2221599]
- libbpf: Implement basic zip archive parsing support (Artem Savkov) [2221599]
- bpf, docs: Fix __uninit kfunc doc section (Artem Savkov) [2221599]
- bpf: Fix doxygen comments for dynptr slice kfuncs (Artem Savkov) [2221599]
- selftests/bpf: Support custom per-test flags and multiple expected messages (Artem Savkov) [2221599]
- libbpf: Cleanup linker_append_elf_relos (Artem Savkov) [2221599]
- libbpf: Remove several dead assignments (Artem Savkov) [2221599]
- libbpf: Remove unnecessary ternary operator (Artem Savkov) [2221599]
- selftests/bpf: Set __BITS_PER_LONG if target is bpf for LoongArch (Artem Savkov) [2221599]
- selftests/bpf: Add more tests for kptrs in maps (Artem Savkov) [2221599]
- bpf: Support kptrs in local storage maps (Artem Savkov) [2221599]
- bpf: Support kptrs in percpu hashmap and percpu LRU hashmap (Artem Savkov) [2221599]
- selftests/bpf: tests for using dynptrs to parse skb and xdp buffers (Artem Savkov) [2221599]
- bpf: Add bpf_dynptr_slice and bpf_dynptr_slice_rdwr (Artem Savkov) [2221599]
- bpf: Add xdp dynptrs (Artem Savkov) [2221599]
- bpf: Add skb dynptrs (Artem Savkov) [2221599]
- bpf: Add __uninit kfunc annotation (Artem Savkov) [2221599]
- bpf: Refactor verifier dynptr into get_dynptr_arg_reg (Artem Savkov) [2221599]
- bpf: Define no-ops for externally called bpf dynptr functions (Artem Savkov) [2221599]
- bpf: Allow initializing dynptrs in kfuncs (Artem Savkov) [2221599]
- bpf: Refactor process_dynptr_func (Artem Savkov) [2221599]
- bpf: Support "sk_buff" and "xdp_buff" as valid kfunc arg types (Artem Savkov) [2221599]
- bpf, docs: Document BPF insn encoding in term of stored bytes (Artem Savkov) [2221599]
- bpf: Fix bpf_cgroup_from_id() doxygen header (Artem Savkov) [2221599]
- libbpf: Document bpf_{btf,link,map,prog}_get_info_by_fd() (Artem Savkov) [2221599]
- selftests/bpf: Fix compilation errors: Assign a value to a constant (Artem Savkov) [2221599]
- tools: bpftool: Remove invalid \' json escape (Artem Savkov) [2221599]
- selftests/bpf: Use __NR_prlimit64 instead of __NR_getrlimit in user_ringbuf test (Artem Savkov) [2221599]
- selftests/bpf: run mptcp in a dedicated netns (Artem Savkov) [2221599]
- selftests/bpf: Add a test case for bpf_cgroup_from_id() (Artem Savkov) [2221599]
- bpf: Add bpf_cgroup_from_id() kfunc (Artem Savkov) [2221599]
- bpf, docs: Add explanation of endianness (Artem Savkov) [2221599]
- selftests/bpf: Fix BPF_FLOW_DISSECTOR_F_STOP_AT_FLOW_LABEL for empty flow label (Artem Savkov) [2221599]
- bpf: Check for helper calls in check_subprogs() (Artem Savkov) [2221599]
- selftests/bpf: Fix cross compilation with CLANG_CROSS_FLAGS (Artem Savkov) [2221599]
- selftests/bpf: Remove not used headers (Artem Savkov) [2221599]
- bpf: Wrap register invalidation with a helper (Artem Savkov) [2221599]
- bpf: Fix check_reg_type for PTR_TO_BTF_ID (Artem Savkov) [2221599]
- bpf: Remove unused MEM_ALLOC | PTR_TRUSTED checks (Artem Savkov) [2221599]
- bpf: Annotate data races in bpf_local_storage (Artem Savkov) [2221599]
- RDMA/siw: Remove namespace check from siw_netdev_event() (Kamal Heib) [RHEL-2758]
- RDMA/siw: Fix potential page_array out of range access (Kamal Heib) [RHEL-2758]
- RDMA/siw: Fix user page pinning accounting (Kamal Heib) [RHEL-2758]
- RDMA/siw: remove FOLL_FORCE usage (Kamal Heib) [RHEL-2758]
- RDMA/siw: Set defined status for work completion with undefined status (Kamal Heib) [RHEL-2758]
- RDMA/siw: Fix immediate work request flush to completion queue (Kamal Heib) [RHEL-2758]
- RDMA/siw: Add missing Kconfig selections (Kamal Heib) [RHEL-2758]
Resolves: rhbz#2176350, rhbz#2221599, RHEL-2718, RHEL-2758, RHEL-3359, RHEL-7015, RHEL-9835

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-10-09 08:47:25 -04:00
Scott Weaver
e4a5efaad5 kernel-5.14.0-373.el9
* Wed Oct 04 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-373.el9]
- CI: Enable 'dist-self-test' for C9S/RHEL9 (Vitaly Kuznetsov)
- redhat: Update 'dist-self-test-data' (Vitaly Kuznetsov)
- redhat: Explicitly disable CONFIG_COPS (Vitaly Kuznetsov)
- redhat: Add dist-check-licenses target (Vitaly Kuznetsov)
- redhat: Introduce "Verify SPDX-License-Identifier tags" selftest (Vitaly Kuznetsov)
- redhat: Use kspdx-tool output for the License: field (Vitaly Kuznetsov)
- cpuidle, intel_idle: Fix CPUIDLE_FLAG_IRQ_ENABLE *again* (David Arcari) [RHEL-11351]
- Revert "intel_idle: Add support for using intel_idle in a VM guest using just hlt" (David Arcari) [RHEL-11351]
- Revert "intel_idle: Add a "Long HLT" C1 state for the VM guest mode" (David Arcari) [RHEL-11351]
- Revert "intel_idle: Add __init annotation to matchup_vm_state_with_baremetal()" (David Arcari) [RHEL-11351]
- intel_idle: Add __init annotation to matchup_vm_state_with_baremetal() (David Arcari) [RHEL-11351]
- intel_idle: Add a "Long HLT" C1 state for the VM guest mode (David Arcari) [RHEL-11351]
- intel_idle: Add support for using intel_idle in a VM guest using just hlt (David Arcari) [RHEL-11351]
- intel_idle: clean up the (new) state_update_enter_method function (David Arcari) [RHEL-11351]
- intel_idle: refactor state->enter manipulation into its own function (David Arcari) [RHEL-11351]
- intel_idle: mark few variables as __read_mostly (David Arcari) [RHEL-11351]
- intel_idle: do not sprinkle module parameter definitions around (David Arcari) [RHEL-11351]
- intel_idle: fix confusing message (David Arcari) [RHEL-11351]
- intel_idle: improve C-state flags handling robustness (David Arcari) [RHEL-11351]
- intel_idle: further intel_idle_init_cstates_icpu() cleanup (David Arcari) [RHEL-11351]
- intel_idle: clean up intel_idle_init_cstates_icpu() (David Arcari) [RHEL-11351]
- intel_idle: use pr_info() instead of printk() (David Arcari) [RHEL-11351]
- PCI: Free released resource after coalescing (Myron Stowe) [RHEL-2570]
- dt-bindings: PCI: qcom: Fix SDX65 compatible (Myron Stowe) [RHEL-2570]
- PCI: rockchip: Use 64-bit mask on MSI 64-bit PCI address (Myron Stowe) [RHEL-2570]
- PCI: acpiphp: Use pci_assign_unassigned_bridge_resources() only for non-root bus (Myron Stowe) [RHEL-2570]
- Revert "PCI: mvebu: Mark driver as BROKEN" (Myron Stowe) [RHEL-2570]
- MAINTAINERS: Add Manivannan Sadhasivam as DesignWare PCIe driver maintainer (Myron Stowe) [RHEL-2570]
- PCI: xgene-msi: Convert to platform remove callback returning void (Myron Stowe) [RHEL-2570]
- PCI: tegra: Convert to platform remove callback returning void (Myron Stowe) [RHEL-2570]
- PCI: rockchip-host: Convert to platform remove callback returning void (Myron Stowe) [RHEL-2570]
- PCI: mvebu: Convert to platform remove callback returning void (Myron Stowe) [RHEL-2570]
- PCI: mt7621: Convert to platform remove callback returning void (Myron Stowe) [RHEL-2570]
- PCI: mediatek-gen3: Convert to platform remove callback returning void (Myron Stowe) [RHEL-2570]
- PCI: mediatek: Convert to platform remove callback returning void (Myron Stowe) [RHEL-2570]
- PCI: iproc: Convert to platform remove callback returning void (Myron Stowe) [RHEL-2570]
- PCI: hisi-error: Convert to platform remove callback returning void (Myron Stowe) [RHEL-2570]
- PCI: dwc: Convert to platform remove callback returning void (Myron Stowe) [RHEL-2570]
- PCI: j721e: Convert to platform remove callback returning void (Myron Stowe) [RHEL-2570]
- PCI: brcmstb: Convert to platform remove callback returning void (Myron Stowe) [RHEL-2570]
- PCI: altera-msi: Convert to platform remove callback returning void (Myron Stowe) [RHEL-2570]
- PCI: altera: Convert to platform remove callback returning void (Myron Stowe) [RHEL-2570]
- PCI: aardvark: Convert to platform remove callback returning void (Myron Stowe) [RHEL-2570]
- PCI: layerscape: Add the endpoint linkup notifier support (Myron Stowe) [RHEL-2570]
- PCI: endpoint: pci-epf-vntb: Fix typo in comments (Myron Stowe) [RHEL-2570]
- MAINTAINERS: Add PCI MHI endpoint function driver under MHI bus (Myron Stowe) [RHEL-2570]
- PCI: endpoint: Add PCI Endpoint function driver for MHI bus (Myron Stowe) [RHEL-2570]
- PCI: qcom-ep: Add support for BME notification (Myron Stowe) [RHEL-2570]
- PCI: qcom-ep: Add support for Link down notification (Myron Stowe) [RHEL-2570]
- PCI: endpoint: Add BME notifier support (Myron Stowe) [RHEL-2570]
- PCI: endpoint: Add linkdown notifier support (Myron Stowe) [RHEL-2570]
- PCI: endpoint: Return error if EPC is started/stopped multiple times (Myron Stowe) [RHEL-2570]
- PCI: endpoint: Pass EPF device ID to the probe function (Myron Stowe) [RHEL-2570]
- PCI: endpoint: Add missing documentation about the MSI/MSI-X range (Myron Stowe) [RHEL-2570]
- PCI: endpoint: Improve pci_epf_type_add_cfs() (Myron Stowe) [RHEL-2570]
- PCI: endpoint: functions/pci-epf-test: Fix dma_chan direction (Myron Stowe) [RHEL-2570]
- misc: pci_endpoint_test: Simplify pci_endpoint_test_msi_irq() (Myron Stowe) [RHEL-2570]
- misc: pci_endpoint_test: Do not write status in IRQ handler (Myron Stowe) [RHEL-2570]
- misc: pci_endpoint_test: Re-init completion for every test (Myron Stowe) [RHEL-2570]
- misc: pci_endpoint_test: Free IRQs before removing the device (Myron Stowe) [RHEL-2570]
- PCI: epf-test: Simplify transfers result print (Myron Stowe) [RHEL-2570]
- PCI: epf-test: Simplify DMA support checks (Myron Stowe) [RHEL-2570]
- PCI: epf-test: Cleanup request result handling (Myron Stowe) [RHEL-2570]
- PCI: epf-test: Cleanup pci_epf_test_cmd_handler() (Myron Stowe) [RHEL-2570]
- PCI: epf-test: Improve handling of command and status registers (Myron Stowe) [RHEL-2570]
- PCI: epf-test: Simplify IRQ test commands execution (Myron Stowe) [RHEL-2570]
- PCI: epf-test: Simplify pci_epf_test_raise_irq() (Myron Stowe) [RHEL-2570]
- PCI: epf-test: Simplify read/write/copy test functions (Myron Stowe) [RHEL-2570]
- PCI: epf-test: Use dmaengine_submit() to initiate DMA transfer (Myron Stowe) [RHEL-2570]
- PCI: epf-test: Fix DMA transfer completion detection (Myron Stowe) [RHEL-2570]
- PCI: epf-test: Fix DMA transfer completion initialization (Myron Stowe) [RHEL-2570]
- PCI: endpoint: Move pci_epf_type_add_cfs() code (Myron Stowe) [RHEL-2570]
- PCI: endpoint: Automatically create a function specific attributes group (Myron Stowe) [RHEL-2570]
- PCI: endpoint: Fix a Kconfig prompt of vNTB driver (Myron Stowe) [RHEL-2570]
- PCI: endpoint: Fix Kconfig indent style (Myron Stowe) [RHEL-2570]
- PCI: vmd: Fix uninitialized variable usage in vmd_enable_domain() (Myron Stowe) [RHEL-2570]
- PCI: vmd: Reset VMD config register between soft reboots (Myron Stowe) [RHEL-2570]
- PCI: rockchip: Set address alignment for endpoint mode (Myron Stowe) [RHEL-2570]
- PCI: rockchip: Don't advertise MSI-X in PCIe capabilities (Myron Stowe) [RHEL-2570]
- PCI: rockchip: Use u32 variable to access 32-bit registers (Myron Stowe) [RHEL-2570]
- PCI: rockchip: Fix window mapping and address translation for endpoint (Myron Stowe) [RHEL-2570]
- PCI: rockchip: Fix legacy IRQ generation for RK3399 PCIe endpoint core (Myron Stowe) [RHEL-2570]
- dt-bindings: PCI: Update the RK3399 example to a valid one (Myron Stowe) [RHEL-2570]
- dt-bindings: PCI: Convert Rockchip RK3399 PCIe to DT schema (Myron Stowe) [RHEL-2570]
- PCI: rockchip: Add poll and timeout to wait for PHY PLLs to be locked (Myron Stowe) [RHEL-2570]
- PCI: rockchip: Assert PCI Configuration Enable bit after probe (Myron Stowe) [RHEL-2570]
- PCI: rockchip: Write PCI Device ID to correct register (Myron Stowe) [RHEL-2570]
- PCI: rockchip: Remove writes to unused registers (Myron Stowe) [RHEL-2570]
- PCI: rcar: Use correct product family name for Renesas R-Car (Myron Stowe) [RHEL-2570]
- PCI: rcar-host: Remove unused static pcie_base and pcie_dev (Myron Stowe) [RHEL-2570]
- PCI: qcom: Do not advertise hotplug capability for IP v2.1.0 (Myron Stowe) [RHEL-2570]
- PCI: qcom: Do not advertise hotplug capability for IP v1.0.0 (Myron Stowe) [RHEL-2570]
- PCI: qcom: Use post init sequence of IP v2.3.2 for v2.4.0 (Myron Stowe) [RHEL-2570]
- PCI: qcom: Do not advertise hotplug capability for IP v2.3.2 (Myron Stowe) [RHEL-2570]
- PCI: qcom: Do not advertise hotplug capability for IPs v2.3.3 and v2.9.0 (Myron Stowe) [RHEL-2570]
- PCI: qcom: Do not advertise hotplug capability for IPs v2.7.0 and v1.9.0 (Myron Stowe) [RHEL-2570]
- PCI: qcom: Disable write access to read only registers for IP v2.9.0 (Myron Stowe) [RHEL-2570]
- PCI: qcom: Use DWC helpers for modifying the read-only DBI registers (Myron Stowe) [RHEL-2570]
- PCI: qcom: Disable write access to read only registers for IP v2.3.3 (Myron Stowe) [RHEL-2570]
- PCI: ftpci100: Release the clock resources (Myron Stowe) [RHEL-2570]
- PCI: imx6: Save and restore root port MSI control in suspend and resume (Myron Stowe) [RHEL-2570]
- PCI: cadence: Fix Gen2 Link Retraining process (Myron Stowe) [RHEL-2570]
- dt-bindings: PCI: qcom: Add SDX65 SoC (Myron Stowe) [RHEL-2570]
- Documentation: PCI: correct spelling (Myron Stowe) [RHEL-2570]
- PCI: Add pci_clear_master() stub for non-CONFIG_PCI (Myron Stowe) [RHEL-2570]
- PCI: Expand comment about sorting pci_ids.h entries (Myron Stowe) [RHEL-2570]
- PCI: Add function 1 DMA alias quirk for Marvell 88SE9235 (Myron Stowe) [RHEL-2570]
- PCI: Delay after FLR of Solidigm P44 Pro NVMe (Myron Stowe) [RHEL-2570]
- PCI: Release resource invalidated by coalescing (Myron Stowe) [RHEL-2570]
- PCI/ACPI: Call _REG when transitioning D-states (Myron Stowe) [RHEL-2570]
- PCI/ACPI: Validate acpi_pci_set_power_state() parameter (Myron Stowe) [RHEL-2570]
- PCI/PM: Avoid putting EloPOS E2/S2/H2 PCIe Ports in D3cold (Myron Stowe) [RHEL-2570]
- PCI/PM: Shorten pci_bridge_wait_for_secondary_bus() wait time for slow links (Myron Stowe) [RHEL-2570]
- PCI: acpiphp: Reassign resources on bridge if necessary (Myron Stowe) [RHEL-2570]
- PCI: pciehp: Cancel bringup sequence if card is not present (Myron Stowe) [RHEL-2570]
- PCI: pciehp: Simplify Attention Button logging (Myron Stowe) [RHEL-2570]
- Merge branch 'pci/enumeration' (Myron Stowe) [RHEL-2570]
- PCI: Add failed link recovery for device reset events (Myron Stowe) [RHEL-2570]
- PCI: Work around PCIe link training failures (Myron Stowe) [RHEL-2570]
- PCI: Use pcie_wait_for_link_status() in pcie_wait_for_link_delay() (Myron Stowe) [RHEL-2570]
- PCI: Add support for polling DLLLA to pcie_retrain_link() (Myron Stowe) [RHEL-2570]
- PCI: Export pcie_retrain_link() for use outside ASPM (Myron Stowe) [RHEL-2570]
- PCI: Export PCIe link retrain timeout (Myron Stowe) [RHEL-2570]
- PCI: Execute quirk_enable_clear_retrain_link() earlier (Myron Stowe) [RHEL-2570]
- PCI/ASPM: Factor out waiting for link training to complete (Myron Stowe) [RHEL-2570]
- PCI/ASPM: Avoid unnecessary pcie_link_state use (Myron Stowe) [RHEL-2570]
- PCI/ASPM: Use distinct local vars in pcie_retrain_link() (Myron Stowe) [RHEL-2570]
- net/mlx5: Rely on dev->link_active_reporting (Myron Stowe) [RHEL-2570]
- powerpc/eeh: Rely on dev->link_active_reporting (Myron Stowe) [RHEL-2570]
- PCI: pciehp: Rely on dev->link_active_reporting (Myron Stowe) [RHEL-2570]
- PCI: Initialize dev->link_active_reporting earlier (Myron Stowe) [RHEL-2570]
- PCI: of: Propagate firmware node by calling device_set_node() (Myron Stowe) [RHEL-2570]
- PCI: Add PCI_EXT_CAP_ID_PL_32GT define (Myron Stowe) [RHEL-2570]
- PCI/ASPM: Remove unnecessary ASPM_STATE_L1SS check (Myron Stowe) [RHEL-2570]
- PCI/ASPM: Rename L1.2-specific functions from 'l1ss' to 'l12' (Myron Stowe) [RHEL-2570]
- PCI/ASPM: Set ASPM_STATE_L1 when driver enables L1.1 or L1.2 (Myron Stowe) [RHEL-2570]
- PCI/ASPM: Set only ASPM_STATE_L1 when driver enables L1 (Myron Stowe) [RHEL-2570]
- PCI/ASPM: Disable only ASPM_STATE_L1 when driver disables L1 (Myron Stowe) [RHEL-2570]
- PCI/ASPM: Disable ASPM on MFD function removal to avoid use-after-free (Myron Stowe) [RHEL-2570]
- Documentation: PCI: Tidy AER documentation (Myron Stowe) [RHEL-2570]
- Documentation: PCI: Update cross references to .rst files (Myron Stowe) [RHEL-2570]
- Documentation: PCI: Drop recommendation to configure AER Capability (Myron Stowe) [RHEL-2570]
- PCI: Unexport pci_save_aer_state() (Myron Stowe) [RHEL-2570]
- cpufreq: Fail driver register if it has adjust_perf without fast_switch (David Arcari) [RHEL-10047]
- cpufreq: amd-pstate-ut: Fix kernel panic when loading the driver (David Arcari) [RHEL-10047]
- cpufreq: amd-pstate-ut: Remove module parameter access (David Arcari) [RHEL-10047]
- cpufreq: amd-pstate-ut: Modify the function to get the highest_perf value (David Arcari) [RHEL-10047]
- cpufreq: amd-pstate: fix global sysfs attribute type (David Arcari) [RHEL-10047]
- redhat/configs: set the default for amd-pstate to Active (EPP) (David Arcari) [RHEL-10047]
- cpufreq: amd-pstate: Add a kernel config option to set default mode (David Arcari) [RHEL-10047]
- cpufreq: amd-pstate: Set a fallback policy based on preferred_profile (David Arcari) [RHEL-10047]
- ACPI: CPPC: Add definition for undefined FADT preferred PM profile value (David Arcari) [RHEL-10047]
- cpufreq: amd-pstate: Make amd-pstate EPP driver name hyphenated (David Arcari) [RHEL-10047]
- cpufreq: amd-pstate: Write CPPC enable bit per-socket (David Arcari) [RHEL-10047]
- cpufreq: amd-pstate: move to use bus_get_dev_root() (David Arcari) [RHEL-10047]
- vfio/group: Defer device removal from no-iommu group (Alex Williamson) [RHEL-3400]
- cpupower: Add Georgian translation to Makefile LANGUAGES (Joel Slebodnick) [RHEL-10052]
- cpupower: Fix cpuidle_set to accept only numeric values for idle-set operation. (Joel Slebodnick) [RHEL-10052]
- cpupower: Add turbo-boost support in cpupower (Joel Slebodnick) [RHEL-10052]
- cpupower: Add support for amd_pstate mode change (Joel Slebodnick) [RHEL-10052]
- cpupower: Add EPP value change support (Joel Slebodnick) [RHEL-10052]
- cpupower: Add is_valid_path API (Joel Slebodnick) [RHEL-10052]
- cpupower: Recognise amd-pstate active mode driver (Joel Slebodnick) [RHEL-10052]
- redhat: bump libcpupower soname to match upstream (Joel Slebodnick) [RHEL-10052]
- cpupower: Bump soname version (Joel Slebodnick) [RHEL-10052]
- cpupower: Make TSC read per CPU for Mperf monitor (Joel Slebodnick) [RHEL-10052]
- cpupower:Fix resource leaks in sysfs_get_enabled() (Joel Slebodnick) [RHEL-10052]
- cpupower: rapl monitor - shows the used power consumption in uj for each rapl domain (Joel Slebodnick) [RHEL-10052]
- cpupower: Introduce powercap intel-rapl library and powercap-info command (Joel Slebodnick) [RHEL-10052]
- cpupower: Add Georgian translation (Joel Slebodnick) [RHEL-10052]
- tools/cpupower: Choose base_cpu to display default cpupower details (Joel Slebodnick) [RHEL-10052]
- treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_385.RULE (Joel Slebodnick) [RHEL-10052]
- Revert "cnic: don't pass bogus GFP_ flags to dma_alloc_coherent" (Chris Leech) [RHEL-2542]
- Revert "dma-mapping: reject __GFP_COMP in dma_alloc_attrs" (Chris Leech) [RHEL-2542]
- drm/amd: flush any delayed gfxoff on suspend entry (Mika Penttilä) [2232662]
- drm/amdgpu: skip fence GFX interrupts disable/enable for S0ix (Mika Penttilä) [2232662]
- drm/amd/pm: skip the RLC stop when S0i3 suspend for SMU v13.0.4/11 (Mika Penttilä) [2232662]
- Revert "net/mlx5e: Switch to using napi_build_skb()" (Mohammad Kabat) [RHEL-1267]
Resolves: RHEL-10047, RHEL-10052, RHEL-11351, RHEL-2570, RHEL-3400

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-10-04 19:00:30 -04:00
Scott Weaver
c10ddfc31c kernel-5.14.0-372.el9
* Tue Oct 03 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-372.el9]
- x86/xen: Set default memory type for PV guests to WB (Camilla Conte) [RHEL-2889]
- x86/mtrr: Unify debugging printing (Camilla Conte) [RHEL-2889]
- x86/mtrr: Remove unused code (Camilla Conte) [RHEL-2889]
- x86/mtrr: Don't let mtrr_type_lookup() return MTRR_TYPE_INVALID (Camilla Conte) [RHEL-2889]
- x86/mtrr: Use new cache_map in mtrr_type_lookup() (Camilla Conte) [RHEL-2889]
- x86/mtrr: Add mtrr=debug command line option (Camilla Conte) [RHEL-2889]
- x86/mtrr: Construct a memory map with cache modes (Camilla Conte) [RHEL-2889]
- x86/mtrr: Add get_effective_type() service function (Camilla Conte) [RHEL-2889]
- x86/mtrr: Allocate mtrr_value array dynamically (Camilla Conte) [RHEL-2889]
- x86/mtrr: Move 32-bit code from mtrr.c to legacy.c (Camilla Conte) [RHEL-2889]
- x86/mtrr: Have only one set_mtrr() variant (Camilla Conte) [RHEL-2889]
- x86/mtrr: Replace vendor tests in MTRR code (Camilla Conte) [RHEL-2889]
- x86/xen: Set MTRR state when running as Xen PV initial domain (Camilla Conte) [RHEL-2889]
- x86/hyperv: Set MTRR state when running as SEV-SNP Hyper-V guest (Camilla Conte) [RHEL-2889]
- x86/mtrr: Support setting MTRR state for software defined MTRRs (Camilla Conte) [RHEL-2889]
- x86/mtrr: Replace size_or_mask and size_and_mask with a much easier concept (Camilla Conte) [RHEL-2889]
- x86/mtrr: Remove physical address size calculation (Camilla Conte) [RHEL-2889]
- x86/mtrr: Make message for disabled MTRRs more descriptive (Camilla Conte) [RHEL-2889]
- x86/pat: Handle TDX guest PAT initialization (Camilla Conte) [RHEL-2889]
- x86/cpuid: Carve out all CPUID functionality (Camilla Conte) [RHEL-2889]
- x86/cpu: Switch to cpu_feature_enabled() for X86_FEATURE_XENPV (Camilla Conte) [RHEL-2889]
- x86/cpu: Remove X86_FEATURE_XENPV usage in setup_cpu_entry_area() (Camilla Conte) [RHEL-2889]
- x86/cpu: Drop 32-bit Xen PV guest code in update_task_stack() (Camilla Conte) [RHEL-2889]
- x86/cpu: Remove unneeded 64-bit dependency in arch_enter_from_user_mode() (Camilla Conte) [RHEL-2889]
- x86/cpufeatures: Add X86_FEATURE_XENPV to disabled-features.h (Camilla Conte) [RHEL-2889]
- x86/mtrr: Simplify mtrr_ops initialization (Camilla Conte) [RHEL-2889]
- x86/cacheinfo: Switch cache_ap_init() to hotplug callback (Camilla Conte) [RHEL-2889]
- x86: Decouple PAT and MTRR handling (Camilla Conte) [RHEL-2889]
- x86/PAT: Have pat_enabled() properly reflect state when running on Xen (Camilla Conte) [RHEL-2889]
- x86/mtrr: Add a stop_machine() handler calling only cache_cpu_init() (Camilla Conte) [RHEL-2889]
- x86/mtrr: Let cache_aps_delayed_init replace mtrr_aps_delayed_init (Camilla Conte) [RHEL-2889]
- x86/mtrr: Get rid of __mtrr_enabled bool (Camilla Conte) [RHEL-2889]
- x86/mtrr: Simplify mtrr_bp_init() (Camilla Conte) [RHEL-2889]
- x86/mtrr: Remove set_all callback from struct mtrr_ops (Camilla Conte) [RHEL-2889]
- x86/mtrr: Disentangle MTRR init from PAT init (Camilla Conte) [RHEL-2889]
- x86/mtrr: Move cache control code to cacheinfo.c (Camilla Conte) [RHEL-2889]
- x86/mtrr: Split MTRR-specific handling from cache dis/enabling (Camilla Conte) [RHEL-2889]
- x86/mtrr: Rename prepare_set() and post_set() (Camilla Conte) [RHEL-2889]
- x86/mtrr: Replace use_intel() with a local flag (Camilla Conte) [RHEL-2889]
- x86/mtrr: Remove unused cyrix_set_all() function (Camilla Conte) [RHEL-2889]
- x86/mtrr: Add comment for set_mtrr_state() serialization (Camilla Conte) [RHEL-2889]
- redhat/configs: add new network PHYs configs (Adrien Thierry) [RHEL-2799]
- net: phylink: fix sphinx complaint about invalid literal (Adrien Thierry) [RHEL-2799]
- net: phy: Fix deadlocking in phy_error() invocation (Adrien Thierry) [RHEL-2799]
- net: sfp: handle 100G/25G active optical cables in sfp_parse_support (Adrien Thierry) [RHEL-2799]
- net: phy: broadcom: stub c45 read/write for 54810 (Adrien Thierry) [RHEL-2799]
- net: phy: fix IRQ-based wake-on-lan over hibernate / power off (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: remove set/get wol callbacks for AR8032 (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: fix the wol setting functions (Adrien Thierry) [RHEL-2799]
- net: dsa: fix older DSA drivers using phylink (Adrien Thierry) [RHEL-2799]
- net: phy: marvell10g: fix 88x3310 power up (Adrien Thierry) [RHEL-2799]
- net: phy: prevent stale pointer dereference in phy_init() (Adrien Thierry) [RHEL-2799]
- net: phy: mscc: fix packet loss due to RGMII delays (Adrien Thierry) [RHEL-2799]
- net: phy: broadcom: drop brcm_phy_setbits() and use phy_set_bits() instead (Adrien Thierry) [RHEL-2799]
- net: phy: dp83td510: fix kernel stall during netboot in DP83TD510E PHY driver (Adrien Thierry) [RHEL-2799]
- net: phylink: pass neg_mode into phylink_mii_c22_pcs_config() (Adrien Thierry) [RHEL-2799]
- net: phylink: convert phylink_mii_c22_pcs_config() to neg_mode (Adrien Thierry) [RHEL-2799]
- net: phylink: add PCS negotiation mode (Adrien Thierry) [RHEL-2799]
- net: micrel: Change to receive timestamp in the frame for lan8841 (Adrien Thierry) [RHEL-2799]
- net: phy-c45: Fix genphy_c45_ethtool_set_eee description (Adrien Thierry) [RHEL-2799]
- Revert "net: phy: dp83867: perform soft reset and retain established link" (Adrien Thierry) [RHEL-2799]
- net: mdio: fix the wrong parameters (Adrien Thierry) [RHEL-2799]
- net: phy: mediatek: fix compile-test dependencies (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: Use devm_regulator_get_enable_optional() (Adrien Thierry) [RHEL-2799]
- net: phy: Manual remove LEDs to ensure correct ordering (Adrien Thierry) [RHEL-2799]
- net: phylink: use a dedicated helper to parse usgmii control word (Adrien Thierry) [RHEL-2799]
- net: phylink: report correct max speed for QUSGMII (Adrien Thierry) [RHEL-2799]
- net: phy: add driver for MediaTek SoC built-in GE PHYs (Adrien Thierry) [RHEL-2799]
- net: phy: broadcom: Add support for setting LED brightness (Adrien Thierry) [RHEL-2799]
- net: phy: broadcom: Rename LED registers (Adrien Thierry) [RHEL-2799]
- net: phy: realtek: Disable clock on suspend (Adrien Thierry) [RHEL-2799]
- net: phy: realtek: Add optional external PHY clock (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Move KSZ9477 errata fixes to PHY driver (Adrien Thierry) [RHEL-2799]
- net: phylink: actually fix ksettings_set() ethtool call (Adrien Thierry) [RHEL-2799]
- net: phy: broadcom: Add LPI counter (Adrien Thierry) [RHEL-2799]
- net: phy: mxl-gpy: extend interrupt fix to all impacted variants (Adrien Thierry) [RHEL-2799]
- phy: mscc: Add support for RGMII delay configuration (Adrien Thierry) [RHEL-2799]
- phy: mscc: Use PHY_ID_MATCH_VENDOR to minimize PHY ID table (Adrien Thierry) [RHEL-2799]
- net: phy: microchip_t1s: add support for Microchip LAN865x Rev.B0 PHYs (Adrien Thierry) [RHEL-2799]
- net: phy: microchip_t1s: remove unnecessary interrupts disabling code (Adrien Thierry) [RHEL-2799]
- net: phy: microchip_t1s: fix reset complete status handling (Adrien Thierry) [RHEL-2799]
- net: phy: microchip_t1s: update LAN867x PHY supported revision number (Adrien Thierry) [RHEL-2799]
- net: phy: microchip_t1s: replace read-modify-write code with phy_modify_mmd (Adrien Thierry) [RHEL-2799]
- net: phy: microchip_t1s: modify driver description to be more generic (Adrien Thierry) [RHEL-2799]
- net: phy: broadcom: Register dummy IRQ handler (Adrien Thierry) [RHEL-2799]
- net: phylink: provide phylink_pcs_config() and phylink_pcs_link_up() (Adrien Thierry) [RHEL-2799]
- net: phy: mscc: enable VSC8501/2 RGMII RX clock (Adrien Thierry) [RHEL-2799]
- net: phy: mscc: remove unnecessary phydev locking (Adrien Thierry) [RHEL-2799]
- net: phy: mscc: add support for VSC8501 (Adrien Thierry) [RHEL-2799]
- net: phy: mscc: add VSC8502 to MODULE_DEVICE_TABLE (Adrien Thierry) [RHEL-2799]
- net: phylink: add function to resolve clause 73 negotiation (Adrien Thierry) [RHEL-2799]
- net: phylink: remove duplicated linkmode pause resolution (Adrien Thierry) [RHEL-2799]
- net: phy: avoid kernel warning dump when stopping an errored PHY (Adrien Thierry) [RHEL-2799]
- net: sfp: add support for HXSX-ATRI-1 copper SFP+ module (Adrien Thierry) [RHEL-2799]
- net: phylink: require supported_interfaces to be filled (Adrien Thierry) [RHEL-2799]
- net: sfp: add support for a couple of copper multi-rate modules (Adrien Thierry) [RHEL-2799]
- net: phy: add helpers for comparing phy IDs (Adrien Thierry) [RHEL-2799]
- net: sfp: add support for rate selection (Adrien Thierry) [RHEL-2799]
- net: sfp: add support for setting signalling rate (Adrien Thierry) [RHEL-2799]
- net: sfp: change st_mutex locking (Adrien Thierry) [RHEL-2799]
- net: sfp: move sm_mutex into sfp_check_state() (Adrien Thierry) [RHEL-2799]
- net: sfp: swap order of rtnl and st_mutex locks (Adrien Thierry) [RHEL-2799]
- net: sfp: move rtnl lock to cover reading state (Adrien Thierry) [RHEL-2799]
- net: sfp: add helper to modify signal states (Adrien Thierry) [RHEL-2799]
- net: phylink: fix ksettings_set() ethtool call (Adrien Thierry) [RHEL-2799]
- net: phylink: constify fwnode arguments (Adrien Thierry) [RHEL-2799]
- net: phy: broadcom: Add support for Wake-on-LAN (Adrien Thierry) [RHEL-2799]
- net: phy: Allow drivers to always call into ->suspend() (Adrien Thierry) [RHEL-2799]
- net: phy: dp83867: add w/a for packet errors seen with short cables (Adrien Thierry) [RHEL-2799]
- net: phy: bcm7xx: Correct read from expansion register (Adrien Thierry) [RHEL-2799]
- net: phy: dp83869: support mii mode when rgmii strap cfg is used (Adrien Thierry) [RHEL-2799]
- net: phy: hide the PHYLIB_LEDS knob (Adrien Thierry) [RHEL-2799]
- net: phy: marvell-88x2222: remove unnecessary (void*) conversions (Adrien Thierry) [RHEL-2799]
- net: phy: marvell: Fix inconsistent indenting in led_blink_set (Adrien Thierry) [RHEL-2799]
- net: phy: dp83867: Add led_brightness_set support (Adrien Thierry) [RHEL-2799]
- net: phy: Fix reading LED reg property (Adrien Thierry) [RHEL-2799]
- net: phy: dp83867: Remove unnecessary (void*) conversions (Adrien Thierry) [RHEL-2799]
- drivers/net/phy: add driver for Microchip LAN867x 10BASE-T1S PHY (Adrien Thierry) [RHEL-2799]
- net: phy: add basic driver for NXP CBTX PHY (Adrien Thierry) [RHEL-2799]
- net: phy: fix circular LEDS_CLASS dependencies (Adrien Thierry) [RHEL-2799]
- net: micrel: Update the list of supported phys (Adrien Thierry) [RHEL-2799]
- net: phy: marvell: Implement led_blink_set() (Adrien Thierry) [RHEL-2799]
- net: phy: phy_device: Call into the PHY driver to set LED blinking (Adrien Thierry) [RHEL-2799]
- net: phy: marvell: Add software control of the LEDs (Adrien Thierry) [RHEL-2799]
- net: phy: phy_device: Call into the PHY driver to set LED brightness (Adrien Thierry) [RHEL-2799]
- net: phy: Add a binding for PHY LEDs (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Fix PTP_PF_PEROUT for lan8841 (Adrien Thierry) [RHEL-2799]
- net: phy: nxp-c45-tja11xx: add remove callback (Adrien Thierry) [RHEL-2799]
- net: phy: sfp: constify pointers to hwmon_channel_info (Adrien Thierry) [RHEL-2799]
- net: phy: nxp-tja11xx: constify pointers to hwmon_channel_info (Adrien Thierry) [RHEL-2799]
- net: phy: mxl: constify pointers to hwmon_channel_info (Adrien Thierry) [RHEL-2799]
- net: phy: marvell: constify pointers to hwmon_channel_info (Adrien Thierry) [RHEL-2799]
- net: phy: bcm54140: constify pointers to hwmon_channel_info (Adrien Thierry) [RHEL-2799]
- net: phy: aquantia: constify pointers to hwmon_channel_info (Adrien Thierry) [RHEL-2799]
- net: sfp: avoid EEPROM read of absent SFP module (Adrien Thierry) [RHEL-2799]
- net: sfp: initialize sfp->i2c_block_size at sfp allocation (Adrien Thierry) [RHEL-2799]
- net: phy: nxp-c45-tja11xx: fix unsigned long multiplication overflow (Adrien Thierry) [RHEL-2799]
- net: phy: meson-gxl: enable edpd tunable support for G12A internal PHY (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: enable edpd tunable support (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: add support for edpd tunable (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: prepare for making edpd wait period configurable (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: add flag edpd_mode_set_by_user (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: clear edpd_enable if interrupt mode is used (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: add helper smsc_phy_config_edpd (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: rename flag energy_enable (Adrien Thierry) [RHEL-2799]
- net: sfp: add quirk enabling 2500Base-x for HG MXPD-483II (Adrien Thierry) [RHEL-2799]
- net: phylink: add phylink_expects_phy() method (Adrien Thierry) [RHEL-2799]
- net: phy: bcm7xxx: use devm_clk_get_optional_enabled to simplify the code (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: correct KSZ9131RNX EEE capabilities and advertisement (Adrien Thierry) [RHEL-2799]
- net: phy: constify fwnode_get_phy_node() fwnode argument (Adrien Thierry) [RHEL-2799]
- net: sfp: constify sfp-bus internal fwnode uses (Adrien Thierry) [RHEL-2799]
- net: sfp: make sfp_bus_find_fwnode() take a const fwnode (Adrien Thierry) [RHEL-2799]
- net: phy: dp83869: fix default value for tx-/rx-internal-delay (Adrien Thierry) [RHEL-2799]
- net: phy: Improved PHY error reporting in state machine (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Add support for PTP_PF_EXTTS for lan8841 (Adrien Thierry) [RHEL-2799]
- net: sfp: add quirk for 2.5G copper SFP (Adrien Thierry) [RHEL-2799]
- net: sfp-bus: allow SFP quirks to override Autoneg and pause bits (Adrien Thierry) [RHEL-2799]
- net: phylink: remove an_enabled (Adrien Thierry) [RHEL-2799]
- net: pcs: xpcs: use Autoneg bit rather than an_enabled (Adrien Thierry) [RHEL-2799]
- net: phy: meson-gxl: reuse functionality of the SMSC PHY driver (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: export functions for use by meson-gxl PHY driver (Adrien Thierry) [RHEL-2799]
- net: sfp: fix state loss when updating state_hw_mask (Adrien Thierry) [RHEL-2799]
- net: mdio: fix owner field for mdio buses registered using device-tree (Adrien Thierry) [RHEL-2799]
- net: phy: Ensure state transitions are processed from phy_stop() (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: Replace of_gpio.h with what indeed is used (Adrien Thierry) [RHEL-2799]
- net: pcs: xpcs: remove double-read of link state when using AN (Adrien Thierry) [RHEL-2799]
- net: phy: mxl-gpy: enhance delay time required by loopback disable function (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Fix spelling mistake "minimim" -> "minimum" (Adrien Thierry) [RHEL-2799]
- net: phy: mscc: fix deadlock in phy_ethtool_{get,set}_wol() (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: drop superfluous use of temp variable (Adrien Thierry) [RHEL-2799]
- net: phy: update obsolete comment about PHY_STARTING (Adrien Thierry) [RHEL-2799]
- net: phy: ks8995: drop of_match_ptr for ID table (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: bail out in lan87xx_read_status if genphy_read_status fails (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: use device_property_present in smsc_phy_probe (Adrien Thierry) [RHEL-2799]
- net: phy: dp83867: Disable IRQs on suspend (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Add support for PTP_PF_PEROUT for lan8841 (Adrien Thierry) [RHEL-2799]
- net: phy: nxp-c45-tja11xx: fix MII_BASIC_CONFIG_REV bit (Adrien Thierry) [RHEL-2799]
- net: sfp: only use soft polling if we have A2h access (Adrien Thierry) [RHEL-2799]
- net: sfp: add A2h presence flag (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: use phy_set_bits in smsc_phy_config_init (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: simplify lan95xx_config_aneg_ext (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: fix link up detection in forced irq mode (Adrien Thierry) [RHEL-2799]
- net: phylib: get rid of unnecessary locking (Adrien Thierry) [RHEL-2799]
- net: lan78xx: fix accessing the LAN7800's internal phy specific registers from the MAC driver (Adrien Thierry) [RHEL-2799]
- net: phy: unlock on error in phy_probe() (Adrien Thierry) [RHEL-2799]
- net: phy: c45: fix network interface initialization failures on xtensa, arm:cubieboard (Adrien Thierry) [RHEL-2799]
- net: phy: c45: genphy_c45_ethtool_set_eee: validate EEE link modes (Adrien Thierry) [RHEL-2799]
- net: phy: do not force EEE support (Adrien Thierry) [RHEL-2799]
- net: phy: c45: add genphy_c45_an_config_eee_aneg() function (Adrien Thierry) [RHEL-2799]
- net: phy: c45: use "supported_eee" instead of supported for access validation (Adrien Thierry) [RHEL-2799]
- net: phy: Read EEE abilities when using .features (Adrien Thierry) [RHEL-2799]
- net: phy: Add locks to ethtool functions (Adrien Thierry) [RHEL-2799]
- net: phy: marvell: Use the unlocked genphy_c45_ethtool_get_eee() (Adrien Thierry) [RHEL-2799]
- net: phy: c45: genphy_c45_an_config_aneg(): fix uninitialized symbol error (Adrien Thierry) [RHEL-2799]
- net: phy: motorcomm: uninitialized variables in yt8531_link_change_notify() (Adrien Thierry) [RHEL-2799]
- net: phylink: support validated pause and autoneg in fixed-link (Adrien Thierry) [RHEL-2799]
- net: phy: start using genphy_c45_ethtool_get/set_eee() (Adrien Thierry) [RHEL-2799]
- net: phy: migrate phy_init_eee() to genphy_c45_eee_is_active() (Adrien Thierry) [RHEL-2799]
- net: phy: c45: migrate to genphy_c45_write_eee_adv() (Adrien Thierry) [RHEL-2799]
- net: phy: c22: migrate to genphy_c45_write_eee_adv() (Adrien Thierry) [RHEL-2799]
- net: phy: add genphy_c45_ethtool_get/set_eee() support (Adrien Thierry) [RHEL-2799]
- net: phy: export phy_check_valid() function (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: add ksz9477_get_features() (Adrien Thierry) [RHEL-2799]
- net: phy: add genphy_c45_read_eee_abilities() function (Adrien Thierry) [RHEL-2799]
- net: micrel: Add PHC support for lan8841 (Adrien Thierry) [RHEL-2799]
- net: micrel: Cable Diagnostics feature for lan8841 PHY (Adrien Thierry) [RHEL-2799]
- net: micrel: Add support for lan8841 PHY (Adrien Thierry) [RHEL-2799]
- net: phy: meson-gxl: use MMD access dummy stubs for GXL, internal PHY (Adrien Thierry) [RHEL-2799]
- net: phy: Add driver for Motorcomm yt8531 gigabit ethernet phy (Adrien Thierry) [RHEL-2799]
- net: phy: Add dts support for Motorcomm yt8531s gigabit ethernet phy (Adrien Thierry) [RHEL-2799]
- net: phy: Add dts support for Motorcomm yt8521 gigabit ethernet phy (Adrien Thierry) [RHEL-2799]
- net: phy: Add BIT macro for Motorcomm yt8521/yt8531 gigabit ethernet phy (Adrien Thierry) [RHEL-2799]
- net: phylink: move phy_device_free() to correctly release phy device (Adrien Thierry) [RHEL-2799]
- net: phy: meson-gxl: Add generic dummy stubs for MMD register access (Adrien Thierry) [RHEL-2799]
- net: phy: motorcomm: change the phy id of yt8521 and yt8531s to lowercase (Adrien Thierry) [RHEL-2799]
- net: phy: fix the spelling problem of Sentinel (Adrien Thierry) [RHEL-2799]
- net: phy: fix null dereference in phy_attach_direct (Adrien Thierry) [RHEL-2799]
- net: phy: dp83822: Fix null pointer access on DP83825/DP83826 devices (Adrien Thierry) [RHEL-2799]
- net: phy: microchip: run phy initialization during each link update (Adrien Thierry) [RHEL-2799]
- net: mdio: warn once if addr parameter is invalid in mdiobus_get_phy() (Adrien Thierry) [RHEL-2799]
- net: phy: Remove fallback to old C45 method (Adrien Thierry) [RHEL-2799]
- net: phy: fix use of uninit variable when setting PLCA config (Adrien Thierry) [RHEL-2799]
- net: sfp: remove unused ctype.h include (Adrien Thierry) [RHEL-2799]
- net: sfp: remove acpi.h include (Adrien Thierry) [RHEL-2799]
- net: sfp: rename gpio_of_names[] (Adrien Thierry) [RHEL-2799]
- net: sfp: use device_get_match_data() (Adrien Thierry) [RHEL-2799]
- net: sfp: use i2c_get_adapter_by_fwnode() (Adrien Thierry) [RHEL-2799]
- net: phy: Decide on C45 capabilities based on presence of method (Adrien Thierry) [RHEL-2799]
- net: mdio: scan bus based on bus capabilities for C22 and C45 (Adrien Thierry) [RHEL-2799]
- net: mdio: Add workaround for Micrel PHYs which are not C45 compatible (Adrien Thierry) [RHEL-2799]
- net: mdio: Rework scanning of bus ready for quirks (Adrien Thierry) [RHEL-2799]
- net: mdio: Move mdiobus_scan() within file (Adrien Thierry) [RHEL-2799]
- net: mdio: validate parameter addr in mdiobus_get_phy() (Adrien Thierry) [RHEL-2799]
- drivers/net/phy: add driver for the onsemi NCN26000 10BASE-T1S PHY (Adrien Thierry) [RHEL-2799]
- drivers/net/phy: add helpers to get/set PLCA configuration (Adrien Thierry) [RHEL-2799]
- drivers/net/phy: add connection between ethtool and phylib for PLCA (Adrien Thierry) [RHEL-2799]
- drivers/net/phy: add the link modes for the 10BASE-T1S Ethernet PHY (Adrien Thierry) [RHEL-2799]
- net: mdio: add mdiobus_c45_read/write_nested helpers (Adrien Thierry) [RHEL-2799]
- net: mdio: Move mdiobus_c45_addr() next to users (Adrien Thierry) [RHEL-2799]
- net: mdio: C22 is now optional, EOPNOTSUPP if not provided (Adrien Thierry) [RHEL-2799]
- net: mdio: mdiobus_register: update validation test (Adrien Thierry) [RHEL-2799]
- net: pcs: pcs-xpcs: Use C45 MDIO API (Adrien Thierry) [RHEL-2799]
- net: mdio: Add dedicated C45 API to MDIO bus drivers (Adrien Thierry) [RHEL-2799]
- net: phy: mxl-gpy: disable interrupts on GPY215 by default (Adrien Thierry) [RHEL-2799]
- net: phy: allow a phy to opt-out of interrupt handling (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Fix warn: passing zero to PTR_ERR (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Fixed error related to uninitialized symbol ret (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Change handler interrupt for lan8814 (Adrien Thierry) [RHEL-2799]
- net: phy: Update documentation for get_rate_matching (Adrien Thierry) [RHEL-2799]
- net: phy: xgmiitorgmii: Fix refcount leak in xgmiitorgmii_probe (Adrien Thierry) [RHEL-2799]
- net: phy: remove redundant "depends on" lines (Adrien Thierry) [RHEL-2799]
- net: phy: mxl-gpy: add MDINT workaround (Adrien Thierry) [RHEL-2799]
- net: sfp: clean up i2c-bus property parsing (Adrien Thierry) [RHEL-2799]
- net: mdio: fix unbalanced fwnode reference count in mdio_device_release() (Adrien Thierry) [RHEL-2799]
- net: phy: mxl-gpy: rename MMD_VEND1 macros to match datasheet (Adrien Thierry) [RHEL-2799]
- net: mdiobus: fix double put fwnode in the error path (Adrien Thierry) [RHEL-2799]
- net: phy: Add link between phy dev and mac dev (Adrien Thierry) [RHEL-2799]
- net: mdiobus: fix unbalanced node reference count (Adrien Thierry) [RHEL-2799]
- net: phylink: fix PHY validation with rate adaption (Adrien Thierry) [RHEL-2799]
- net: phy: fix null-ptr-deref while probe() failed (Adrien Thierry) [RHEL-2799]
- net: phy: add Motorcomm YT8531S phy id. (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: fix error return code in at803x_probe() (Adrien Thierry) [RHEL-2799]
- net: phy: mscc: macsec: do not copy encryption keys (Adrien Thierry) [RHEL-2799]
- net: phy: aquantia: add AQR112 and AQR412 PHY IDs (Adrien Thierry) [RHEL-2799]
- net: phy: dp83867: Fix SGMII FIFO depth for non OF devices (Adrien Thierry) [RHEL-2799]
- net: phy: mscc: macsec: clear encryption keys when freeing a flow (Adrien Thierry) [RHEL-2799]
- net: phy: dp83867: add TI PHY loopback (Adrien Thierry) [RHEL-2799]
- net: phy: fix yt8521 duplicated argument to & or | (Adrien Thierry) [RHEL-2799]
- net: mdio: fix undefined behavior in bit shift for __mdiobus_register (Adrien Thierry) [RHEL-2799]
- net: phy: Add driver for Motorcomm yt8521 gigabit ethernet phy (Adrien Thierry) [RHEL-2799]
- net: phy: mxl-gpy: Add PHY Auto/MDI/MDI-X set driver for GPY211 chips (Adrien Thierry) [RHEL-2799]
- net: phy: mxl-gpy: Change gpy_update_interface() function return type (Adrien Thierry) [RHEL-2799]
- net: dp83822: Print the SOR1 strap status (Adrien Thierry) [RHEL-2799]
- phylink: require valid state argument to phylink_validate_mask_caps() (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Add PHY Auto/MDI/MDI-X set driver for KSZ9131 (Adrien Thierry) [RHEL-2799]
- net: sfp: get rid of DM7052 hack when enabling high power (Adrien Thierry) [RHEL-2799]
- net: sfp: add sfp_modify_u8() helper (Adrien Thierry) [RHEL-2799]
- net: sfp: provide a definition for the power level select bit (Adrien Thierry) [RHEL-2799]
- net: sfp: ignore power level 3 prior to SFF-8472 Rev 11.4 (Adrien Thierry) [RHEL-2799]
- net: sfp: ignore power level 2 prior to SFF-8472 Rev 10.2 (Adrien Thierry) [RHEL-2799]
- net: sfp: check firmware provided max power (Adrien Thierry) [RHEL-2799]
- net: macb: Specify PHY PM management done by MAC (Adrien Thierry) [RHEL-2799]
- net: phy: dp83822: disable MDI crossover status change interrupt (Adrien Thierry) [RHEL-2799]
- net: phylink: provide phylink_validate_mask_caps() helper (Adrien Thierry) [RHEL-2799]
- net: stmmac: Enable mac_managed_pm phylink config (Adrien Thierry) [RHEL-2799]
- net: phylink: add mac_managed_pm in phylink_config structure (Adrien Thierry) [RHEL-2799]
- net: phy: dp83867: Extend RX strap quirk for SGMII mode (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Fixes FIELD_GET assertion (Adrien Thierry) [RHEL-2799]
- net: sfp: fill also 5gbase-r and 25gbase-r modes in sfp_parse_support() (Adrien Thierry) [RHEL-2799]
- net: sfp: add support for multigig RollBall transceivers (Adrien Thierry) [RHEL-2799]
- net: phy: mdio-i2c: support I2C MDIO protocol for RollBall SFP modules (Adrien Thierry) [RHEL-2799]
- net: sfp: create/destroy I2C mdiobus before PHY probe/after PHY release (Adrien Thierry) [RHEL-2799]
- net: sfp: Add and use macros for SFP quirks definitions (Adrien Thierry) [RHEL-2799]
- net: phylink: allow attaching phy for SFP modules on 802.3z mode (Adrien Thierry) [RHEL-2799]
- net: phy: marvell10g: select host interface configuration (Adrien Thierry) [RHEL-2799]
- net: phy: marvell10g: Use tabs instead of spaces for indentation (Adrien Thierry) [RHEL-2799]
- net: phylink: pass supported host PHY interface modes to phylib for SFP's PHYs (Adrien Thierry) [RHEL-2799]
- net: phylink: rename phylink_sfp_config() (Adrien Thierry) [RHEL-2799]
- net: phylink: use phy_interface_t bitmaps for optical modules (Adrien Thierry) [RHEL-2799]
- net: sfp: augment SFP parsing with phy_interface_t bitmap (Adrien Thierry) [RHEL-2799]
- net: phylink: add ability to validate a set of interface modes (Adrien Thierry) [RHEL-2799]
- net: phy: Convert to use sysfs_emit() APIs (Adrien Thierry) [RHEL-2799]
- net: phy: Don't WARN for PHY_UP state in mdio_bus_phy_resume() (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: enable interrupt for ksz9477 phy (Adrien Thierry) [RHEL-2799]
- net: phy: aquantia: Add support for rate matching (Adrien Thierry) [RHEL-2799]
- net: phy: aquantia: Add some additional phy interfaces (Adrien Thierry) [RHEL-2799]
- net: phylink: Adjust advertisement based on rate matching (Adrien Thierry) [RHEL-2799]
- net: phylink: Adjust link settings based on rate matching (Adrien Thierry) [RHEL-2799]
- net: phy: Add support for rate matching (Adrien Thierry) [RHEL-2799]
- net: phylink: Generate caps and convert to linkmodes separately (Adrien Thierry) [RHEL-2799]
- net: phylink: Export phylink_caps_to_linkmodes (Adrien Thierry) [RHEL-2799]
- net: phylink: Document MAC_(A)SYM_PAUSE (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Fix double spaces inside lan8814_config_intr (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: fix shared interrupt on LAN8814 (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Add interrupts support for LAN8804 PHY (Adrien Thierry) [RHEL-2799]
- net: sfp: add support for HALNy GPON SFP (Adrien Thierry) [RHEL-2799]
- net: sfp: move Huawei MA5671A fixup (Adrien Thierry) [RHEL-2799]
- net: sfp: move Alcatel Lucent 3FE46541AA fixup (Adrien Thierry) [RHEL-2799]
- net: sfp: move quirk handling into sfp.c (Adrien Thierry) [RHEL-2799]
- net: sfp: re-implement soft state polling setup (Adrien Thierry) [RHEL-2799]
- net: phy: adin1100: add PHY IDs of adin1110/adin2111 (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Cable Diag feature for lan8814 phy (Adrien Thierry) [RHEL-2799]
- net: phy: aquantia: wait for the suspend/resume operations to finish (Adrien Thierry) [RHEL-2799]
- net: phy: lan87xx: change interrupt src of link_up to comm_ready (Adrien Thierry) [RHEL-2799]
- net: phy: Add 1000BASE-KX interface mode (Adrien Thierry) [RHEL-2799]
- Revert "net: phy: meson-gxl: improve link-up behavior" (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: use device-managed clock API (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Make the GPIO to be non-exclusive (Adrien Thierry) [RHEL-2799]
- net: phylink: allow RGMII/RTBI in-band status (Adrien Thierry) [RHEL-2799]
- net: phy: tja11xx: add interface mode and RMII REF_CLK support (Adrien Thierry) [RHEL-2799]
- net: phy: Don't WARN for PHY_READY state in mdio_bus_phy_resume() (Adrien Thierry) [RHEL-2799]
- net: phy: Add helper to derive the number of ports from a phy mode (Adrien Thierry) [RHEL-2799]
- net: phy: Introduce QUSGMII PHY mode (Adrien Thierry) [RHEL-2799]
- net: phy: realtek: add support for RTL8211F(D)(I)-VD-CG (Adrien Thierry) [RHEL-2799]
- net: dpaa: Fix <1G ethernet on LS1046ARDB (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: add disable hibernation mode support (Adrien Thierry) [RHEL-2799]
- net: sfp: use simplified HWMON_CHANNEL_INFO macro (Adrien Thierry) [RHEL-2799]
- net: phy: dp83867: fix get nvmem cell fail (Adrien Thierry) [RHEL-2799]
- net: phy: Warn about incorrect mdio_bus_phy_resume() state (Adrien Thierry) [RHEL-2799]
- net: phy: mxl-gpy: print firmware in human readable form (Adrien Thierry) [RHEL-2799]
- net: phy: mxl-gpy: rename the FW type field name (Adrien Thierry) [RHEL-2799]
- net: phy: mxl-gpy: cache PHY firmware version (Adrien Thierry) [RHEL-2799]
- net: phy: mxl-gpy: fix version reporting (Adrien Thierry) [RHEL-2799]
- net: phy: broadcom: Add support for BCM53128 internal PHYs (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Adding LED feature for LAN8814 PHY (Adrien Thierry) [RHEL-2799]
- net: sfp: fix memory leak in sfp_probe() (Adrien Thierry) [RHEL-2799]
- net: phy: ax88772a: fix lost pause advertisement configuration (Adrien Thierry) [RHEL-2799]
- net: dp83822: disable rx error interrupt (Adrien Thierry) [RHEL-2799]
- net: dp83822: disable false carrier interrupt (Adrien Thierry) [RHEL-2799]
- net: phy: mxl-gpy: add temperature sensor (Adrien Thierry) [RHEL-2799]
- net: phy: nxp-tja11xx: use devm_hwmon_sanitize_name() (Adrien Thierry) [RHEL-2799]
- net: sfp: use hwmon_sanitize_name() (Adrien Thierry) [RHEL-2799]
- net: phy: Add support for 1PPS out and external timestamps (Adrien Thierry) [RHEL-2799]
- net: phy: broadcom: Add PTP support for some Broadcom PHYs. (Adrien Thierry) [RHEL-2799]
- net: phy: broadcom: Add Broadcom PTP hooks to bcm-phy-lib (Adrien Thierry) [RHEL-2799]
- net: phy: Add support for AQR113C EPHY (Adrien Thierry) [RHEL-2799]
- net: phy: dp83td510: add SQI support (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: Disable Energy Detect Power-Down in interrupt mode (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: Deduplicate interrupt acknowledgement upon phy_init_hw() (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: fix NULL pointer dereference on AR9331 PHY (Adrien Thierry) [RHEL-2799]
- net: phy: marvell: use mii_bmcr_encode_fixed() (Adrien Thierry) [RHEL-2799]
- net: phy: use mii_bmcr_encode_fixed() (Adrien Thierry) [RHEL-2799]
- net: mii: add mii_bmcr_encode_fixed() (Adrien Thierry) [RHEL-2799]
- net: phy: add support to get Master-Slave configuration (Adrien Thierry) [RHEL-2799]
- net: phy: dp83867: implement support for io_impedance_ctrl nvmem cell (Adrien Thierry) [RHEL-2799]
- phy: aquantia: Fix AN when higher speeds than 1G are not advertised (Adrien Thierry) [RHEL-2799]
- net: phy: marvell-88x2222: set proper phydev->port (Adrien Thierry) [RHEL-2799]
- net: phy: fixed_phy: set phy_mask before calling mdiobus_register() (Adrien Thierry) [RHEL-2799]
- net: mdio: unexport __init-annotated mdio_bus_init() (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: disable WOL at probe (Adrien Thierry) [RHEL-2799]
- net: phy: Directly use ida_alloc()/free() (Adrien Thierry) [RHEL-2799]
- net: phy: DP83822: enable rgmii mode if phy_interface_is_rgmii (Adrien Thierry) [RHEL-2799]
- lib: add generic polynomial calculation (Adrien Thierry) [RHEL-2799]
- net: phy: adin: add support for clock output (Adrien Thierry) [RHEL-2799]
- hwmon: introduce hwmon_sanitize_name() (Adrien Thierry) [RHEL-2799]
- net: phy: marvell: Add errata section 5.1 for Alaska PHY (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Use the kszphy probe/suspend/resume (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Allow probing without .driver_data (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: Cope with hot-removal in interrupt handler (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: Cache interrupt mask (Adrien Thierry) [RHEL-2799]
- usbnet: smsc95xx: Forward PHY interrupts to PHY driver to avoid polling (Adrien Thierry) [RHEL-2799]
- usbnet: smsc95xx: Avoid link settings race on interrupt reception (Adrien Thierry) [RHEL-2799]
- usbnet: smsc95xx: Don't reset PHY behind PHY driver's back (Adrien Thierry) [RHEL-2799]
- usbnet: smsc95xx: Don't clear read-only PHY interrupt (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: add comments for the LAN8742 phy ID mask. (Adrien Thierry) [RHEL-2799]
- net: phy: microchip: add comments for the modified LAN88xx phy ID mask. (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Fix incorrect variable type in micrel (Adrien Thierry) [RHEL-2799]
- net: phy: smsc: add LAN8742 phy support. (Adrien Thierry) [RHEL-2799]
- net: phy: microchip: update LAN88xx phy ID and phy ID mask. (Adrien Thierry) [RHEL-2799]
- net: phy: dp83td510: Add support for the DP83TD510 Ethernet PHY (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Pass .probe for KS8737 (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Do not use kszphy_suspend/resume for KSZ8061 (Adrien Thierry) [RHEL-2799]
- net: sfp: Add tx-fault workaround for Huawei MA5671A SFP ONT (Adrien Thierry) [RHEL-2799]
- net: phy: bcm87xx: Use mmd helpers (Adrien Thierry) [RHEL-2799]
- net: phy: adin1100: Add SQI support (Adrien Thierry) [RHEL-2799]
- net: phy: adin1100: Add initial support for ADIN1100 industrial PHY (Adrien Thierry) [RHEL-2799]
- net: phy: marvell: update abilities and advertising when switching to SGMII (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: add coma mode GPIO (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: move the PHY timestamping check (Adrien Thierry) [RHEL-2799]
- net: phy: Deduplicate interrupt disablement on PHY attach (Adrien Thierry) [RHEL-2799]
- net: phy: marvell10g: fix return value on error (Adrien Thierry) [RHEL-2799]
- net: phy: LAN937x: add interrupt support for link detection (Adrien Thierry) [RHEL-2799]
- net: phy: LAN87xx: add ethtool SQI support (Adrien Thierry) [RHEL-2799]
- net: phy: LAN937x: added PHY_POLL_CABLE_TEST flag (Adrien Thierry) [RHEL-2799]
- net: phy: LAN87xx: remove genphy_softreset in config_aneg (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: ksz9031/ksz9131: add cabletest support (Adrien Thierry) [RHEL-2799]
- net: micrel: Fix KS8851 Kconfig (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Remove DT option lan8814,ignore-ts (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Remove latency from driver (Adrien Thierry) [RHEL-2799]
- net: phy: broadcom: Fix brcm_fet_config_init() (Adrien Thierry) [RHEL-2799]
- net: phy: mscc: Add MODULE_FIRMWARE macros (Adrien Thierry) [RHEL-2799]
- net: sfp: add 2500base-X quirk for Lantech SFP module (Adrien Thierry) [RHEL-2799]
- net: phy: marvell: Fix invalid comparison in the resume and suspend functions (Adrien Thierry) [RHEL-2799]
- net: phy: meson-gxl: improve link-up behavior (Adrien Thierry) [RHEL-2799]
- net: phy: DP83822: clear MISR2 register to disable interrupts (Adrien Thierry) [RHEL-2799]
- net: phy: lan87xx: use genphy_read_master_slave in read_status (Adrien Thierry) [RHEL-2799]
- smsc95xx: Ignore -ENODEV errors when device is unplugged (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Move netif_rx() outside of IRQ-off section. (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Use netif_rx(). (Adrien Thierry) [RHEL-2799]
- micrel: Use generic ptp_msg_is_sync() function (Adrien Thierry) [RHEL-2799]
- dp83640: Use generic ptp_msg_is_sync() function (Adrien Thierry) [RHEL-2799]
- ptp: Add generic PTP is_sync() function (Adrien Thierry) [RHEL-2799]
- net: phy: meson-gxl: fix interrupt handling in forced mode (Adrien Thierry) [RHEL-2799]
- net: phy: added ethtool master-slave configuration support (Adrien Thierry) [RHEL-2799]
- net: phy: added the LAN937x phy support (Adrien Thierry) [RHEL-2799]
- net: phy: updated the initialization routine for LAN87xx (Adrien Thierry) [RHEL-2799]
- net: phy: removed empty lines in LAN87XX (Adrien Thierry) [RHEL-2799]
- net: phy: used the PHY_ID_MATCH_MODEL macro for LAN87XX (Adrien Thierry) [RHEL-2799]
- net: phy: used genphy_soft_reset for phy reset in LAN87xx (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: 1588 support for LAN8814 phy (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Fix concurrent register access (Adrien Thierry) [RHEL-2799]
- net: sfp: use %%pe for printing errors (Adrien Thierry) [RHEL-2799]
- net: phy: phylink: fix DSA mac_select_pcs() introduction (Adrien Thierry) [RHEL-2799]
- net: dsa: add support for phylink mac_select_pcs() (Adrien Thierry) [RHEL-2799]
- net: phy: mediatek: remove PHY mode check on MT7531 (Adrien Thierry) [RHEL-2799]
- net: phy: marvell: Fix RGMII Tx/Rx delays setting in 88e1121-compatible PHYs (Adrien Thierry) [RHEL-2799]
- net: phy: marvell: Fix MDI-x polarity setting in 88e1118-compatible PHYs (Adrien Thierry) [RHEL-2799]
- net: phy: Fix qca8081 with speeds lower than 2.5Gb/s (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: Support downstream SFP cage (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: add fiber support (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: move page selection fix to config_init (Adrien Thierry) [RHEL-2799]
- net: sfp: ignore disabled SFP node (Adrien Thierry) [RHEL-2799]
- phylib: fix potential use-after-free (Adrien Thierry) [RHEL-2799]
- net: phy: broadcom: hook up soft_reset for BCM54616S (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: use kszphy_suspend()/kszphy_resume for irq aware devices (Adrien Thierry) [RHEL-2799]
- net: sfp: fix high power modules without diagnostic monitoring (Adrien Thierry) [RHEL-2799]
- net: usb: Correct reset handling of smsc95xx (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: make array offsets static (Adrien Thierry) [RHEL-2799]
- net: phy: marvell: configure RGMII delays for 88E1118 (Adrien Thierry) [RHEL-2799]
- net: phy: marvell: use phy_write_paged() to set MSCR (Adrien Thierry) [RHEL-2799]
- phy: nxp-c45-tja11xx: add extts and perout support (Adrien Thierry) [RHEL-2799]
- net: mdio: Demote probed message to debug print (Adrien Thierry) [RHEL-2799]
- Revert "net: phy: fixed_phy: Fix NULL vs IS_ERR() checking in __fixed_phy_register" (Adrien Thierry) [RHEL-2799]
- net: phy: fixed_phy: Fix NULL vs IS_ERR() checking in __fixed_phy_register (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Add config_init for LAN8814 (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Adding interrupt support for Link up/Link down in LAN8814 Quad phy (Adrien Thierry) [RHEL-2799]
- net: phy: add a note about refcounting (Adrien Thierry) [RHEL-2799]
- net: phy: prefer 1000baseT over 1000baseKX (Adrien Thierry) [RHEL-2799]
- net: dsa: support use of phylink_generic_validate() (Adrien Thierry) [RHEL-2799]
- net: dsa: replace phylink_get_interfaces() with phylink_get_caps() (Adrien Thierry) [RHEL-2799]
- net: dsa: consolidate phylink creation (Adrien Thierry) [RHEL-2799]
- net: usb: Correct PHY handling of smsc95xx (Adrien Thierry) [RHEL-2799]
- net: phy: add support for TI DP83561-SP phy (Adrien Thierry) [RHEL-2799]
- net: mdio: Replaced BUG_ON() with WARN() (Adrien Thierry) [RHEL-2799]
- net: phy: microchip_t1: add lan87xx_config_rgmii_delay for lan87xx phy (Adrien Thierry) [RHEL-2799]
- net: dsa: populate supported_interfaces member (Adrien Thierry) [RHEL-2799]
- net: phy: microchip_t1: add cable test support for lan87xx phy (Adrien Thierry) [RHEL-2799]
- net: phy: Fix unsigned comparison with less than zero (Adrien Thierry) [RHEL-2799]
- net: phy: fixed warning: Function parameter not described (Adrien Thierry) [RHEL-2799]
- net: phy: add qca8081 cdt feature (Adrien Thierry) [RHEL-2799]
- net: phy: adjust qca8081 master/slave seed value if link down (Adrien Thierry) [RHEL-2799]
- net: phy: add qca8081 soft_reset and enable master/slave seed (Adrien Thierry) [RHEL-2799]
- net: phy: add qca8081 config_init (Adrien Thierry) [RHEL-2799]
- net: phy: add qca8081 config_aneg (Adrien Thierry) [RHEL-2799]
- net: phy: add qca8081 get_features (Adrien Thierry) [RHEL-2799]
- net: phy: add qca8081 read_status (Adrien Thierry) [RHEL-2799]
- net: phy: add qca8081 ethernet phy driver (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: use GENMASK() for speed status (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: improve the WOL feature (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: use phy_modify() (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: replace AT803X_DEVICE_ADDR with MDIO_MMD_PCS (Adrien Thierry) [RHEL-2799]
- net: phy: constify netdev->dev_addr references (Adrien Thierry) [RHEL-2799]
- net: phy: bcm7xxx: Add EPHY entry for 7712 (Adrien Thierry) [RHEL-2799]
- phy: micrel: ksz8041nl: do not use power down mode (Adrien Thierry) [RHEL-2799]
- net: phy: dp83867: introduce critical chip default init for non-of platform (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: make *-skew-ps check more lenient (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: better describe debug regs (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: enable prefer master for 83xx internal phy (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: add DAC amplitude fix for 8327 phy (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: fix resume for QCA8327 phy (Adrien Thierry) [RHEL-2799]
- net: phy: Do not shutdown PHYs in READY state (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: ksz9131 led errata workaround (Adrien Thierry) [RHEL-2799]
- net: phylib: ensure phy device drivers do not match by DT (Adrien Thierry) [RHEL-2799]
- net: mdio: ensure the type of mdio devices match mdio drivers (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: add QCA9561 support (Adrien Thierry) [RHEL-2799]
- net: sfp: Fix typo in state machine debug string (Adrien Thierry) [RHEL-2799]
- phy: mdio: fix memory leak (Adrien Thierry) [RHEL-2799]
- Revert "net: mdiobus: Fix memory leak in __mdiobus_register" (Adrien Thierry) [RHEL-2799]
- net: phy: marvell10g: add downshift tunable support (Adrien Thierry) [RHEL-2799]
- net: phy: bcm7xxx: Fixed indirect MMD operations (Adrien Thierry) [RHEL-2799]
- net: phy: micrel: Add support for LAN8804 PHY (Adrien Thierry) [RHEL-2799]
- net: mdiobus: Fix memory leak in __mdiobus_register (Adrien Thierry) [RHEL-2799]
- smsc95xx: fix stalled rx after link change (Adrien Thierry) [RHEL-2799]
- net: phy: broadcom: Fix PHY_BRCM_IDDQ_SUSPEND definition (Adrien Thierry) [RHEL-2799]
- net: mdiobus: Set FWNODE_FLAG_NEEDS_CHILD_BOUND_ON_ADD for mdiobus parents (Adrien Thierry) [RHEL-2799]
- net: phy: broadcom: Utilize appropriate suspend for BCM54810/11 (Adrien Thierry) [RHEL-2799]
- net: phy: broadcom: Wire suspend/resume for BCM50610 and BCM50610M (Adrien Thierry) [RHEL-2799]
- net: phy: broadcom: Add IDDQ-SR mode (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: fix spacing and improve name for 83xx phy (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: add resume/suspend function to qca83xx phy (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: add support for qca 8327 A variant internal phy (Adrien Thierry) [RHEL-2799]
- net: phy: bcm7xxx: Add EPHY entry for 72165 (Adrien Thierry) [RHEL-2799]
- net: phy: broadcom: Enable 10BaseT DAC early wake (Adrien Thierry) [RHEL-2799]
- Revert "net: phy: Uniform PHY driver access" (Adrien Thierry) [RHEL-2799]
- ptp: dp83640: don't define PAGE0 (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: add support for qca 8327 internal phy (Adrien Thierry) [RHEL-2799]
- net: phy: marvell10g: fix broken PHY interrupts for anyone after us in the driver probe list (Adrien Thierry) [RHEL-2799]
- net: phy: gmii2rgmii: Support PHY loopback (Adrien Thierry) [RHEL-2799]
- net: phy: Uniform PHY driver access (Adrien Thierry) [RHEL-2799]
- net: phy: Support set_loopback override (Adrien Thierry) [RHEL-2799]
- net: phy: marvell: add SFP support for 88E1510 (Adrien Thierry) [RHEL-2799]
- net: phy: nxp-tja11xx: log critical health state (Adrien Thierry) [RHEL-2799]
- net: phy: mscc: make some arrays static const, makes object smaller (Adrien Thierry) [RHEL-2799]
- net: phy: Remove unused including <linux/version.h> (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: finish the phy id checking simplification (Adrien Thierry) [RHEL-2799]
- net: phy: at803x: simplify custom phy id matching (Adrien Thierry) [RHEL-2799]
- net: phy: intel-xway: Add RGMII internal delay configuration (Adrien Thierry) [RHEL-2799]
- net: phy: Fix data type in DP83822 dp8382x_disable_wol() (Adrien Thierry) [RHEL-2799]
Resolves: RHEL-2799, RHEL-2889

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-10-03 09:37:58 -04:00
Scott Weaver
0e2fe096cb kernel-5.14.0-370.el9
* Wed Sep 27 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-370.el9]
- nfsd: Set technology preview if inter SSC offload is enabled (Dave Wysochanski) [RHEL-2300]
- iw_cxgb4: Fix potential NULL dereference in c4iw_fill_res_cm_id_entry() (Kamal Heib) [RHEL-1733]
- RDMA/cxgb4: Fix potential null-ptr-deref in pass_establish() (Kamal Heib) [RHEL-1733]
- RDMA/cxgb4: add null-ptr-check after ip_dev_find() (Kamal Heib) [RHEL-1733]
- RDMA/cxgb4: Replace 0-length arrays with flexible arrays (Kamal Heib) [RHEL-1733]
- RDMA/cxgb4: remove unnecessary NULL check in __c4iw_poll_cq_one() (Kamal Heib) [RHEL-1733]
- RDMA/rxe: Fix redundant break statement in switch-case. (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Fix incomplete state save in rxe_requester (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Fix rxe_modify_srq (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Fix unsafe drain work queue code (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Move work queue code to subroutines (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Fix an error handling path in rxe_bind_mw() (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Simplify cq->notify code (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Fixes mr access supported list (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Fix rxe_cq_post (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Send last wqe reached event on qp cleanup (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Fix the use-before-initialization error of resp_pkts (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Implement rereg_user_mr (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Let rkey == lkey for local access (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Introduce rxe access supported flags (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Fix access checks in rxe_check_bind_mw (Kamal Heib) [RHEL-1958]
- RDMA//rxe: Optimize send path in rxe_resp.c (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Rename IB_ACCESS_REMOTE (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Fix ref count error in check_rkey() (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Fix packet length checks (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Remove dangling declaration of rxe_cq_disable() (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Fix comments about removed tasklets (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Add workqueue support for rxe tasks (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Convert spin_{lock_bh,unlock_bh} to spin_{lock_irqsave,unlock_irqrestore} (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Fix double unlock in rxe_qp.c (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Fix spinlock recursion deadlock on requester (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Protect QP state with qp->state_lock (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Move code to check if drained to subroutine (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Remove qp->req.state (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Remove qp->comp.state (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Remove qp->resp.state (Kamal Heib) [RHEL-1958]
- RDMA: Add ib_virt_dma_to_page() (Kamal Heib) [RHEL-1958]
- RDMA/siw: Fix pointer cast warning (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Fix the error "trying to register non-static key in rxe_cleanup_task" (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Fix incorrect TASKLET_STATE_SCHED check in rxe_task.c (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Clean kzalloc failure paths (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Remove tasklet call from rxe_cq.c (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Rewrite rxe_task.c (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Make tasks schedule each other (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Remove __rxe_do_task() (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Remove qp reference counting in tasks (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Cleanup error state handling in rxe_comp.c (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Cleanup reset state handling in rxe_resp.c (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Convert tasklet args to queue pairs (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Add error messages (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Extend dbg log messages to err and info (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Change rxe_dbg to rxe_dbg_dev (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Replace exists by rxe in rxe.c (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Fix missing memory barriers in rxe_queue.h (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Remove rxe_alloc() (Kamal Heib) [RHEL-1958]
- Subject: RDMA/rxe: Handle zero length rdma (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Replace rxe_map and rxe_phys_buf by xarray (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Cleanup page variables in rxe_mr.c (Kamal Heib) [RHEL-1958]
- RDMA-rxe: Isolate mr code from atomic_write_reply() (Kamal Heib) [RHEL-1958]
- RDMA-rxe: Isolate mr code from atomic_reply() (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Move rxe_map_mr_sg to rxe_mr.c (Kamal Heib) [RHEL-1958]
- RDMA/rxe: Cleanup mr_check_range (Kamal Heib) [RHEL-1958]
- netfs: Only call folio_start_fscache() one time for each folio (Dave Wysochanski) [RHEL-7959]
- refscale: Fix uninitalized use of wait_queue_head_t (Waiman Long) [2189183]
- thermal/drivers/imx: Remove redundant msg in imx8mm_tmu_probe() and imx_sc_thermal_probe() (Steve Best) [2230684]
- redhat: Use SB cert from system-sb-certs for signing UKI (Vitaly Kuznetsov)
- watchdog: imx2_wdg: Declare local symbols static (Steve Best) [2230688]
- s390/pkey: fix PKEY_TYPE_EP11_AES handling for sysfs attributes (Tobias Huschle) [2225519]
- s390/pkey: fix PKEY_TYPE_EP11_AES handling in PKEY_VERIFYKEY2 IOCTL (Tobias Huschle) [2225519]
- s390/pkey: fix PKEY_TYPE_EP11_AES handling in PKEY_KBLOB2PROTK[23] (Tobias Huschle) [2225519]
- s390/pkey: fix PKEY_TYPE_EP11_AES handling in PKEY_CLR2SECK2 IOCTL (Tobias Huschle) [2225519]
- s390/pkey: fix PKEY_TYPE_EP11_AES handling in PKEY_GENSECK2 IOCTL (Tobias Huschle) [2225519]
- s390/pkey: fix/harmonize internal keyblob headers (Tobias Huschle) [2225519]
- s390/pkey: add support for ecc clear key (Tobias Huschle) [2225519]
- s390/pkey: do not use struct pkey_protkey (Tobias Huschle) [2225519]
- s390/pkey: introduce reverse x-mas trees (Tobias Huschle) [2225519]
- s390/zcrypt: fix reply buffer calculations for CCA replies (Tobias Huschle) [2227777]
- trace: Add trace_ipi_send_cpu() (Jerome Marchand) [2192613]
- sched, smp: Trace smp callback causing an IPI (Jerome Marchand) [2192613]
- smp: reword smp call IPI comment (Jerome Marchand) [2192613]
- treewide: Trace IPIs sent via smp_send_reschedule() (Jerome Marchand) [2192613]
- irq_work: Trace self-IPIs sent via arch_irq_work_raise() (Jerome Marchand) [2192613]
- smp: Trace IPIs sent via arch_send_call_function_ipi_mask() (Jerome Marchand) [2192613]
- sched, smp: Trace IPIs sent via send_call_function_single_ipi() (Jerome Marchand) [2192613]
- trace: Add trace_ipi_send_cpumask() (Jerome Marchand) [2192613]
- fprobe: add unlock to match a succeeded ftrace_test_recursion_trylock (Viktor Malik) [RHEL-2373]
- rethook, fprobe: do not trace rethook related functions (Viktor Malik) [RHEL-2373]
- fprobe: add recursion detection in fprobe_exit_handler (Viktor Malik) [RHEL-2373]
- fprobe: make fprobe_kprobe_handler recursion free (Viktor Malik) [RHEL-2373]
- rethook: use preempt_{disable, enable}_notrace in rethook_trampoline_handler (Viktor Malik) [RHEL-2373]
- bonding: reset bond's flags when down link is P2P device (Hangbin Liu) [2221438]
- cxl/region: Move coherence tracking into cxl_region_attach() (John W. Linville) [2168268]
- cxl/region: Fix region setup/teardown for RCDs (John W. Linville) [2168268]
- cxl/port: Fix find_cxl_root() for RCDs and simplify it (John W. Linville) [2168268]
- cxl: avoid returning uninitialized error code (John W. Linville) [2168268]
- dax: Assign RAM regions to memory-hotplug by default (John W. Linville) [2168268]
- dax/hmem: Convey the dax range via memregion_info() (John W. Linville) [2168268]
- tools/testing/cxl: Define a fixed volatile configuration to parse (John W. Linville) [2168268]
- cxl/region: Add region autodiscovery (John W. Linville) [2168268]
- cxl/port: Split endpoint and switch port probe (John W. Linville) [2168268]
- redhat/configs: Enable CONFIG_CXL_REGION (John W. Linville) [2168268]
- cxl/region: Enable CONFIG_CXL_REGION to be toggled (John W. Linville) [2168268]
- kernel/range: Uplevel the cxl subsystem's range_contains() helper (John W. Linville) [2168268]
- cxl/region: Move region-position validation to a helper (John W. Linville) [2168268]
- cxl/region: Refactor attach_target() for autodiscovery (John W. Linville) [2168268]
- cxl/region: Add volatile region creation support (John W. Linville) [2168268]
- cxl/region: Validate region mode vs decoder mode (John W. Linville) [2168268]
- cxl/region: Support empty uuids for non-pmem regions (John W. Linville) [2168268]
- cxl/region: Add a mode attribute for regions (John W. Linville) [2168268]
- cxl/port: Link the 'parent_dport' in portX/ and endpointX/ sysfs (John W. Linville) [2168268]
- cxl/region: Clarify when a cxld->commit() callback is mandatory (John W. Linville) [2168268]
- tools/testing/cxl: require 64-bit (John W. Linville) [2168268]
- cxl/pci: Show opcode in debug messages when sending a command (John W. Linville) [2168268]
- tools/testing/cxl: Prevent cxl_test from confusing production modules (John W. Linville) [2168268]
- cxl/region: Only warn about cpu_cache_invalidate_memregion() once (John W. Linville) [2168268]
- cxl/pci: Move tracepoint definitions to drivers/cxl/core/ (John W. Linville) [2168268]
- net: ethtool: Unify ETHTOOL_{G,S}RXFH rxnfc copy (Michal Schmidt) [RHEL-860]
- ethtool: ioctl: account for sopass diff in set_wol (Michal Schmidt) [RHEL-860]
- net: ethtool: don't require empty header nests (Michal Schmidt) [RHEL-860]
- ethtool: ioctl: improve error checking for set_wol (Michal Schmidt) [RHEL-860]
- ethtool: Fix uninitialized number of lanes (Michal Schmidt) [RHEL-860]
- net: ethtool: coalesce: try to make user settings stick twice (Michal Schmidt) [RHEL-860]
- net: ethtool: mm: sanitize some UAPI configurations (Michal Schmidt) [RHEL-860]
- net: ethtool: create and export ethtool_dev_mm_supported() (Michal Schmidt) [RHEL-860]
- ipv6: Remove in6addr_any alternatives. (Michal Schmidt) [RHEL-860]
- ethtool: Add support for configuring tx_push_buf_len (Michal Schmidt) [RHEL-860]
- netlink: Add a macro to set policy message with format string (Michal Schmidt) [RHEL-860]
- IPv6: add extack info for IPv6 address add/delete (Hangbin Liu) [RHEL-3923]
- tools/power/x86/intel-speed-select: v1.17 release (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Change mem-frequency display name (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Prevent CPU 0 offline (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Error on CPU count exceed in request (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Support more than 8 sockets. (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Fix CPU count display (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: v1.16 release (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Fix json formatting issue (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Adjust scope of core-power config (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Change TRL display for Emerald Rapids (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Display AMX base frequency (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Identify Emerald Rapids (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Update version (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Use cgroup v2 isolation (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Add missing free cpuset (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Fix clos-max display with TPMI I/F (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Add cpu id check (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Avoid setting duplicate tdp level (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Remove cpu mask display for non-cpu power domain (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Hide invalid TRL level (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Display fact info for non-cpu power domain (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Show level 0 name for new api_version (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Prevent cpu clos config for non-cpu power domain (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Allow display non-cpu power domain info (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Display punit info (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Display amx_p1 and cooling_type (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Introduce TPMI interface support (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Get punit core mapping information (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Introduce api_version helper (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Support large clos_min/max (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract adjust_uncore_freq (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Allow api_version based platform callbacks (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Move send_mbox_cmd to isst-core-mbox.c (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Introduce is_debug_enabled() (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract read_pm_config (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract clos_associate (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract clos_get_assoc_status (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract set_clos (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract pm_get_clos (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract pm_qos_config (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract get_get_trls (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Enhance get_tdp_info (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract get_clos_information (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract get_uncore_p0_p1_info (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract get_fact_info (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract set_pbf_fact_status (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Remove isst_get_pbf_info_complete (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract get_pbf_info (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract set_tdp_level (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract get_trl_bucket_info (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract get_get_trl (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract get_coremask_info (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract get_tjmax_info (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Move code right before its caller (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract get_pwr_info (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract get_tdp_info (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract get_ctdp_control (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract get_config_levels (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Abstract is_punit_valid (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Introduce isst-core-mbox.c (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Always invoke isst_fill_platform_info (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Introduce isst_get_disp_freq_multiplier (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Move mbox functions to isst-core.c (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Introduce support for multi-punit (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Improve isst_print_extended_platform_info (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Rename for_each_online_package_in_set (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Introduce isst_is_punit_valid() (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Follow TRL nameing for FACT info (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Introduce punit to isst_id (David Arcari) [2177018]
- tools/power/x86/intel-speed-select: Unify TRL levels (David Arcari) [2177018]
- Revert "tools/power/x86/intel-speed-select: Support more than 8 sockets." (David Arcari) [2177018]
- x86/mce: Add support for Extended Physical Address MCA changes (Aristeu Rozanski) [2164637]
- x86/mce: Define a function to extract ErrorAddr from MCA_ADDR (Aristeu Rozanski) [2164637]
- x86/mce: Avoid unnecessary padding in struct mce_bank (Aristeu Rozanski) [2164637]
- net/mlx5e: TC, Remove sample and ct limitation (Amir Tzin) [2229736]
- net/mlx5e: TC, Remove mirror and ct limitation (Amir Tzin) [2229736]
- net/mlx5e: TC, Remove tuple rewrite and ct limitation (Amir Tzin) [2229736]
- net/mlx5e: TC, Remove multiple ct actions limitation (Amir Tzin) [2229736]
- net/mlx5e: TC, Remove CT action reordering (Amir Tzin) [2229736]
- net/mlx5e: CT: Use per action stats (Amir Tzin) [2229736]
- net/mlx5e: TC, Move main flow attribute cleanup to helper func (Amir Tzin) [2229736]
- net/mlx5e: TC, Remove unused vf_tun variable (Amir Tzin) [2229736]
- net/mlx5e: Set default can_offload action (Amir Tzin) [2229736]
Resolves: rhbz#2168268, rhbz#2177018, rhbz#2189183, rhbz#2192613, rhbz#2221438, rhbz#2225519, rhbz#2227777, rhbz#2230684, rhbz#2230688, RHEL-1733, RHEL-1958, RHEL-2300, RHEL-2373, RHEL-3923, RHEL-7959, RHEL-860

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-09-27 10:09:53 -04:00
Scott Weaver
9fceae79cd kernel-5.14.0-369.el9
* Mon Sep 25 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-369.el9]
- devlink: add missing unregister linecard notification (Petr Oros) [RHEL-945]
- devlink: fix kernel-doc notation warnings (Petr Oros) [RHEL-945]
- devlink: report devlink_port_type_warn source device (Petr Oros) [RHEL-945]
- devlink: bring port new reply back (Petr Oros) [RHEL-945]
- devlink: make health report on unregistered instance warn just once (Petr Oros) [RHEL-945]
- devlink: Spelling corrections (Petr Oros) [RHEL-945]
- devlink: save devlink_port_ops into a variable in devlink_port_function_validate() (Petr Oros) [RHEL-945]
- devlink: move port_del() to devlink_port_ops (Petr Oros) [RHEL-945]
- devlink: move port_fn_state_get/set() to devlink_port_ops (Petr Oros) [RHEL-945]
- devlink: move port_fn_migratable_get/set() to devlink_port_ops (Petr Oros) [RHEL-945]
- devlink: move port_fn_roce_get/set() to devlink_port_ops (Petr Oros) [RHEL-945]
- devlink: move port_fn_hw_addr_get/set() to devlink_port_ops (Petr Oros) [RHEL-945]
- mlx5: register devlink ports with ops (Petr Oros) [RHEL-945]
- sfc: register devlink port with ops (Petr Oros) [RHEL-945]
- devlink: move port_type_set() op into devlink_port_ops (Petr Oros) [RHEL-945]
- mlx4: register devlink port with ops (Petr Oros) [RHEL-945]
- devlink: move port_split/unsplit() ops into devlink_port_ops (Petr Oros) [RHEL-945]
- nfp: devlink: register devlink port with ops (Petr Oros) [RHEL-945]
- mlxsw_core: register devlink port with ops (Petr Oros) [RHEL-945]
- ice: register devlink port for PF with ops (Petr Oros) [RHEL-945]
- devlink: introduce port ops placeholder (Petr Oros) [RHEL-945]
- devlink: pass devlink_port pointer to ops->port_del() instead of index (Petr Oros) [RHEL-945]
- devlink: remove no longer true locking comment from port_new/del() (Petr Oros) [RHEL-945]
- devlink: remove duplicate port notification (Petr Oros) [RHEL-945]
- mm, netfs, fscache: stop read optimisation when folio removed from pagecache (Dave Wysochanski) [2209756]
- mm: merge folio_has_private()/filemap_release_folio() call pairs (Dave Wysochanski) [2209756]
- mm: release private data before split THP (Dave Wysochanski) [2209756]
- khugepage: replace try_to_release_page() with filemap_release_folio() (Dave Wysochanski) [2209756]
- memory-failure: convert truncate_error_page() to use folio (Dave Wysochanski) [2209756]
- ext4: convert move_extent_per_page() to use folios (Dave Wysochanski) [2209756]
- s390: add z16 elf platform (Tobias Huschle) [RHEL-2856]
- s390/dasd: fix hanging device after quiesce/resume (Tobias Huschle) [RHEL-2837]
- s390/dasd: fix command reject error on ESE devices (Tobias Huschle) [RHEL-2851]
- s390/ipl: add missing secure/has_secure file to ipl type 'unknown' (Tobias Huschle) [RHEL-2853]
- s390/qeth: Don't call dev_close/dev_open (DOWN/UP) (Tobias Huschle) [RHEL-2412]
- s390/dasd: print copy pair message only for the correct error (Tobias Huschle) [RHEL-2833]
- s390/dasd: fix hanging device after request requeue (Tobias Huschle) [RHEL-2832]
- RDMA/umem: Set iova in ODP flow (Kamal Heib) [RHEL-1029]
- RDMA/core: Update CMA destination address on rdma_resolve_addr (Kamal Heib) [RHEL-1029]
- RDMA/core: Refactor rdma_bind_addr (Kamal Heib) [RHEL-1029]
- RDMA/cma: Remove NULL check before dev_{put, hold} (Kamal Heib) [RHEL-1029]
- IB/isert: Fix possible list corruption in CMA handler (Kamal Heib) [RHEL-956]
- IB/isert: Fix dead lock in ib_isert (Kamal Heib) [RHEL-956]
- RDMA/srpt: Add a check for valid 'mad_agent' pointer (Kamal Heib) [RHEL-956]
- IB/iser: remove redundant new line (Kamal Heib) [RHEL-956]
- IB/iser: centralize setting desc type and done callback (Kamal Heib) [RHEL-956]
- IB/iser: remove unused macros (Kamal Heib) [RHEL-956]
- IB/uverbs: Fix to consider event queue closing also upon non-blocking mode (Kamal Heib) [RHEL-956]
- RDMA/uverbs: Restrict usage of privileged QKEYs (Kamal Heib) [RHEL-956]
- RDMA/cma: Always set static rate to 0 for RoCE (Kamal Heib) [RHEL-956]
- RDMA/core: Fix GID entry ref leak when create_ah fails (Kamal Heib) [RHEL-956]
- RDMA/cm: Trace icm_send_rej event before the cm state is reset (Kamal Heib) [RHEL-956]
- RDMA/cma: Remove NULL check before dev_{put, hold} (Kamal Heib) [RHEL-956]
- RDMA/core: Fix multiple -Warray-bounds warnings (Kamal Heib) [RHEL-956]
- RDMA/cma: Allow UD qp_type to join multicast only (Kamal Heib) [RHEL-956]
- RDMA: Add missed netdev_put() for the netdevice_tracker (Kamal Heib) [RHEL-956]
- RDMA: Add netdevice_tracker to ib_device_set_netdev() (Kamal Heib) [RHEL-956]
- configs: add CONFIG_FAULT_INJECTION_CONFIGFS entry (Ming Lei) [RHEL-1516]
- md: don't dereference mddev after export_rdev() (Ming Lei) [RHEL-1516]
- md: protect md_thread with rcu (Ming Lei) [RHEL-1516]
- md/bitmap: factor out a helper to set timeout (Ming Lei) [RHEL-1516]
- md/bitmap: always wake up md_thread in timeout_store (Ming Lei) [RHEL-1516]
- dm-raid: remove useless checking in raid_message() (Ming Lei) [RHEL-1516]
- md: factor out a helper to wake up md_thread directly (Ming Lei) [RHEL-1516]
- md: fix warning for holder mismatch from export_rdev() (Ming Lei) [RHEL-1516]
- PM: hibernate: Fix the exclusive get block device in test_resume mode (Ming Lei) [RHEL-1516]
- scsi: sg: Fix checking return value of blk_get_queue() (Ming Lei) [RHEL-1516]
- PM: hibernate: Fix writing maj:min to /sys/power/resume (Ming Lei) [RHEL-1516]
- PM: hibernate: fix resume_store() return value when hibernation not available (Ming Lei) [RHEL-1516]
- md: use mddev->external to select holder in export_rdev() (Ming Lei) [RHEL-1516]
- md: fix 'delete_mutex' deadlock (Ming Lei) [RHEL-1516]
- md: fix duplicate filename for rdev (Ming Lei) [RHEL-1516]
- init: don't panic if mount_nodev_root failed (Ming Lei) [RHEL-1516]
- blk-flush: fix rq->flush.seq for post-flush requests (Ming Lei) [RHEL-1516]
- blk-mq: release scheduler resource when request completes (Ming Lei) [RHEL-1516]
- blk-crypto: dynamically allocate fallback profile (Ming Lei) [RHEL-1516]
- nvme: core: don't hold rcu read lock in nvme_ns_chr_uring_cmd_iopoll (Ming Lei) [RHEL-1516]
- blk-iocost: fix queue stats accounting (Ming Lei) [RHEL-1516]
- block: don't make REQ_POLLED imply REQ_NOWAIT (Ming Lei) [RHEL-1516]
- block: get rid of unused plug->nowait flag (Ming Lei) [RHEL-1516]
- block: Fix a source code comment in include/uapi/linux/blkzoned.h (Ming Lei) [RHEL-1516]
- loop: do not enforce max_loop hard limit by (new) default (Ming Lei) [RHEL-1516]
- loop: deprecate autoloading callback loop_probe() (Ming Lei) [RHEL-1516]
- sbitmap: fix batching wakeup (Ming Lei) [RHEL-1516]
- blk-iocost: skip empty flush bio in iocost (Ming Lei) [RHEL-1516]
- blk-mq: delete dead struct blk_mq_hw_ctx->queued field (Ming Lei) [RHEL-1516]
- blk-mq: Fix stall due to recursive flush plug (Ming Lei) [RHEL-1516]
- block: queue data commands from the flush state machine at the head (Ming Lei) [RHEL-1516]
- blk-mq: fix start_time_ns and alloc_time_ns for pre-allocated rq (Ming Lei) [RHEL-1516]
- block/mq-deadline: Fix a bug in deadline_from_pos() (Ming Lei) [RHEL-1516]
- nvme: ensure disabling pairs with unquiesce (Ming Lei) [RHEL-1516]
- block: remove dead struc request->completion_data field (Ming Lei) [RHEL-1516]
- nvme: fix the NVME_ID_NS_NVM_STS_MASK definition (Ming Lei) [RHEL-1516]
- nvmet: use PAGE_SECTORS_SHIFT (Ming Lei) [RHEL-1516]
- nvme: add BOGUS_NID quirk for Samsung SM953 (Ming Lei) [RHEL-1516]
- blk-crypto: use dynamic lock class for blk_crypto_profile::lock (Ming Lei) [RHEL-1516]
- block/partition: fix signedness issue for Amiga partitions (Ming Lei) [RHEL-1516]
- nvme: disable controller on reset state failure (Ming Lei) [RHEL-1516]
- nvme: sync timeout work on failed reset (Ming Lei) [RHEL-1516]
- nvme: ensure unquiesce on teardown (Ming Lei) [RHEL-1516]
- cdrom/gdrom: Fix build error (Ming Lei) [RHEL-1516]
- nvme: improved uring polling (Ming Lei) [RHEL-1516]
- block: add request polling helper (Ming Lei) [RHEL-1516]
- nvme-mpath: fix I/O failure with EAGAIN when failing over I/O (Ming Lei) [RHEL-1516]
- nvme: host: fix command name spelling (Ming Lei) [RHEL-1516]
- blk-sysfs: add a new attr_group for blk_mq (Ming Lei) [RHEL-1516]
- blk-iocost: move wbt_enable/disable_default() out of spinlock (Ming Lei) [RHEL-1516]
- blk-wbt: cleanup rwb_enabled() and wbt_disabled() (Ming Lei) [RHEL-1516]
- blk-wbt: remove dead code to handle wbt enable/disable with io inflight (Ming Lei) [RHEL-1516]
- blk-wbt: don't create wbt sysfs entry if CONFIG_BLK_WBT is disabled (Ming Lei) [RHEL-1516]
- blk-mq: fix two misuses on RQF_USE_SCHED (Ming Lei) [RHEL-1516]
- scsi/sg: don't grab scsi host module reference (Ming Lei) [RHEL-1516]
- ext4: Fix warning in blkdev_put() (Ming Lei) [RHEL-1516]
- block: don't return -EINVAL for not found names in devt_from_devname (Ming Lei) [RHEL-1516]
- block: Improve kernel-doc headers (Ming Lei) [RHEL-1516]
- block: fix the exclusive open mask in disk_scan_partitions (Ming Lei) [RHEL-1516]
- block: add overflow checks for Amiga partition support (Ming Lei) [RHEL-1516]
- block: change all __u32 annotations to __be32 in affs_hardblocks.h (Ming Lei) [RHEL-1516]
- block: fix signed int overflow in Amiga partition support (Ming Lei) [RHEL-1516]
- block: add capacity validation in bdev_add_partition() (Ming Lei) [RHEL-1516]
- block: fine-granular CAP_SYS_ADMIN for Persistent Reservation (Ming Lei) [RHEL-1516]
- block: disallow Persistent Reservation on partitions (Ming Lei) [RHEL-1516]
- reiserfs: fix blkdev_put() warning from release_journal_dev() (Ming Lei) [RHEL-1516]
- block: fix wrong mode for blkdev_get_by_dev() from disk_scan_partitions() (Ming Lei) [RHEL-1516]
- block: document the holder argument to blkdev_get_by_path (Ming Lei) [RHEL-1516]
- block: increment diskseq on all media change events (Ming Lei) [RHEL-1516]
- swim: fix a missing FMODE_ -> BLK_OPEN_ conversion in floppy_open (Ming Lei) [RHEL-1516]
- block: fix blktrace debugfs entries leakage (Ming Lei) [RHEL-1516]
- scsi: sg: fix blktrace debugfs entries leakage (Ming Lei) [RHEL-1516]
- blktrace: use inline function for blk_trace_remove() while blktrace is disabled (Ming Lei) [RHEL-1516]
- brd: use cond_resched instead of cond_resched_rcu (Ming Lei) [RHEL-1516]
- blk-mq: check on cpu id when there is only one ctx mapping (Ming Lei) [RHEL-1516]
- swim3: fix the floppy_locked_ioctl prototype (Ming Lei) [RHEL-1516]
- blk-mq: fix potential io hang by wrong 'wake_batch' (Ming Lei) [RHEL-1516]
- fs: remove the now unused FMODE_* flags (Ming Lei) [RHEL-1516]
- block: store the holder in file->private_data (Ming Lei) [RHEL-1516]
- block: always use I_BDEV on file->f_mapping->host to find the bdev (Ming Lei) [RHEL-1516]
- block: replace fmode_t with a block-specific type for block open flags (Ming Lei) [RHEL-1516]
- block: remove unused fmode_t arguments from ioctl handlers (Ming Lei) [RHEL-1516]
- block: move a few internal definitions out of blkdev.h (Ming Lei) [RHEL-1516]
- ubd: remove commented out code in ubd_open (Ming Lei) [RHEL-1516]
- rnbd-srv: replace sess->open_flags with a "bool readonly" (Ming Lei) [RHEL-1516]
- mtd: block: use a simple bool to track open for write (Ming Lei) [RHEL-1516]
- nvme: replace the fmode_t argument to the nvme ioctl handlers with a simple bool (Ming Lei) [RHEL-1516]
- nvme: consult the CSE log page for unprivileged passthrough (Ming Lei) [RHEL-1516]
- nvme: fix the NVME_CMD_EFFECTS_CSE_MASK definition (Ming Lei) [RHEL-1516]
- nvme: don't allow unprivileged passthrough on partitions (Ming Lei) [RHEL-1516]
- nvme: replace the "bool vec" arguments with flags in the ioctl path (Ming Lei) [RHEL-1516]
- nvme: remove __nvme_ioctl (Ming Lei) [RHEL-1516]
- nvme: identify-namespace without CAP_SYS_ADMIN (Ming Lei) [RHEL-1516]
- nvme: fine-granular CAP_SYS_ADMIN for nvme io commands (Ming Lei) [RHEL-1516]
- scsi: replace the fmode_t argument to ->sg_io_fn with a simple bool (Ming Lei) [RHEL-1516]
- scsi: replace the fmode_t argument to scsi_ioctl with a simple bool (Ming Lei) [RHEL-1516]
- scsi: replace the fmode_t argument to scsi_cmd_allowed with a simple bool (Ming Lei) [RHEL-1516]
- fs: remove sb->s_mode (Ming Lei) [RHEL-1516]
- block: add a sb_open_mode helper (Ming Lei) [RHEL-1516]
- block: use the holder as indication for exclusive opens (Ming Lei) [RHEL-1516]
- swsusp: don't pass a stack address to blkdev_get_by_path (Ming Lei) [RHEL-1516]
- block: rename blkdev_close to blkdev_release (Ming Lei) [RHEL-1516]
- block: remove the unused mode argument to ->release (Ming Lei) [RHEL-1516]
- block: pass a gendisk to ->open (Ming Lei) [RHEL-1516]
- block: pass a gendisk on bdev_check_media_change (Ming Lei) [RHEL-1516]
- cdrom: remove the unused mode argument to cdrom_release (Ming Lei) [RHEL-1516]
- cdrom: track if a cdrom_device_info was opened for data (Ming Lei) [RHEL-1516]
- cdrom: remove the unused cdrom_close_write release code (Ming Lei) [RHEL-1516]
- cdrom: remove the unused mode argument to cdrom_ioctl (Ming Lei) [RHEL-1516]
- cdrom: remove the unused bdev argument to cdrom_open (Ming Lei) [RHEL-1516]
- block: also call ->open for incremental partition opens (Ming Lei) [RHEL-1516]
- block/rnbd-srv: make process_msg_sess_info returns void (Ming Lei) [RHEL-1516]
- block/rnbd-srv: init err earlier in rnbd_srv_init_module (Ming Lei) [RHEL-1516]
- block/rnbd-srv: init ret with 0 instead of -EPERM (Ming Lei) [RHEL-1516]
- block/rnbd-srv: rename one member in rnbd_srv_dev (Ming Lei) [RHEL-1516]
- block/rnbd-srv: no need to check sess_dev (Ming Lei) [RHEL-1516]
- block/rnbd: introduce rnbd_access_modes (Ming Lei) [RHEL-1516]
- block/rnbd-srv: remove unused header (Ming Lei) [RHEL-1516]
- block/rnbd: kill rnbd_flags_supported (Ming Lei) [RHEL-1516]
- block: fix rootwait= again (Ming Lei) [RHEL-1516]
- pktcdvd: Sort headers (Ming Lei) [RHEL-1516]
- pktcdvd: Get rid of redundant 'else' (Ming Lei) [RHEL-1516]
- pktcdvd: Use put_unaligned_be16() and get_unaligned_be16() (Ming Lei) [RHEL-1516]
- pktcdvd: Use DEFINE_SHOW_ATTRIBUTE() to simplify code (Ming Lei) [RHEL-1516]
- pktcdvd: Drop redundant castings for sector_t (Ming Lei) [RHEL-1516]
- pktcdvd: Get rid of pkt_seq_show() forward declaration (Ming Lei) [RHEL-1516]
- pktcdvd: use sysfs_emit() to instead of scnprintf() (Ming Lei) [RHEL-1516]
- pktcdvd: replace sscanf() by kstrtoul() (Ming Lei) [RHEL-1516]
- pktcdvd: Get rid of custom printing macros (Ming Lei) [RHEL-1516]
- block: fix rootwait= (Ming Lei) [RHEL-1516]
- blk-cgroup: Reinit blkg_iostat_set after clearing in blkcg_reset_stats() (Ming Lei) [RHEL-1516]
- blk-ioc: fix recursive spin_lock/unlock_irq() in ioc_clear_queue() (Ming Lei) [RHEL-1516]
- nbd: Add the maximum limit of allocated index in nbd_dev_add (Ming Lei) [RHEL-1516]
- blk-ioprio: Introduce promote-to-rt policy (Ming Lei) [RHEL-1516]
- blk-iocost: use spin_lock_irqsave in adjust_inuse_and_calc_cost (Ming Lei) [RHEL-1516]
- block: mark early_lookup_bdev as __init (Ming Lei) [RHEL-1516]
- mtd: block2mtd: don't call early_lookup_bdev after the system is running (Ming Lei) [RHEL-1516]
- mtd: block2mtd: factor the early block device open logic into a helper (Ming Lei) [RHEL-1516]
- PM: hibernate: don't use early_lookup_bdev in resume_store (Ming Lei) [RHEL-1516]
- dm: only call early_lookup_bdev from early boot context (Ming Lei) [RHEL-1516]
- dm: remove dm_get_dev_t (Ming Lei) [RHEL-1516]
- dm: open code dm_get_dev_t in dm_init_init (Ming Lei) [RHEL-1516]
- dm-snap: simplify the origin_dev == cow_dev check in snapshot_ctr (Ming Lei) [RHEL-1516]
- block: move more code to early-lookup.c (Ming Lei) [RHEL-1516]
- block: move the code to do early boot lookup of block devices to block/ (Ming Lei) [RHEL-1516]
- init: clear root_wait on all invalid root= strings (Ming Lei) [RHEL-1516]
- init: improve the name_to_dev_t interface (Ming Lei) [RHEL-1516]
- init: move the nfs/cifs/ram special cases out of name_to_dev_t (Ming Lei) [RHEL-1516]
- init: factor the root_wait logic in prepare_namespace into a helper (Ming Lei) [RHEL-1516]
- init: handle ubi/mtd root mounting like all other root types (Ming Lei) [RHEL-1516]
- init: don't remove the /dev/ prefix from error messages (Ming Lei) [RHEL-1516]
- init: pass root_device_name explicitly (Ming Lei) [RHEL-1516]
- init: refactor mount_root (Ming Lei) [RHEL-1516]
- init: rename mount_block_root to mount_root_generic (Ming Lei) [RHEL-1516]
- init: remove pointless Root_* values (Ming Lei) [RHEL-1516]
- PM: hibernate: move finding the resume device out of software_resume (Ming Lei) [RHEL-1516]
- PM: hibernate: remove the global snapshot_test variable (Ming Lei) [RHEL-1516]
- PM: hibernate: factor out a helper to find the resume device (Ming Lei) [RHEL-1516]
- driver core: return bool from driver_probe_done (Ming Lei) [RHEL-1516]
- ext4: wire up the ->mark_dead holder operation for log devices (Ming Lei) [RHEL-1516]
- ext4: wire up sops->shutdown (Ming Lei) [RHEL-1516]
- ext4: split ext4_shutdown (Ming Lei) [RHEL-1516]
- xfs: wire up the ->mark_dead holder operation for log and RT devices (Ming Lei) [RHEL-1516]
- xfs: wire up sops->shutdown (Ming Lei) [RHEL-1516]
- fs: add a method to shut down the file system (Ming Lei) [RHEL-1516]
- block: add a mark_dead holder operation (Ming Lei) [RHEL-1516]
- block: introduce holder ops (Ming Lei) [RHEL-1516]
- block: remove blk_drop_partitions (Ming Lei) [RHEL-1516]
- block: delete partitions later in del_gendisk (Ming Lei) [RHEL-1516]
- block: unhash the inode earlier in delete_partition (Ming Lei) [RHEL-1516]
- block: avoid repeated work in blk_mark_disk_dead (Ming Lei) [RHEL-1516]
- block: consolidate the shutdown logic in blk_mark_disk_dead and del_gendisk (Ming Lei) [RHEL-1516]
- block: turn bdev_lock into a mutex (Ming Lei) [RHEL-1516]
- block: refactor bd_may_claim (Ming Lei) [RHEL-1516]
- block: factor out a bd_end_claim helper from blkdev_put (Ming Lei) [RHEL-1516]
- drbd: stop defining __KERNEL_SYSCALLS__ (Ming Lei) [RHEL-1516]
- block: Replace all non-returning strlcpy with strscpy (Ming Lei) [RHEL-1516]
- blk-ioc: protect ioc_destroy_icq() by 'queue_lock' (Ming Lei) [RHEL-1516]
- fs: iomap: use bio_add_folio_nofail where possible (Ming Lei) [RHEL-1516]
- block: add bio_add_folio_nofail (Ming Lei) [RHEL-1516]
- dm-crypt: use __bio_add_page to add single page to clone bio (Ming Lei) [RHEL-1516]
- md: raid1: check if adding pages to resync bio fails (Ming Lei) [RHEL-1516]
- md: raid1: use __bio_add_page for adding single page to bio (Ming Lei) [RHEL-1516]
- md: check for failure when adding pages in alloc_behind_master_bio (Ming Lei) [RHEL-1516]
- floppy: use __bio_add_page for adding single page to bio (Ming Lei) [RHEL-1516]
- zonefs: use __bio_add_page for adding single page to bio (Ming Lei) [RHEL-1516]
- gfs2: use __bio_add_page for adding single page to bio (Ming Lei) [RHEL-1516]
- jfs: logmgr: use __bio_add_page to add single page to bio (Ming Lei) [RHEL-1516]
- md: raid5: use __bio_add_page to add single page to new bio (Ming Lei) [RHEL-1516]
- md: raid5-log: use __bio_add_page to add single page (Ming Lei) [RHEL-1516]
- md: use __bio_add_page to add single page (Ming Lei) [RHEL-1516]
- fs: buffer: use __bio_add_page to add single page to bio (Ming Lei) [RHEL-1516]
- dm: dm-zoned: use __bio_add_page for adding single metadata page (Ming Lei) [RHEL-1516]
- drbd: use __bio_add_page to add page to bio (Ming Lei) [RHEL-1516]
- block: constify the whole_disk device_attribute (Ming Lei) [RHEL-1516]
- block: constify struct part_attr_group (Ming Lei) [RHEL-1516]
- block: constify struct part_type part_type (Ming Lei) [RHEL-1516]
- block: constify partition prober array (Ming Lei) [RHEL-1516]
- block: introduce block_io_start/block_io_done tracepoints (Ming Lei) [RHEL-1516]
- block/rq_qos: protect rq_qos apis with a new lock (Ming Lei) [RHEL-1516]
- block: remove redundant req_op in blk_rq_is_passthrough (Ming Lei) [RHEL-1516]
- block: don't plug in blkdev_write_iter (Ming Lei) [RHEL-1516]
- block: BFQ: Move an invariant check (Ming Lei) [RHEL-1516]
- blk-mq: don't use the requeue list to queue flush commands (Ming Lei) [RHEL-1516]
- blk-mq: do not do head insertions post-pre-flush commands (Ming Lei) [RHEL-1516]
- blk-mq: defer to the normal submission path for post-flush requests (Ming Lei) [RHEL-1516]
- blk-mq: use the I/O scheduler for writes from the flush state machine (Ming Lei) [RHEL-1516]
- blk-mq: defer to the normal submission path for non-flush flush commands (Ming Lei) [RHEL-1516]
- blk-mq: reflow blk_insert_flush (Ming Lei) [RHEL-1516]
- blk-mq: factor out a blk_rq_init_flush helper (Ming Lei) [RHEL-1516]
- fs: remove the special !CONFIG_BLOCK def_blk_fops (Ming Lei) [RHEL-1516]
- block: BFQ: Add several invariant checks (Ming Lei) [RHEL-1516]
- block: mq-deadline: Fix handling of at-head zoned writes (Ming Lei) [RHEL-1516]
- block: mq-deadline: Handle requeued requests correctly (Ming Lei) [RHEL-1516]
- block: mq-deadline: Track the dispatch position (Ming Lei) [RHEL-1516]
- block: mq-deadline: Reduce lock contention (Ming Lei) [RHEL-1516]
- block: mq-deadline: Simplify deadline_skip_seq_writes() (Ming Lei) [RHEL-1516]
- block: mq-deadline: Clean up deadline_check_fifo() (Ming Lei) [RHEL-1516]
- block: Introduce blk_rq_is_seq_zoned_write() (Ming Lei) [RHEL-1516]
- block: Introduce op_needs_zoned_write_locking() (Ming Lei) [RHEL-1516]
- block: Fix the type of the second bdev_op_is_zoned_write() argument (Ming Lei) [RHEL-1516]
- block: Simplify blk_req_needs_zone_write_lock() (Ming Lei) [RHEL-1516]
- block: mq-deadline: Add a word in a source code comment (Ming Lei) [RHEL-1516]
- blk-mq: make sure elevator callbacks aren't called for passthrough request (Ming Lei) [RHEL-1516]
- blk-mq: remove RQF_ELVPRIV (Ming Lei) [RHEL-1516]
- block: Decode all flag names in the debugfs output (Ming Lei) [RHEL-1516]
- brd: use XArray instead of radix-tree to index backing pages (Ming Lei) [RHEL-1516]
- nvme: fix the name of Zone Append for verbose logging (Ming Lei) [RHEL-1516]
- nvme: improve handling of long keep alives (Ming Lei) [RHEL-1516]
- nvme: check IO start time when deciding to defer KA (Ming Lei) [RHEL-1516]
- nvme: double KA polling frequency to avoid KATO with TBKAS on (Ming Lei) [RHEL-1516]
- nvme: fix miss command type check (Ming Lei) [RHEL-1516]
- NVMe: Add MAXIO 1602 to bogus nid list. (Ming Lei) [RHEL-1516]
- block: make bio_check_eod work for zero sized devices (Ming Lei) [RHEL-1516]
- block: fix bio-cache for passthru IO (Ming Lei) [RHEL-1516]
- block, bfq: update Paolo's address in maintainer list (Ming Lei) [RHEL-1516]
- blk-wbt: fix that wbt can't be disabled by default (Ming Lei) [RHEL-1516]
- block: remove NFL4_UFLG_MASK (Ming Lei) [RHEL-1516]
- block: Deny writable memory mapping if block is read-only (Ming Lei) [RHEL-1516]
- nvme-pci: Add quirk for Teamgroup MP33 SSD (Ming Lei) [RHEL-1516]
- nvme-multipath: don't call blk_mark_disk_dead in nvme_mpath_remove_disk (Ming Lei) [RHEL-1516]
- nvme-pci: add quirk for missing secondary temperature thresholds (Ming Lei) [RHEL-1516]
- nvme-pci: add NVME_QUIRK_BOGUS_NID for HS-SSD-FUTURE 2048G (Ming Lei) [RHEL-1516]
- block/rnbd: replace REQ_OP_FLUSH with REQ_OP_WRITE (Ming Lei) [RHEL-1516]
- nbd: Fix debugfs_create_dir error checking (Ming Lei) [RHEL-1516]
- drbd: correctly submit flush bio on barrier (Ming Lei) [RHEL-1516]
- writeback: fix call of incorrect macro (Ming Lei) [RHEL-1516]
- docs nbd: userspace NBD now favors github over sourceforge (Ming Lei) [RHEL-1516]
- block nbd: use req.cookie instead of req.handle (Ming Lei) [RHEL-1516]
- uapi nbd: add cookie alias to handle (Ming Lei) [RHEL-1516]
- uapi nbd: improve doc links to userspace spec (Ming Lei) [RHEL-1516]
- blk-integrity: register sysfs attributes on struct device (Ming Lei) [RHEL-1516]
- blk-integrity: convert to struct device_attribute (Ming Lei) [RHEL-1516]
- blk-integrity: use sysfs_emit (Ming Lei) [RHEL-1516]
- block/drivers: remove dead clear of random flag (Ming Lei) [RHEL-1516]
- block: sync part's ->bd_has_submit_bio with disk's (Ming Lei) [RHEL-1516]
- block: Cleanup set_capacity()/bdev_set_nr_sectors() (Ming Lei) [RHEL-1516]
- nbd: fix incomplete validation of ioctl arg (Ming Lei) [RHEL-1516]
- sed-opal: geometry feature reporting command (Ming Lei) [RHEL-1516]
- blk-mq: fix the blk_mq_add_to_requeue_list call in blk_kick_flush (Ming Lei) [RHEL-1516]
- block, bfq: Fix division by zero error on zero wsum (Ming Lei) [RHEL-1516]
- fault-inject: fix build error when FAULT_INJECTION_CONFIGFS=y and CONFIGFS_FS=m (Ming Lei) [RHEL-1516]
- block: store bdev->bd_disk->fops->submit_bio state in bdev (Ming Lei) [RHEL-1516]
- block: re-arrange the struct block_device fields for better layout (Ming Lei) [RHEL-1516]
- block: null_blk: make fault-injection dynamically configurable per device (Ming Lei) [RHEL-1516]
- fault-inject: allow configuration via configfs (Ming Lei) [RHEL-1516]
- blk-mq: remove __blk_mq_run_hw_queue (Ming Lei) [RHEL-1516]
- blk-mq: move the !async handling out of __blk_mq_delay_run_hw_queue (Ming Lei) [RHEL-1516]
- blk-mq: move the blk_mq_hctx_stopped check in __blk_mq_delay_run_hw_queue (Ming Lei) [RHEL-1516]
- blk-mq: remove the blk_mq_hctx_stopped check in blk_mq_run_work_fn (Ming Lei) [RHEL-1516]
- blk-mq: cleanup __blk_mq_sched_dispatch_requests (Ming Lei) [RHEL-1516]
- blk-mq: pass a flags argument to blk_mq_add_to_requeue_list (Ming Lei) [RHEL-1516]
- blk-mq: pass a flags argument to elevator_type->insert_requests (Ming Lei) [RHEL-1516]
- blk-mq: pass a flags argument to blk_mq_request_bypass_insert (Ming Lei) [RHEL-1516]
- blk-mq: pass a flags argument to blk_mq_insert_request (Ming Lei) [RHEL-1516]
- blk-mq: don't kick the requeue_list in blk_mq_add_to_requeue_list (Ming Lei) [RHEL-1516]
- blk-mq: don't run the hw_queue from blk_mq_request_bypass_insert (Ming Lei) [RHEL-1516]
- blk-mq: don't run the hw_queue from blk_mq_insert_request (Ming Lei) [RHEL-1516]
- blk-mq: fold __blk_mq_try_issue_directly into its two callers (Ming Lei) [RHEL-1516]
- blk-mq: factor out a blk_mq_get_budget_and_tag helper (Ming Lei) [RHEL-1516]
- blk-mq: refactor the DONTPREP/SOFTBARRIER andling in blk_mq_requeue_work (Ming Lei) [RHEL-1516]
- blk-mq: refactor passthrough vs flush handling in blk_mq_insert_request (Ming Lei) [RHEL-1516]
- blk-mq: remove blk_flush_queue_rq (Ming Lei) [RHEL-1516]
- blk-mq: fold __blk_mq_insert_req_list into blk_mq_insert_request (Ming Lei) [RHEL-1516]
- blk-mq: fold __blk_mq_insert_request into blk_mq_insert_request (Ming Lei) [RHEL-1516]
- blk-mq: move blk_mq_sched_insert_request to blk-mq.c (Ming Lei) [RHEL-1516]
- blk-mq: include <linux/blk-mq.h> in block/blk-mq.h (Ming Lei) [RHEL-1516]
- blk-mq: remove blk-mq-tag.h (Ming Lei) [RHEL-1516]
- blk-throttle: only enable blk-stat when BLK_DEV_THROTTLING_LOW (Ming Lei) [RHEL-1516]
- blk-stat: fix QUEUE_FLAG_STATS clear (Ming Lei) [RHEL-1516]
- blk-iolatency: Make initialization lazy (Ming Lei) [RHEL-1516]
- blk-iolatency: s/blkcg_rq_qos/iolat_rq_qos/ (Ming Lei) [RHEL-1516]
- blkcg: Restructure blkg_conf_prep() and friends (Ming Lei) [RHEL-1516]
- blkcg: Drop unnecessary RCU read [un]locks from blkg_conf_prep/finish() (Ming Lei) [RHEL-1516]
- nvme-fcloop: fix "inconsistent {IN-HARDIRQ-W} -> {HARDIRQ-ON-W} usage" (Ming Lei) [RHEL-1516]
- blk-mq-rdma: remove queue mapping helper for rdma devices (Ming Lei) [RHEL-1516]
- nvme-rdma: minor cleanup in nvme_rdma_create_cq() (Ming Lei) [RHEL-1516]
- nvme: fix double blk_mq_complete_request for timeout request with low probability (Ming Lei) [RHEL-1516]
- nvme: fix async event trace event (Ming Lei) [RHEL-1516]
- nvme-apple: return directly instead of else (Ming Lei) [RHEL-1516]
- nvme-apple: return directly instead of else (Ming Lei) [RHEL-1516]
- nvmet-tcp: validate idle poll modparam value (Ming Lei) [RHEL-1516]
- nvmet-tcp: validate so_priority modparam value (Ming Lei) [RHEL-1516]
- nvmet: remove nvmet_req_cns_error_complete (Ming Lei) [RHEL-1516]
- nvmet: rename nvmet_execute_identify_cns_cs_ns (Ming Lei) [RHEL-1516]
- nvmet: fix Identify Identification Descriptor List handling (Ming Lei) [RHEL-1516]
- nvmet: cleanup nvmet_execute_identify() (Ming Lei) [RHEL-1516]
- nvmet: fix I/O Command Set specific Identify Controller (Ming Lei) [RHEL-1516]
- nvmet: fix Identify Active Namespace ID list handling (Ming Lei) [RHEL-1516]
- nvmet: fix Identify Controller handling (Ming Lei) [RHEL-1516]
- nvmet: fix Identify Namespace handling (Ming Lei) [RHEL-1516]
- nvmet: fix error handling in nvmet_execute_identify_cns_cs_ns() (Ming Lei) [RHEL-1516]
- nvme-pci: drop redundant pci_enable_pcie_error_reporting() (Ming Lei) [RHEL-1516]
- blk-cgroup: delete cpd_init_fn of blkcg_policy (Ming Lei) [RHEL-1516]
- blk-cgroup: delete cpd_bind_fn of blkcg_policy (Ming Lei) [RHEL-1516]
- block, bfq: remove BFQ_WEIGHT_LEGACY_DFL (Ming Lei) [RHEL-1516]
- sed-opal: Add command to read locking range parameters. (Ming Lei) [RHEL-1516]
- sed-opal: add helper to get multiple columns at once. (Ming Lei) [RHEL-1516]
- sed-opal: allow user authority to get locking range attributes. (Ming Lei) [RHEL-1516]
- sed-opal: add helper for adding user authorities in ACE. (Ming Lei) [RHEL-1516]
- sed-opal: do not add same authority twice in boolean ace. (Ming Lei) [RHEL-1516]
- drbd: Pass a peer device to the resync and online verify functions (Ming Lei) [RHEL-1516]
- drbd: pass drbd_peer_device to __req_mod (Ming Lei) [RHEL-1516]
- drbd: drbd_uuid_compare: pass a peer_device (Ming Lei) [RHEL-1516]
- drbd: INFO_bm_xfer_stats(): Pass a peer device argument (Ming Lei) [RHEL-1516]
- drbd: Add peer device parameter to whole-bitmap I/O handlers (Ming Lei) [RHEL-1516]
- drbd: Rip out the ERR_IF_CNT_IS_NEGATIVE macro (Ming Lei) [RHEL-1516]
- genetlink: make _genl_cmd_to_str static (Ming Lei) [RHEL-1516]
- null_blk: use kmap_local_page() and kunmap_local() (Ming Lei) [RHEL-1516]
- null_blk: use non-deprecated lib functions (Ming Lei) [RHEL-1516]
- block: open code __blk_account_io_done() (Ming Lei) [RHEL-1516]
- block: open code __blk_account_io_start() (Ming Lei) [RHEL-1516]
- blk-mq: remove hybrid polling (Ming Lei) [RHEL-1516]
- blk-crypto: drop the NULL check from blk_crypto_put_keyslot() (Ming Lei) [RHEL-1516]
- blk-mq: return actual keyslot error in blk_insert_cloned_request() (Ming Lei) [RHEL-1516]
- blk-crypto: remove blk_crypto_insert_cloned_request() (Ming Lei) [RHEL-1516]
- blk-crypto: make blk_crypto_evict_key() more robust (Ming Lei) [RHEL-1516]
- blk-crypto: make blk_crypto_evict_key() return void (Ming Lei) [RHEL-1516]
- blk-mq: release crypto keyslot before reporting I/O complete (Ming Lei) [RHEL-1516]
- nbd: use the structured req attr check (Ming Lei) [RHEL-1516]
- nbd: allow genl access outside init_net (Ming Lei) [RHEL-1516]
- fs: simplify get_filesystem_list / get_all_fs_names (Ming Lei) [RHEL-1516]
- init: allow mounting arbitrary non-blockdevice filesystems as root (Ming Lei) [RHEL-1516]
- init: split get_fs_names (Ming Lei) [RHEL-1516]
- PM: hibernate: Do not get block device exclusively in test_resume mode (Ming Lei) [RHEL-1516]
- PM: hibernate: Turn snapshot_test into global variable (Ming Lei) [RHEL-1516]
- PM: hibernate: fix load_image_and_restore() error path (Ming Lei) [RHEL-1516]
- PM: hibernate: use correct mode for swsusp_close() (Ming Lei) [RHEL-1516]
- PM: hibernate: Get block device exclusively in swsusp_check() (Ming Lei) [RHEL-1516]
- mtd: block2mtd: add support for an optional custom MTD label (Ming Lei) [RHEL-1516]
- mtd: block2mtd: minor refactor to avoid hard coded constant (Ming Lei) [RHEL-1516]
- mtd_blkdevs: simplify the refcounting in blktrans_{open, release} (Ming Lei) [RHEL-1516]
- mtd_blkdevs: simplify blktrans_getgeo (Ming Lei) [RHEL-1516]
- mtd_blkdevs: remove blktrans_ref_mutex (Ming Lei) [RHEL-1516]
- mtd_blkdevs: simplify blktrans_dev_get (Ming Lei) [RHEL-1516]
- mtd/rfd_ftl: don't cast away the type when calling add_mtd_blktrans_dev (Ming Lei) [RHEL-1516]
- mtd/ftl: don't cast away the type when calling add_mtd_blktrans_dev (Ming Lei) [RHEL-1516]
- mtd_blkdevs: use lockdep_assert_held (Ming Lei) [RHEL-1516]
- mtd_blkdevs: don't hold del_mtd_blktrans_dev in blktrans_{open, release} (Ming Lei) [RHEL-1516]
Resolves: rhbz#2209756, RHEL-1029, RHEL-1516, RHEL-2412, RHEL-2832, RHEL-2833, RHEL-2837, RHEL-2851, RHEL-2853, RHEL-2856, RHEL-945, RHEL-956

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-09-25 11:45:17 -04:00
Scott Weaver
b661e2e59e kernel-5.14.0-367.el9
* Mon Sep 18 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-367.el9]
- platform/x86/intel-uncore-freq: tpmi: Provide cluster level control (David Arcari) [2177013]
- platform/x86/intel-uncore-freq: Uncore frequency control via TPMI (David Arcari) [2177013]
- platform/x86/intel-uncore-freq: Support for cluster level controls (David Arcari) [2177013]
- platform/x86/intel-uncore-freq: Return error on write frequency (David Arcari) [2177013]
- platform/x86: intel-uncore-freq: Add client processors (David Arcari) [2177013]
- platform/x86: intel-uncore-freq: move to use bus_get_dev_root() (David Arcari) [2177013]
- platform/x86: intel-uncore-freq: add Emerald Rapids support (David Arcari) [2177013]
- platform/x86: intel-uncore-freq: Use sysfs_emit() to instead of scnprintf() (David Arcari) [2177013]
- platform/x86: intel-uncore-freq: Prevent driver loading in guests (David Arcari) [2177013]
- platform/x86: intel-uncore-freq: fix uncore_freq_common_init() error codes (David Arcari) [2177013]
- Documentation: admin-guide: pm: Document uncore frequency scaling (David Arcari) [2177013]
- platform/x86/intel-uncore-freq: Split common and enumeration part (David Arcari) [2177013]
- platform/x86/intel/uncore-freq: Display uncore current frequency (David Arcari) [2177013]
- platform/x86/intel/uncore-freq: Use sysfs API to create attributes (David Arcari) [2177013]
- platform/x86/intel/uncore-freq: Move to uncore-frequency folder (David Arcari) [2177013]
- platform/x86: intel-uncore-frequency: use default_groups in kobj_type (David Arcari) [2177013]
- platform/x86: intel-uncore-frequency: Move to intel sub-directory (David Arcari) [2177013]
- Revert "platform/x86: intel-uncore-freq: add Emerald Rapids support" (David Arcari) [2177013]
- redhat: add additional gating boot tests (Scott Weaver)
- powercap: intel_rapl: Fix invalid setting of Power Limit 4 (David Arcari) [2177009]
- powercap: intel_rapl: Optimize rp->domains memory allocation (David Arcari) [2177009]
- powercap: intel_rapl: Fix a sparse warning in TPMI interface (David Arcari) [2177009]
- powercap: RAPL: Fix a NULL vs IS_ERR() bug (David Arcari) [2177009]
- powercap: RAPL: Fix CONFIG_IOSF_MBI dependency (David Arcari) [2177009]
- powercap: RAPL: fix invalid initialization for pl4_supported field (David Arcari) [2177009]
- redhat/configs: enable CONFIG_INTEL_RAPL_TPMI (David Arcari) [2177009]
- redhat/filter-modules.sh.rhel: add needed deps for intel_rapl_tpmi (David Arcari) [2177009]
- powercap: intel_rapl: Introduce RAPL TPMI interface driver (David Arcari) [2177009]
- powercap: intel_rapl: Introduce core support for TPMI interface (David Arcari) [2177009]
- powercap: intel_rapl: Introduce RAPL I/F type (David Arcari) [2177009]
- powercap: intel_rapl: Make cpu optional for rapl_package (David Arcari) [2177009]
- powercap: intel_rapl: Remove redundant cpu parameter (David Arcari) [2177009]
- powercap: intel_rapl: Add support for lock bit per Power Limit (David Arcari) [2177009]
- powercap: intel_rapl: Cleanup Power Limits support (David Arcari) [2177009]
- powercap: intel_rapl: Use bitmap for Power Limits (David Arcari) [2177009]
- powercap: intel_rapl: Change primitive order (David Arcari) [2177009]
- powercap: intel_rapl: Use index to initialize primitive information (David Arcari) [2177009]
- powercap: intel_rapl: Support per domain energy/power/time unit (David Arcari) [2177009]
- powercap: intel_rapl: Support per Interface primitive information (David Arcari) [2177009]
- powercap: intel_rapl: Support per Interface rapl_defaults (David Arcari) [2177009]
- powercap: intel_rapl: Allow probing without CPUID match (David Arcari) [2177009]
- powercap: intel_rapl: Remove unused field in struct rapl_if_priv (David Arcari) [2177009]
- powercap: RAPL: Add Power Limit4 support for Meteor Lake SoC (David Arcari) [2177009]
- powercap: intel_rapl: Fix handling for large time window (David Arcari) [2177009]
- platform/x86:intel/pmc: Add Meteor Lake IOE-M PMC related maps (David Arcari) [2177038]
- platform/x86:intel/pmc: Add Meteor Lake IOE-P PMC related maps (David Arcari) [2177038]
- platform/x86:intel/pmc: Use SSRAM to discover pwrm base address of primary PMC (David Arcari) [2177038]
- platform/x86:intel/pmc: Discover PMC devices (David Arcari) [2177038]
- platform/x86:intel/pmc: Enable debugfs multiple PMC support (David Arcari) [2177038]
- platform/x86:intel/pmc: Add support to handle multiple PMCs (David Arcari) [2177038]
- platform/x86:intel/pmc: Combine core_init() and core_configure() (David Arcari) [2177038]
- platform/x86:intel/pmc: Update maps for Meteor Lake P/M platforms (David Arcari) [2177038]
- platform/x86/intel/pmc/mtl: Put devices in D3 during resume (David Arcari) [2177038]
- platform/x86/intel/pmc: Add resume callback (David Arcari) [2177038]
- platform/x86:intel/pmc: Remove Meteor Lake S platform support (David Arcari) [2177038]
- platform/x86/intel/pmc/mtl: Put GNA/IPU/VPU devices in D3 (David Arcari) [2177038]
- platform/x86/intel/pmc: Alder Lake PCH slp_s0_residency fix (David Arcari) [2177038]
- platform/x86: intel: pmc: core: Convert to platform remove callback returning void (David Arcari) [2177038]
Resolves: rhbz#2177009, rhbz#2177013, rhbz#2177038

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-09-18 13:04:46 -04:00
Scott Weaver
d0cec25c97 kernel-5.14.0-365.el9
* Tue Sep 12 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-365.el9]
- redhat/self-test: Remove rpmlint test (Prarit Bhargava)
- redhat: shellcheck script fixes (Prarit Bhargava)
- redhat/self-test: Clean up tests that do not work in CS9/RHEL9 (Prarit Bhargava)
- redhat/self-test/data: Rework data (Prarit Bhargava)
- redhat/kernel.spec.template: update compression variables to support zstd (Brian Masney) [RHEL-2376]
- kernel.spec.template: Add global compression variables (Brian Masney) [RHEL-2376]
- platform/x86/intel/tpmi: Add debugfs interface (David Arcari) [2177011]
- platform/x86/intel/tpmi: Read feature control status (David Arcari) [2177011]
- redhat/configs: enable CONFIG_INTEL_TPMI (David Arcari) [2177011]
- platform/x86/intel/tpmi: Prevent overflow for cap_offset (David Arcari) [2177011]
- platform/x86/intel: tpmi: Remove hardcoded unit and offset (David Arcari) [2177011]
- platform/x86/intel: tpmi: Revise the comment of intel_vsec_add_aux (David Arcari) [2177011]
- platform/x86/intel: tpmi: Fix double free in tpmi_create_device() (David Arcari) [2177011]
- platform/x86/intel/tpmi: Fix double free reported by Smatch (David Arcari) [2177011]
- platform/x86/intel/tpmi: ADD tpmi external interface for tpmi feature drivers (David Arcari) [2177011]
- platform/x86/intel/tpmi: Process CPU package mapping (David Arcari) [2177011]
- platform/x86/intel: Intel TPMI enumeration driver (David Arcari) [2177011]
Resolves: rhbz#2177011, RHEL-2376

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-09-12 08:27:19 -04:00
Scott Weaver
5db9a95697 kernel-5.14.0-364.el9
* Fri Sep 08 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-364.el9]
- arm64: dts: qcom: sa8775p: add the PMU node (Lucas Karpinski) [RHEL-1730]
- scsi: st: Add third party poweron reset handling (John Meneghini) [2210024]
- gpio: remove MODULE_LICENSE in non-modules (Steve Best) [2230647]
- bpf: sockmap: Remove preempt_disable in sock_map_sk_acquire (Tomas Glozar) [2229975]
- tracing: Fix permissions for the buffer_percent file (Adrien Thierry) [RHEL-1491]
- tracing: Add missing spaces in trace_print_hex_seq() (Adrien Thierry) [RHEL-1491]
- ring-buffer: Ensure proper resetting of atomic variables in ring_buffer_reset_online_cpus (Adrien Thierry) [RHEL-1491]
- ftrace: Fix issue that 'direct->addr' not restored in modify_ftrace_direct() (Adrien Thierry) [RHEL-1491]
- ring-buffer: Fix race while reader and writer are on the same page (Adrien Thierry) [RHEL-1491]
- tracing: Fix wrong return in kprobe_event_gen_test.c (Adrien Thierry) [RHEL-1491]
- ftrace: Fix invalid address access in lookup_rec() when index is 0 (Adrien Thierry) [RHEL-1491]
- ring-buffer: Handle race between rb_move_tail and rb_check_pages (Adrien Thierry) [RHEL-1491]
- tracing: WARN on rcuidle (Adrien Thierry) [RHEL-1491]
- tracing: Improve panic/die notifiers (Adrien Thierry) [RHEL-1491]
- tracing: Fix infinite loop in tracing_read_pipe on overflowed print_trace_line (Adrien Thierry) [RHEL-1491]
- tracing: Fix some checker warnings (Adrien Thierry) [RHEL-1491]
- tracing: Fix issue of missing one synthetic field (Adrien Thierry) [RHEL-1491]
- tracing: kprobe: Fix potential null-ptr-deref on trace_array in kprobe_event_gen_test_exit() (Adrien Thierry) [RHEL-1491]
- tracing: kprobe: Fix potential null-ptr-deref on trace_event_file in kprobe_event_gen_test_exit() (Adrien Thierry) [RHEL-1491]
- tracing: Fix wild-memory-access in register_synth_event() (Adrien Thierry) [RHEL-1491]
- tracing: Fix memory leak in test_gen_synth_cmd() and test_empty_synth_event() (Adrien Thierry) [RHEL-1491]
- ftrace: Fix null pointer dereference in ftrace_add_mod() (Adrien Thierry) [RHEL-1491]
- ftrace: Fix the possible incorrect kernel message (Adrien Thierry) [RHEL-1491]
- tracing: Fix memory leak in tracing_read_pipe() (Adrien Thierry) [RHEL-1491]
- tracing: kprobe: Fix memory leak in test_gen_kprobe/kretprobe_cmd() (Adrien Thierry) [RHEL-1491]
- ftrace: Fix use-after-free for dynamic ftrace_ops (Adrien Thierry) [RHEL-1491]
- ftrace: Fix char print issue in print_ip_ins() (Adrien Thierry) [RHEL-1491]
- ring-buffer: Fix race between reset page and reading page (Adrien Thierry) [RHEL-1491]
- tracing: kprobe: Fix kprobe event gen test module on exit (Adrien Thierry) [RHEL-1491]
- ftrace: Fix NULL pointer dereference in is_ftrace_trampoline when ftrace is dead (Adrien Thierry) [RHEL-1491]
- tracing: Fix sleeping while atomic in kdb ftdump (Adrien Thierry) [RHEL-1491]
- tracing: Fix return value of trace_pid_write() (Adrien Thierry) [RHEL-1491]
- tracing: Fix potential double free in create_var_ref() (Adrien Thierry) [RHEL-1491]
- tracing: Fix sleeping function called from invalid context on RT kernel (Adrien Thierry) [RHEL-1491]
- tracing: Fix inconsistent style of mini-HOWTO (Adrien Thierry) [RHEL-1491]
- ftrace: fix building with SYSCTL=y but DYNAMIC_FTRACE=n (Adrien Thierry) [RHEL-1491]
- ftrace: fix building with SYSCTL=n but DYNAMIC_FTRACE=y (Adrien Thierry) [RHEL-1491]
- tracing: Fix return value of __setup handlers (Adrien Thierry) [RHEL-1491]
- tracing: Fix tp_printk option related with tp_printk_stop_on_boot (Adrien Thierry) [RHEL-1491]
- tracing: Fix possible memory leak in __create_synth_event() error path (Adrien Thierry) [RHEL-1491]
- tracing: Fix a kmemleak false positive in tracing_map (Adrien Thierry) [RHEL-1491]
- tracing: Fix pid filtering when triggers are attached (Adrien Thierry) [RHEL-1491]
- tracing/uprobe: Fix uprobe_perf_open probes iteration (Adrien Thierry) [RHEL-1491]
- tracing/cfi: Fix cmp_entries_* functions signature mismatch (Adrien Thierry) [RHEL-1491]
- iio: adc: imx93: fix a signedness bug in imx93_adc_read_raw() (Steve Best) [2229305]
- locking: revert comment from KRTS JiraReadiness exercise (Eirik Fuller) [RHEL-2256]
- bonding: update port speed when getting bond speed (Hangbin Liu) [2188102]
- gpio: davinci: Stop using ARCH_NR_GPIOS (Steve Best) [2234115]
- scsi: libfc: Remove get_cpu() semantics in fc_exch_em_alloc() (Luis Claudio R. Goncalves) [RHEL-2169]
- dt-bindings: pinctrl: qcom,sa8775p-tlmm: add gpio function constant (Lucas Karpinski) [2233553]
- dt-bindings: pinctrl: qcom,sa8775p-tlmm: simplify with unevaluatedProperties (Lucas Karpinski) [2233553]
- dt-bindings: pinctrl: describe sa8775p-tlmm (Lucas Karpinski) [2233553]
- pinctrl: qcom: Add intr_target_width field to support increased number of interrupt targets (Lucas Karpinski) [2233553]
- PCI: hv: Fix a crash in hv_pci_restore_msi_msg() during hibernation (Vitaly Kuznetsov) [2211797]
- rhel: Re-add can-dev features that were removed accidentally (Radu Rendec) [2213891]
- EDAC/amd64: Add support for ECC on family 19h model 60h-7Fh (Aristeu Rozanski) [2218686]
- EDAC/amd64: Remove PCI Function 0 (Aristeu Rozanski) [2218686]
- EDAC/amd64: Remove PCI Function 6 (Aristeu Rozanski) [2218686]
- EDAC/amd64: Remove scrub rate control for Family 17h and later (Aristeu Rozanski) [2218686]
- EDAC/amd64: Don't set up EDAC PCI control on Family 17h+ (Aristeu Rozanski) [2218686]
- net/sched: cls_fw: Fix improper refcount update leads to use-after-free (Davide Caratti) [2225102] {CVE-2023-3776}
Resolves: rhbz#2188102, rhbz#2210024, rhbz#2229305, rhbz#2229975, rhbz#2230647, rhbz#2233553, rhbz#2234115, RHEL-1491, RHEL-1730, RHEL-2169, RHEL-2256

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-09-08 12:10:28 -04:00
Scott Weaver
b041b505cd kernel-5.14.0-363.el9
* Tue Sep 05 2023 Scott Weaver <scweaver@redhat.com> [5.14.0-363.el9]
- s390/ap: add ap status asynch error support (Tobias Huschle) [2110509]
- s390/ap: implement SE AP bind, unbind and associate (Tobias Huschle) [2110509]
- s390/ap: introduce low frequency polling possibility (Tobias Huschle) [2110509]
- s390/ap: new low level inline functions ap_bapq() and ap_aapq() (Tobias Huschle) [2110509]
- s390/ap: provide F bit parameter for ap_rapq() and ap_zapq() (Tobias Huschle) [2110509]
- s390/ap: filter ap card functions, new queue functions attribute (Tobias Huschle) [2110509]
- s390/ap: make tapq gr2 response a struct (Tobias Huschle) [2110509]
- s390/ap: introduce new AP bus sysfs attribute features (Tobias Huschle) [2110509]
- s390/ap: exploit new B bit from QCI config info (Tobias Huschle) [2110509]
- s390/zcrypt: replace scnprintf with sysfs_emit (Tobias Huschle) [2110509]
- s390/zcrypt: rework length information for dqap (Tobias Huschle) [2110509]
- s390/zcrypt: make psmid unsigned long instead of long long (Tobias Huschle) [2110509]
- s390/ap,zcrypt,vfio: introduce and use ap_queue_status_reg union (Tobias Huschle) [2110509]
- s390/ap: fix status returned by ap_qact() (Tobias Huschle) [2110509]
- s390/ap: fix status returned by ap_aqic() (Tobias Huschle) [2110509]
- s390/ap: adjust whitespace (Tobias Huschle) [2110509]
- s390/ap: use insn format for new instructions (Tobias Huschle) [2110509]
- erofs: Convert to folios (Alexander Larsson) [2234790]
- erofs: fix potential overflow calculating xattr_isize (Alexander Larsson) [2234790]
- erofs: fix order >= MAX_ORDER warning due to crafted negative i_size (Alexander Larsson) [2234790]
- erofs: fix misbehavior of unsupported chunk format check (Alexander Larsson) [2234790]
- erofs: fix double free of 'copied' (Alexander Larsson) [2234790]
- erofs: support reading chunk-based uncompressed files (Alexander Larsson) [2234790]
- erofs: introduce chunk-based file on-disk format (Alexander Larsson) [2234790]
- erofs: convert all uncompressed cases to iomap (Alexander Larsson) [2234790]
- erofs: iomap support for non-tailpacking DIO (Alexander Larsson) [2234790]
- dlm: fix plock lookup when using multiple lockspaces (Alexander Aring) [2234868]
- redhat: enable zstream release numbering for rhel 9.3 (Jan Stancek)
- redhat: change default dist suffix for RHEL 9.3 (Jan Stancek)
- thunderbolt: Fix Thunderbolt 3 display flickering issue on 2nd hot plug onwards (Desnes Nunes) [2233967]
- Revert "firmware: raspberrypi: Fix a leak in 'rpi_firmware_get()'" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Relax base protocol sanity checks on the protocol list" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Fix incorrect error propagation in scmi_voltage_descriptors_get" (Lenny Szubowicz) [2234390]
- Revert "pstore: Add priv field to pstore_record for backend specific use" (Lenny Szubowicz) [2234390]
- Revert "efi: vars: Don't drop lock in the middle of efivar_init()" (Lenny Szubowicz) [2234390]
- Revert "efi: vars: Add thin wrapper around EFI get/set variable interface" (Lenny Szubowicz) [2234390]
- Revert "efi: pstore: Omit efivars caching EFI varstore access layer" (Lenny Szubowicz) [2234390]
- Revert "efi: vars: Use locking version to iterate over efivars linked lists" (Lenny Szubowicz) [2234390]
- Revert "efi: vars: Drop __efivar_entry_iter() helper which is no longer used" (Lenny Szubowicz) [2234390]
- Revert "efi: vars: Remove deprecated 'efivars' sysfs interface" (Lenny Szubowicz) [2234390]
- Revert "efi: vars: Switch to new wrapper layer" (Lenny Szubowicz) [2234390]
- Revert "efi: avoid efivars layer when loading SSDTs from variables" (Lenny Szubowicz) [2234390]
- Revert "efi: vars: Move efivar caching layer into efivarfs" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scpi: Ensure scpi_info is not assigned if the probe fails" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Add SCMI v3.1 System Power extensions" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Add devm_protocol_acquire helper" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Add SCMI System Power Control driver" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Add SCMI v3.1 powercap protocol basic support" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Generalize the fast channel support" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Add SCMI v3.1 powercap fast channels support" (Lenny Szubowicz) [2234390]
- Revert "include: trace: Add SCMI fast channel tracing" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Use fast channel tracing" (Lenny Szubowicz) [2234390]
- Revert "efi: Fix efi_power_off() not being run before acpi_power_off() when necessary" (Lenny Szubowicz) [2234390]
- Revert "cpufreq: scmi: Use .register_em() to register with energy model" (Lenny Szubowicz) [2234390]
- Revert "cpufreq: scmi: Support the power scale in micro-Watts in SCMI v3.1" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Get detailed power scale from perf" (Lenny Szubowicz) [2234390]
- Revert "firmware: dmi: Use the proper accessor for the version field" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Fix missing kernel-doc in optee" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Improve checks in the info_get operations" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Harden accesses to the sensor domains" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Harden accesses to the reset domains" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Fix the asynchronous reset requests" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Add SCMI PM driver remove routine" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Disable struct randomization" (Lenny Szubowicz) [2234390]
- Revert "efi/x86: libstub: remove unused variable" (Lenny Szubowicz) [2234390]
- Revert "efi: capsule-loader: Fix use-after-free in efi_capsule_write" (Lenny Szubowicz) [2234390]
- Revert "efi/libstub: add some missing EFI prototypes" (Lenny Szubowicz) [2234390]
- Revert "efi/libstub: use EFI provided memcpy/memset routines" (Lenny Szubowicz) [2234390]
- Revert "efi/libstub: move efi_system_table global var into separate object" (Lenny Szubowicz) [2234390]
- Revert "efi/dev-path-parser: Refactor _UID handling to use acpi_dev_uid_to_integer()" (Lenny Szubowicz) [2234390]
- Revert "efi/libstub: implement generic EFI zboot" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: fix type confusion for load_options_size" (Lenny Szubowicz) [2234390]
- Revert "efi: efibc: avoid efivar API for setting variables" (Lenny Szubowicz) [2234390]
- Revert "efi: efibc: Guard against allocation failure" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: drop pointless get_memory_map() call" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: check Shim mode using MokSBStateRT" (Lenny Szubowicz) [2234390]
- Revert "Revert "firmware: arm_scmi: Add clock management to the SCMI power domain"" (Lenny Szubowicz) [2234390]
- Revert "firmware: dmi: Fortify entry point length checks" (Lenny Szubowicz) [2234390]
- Revert "psci: Fix the function type for psci_initcall_t" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: avoid efi_get_memory_map() for allocating the virt map" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: simplify efi_get_memory_map() and struct efi_boot_memmap" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: remove pointless goto kludge" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: unify initrd loading between architectures" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: remove DT dependency from generic stub" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: install boot-time memory map as config table" (Lenny Szubowicz) [2234390]
- Revert "efi/libstub: refactor the initrd measuring functions" (Lenny Szubowicz) [2234390]
- Revert "efi/libstub: measure EFI LoadOptions" (Lenny Szubowicz) [2234390]
- Revert "efi/arm: libstub: move ARM specific code out of generic routines" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: fix up the last remaining open coded boot service call" (Lenny Szubowicz) [2234390]
- Revert "efi: zboot: create MemoryMapped() device path for the parent if needed" (Lenny Szubowicz) [2234390]
- Revert "efi/arm64: libstub: avoid SetVirtualAddressMap() when possible" (Lenny Szubowicz) [2234390]
- Revert "firmware: raspberrypi: Use dev_err_probe() to simplify code" (Lenny Szubowicz) [2234390]
- Revert "efi: pstore: Follow convention for the efi-pstore backend name" (Lenny Szubowicz) [2234390]
- Revert "efi/cper: Export several helpers for ghes_edac to use" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Remove zboot signing from build options" (Lenny Szubowicz) [2234390]
- Revert "efi: ssdt: Don't free memory if ACPI table was loaded successfully" (Lenny Szubowicz) [2234390]
- Revert "efi: efivars: Fix variable writes without query_variable_store()" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Give efi_main() asmlinkage qualification" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Fix incorrect payload size in zboot header" (Lenny Szubowicz) [2234390]
- Revert "efi: runtime: Don't assume virtual mappings are missing if VA == PA == 0" (Lenny Szubowicz) [2234390]
- Revert "firmware: imx: scu-pd: add missed USB_1_PHY pd" (Lenny Szubowicz) [2234390]
- Revert "efi: random: reduce seed size to 32 bytes" (Lenny Szubowicz) [2234390]
- Revert "efi: random: Use 'ACPI reclaim' memory for random seed" (Lenny Szubowicz) [2234390]
- Revert "firmware: raspberrypi: Introduce rpi_firmware_find_node()" (Lenny Szubowicz) [2234390]
- Revert "firmware: ti_sci: Switch transport to polled mode during system suspend" (Lenny Szubowicz) [2234390]
- Revert "firmware: ti_sci: Use the bitmap API to allocate bitmaps" (Lenny Szubowicz) [2234390]
- Revert "firmware: ti_sci: Use the non-atomic bitmap API when applicable" (Lenny Szubowicz) [2234390]
- Revert "firmware: ti_sci: Fix polled mode during system suspend" (Lenny Szubowicz) [2234390]
- Revert "efi: efivars: Fix variable writes with unsupported query_variable_store()" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Cleanup the core driver removal callback" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Suppress the driver's bind attributes" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Fix devres allocation device in virtio transport" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Fix deferred_tx_wq release on error paths" (Lenny Szubowicz) [2234390]
- Revert "firmware: ti_sci: Use devm_bitmap_zalloc when applicable" (Lenny Szubowicz) [2234390]
- Revert "ARM: 9255/1: efi/dump UEFI runtime page tables for ARM" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Drop randomization of runtime memory map" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Drop handling of EFI properties table" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Deduplicate ftrace command line argument filtering" (Lenny Szubowicz) [2234390]
- Revert "arm64: efi: Move dcache cleaning of loaded image out of efi_enter_kernel()" (Lenny Szubowicz) [2234390]
- Revert "arm64: efi: Avoid dcache_clean_poc() altogether in efi_enter_kernel()" (Lenny Szubowicz) [2234390]
- Revert "arm64: efi: Move efi-entry.S into the libstub source directory" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Use local strncmp() implementation unconditionally" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Clone memcmp() into the stub" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Enable efi_printk() in zboot decompressor" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Move screen_info handling to common code" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Provide local implementations of strrchr() and memchr()" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Factor out EFI stub entrypoint into separate file" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Add image code and data size to the zimage metadata" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Factor out min alignment and preferred kernel load address" (Lenny Szubowicz) [2234390]
- Revert "efi/arm64: libstub: Split off kernel image relocation for builtin stub" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Merge zboot decompressor with the ordinary stub" (Lenny Szubowicz) [2234390]
- Revert "arm64: unwind: add asynchronous unwind tables to kernel and modules" (Lenny Szubowicz) [2234390]
- Revert "arm64: efi: Force the use of SetVirtualAddressMap() on Altra machines" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: use EFI_LOADER_CODE region when moving the kernel in memory" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Implement devicepath support for initrd commandline loader" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Permit mixed mode return types other than efi_status_t" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Add mixed mode support to command line initrd loader" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Undeprecate the command line initrd loader" (Lenny Szubowicz) [2234390]
- Revert "efi: memmap: Move EFI fake memmap support into x86 arch tree" (Lenny Szubowicz) [2234390]
- Revert "efi: Correct comment on efi_memmap_alloc" (Lenny Szubowicz) [2234390]
- Revert "drivers: fix typo in firmware/efi/memmap.c" (Lenny Szubowicz) [2234390]
- Revert "efi: memmap: Move manipulation routines into x86 arch tree" (Lenny Szubowicz) [2234390]
- Revert "efi: pstore: Add module parameter for setting the record size" (Lenny Szubowicz) [2234390]
- Revert "efi: random: combine bootloader provided RNG seed with RNG protocol output" (Lenny Szubowicz) [2234390]
- Revert "firmware: raspberrypi: fix possible memory leak in rpi_firmware_probe()" (Lenny Szubowicz) [2234390]
- Revert "efi: stub: use random seed from EFI variable" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: Always enable initrd command line loader and bump version" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_ffa: Move constants to header file" (Lenny Szubowicz) [2234390]
- Revert "efi: Put Linux specific magic number in the DOS header" (Lenny Szubowicz) [2234390]
- Revert "efi: fix NULL-deref in init error path" (Lenny Szubowicz) [2234390]
- Revert "efi: fix userspace infinite retry read efivars after EFI runtime services page fault" (Lenny Szubowicz) [2234390]
- Revert "firmware/sysfb: Fix EFI/VESA format selection" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Clear stale xfer->hdr.status" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Harden shared memory access in fetch_response" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Harden shared memory access in fetch_notification" (Lenny Szubowicz) [2234390]
- Revert "firmware: arm_scmi: Fix virtio channels cleanup on shutdown" (Lenny Szubowicz) [2234390]
- Revert "efi/earlycon: Replace open coded strnchrnul()" (Lenny Szubowicz) [2234390]
- Revert "firmware: dmi-sysfs: Fix null-ptr-deref in dmi_sysfs_register_handle" (Lenny Szubowicz) [2234390]
- Revert "efi: memmap: Disregard bogus entries instead of returning them" (Lenny Szubowicz) [2234390]
- Revert "efi: verify that variable services are supported" (Lenny Szubowicz) [2234390]
- Revert "efi: efivars: prevent double registration" (Lenny Szubowicz) [2234390]
- Revert "efi/libstub: Add memory attribute protocol definitions" (Lenny Szubowicz) [2234390]
- Revert "efi: Accept version 2 of memory attributes table" (Lenny Szubowicz) [2234390]
- Revert "efi: fix potential NULL deref in efi_mem_reserve_persistent" (Lenny Szubowicz) [2234390]
- Revert "efi: zboot: Use EFI protocol to remap code/data with the right attributes" (Lenny Szubowicz) [2234390]
- Revert "efi: Use standard format for printing the EFI revision" (Lenny Szubowicz) [2234390]
- Revert "efi: Discover BTI support in runtime services regions" (Lenny Szubowicz) [2234390]
- Revert "arm64: efi: Force the use of SetVirtualAddressMap() on eMAG and Altra Max machines" (Lenny Szubowicz) [2234390]
- Revert "efi/libstub: zboot: Mark zboot EFI application as NX compatible" (Lenny Szubowicz) [2234390]
- Revert "efi: earlycon: Reprobe after parsing config tables" (Lenny Szubowicz) [2234390]
- Revert "efi/libstub: smbios: Use length member instead of record struct size" (Lenny Szubowicz) [2234390]
- Revert "arm64: efi: Use SMBIOS processor version to key off Ampere quirk" (Lenny Szubowicz) [2234390]
- Revert "efi/libstub: smbios: Drop unused 'recsize' parameter" (Lenny Szubowicz) [2234390]
- Revert "efi: sysfb_efi: Fix DMI quirks not working for simpledrm" (Lenny Szubowicz) [2234390]
- Revert "efi/libstub: zboot: Add compressed image to make targets" (Lenny Szubowicz) [2234390]
- Revert "efi/libstub: randomalloc: Return EFI_OUT_OF_RESOURCES on failure" (Lenny Szubowicz) [2234390]
- Revert "efi: Bump stub image version for macOS HVF compatibility" (Lenny Szubowicz) [2234390]
- Revert "firmware/sysfb: Fix VESA format selection" (Lenny Szubowicz) [2234390]
- Revert "redhat/configs: update firmware configs" (Lenny Szubowicz) [2234390]
- Revert "ACPI: power: Switch to sys-off handler API" (Lenny Szubowicz) [2234390]
- Revert "gsmi: fix null-deref in gsmi_get_variable" (Lenny Szubowicz) [2234390]
- Revert "efi: efivars: drop kobject from efivars_register()" (Lenny Szubowicz) [2234390]
- Revert "efi: libstub: fix efi_load_initrd_dev_path() kernel-doc comment" (Lenny Szubowicz) [2234390]
- Revert "notifier: Add atomic_notifier_call_chain_is_empty()" (Lenny Szubowicz) [2234390]
- Revert "kernel/reboot: Wrap legacy power-off callbacks into sys-off handlers" (Lenny Szubowicz) [2234390]
- Revert "kernel/reboot: Add do_kernel_power_off()" (Lenny Szubowicz) [2234390]
- Revert "kernel/reboot: Add stub for pm_power_off" (Lenny Szubowicz) [2234390]
- Revert "kernel/reboot: Add kernel_can_power_off()" (Lenny Szubowicz) [2234390]
- Revert "kernel/reboot: Add register_platform_power_off()" (Lenny Szubowicz) [2234390]
- Revert "reboot: Remove pm_power_off_prepare()" (Lenny Szubowicz) [2234390]
- Revert "kernel/reboot: Change registration order of legacy power-off handler" (Lenny Szubowicz) [2234390]
- Revert "kernel/reboot: Use static handler for register_platform_power_off()" (Lenny Szubowicz) [2234390]
- Revert "kernel/reboot: Fix powering off using a non-syscall code paths" (Lenny Szubowicz) [2234390]
- Revert "PM: hibernate: Use kernel_can_power_off()" (Lenny Szubowicz) [2234390]
- x86/cpu: Enable STIBP on AMD if Automatic IBRS is enabled (Waiman Long) [2227917]
- Documentation/hw-vuln: Document the interaction between IBRS and STIBP (Waiman Long) [2227917]
- x86/CPU/AMD: Make sure EFER[AIBRSE] is set (Waiman Long) [2227917]
- sched/core: Use empty mask to reset cpumasks in sched_setaffinity() (Waiman Long) [2219681]
- cgroup/cpuset: Extend test_cpuset_prs.sh to test remote partition (Waiman Long) [2174568]
- cgroup/cpuset: Documentation update for partition (Waiman Long) [2174568]
- cgroup/cpuset: Check partition conflict with housekeeping setup (Waiman Long) [2174568]
- cgroup/cpuset: Introduce remote partition (Waiman Long) [2174568]
- cgroup/cpuset: Add cpuset.cpus.exclusive for v2 (Waiman Long) [2174568]
- cgroup/cpuset: Add cpuset.cpus.exclusive.effective for v2 (Waiman Long) [2174568]
- cgroup/cpuset: simplify the percpu kthreads check in update_tasks_cpumask() (Waiman Long) [2174568]
- cgroup/cpuset: Allow suppression of sched domain rebuild in update_cpumasks_hier() (Waiman Long) [2174568]
- cgroup/cpuset: Improve temporary cpumasks handling (Waiman Long) [2174568]
- cgroup/cpuset: Extract out CS_CPU_EXCLUSIVE & CS_SCHED_LOAD_BALANCE handling (Waiman Long) [2174568]
- cgroup/cpuset: Inherit parent's load balance state in v2 (Waiman Long) [2174568]
- cgroup/cpuset: Free DL BW in case can_attach() fails (Waiman Long) [2174568]
- sched/deadline: Create DL BW alloc, free & check overflow interface (Waiman Long) [2174568]
- cgroup/cpuset: Iterate only if DEADLINE tasks are present (Waiman Long) [2174568]
- sched/cpuset: Keep track of SCHED_DEADLINE task in cpusets (Waiman Long) [2174568]
- sched/cpuset: Bring back cpuset_mutex (Waiman Long) [2174568]
- cgroup/cpuset: Rename functions dealing with DEADLINE accounting (Waiman Long) [2174568]
- cgroup/cpuset: Minor updates to test_cpuset_prs.sh (Waiman Long) [2174568]
- cgroup/cpuset: Include offline CPUs when tasks' cpumasks in top_cpuset are updated (Waiman Long) [2174568]
- cgroup/cpuset: Skip task update if hotplug doesn't affect current cpuset (Waiman Long) [2174568]
- kselftest/cgroup: Add cleanup() to test_cpuset_prs.sh (Waiman Long) [2174568]
- kselftest/cgroup: Fix gathering number of CPUs (Waiman Long) [2174568]
- redhat: configs: Disable CONFIG_CRYPTO_STATS since performance issue for storage (Herbert Xu) [2227964]
- redhat: list Z-Jiras in the changelog before Y-Jiras (Herton R. Krzesinski)
- redhat: bump RHEL_MINOR for 9.4 (Scott Weaver)
Resolves: rhbz#2110509, rhbz#2234790

Signed-off-by: Scott Weaver <scweaver@redhat.com>
2023-09-05 10:42:29 -04:00
Jan Stancek
9ffe1c0443 kernel-5.14.0-360.el9
* Wed Aug 23 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-360.el9]
- PM: hibernate: Use kernel_can_power_off() (Sebastian Ott) [2183343]
- kernel/reboot: Fix powering off using a non-syscall code paths (Sebastian Ott) [2183343]
- kernel/reboot: Use static handler for register_platform_power_off() (Sebastian Ott) [2183343]
- kernel/reboot: Change registration order of legacy power-off handler (Sebastian Ott) [2183343]
- reboot: Remove pm_power_off_prepare() (Sebastian Ott) [2183343]
- kernel/reboot: Add register_platform_power_off() (Sebastian Ott) [2183343]
- kernel/reboot: Add kernel_can_power_off() (Sebastian Ott) [2183343]
- kernel/reboot: Add stub for pm_power_off (Sebastian Ott) [2183343]
- kernel/reboot: Add do_kernel_power_off() (Sebastian Ott) [2183343]
- kernel/reboot: Wrap legacy power-off callbacks into sys-off handlers (Sebastian Ott) [2183343]
- notifier: Add atomic_notifier_call_chain_is_empty() (Sebastian Ott) [2183343]
- efi: libstub: fix efi_load_initrd_dev_path() kernel-doc comment (Sebastian Ott) [2183343]
- efi: efivars: drop kobject from efivars_register() (Sebastian Ott) [2183343]
- gsmi: fix null-deref in gsmi_get_variable (Sebastian Ott) [2183343]
- ACPI: power: Switch to sys-off handler API (Sebastian Ott) [2183343]
- redhat/configs: update firmware configs (Sebastian Ott) [2183343]
- firmware/sysfb: Fix VESA format selection (Sebastian Ott) [2183343]
- efi: Bump stub image version for macOS HVF compatibility (Sebastian Ott) [2183343]
- efi/libstub: randomalloc: Return EFI_OUT_OF_RESOURCES on failure (Sebastian Ott) [2183343]
- efi/libstub: zboot: Add compressed image to make targets (Sebastian Ott) [2183343]
- efi: sysfb_efi: Fix DMI quirks not working for simpledrm (Sebastian Ott) [2183343]
- efi/libstub: smbios: Drop unused 'recsize' parameter (Sebastian Ott) [2183343]
- arm64: efi: Use SMBIOS processor version to key off Ampere quirk (Sebastian Ott) [2183343]
- efi/libstub: smbios: Use length member instead of record struct size (Sebastian Ott) [2183343]
- efi: earlycon: Reprobe after parsing config tables (Sebastian Ott) [2183343]
- efi/libstub: zboot: Mark zboot EFI application as NX compatible (Sebastian Ott) [2183343]
- arm64: efi: Force the use of SetVirtualAddressMap() on eMAG and Altra Max machines (Sebastian Ott) [2183343]
- efi: Discover BTI support in runtime services regions (Sebastian Ott) [2183343]
- efi: Use standard format for printing the EFI revision (Sebastian Ott) [2183343]
- efi: zboot: Use EFI protocol to remap code/data with the right attributes (Sebastian Ott) [2183343]
- efi: fix potential NULL deref in efi_mem_reserve_persistent (Sebastian Ott) [2183343]
- efi: Accept version 2 of memory attributes table (Sebastian Ott) [2183343]
- efi/libstub: Add memory attribute protocol definitions (Sebastian Ott) [2183343]
- efi: efivars: prevent double registration (Sebastian Ott) [2183343]
- efi: verify that variable services are supported (Sebastian Ott) [2183343]
- efi: memmap: Disregard bogus entries instead of returning them (Sebastian Ott) [2183343]
- firmware: dmi-sysfs: Fix null-ptr-deref in dmi_sysfs_register_handle (Sebastian Ott) [2183343]
- efi/earlycon: Replace open coded strnchrnul() (Sebastian Ott) [2183343]
- firmware: arm_scmi: Fix virtio channels cleanup on shutdown (Sebastian Ott) [2183343]
- firmware: arm_scmi: Harden shared memory access in fetch_notification (Sebastian Ott) [2183343]
- firmware: arm_scmi: Harden shared memory access in fetch_response (Sebastian Ott) [2183343]
- firmware: arm_scmi: Clear stale xfer->hdr.status (Sebastian Ott) [2183343]
- firmware/sysfb: Fix EFI/VESA format selection (Sebastian Ott) [2183343]
- efi: fix userspace infinite retry read efivars after EFI runtime services page fault (Sebastian Ott) [2183343]
- efi: fix NULL-deref in init error path (Sebastian Ott) [2183343]
- efi: Put Linux specific magic number in the DOS header (Sebastian Ott) [2183343]
- firmware: arm_ffa: Move constants to header file (Sebastian Ott) [2183343]
- efi: libstub: Always enable initrd command line loader and bump version (Sebastian Ott) [2183343]
- efi: stub: use random seed from EFI variable (Sebastian Ott) [2183343]
- firmware: raspberrypi: fix possible memory leak in rpi_firmware_probe() (Sebastian Ott) [2183343]
- efi: random: combine bootloader provided RNG seed with RNG protocol output (Sebastian Ott) [2183343]
- efi: pstore: Add module parameter for setting the record size (Sebastian Ott) [2183343]
- efi: memmap: Move manipulation routines into x86 arch tree (Sebastian Ott) [2183343]
- drivers: fix typo in firmware/efi/memmap.c (Sebastian Ott) [2183343]
- efi: Correct comment on efi_memmap_alloc (Sebastian Ott) [2183343]
- efi: memmap: Move EFI fake memmap support into x86 arch tree (Sebastian Ott) [2183343]
- efi: libstub: Undeprecate the command line initrd loader (Sebastian Ott) [2183343]
- efi: libstub: Add mixed mode support to command line initrd loader (Sebastian Ott) [2183343]
- efi: libstub: Permit mixed mode return types other than efi_status_t (Sebastian Ott) [2183343]
- efi: libstub: Implement devicepath support for initrd commandline loader (Sebastian Ott) [2183343]
- efi: libstub: use EFI_LOADER_CODE region when moving the kernel in memory (Sebastian Ott) [2183343]
- arm64: efi: Force the use of SetVirtualAddressMap() on Altra machines (Sebastian Ott) [2183343]
- arm64: unwind: add asynchronous unwind tables to kernel and modules (Sebastian Ott) [2183343]
- efi: libstub: Merge zboot decompressor with the ordinary stub (Sebastian Ott) [2183343]
- efi/arm64: libstub: Split off kernel image relocation for builtin stub (Sebastian Ott) [2183343]
- efi: libstub: Factor out min alignment and preferred kernel load address (Sebastian Ott) [2183343]
- efi: libstub: Add image code and data size to the zimage metadata (Sebastian Ott) [2183343]
- efi: libstub: Factor out EFI stub entrypoint into separate file (Sebastian Ott) [2183343]
- efi: libstub: Provide local implementations of strrchr() and memchr() (Sebastian Ott) [2183343]
- efi: libstub: Move screen_info handling to common code (Sebastian Ott) [2183343]
- efi: libstub: Enable efi_printk() in zboot decompressor (Sebastian Ott) [2183343]
- efi: libstub: Clone memcmp() into the stub (Sebastian Ott) [2183343]
- efi: libstub: Use local strncmp() implementation unconditionally (Sebastian Ott) [2183343]
- arm64: efi: Move efi-entry.S into the libstub source directory (Sebastian Ott) [2183343]
- arm64: efi: Avoid dcache_clean_poc() altogether in efi_enter_kernel() (Sebastian Ott) [2183343]
- arm64: efi: Move dcache cleaning of loaded image out of efi_enter_kernel() (Sebastian Ott) [2183343]
- efi: libstub: Deduplicate ftrace command line argument filtering (Sebastian Ott) [2183343]
- efi: libstub: Drop handling of EFI properties table (Sebastian Ott) [2183343]
- efi: libstub: Drop randomization of runtime memory map (Sebastian Ott) [2183343]
- ARM: 9255/1: efi/dump UEFI runtime page tables for ARM (Sebastian Ott) [2183343]
- firmware: ti_sci: Use devm_bitmap_zalloc when applicable (Sebastian Ott) [2183343]
- firmware: arm_scmi: Fix deferred_tx_wq release on error paths (Sebastian Ott) [2183343]
- firmware: arm_scmi: Fix devres allocation device in virtio transport (Sebastian Ott) [2183343]
- firmware: arm_scmi: Suppress the driver's bind attributes (Sebastian Ott) [2183343]
- firmware: arm_scmi: Cleanup the core driver removal callback (Sebastian Ott) [2183343]
- efi: efivars: Fix variable writes with unsupported query_variable_store() (Sebastian Ott) [2183343]
- firmware: ti_sci: Fix polled mode during system suspend (Sebastian Ott) [2183343]
- firmware: ti_sci: Use the non-atomic bitmap API when applicable (Sebastian Ott) [2183343]
- firmware: ti_sci: Use the bitmap API to allocate bitmaps (Sebastian Ott) [2183343]
- firmware: ti_sci: Switch transport to polled mode during system suspend (Sebastian Ott) [2183343]
- firmware: raspberrypi: Introduce rpi_firmware_find_node() (Sebastian Ott) [2183343]
- efi: random: Use 'ACPI reclaim' memory for random seed (Sebastian Ott) [2183343]
- efi: random: reduce seed size to 32 bytes (Sebastian Ott) [2183343]
- firmware: imx: scu-pd: add missed USB_1_PHY pd (Sebastian Ott) [2183343]
- efi: runtime: Don't assume virtual mappings are missing if VA == PA == 0 (Sebastian Ott) [2183343]
- efi: libstub: Fix incorrect payload size in zboot header (Sebastian Ott) [2183343]
- efi: libstub: Give efi_main() asmlinkage qualification (Sebastian Ott) [2183343]
- efi: efivars: Fix variable writes without query_variable_store() (Sebastian Ott) [2183343]
- efi: ssdt: Don't free memory if ACPI table was loaded successfully (Sebastian Ott) [2183343]
- efi: libstub: Remove zboot signing from build options (Sebastian Ott) [2183343]
- efi/cper: Export several helpers for ghes_edac to use (Sebastian Ott) [2183343]
- efi: pstore: Follow convention for the efi-pstore backend name (Sebastian Ott) [2183343]
- firmware: raspberrypi: Use dev_err_probe() to simplify code (Sebastian Ott) [2183343]
- efi/arm64: libstub: avoid SetVirtualAddressMap() when possible (Sebastian Ott) [2183343]
- efi: zboot: create MemoryMapped() device path for the parent if needed (Sebastian Ott) [2183343]
- efi: libstub: fix up the last remaining open coded boot service call (Sebastian Ott) [2183343]
- efi/arm: libstub: move ARM specific code out of generic routines (Sebastian Ott) [2183343]
- efi/libstub: measure EFI LoadOptions (Sebastian Ott) [2183343]
- efi/libstub: refactor the initrd measuring functions (Sebastian Ott) [2183343]
- efi: libstub: install boot-time memory map as config table (Sebastian Ott) [2183343]
- efi: libstub: remove DT dependency from generic stub (Sebastian Ott) [2183343]
- efi: libstub: unify initrd loading between architectures (Sebastian Ott) [2183343]
- efi: libstub: remove pointless goto kludge (Sebastian Ott) [2183343]
- efi: libstub: simplify efi_get_memory_map() and struct efi_boot_memmap (Sebastian Ott) [2183343]
- efi: libstub: avoid efi_get_memory_map() for allocating the virt map (Sebastian Ott) [2183343]
- psci: Fix the function type for psci_initcall_t (Sebastian Ott) [2183343]
- firmware: dmi: Fortify entry point length checks (Sebastian Ott) [2183343]
- Revert "firmware: arm_scmi: Add clock management to the SCMI power domain" (Sebastian Ott) [2183343]
- efi: libstub: check Shim mode using MokSBStateRT (Sebastian Ott) [2183343]
- efi: libstub: drop pointless get_memory_map() call (Sebastian Ott) [2183343]
- efi: efibc: Guard against allocation failure (Sebastian Ott) [2183343]
- efi: efibc: avoid efivar API for setting variables (Sebastian Ott) [2183343]
- efi: libstub: fix type confusion for load_options_size (Sebastian Ott) [2183343]
- efi/libstub: implement generic EFI zboot (Sebastian Ott) [2183343]
- efi/dev-path-parser: Refactor _UID handling to use acpi_dev_uid_to_integer() (Sebastian Ott) [2183343]
- efi/libstub: move efi_system_table global var into separate object (Sebastian Ott) [2183343]
- efi/libstub: use EFI provided memcpy/memset routines (Sebastian Ott) [2183343]
- efi/libstub: add some missing EFI prototypes (Sebastian Ott) [2183343]
- efi: capsule-loader: Fix use-after-free in efi_capsule_write (Sebastian Ott) [2183343]
- efi/x86: libstub: remove unused variable (Sebastian Ott) [2183343]
- efi: libstub: Disable struct randomization (Sebastian Ott) [2183343]
- firmware: arm_scmi: Add SCMI PM driver remove routine (Sebastian Ott) [2183343]
- firmware: arm_scmi: Fix the asynchronous reset requests (Sebastian Ott) [2183343]
- firmware: arm_scmi: Harden accesses to the reset domains (Sebastian Ott) [2183343]
- firmware: arm_scmi: Harden accesses to the sensor domains (Sebastian Ott) [2183343]
- firmware: arm_scmi: Improve checks in the info_get operations (Sebastian Ott) [2183343]
- firmware: arm_scmi: Fix missing kernel-doc in optee (Sebastian Ott) [2183343]
- firmware: dmi: Use the proper accessor for the version field (Sebastian Ott) [2183343]
- firmware: arm_scmi: Get detailed power scale from perf (Sebastian Ott) [2183343]
- cpufreq: scmi: Support the power scale in micro-Watts in SCMI v3.1 (Sebastian Ott) [2183343]
- cpufreq: scmi: Use .register_em() to register with energy model (Sebastian Ott) [2183343]
- efi: Fix efi_power_off() not being run before acpi_power_off() when necessary (Sebastian Ott) [2183343]
- firmware: arm_scmi: Use fast channel tracing (Sebastian Ott) [2183343]
- include: trace: Add SCMI fast channel tracing (Sebastian Ott) [2183343]
- firmware: arm_scmi: Add SCMI v3.1 powercap fast channels support (Sebastian Ott) [2183343]
- firmware: arm_scmi: Generalize the fast channel support (Sebastian Ott) [2183343]
- firmware: arm_scmi: Add SCMI v3.1 powercap protocol basic support (Sebastian Ott) [2183343]
- firmware: arm_scmi: Add SCMI System Power Control driver (Sebastian Ott) [2183343]
- firmware: arm_scmi: Add devm_protocol_acquire helper (Sebastian Ott) [2183343]
- firmware: arm_scmi: Add SCMI v3.1 System Power extensions (Sebastian Ott) [2183343]
- firmware: arm_scpi: Ensure scpi_info is not assigned if the probe fails (Sebastian Ott) [2183343]
- efi: vars: Move efivar caching layer into efivarfs (Sebastian Ott) [2183343]
- efi: avoid efivars layer when loading SSDTs from variables (Sebastian Ott) [2183343]
- efi: vars: Switch to new wrapper layer (Sebastian Ott) [2183343]
- efi: vars: Remove deprecated 'efivars' sysfs interface (Sebastian Ott) [2183343]
- efi: vars: Drop __efivar_entry_iter() helper which is no longer used (Sebastian Ott) [2183343]
- efi: vars: Use locking version to iterate over efivars linked lists (Sebastian Ott) [2183343]
- efi: pstore: Omit efivars caching EFI varstore access layer (Sebastian Ott) [2183343]
- efi: vars: Add thin wrapper around EFI get/set variable interface (Sebastian Ott) [2183343]
- efi: vars: Don't drop lock in the middle of efivar_init() (Sebastian Ott) [2183343]
- pstore: Add priv field to pstore_record for backend specific use (Sebastian Ott) [2183343]
- firmware: arm_scmi: Fix incorrect error propagation in scmi_voltage_descriptors_get (Sebastian Ott) [2183343]
- firmware: arm_scmi: Relax base protocol sanity checks on the protocol list (Sebastian Ott) [2183343]
- firmware: raspberrypi: Fix a leak in 'rpi_firmware_get()' (Sebastian Ott) [2183343]
- redhat: stop tainting the kernel with virtio-mem (David Hildenbrand) [2228379]
- x86/mm: Ease W^X enforcement back to just a warning (Ani Sinha) [2228318]
- x86/mm: Disable W^X detection and enforcement on 32-bit (Ani Sinha) [2228318]
- x86/mm/32: Fix W^X detection when page tables do not support NX (Ani Sinha) [2228318]
- drm/nouveau/nvkm/dp: Add workaround to fix DP 1.3+ DPCD issues (Karol Herbst) [2229988]
- redhat/configs: enable CONFIG_INET_DIAG_DESTROY (Andrea Claudi) [RHEL-212]
- KVM: VMX: Don't fudge CR0 and CR4 for restricted L2 guest (Maxim Levitsky) [2225079]
- KVM: x86: Disallow KVM_SET_SREGS{2} if incoming CR0 is invalid (Maxim Levitsky) [2225079]
- KVM: Grab a reference to KVM for VM and vCPU stats file descriptors (Maxim Levitsky) [2225079]
- Revert "KVM: SVM: Skip WRMSR fastpath on VM-Exit if next RIP isn't valid" (Maxim Levitsky) [2225079]
- KVM: x86: Acquire SRCU read lock when handling fastpath MSR writes (Maxim Levitsky) [2225079]
- KVM: x86/irq: Conditionally register IRQ bypass consumer again (Maxim Levitsky) [2225079]
- KVM: X86: Use GFP_KERNEL_ACCOUNT for pid_table in ipiv (Maxim Levitsky) [2225079]
- KVM: x86: check the kvm_cpu_get_interrupt result before using it (Maxim Levitsky) [2225079]
- KVM: x86: VMX: set irr_pending in kvm_apic_update_irr (Maxim Levitsky) [2225079]
- KVM: x86: VMX: __kvm_apic_update_irr must update the IRR atomically (Maxim Levitsky) [2225079]
- KVM: SVM: WARN, but continue, if misc_cg_set_capacity() fails (Maxim Levitsky) [2225079]
- KVM: x86/cpuid: Add AMD CPUID ExtPerfMonAndDbg leaf 0x80000022 (Maxim Levitsky) [2225079]
- KVM: x86/svm/pmu: Add AMD PerfMonV2 support (Maxim Levitsky) [2225079]
- KVM: x86/cpuid: Add a KVM-only leaf to redirect AMD PerfMonV2 flag (Maxim Levitsky) [2225079]
- KVM: x86/pmu: Constrain the num of guest counters with kvm_pmu_cap (Maxim Levitsky) [2225079]
- KVM: x86/pmu: Advertise PERFCTR_CORE iff the min nr of counters is met (Maxim Levitsky) [2225079]
- KVM: x86/pmu: Disable vPMU if the minimum num of counters isn't met (Maxim Levitsky) [2225079]
- KVM: x86: Explicitly zero cpuid "0xa" leaf when PMU is disabled (Maxim Levitsky) [2225079]
- KVM: x86/pmu: Provide Intel PMU's pmc_is_enabled() as generic x86 code (Maxim Levitsky) [2225079]
- KVM: x86/pmu: Move handling PERF_GLOBAL_CTRL and friends to common x86 (Maxim Levitsky) [2225079]
- KVM: x86/pmu: Reject userspace attempts to set reserved GLOBAL_STATUS bits (Maxim Levitsky) [2225079]
- KVM: x86/pmu: Move reprogram_counters() to pmu.h (Maxim Levitsky) [2225079]
- KVM: x86/pmu: Rename global_ovf_ctrl_mask to global_status_mask (Maxim Levitsky) [2225079]
- KVM: SVM: enhance info printk's in SEV init (Maxim Levitsky) [2225079]
- KVM: selftests: Add test for race in kvm_recalculate_apic_map() (Maxim Levitsky) [2225079]
- KVM: x86: Bail from kvm_recalculate_phys_map() if x2APIC ID is out-of-bounds (Maxim Levitsky) [2225079]
- KVM: SVM: Invoke trace_kvm_exit() for fastpath VM-Exits (Maxim Levitsky) [2225079]
- KVM: x86: Account fastpath-only VM-Exits in vCPU stats (Maxim Levitsky) [2225079]
- KVM: SVM: vNMI pending bit is V_NMI_PENDING_MASK not V_NMI_BLOCKING_MASK (Maxim Levitsky) [2225079]
- KVM: x86/mmu: Grab memslot for correct address space in NX recovery worker (Maxim Levitsky) [2225079]
- KVM: VMX: Inject #GP, not #UD, if SGX2 ENCLS leafs are unsupported (Maxim Levitsky) [2225079]
- KVM: VMX: Inject #GP on ENCLS if vCPU has paging disabled (CR0.PG==0) (Maxim Levitsky) [2225079]
- KVM: VMX: restore vmx_vmexit alignment (Maxim Levitsky) [2225079]
- KVM: Don't kfree(NULL) on kzalloc() failure in kvm_assign_ioeventfd_idx() (Maxim Levitsky) [2225079]
- KVM: SVM: Remove TSS reloading code after VMEXIT (Maxim Levitsky) [2225079]
- KVM: Clean up kvm_vm_ioctl_create_vcpu() (Maxim Levitsky) [2225079]
- KVM: allow KVM_BUG/KVM_BUG_ON to handle 64-bit cond (Maxim Levitsky) [2225079]
- KVM: VMX: Use proper accessor to read guest CR4 in handle_desc() (Maxim Levitsky) [2225079]
- KVM: VMX: Treat UMIP as emulated if and only if the host doesn't have UMIP (Maxim Levitsky) [2225079]
- KVM: VMX: add MSR_IA32_TSX_CTRL into msrs_to_save (Maxim Levitsky) [2225079]
- KVM: x86: Don't adjust guest's CPUID.0x12.1 (allowed SGX enclave XFRM) (Maxim Levitsky) [2225079]
- KVM: VMX: Don't rely _only_ on CPUID to enforce XCR0 restrictions for ECREATE (Maxim Levitsky) [2225079]
- KVM: VMX: Fix header file dependency of asm/vmx.h (Maxim Levitsky) [2225079]
- KVM: x86: Filter out XTILE_CFG if XTILE_DATA isn't permitted (Maxim Levitsky) [2225079]
- KVM: x86: Add a helper to handle filtering of unpermitted XCR0 features (Maxim Levitsky) [2225079]
- KVM: nVMX: Emulate NOPs in L2, and PAUSE if it's not intercepted (Maxim Levitsky) [2225079]
- KVM: x86/pmu: Prevent the PMU from counting disallowed events (Maxim Levitsky) [2225079]
- KVM: x86/pmu: Rewrite reprogram_counters() to improve performance (Maxim Levitsky) [2225079]
- KVM: VMX: Refactor intel_pmu_{g,}set_msr() to align with other helpers (Maxim Levitsky) [2225079]
- KVM: x86/pmu: Rename pmc_is_enabled() to pmc_is_globally_enabled() (Maxim Levitsky) [2225079]
- KVM: x86/pmu: Disallow legacy LBRs if architectural LBRs are available (Maxim Levitsky) [2225079]
- KVM: x86/pmu: Zero out pmu->all_valid_pmc_idx each time it's refreshed (Maxim Levitsky) [2225079]
- KVM: VMX: Use is_64_bit_mode() to check 64-bit mode in SGX handler (Maxim Levitsky) [2225079]
- KVM: x86: Assert that the emulator doesn't load CS with garbage in !RM (Maxim Levitsky) [2225079]
- KVM: nSVM: Implement support for nested VNMI (Maxim Levitsky) [2225079]
- KVM: x86: Add support for SVM's Virtual NMI (Maxim Levitsky) [2225079]
- KVM: x86: Route pending NMIs from userspace through process_nmi() (Maxim Levitsky) [2225079]
- KVM: SVM: Add definitions for new bits in VMCB::int_ctrl related to vNMI (Maxim Levitsky) [2225079]
- x86/cpufeatures: Redefine synthetic virtual NMI bit as AMD's "real" vNMI (Maxim Levitsky) [2225079]
- KVM: x86: Save/restore all NMIs when multiple NMIs are pending (Maxim Levitsky) [2225079]
- KVM: x86: Tweak the code and comment related to handling concurrent NMIs (Maxim Levitsky) [2225079]
- KVM: x86: Raise an event request when processing NMIs if an NMI is pending (Maxim Levitsky) [2225079]
- KVM: SVM: add wrappers to enable/disable IRET interception (Maxim Levitsky) [2225079]
- KVM: nSVM: Raise event on nested VM exit if L1 doesn't intercept IRQs (Maxim Levitsky) [2225079]
- KVM: nSVM: Disable intercept of VINTR if saved L1 host RFLAGS.IF is 0 (Maxim Levitsky) [2225079]
- KVM: nSVM: Don't sync vmcb02 V_IRQ back to vmcb12 if KVM (L0) is intercepting VINTR (Maxim Levitsky) [2225079]
- KVM: x86: Use boolean return value for is_{pae,pse,paging}() (Maxim Levitsky) [2225079]
- KVM: SVM: Fix benign "bool vs. int" comparison in svm_set_cr0() (Maxim Levitsky) [2225079]
- KVM: PPC: Make KVM_CAP_IRQFD_RESAMPLE platform dependent (Maxim Levitsky) [2225079]
- KVM: Ensure lockdep knows about kvm->lock vs. vcpu->mutex ordering rule (Maxim Levitsky) [2225079]
- KVM: selftests: Build access_tracking_perf_test for arm64 (Maxim Levitsky) [2225079]
- virtio-pci: Fix legacy device flag setting error in probe (Cindy Lu) [RHEL-814]
- vdpa/mlx5: Fix crash on shutdown for when no ndev exists (Cindy Lu) [RHEL-814]
- vdpa/mlx5: Delete control vq iotlb in destroy_mr only when necessary (Cindy Lu) [RHEL-814]
- vdpa/mlx5: Fix mr->initialized semantics (Cindy Lu) [RHEL-814]
Resolves: rhbz#2183343, rhbz#2225079, rhbz#2228318, rhbz#2228379, rhbz#2229988, RHEL-212, RHEL-814

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-23 13:58:14 +02:00
Jan Stancek
03399c4bff kernel-5.14.0-358.el9
* Fri Aug 18 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-358.el9]
- KVM: SEV: remove ghcb variable declarations (Vitaly Kuznetsov) [2213808]
- KVM: SEV: only access GHCB fields once (Vitaly Kuznetsov) [2213808] {CVE-2023-4155}
- KVM: SEV: snapshot the GHCB before accessing it (Vitaly Kuznetsov) [2213808] {CVE-2023-4155}
- usb: typec: ucsi: Mark dGPUs as DEVICE scope (Desnes Nunes) [2222462]
- i2c: designware-pci: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462]
- i2c: nvidia-gpu: Convert to use dev_err_probe() (Desnes Nunes) [2222462]
- i2c: nvidia-gpu: Use temporary variable for struct device (Desnes Nunes) [2222462]
- i2c: nvidia-gpu: Switch to use i2c_new_ccgx_ucsi() (Desnes Nunes) [2222462]
- i2c: Introduce common module to instantiate CCGx UCSI (Desnes Nunes) [2222462]
- power: supply: Fix logic checking if system is running from battery (Desnes Nunes) [2222462]
- hwmon: (xgene) Fix use after free bug in xgene_hwmon_remove due to race condition (Chris von Recklinghausen) [2184581] {CVE-2023-1855}
- netfilter: nf_tables: unbind non-anonymous set if rule construction fails (Phil Sutter) [2213271] {CVE-2023-3390}
- netfilter: nf_tables: add NFT_TRANS_PREPARE_ERROR to deal with bound set/chain (Phil Sutter) [2213271] {CVE-2023-3390}
- netfilter: nf_tables: fix chain binding transaction logic (Phil Sutter) [2213271] {CVE-2023-3390}
- netfilter: nf_tables: incorrect error path handling with NFT_MSG_NEWRULE (Phil Sutter) [2213271] {CVE-2023-3390}
- wifi: rtw88: unlock on error path in rtw_ops_add_interface() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: check only affected links (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: send time sync only if needed (Íñigo Huguet) [2196821]
- wifi: clean up erroneously introduced file (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: Handle return value for iwl_mvm_sta_init (Íñigo Huguet) [2196821]
- wifi: rtw88: delete timer and free skb queue when unloading (Íñigo Huguet) [2196821]
- wifi: cfg80211: Fix return value in scan logic (Íñigo Huguet) [2196821]
- Revert "wifi: ath11k: Enable threaded NAPI" (Íñigo Huguet) [2196821]
- wifi: cfg80211: fix receiving mesh packets without RFC1042 header (Íñigo Huguet) [2196821]
- wifi: mt76: mt7921e: fix init command fail with enabled device (Íñigo Huguet) [2196821]
- wifi: ath9k: convert msecs to jiffies where needed (Íñigo Huguet) [2196821]
- wifi: ath11k: Add missing check for ioremap (Íñigo Huguet) [2196821]
- wifi: ath11k: fix memory leak in WMI firmware stats (Íñigo Huguet) [2196821]
- wifi: ath9k: Fix possible stall on ath9k_txq_list_has_key() (Íñigo Huguet) [2196821]
- wifi: ath9k: don't allow to overwrite ENDPOINT0 attributes (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: avoid baid size integer overflow (Íñigo Huguet) [2196821]
- wifi: rtw88: process VO packets without workqueue to avoid PTK rekey failed (Íñigo Huguet) [2196821]
- wifi: rtw88: Fix action frame transmission fail before association (Íñigo Huguet) [2196821]
- wifi: iwlwifi: add a few rate index validity checks (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Validate slots_num before allocating memory (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: Validate tid is in valid range before using it (Íñigo Huguet) [2196821]
- wifi: iwlwifi: pcie: fix NULL pointer dereference in iwl_pcie_irq_rx_msix_handler() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: check link during TX (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add a NULL pointer check (Íñigo Huguet) [2196821]
- wifi: iwlwifi: pull from TXQs with softirqs disabled (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Correctly indicate support for VHT TX STBC (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: Add NULL check before dereferencing the pointer (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix potential array out of bounds access (Íñigo Huguet) [2196821]
- wifi: rtw88: add missing unwind goto for __rtw_download_firmware() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: disable RX STBC when a device doesn't support it (Íñigo Huguet) [2196821]
- wifi: iwlwifi: don't silently ignore missing suspend or resume ops (Íñigo Huguet) [2196821]
- wifi: ath9k: avoid referencing uninit memory in ath9k_wmi_ctrl_rx (Íñigo Huguet) [2196821]
- wifi: ath9k: fix AR9003 mac hardware hang check register offset calculation (Íñigo Huguet) [2196821]
- wifi: rtw89: pci: fix interrupt enable mask for HALT C2H of RTL8851B (Íñigo Huguet) [2196821]
- wifi: rtw89: fix rtw89_read_chip_ver() for RTL8852B and RTL8851B (Íñigo Huguet) [2196821]
- wifi: rtw88: fix incorrect error codes in rtw_debugfs_set_* (Íñigo Huguet) [2196821]
- wifi: rtw88: fix incorrect error codes in rtw_debugfs_copy_from_user (Íñigo Huguet) [2196821]
- wifi: mac80211: report all unusable beacon frames (Íñigo Huguet) [2196821]
- wifi: iwlwifi: pcie: Handle SO-F device for PCI id 0x7AF0 (Íñigo Huguet) [2196821]
- config: wifi: debug configs for ath11k, brcm80211 and iwlwifi (Íñigo Huguet) [2196821]
- config: wifi: set RTL8821CS, RTL8822BS and RTL8822CS as disabled (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: spin_lock_bh() to fix lockdep regression (Íñigo Huguet) [2196821]
- wifi: mac80211: fragment per STA profile correctly (Íñigo Huguet) [2196821]
- wifi: mac80211: Use active_links instead of valid_links in Tx (Íñigo Huguet) [2196821]
- wifi: cfg80211: remove links only on AP (Íñigo Huguet) [2196821]
- wifi: mac80211: take lock before setting vif links (Íñigo Huguet) [2196821]
- wifi: cfg80211: fix link del callback to call correct handler (Íñigo Huguet) [2196821]
- wifi: mac80211: fix link activation settings order (Íñigo Huguet) [2196821]
- wifi: cfg80211: fix double lock bug in reg_wdev_chan_valid() (Íñigo Huguet) [2196821]
- wifi: cfg80211: fix locking in regulatory disconnect (Íñigo Huguet) [2196821]
- wifi: cfg80211: fix locking in sched scan stop work (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: Fix -Warray-bounds bug in iwl_mvm_wait_d3_notif() (Íñigo Huguet) [2196821]
- wifi: mac80211: fix switch count in EMA beacons (Íñigo Huguet) [2196821]
- wifi: mac80211: don't translate beacon/presp addrs (Íñigo Huguet) [2196821]
- wifi: mac80211: mlme: fix non-inheritence element (Íñigo Huguet) [2196821]
- wifi: cfg80211: reject bad AP MLD address (Íñigo Huguet) [2196821]
- wifi: mac80211: use correct iftype HE cap (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: fix possible NULL pointer dereference in mt7996_mac_write_txwi() (Íñigo Huguet) [2196821]
- wifi: rtw89: remove redundant check of entering LPS (Íñigo Huguet) [2196821]
- wifi: rtw89: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821]
- wifi: rtw88: correct PS calculation for SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2196821]
- wifi: mt76: mt7615: fix possible race in mt7615_mac_sta_poll (Íñigo Huguet) [2196821]
- wifi: b43: fix incorrect __packed annotation (Íñigo Huguet) [2196821]
- wifi: rtw88: sdio: Always use two consecutive bytes for word operations (Íñigo Huguet) [2196821]
- mac80211_hwsim: fix memory leak in hwsim_new_radio_nl (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: Add locking to the rate read flow (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Don't use valid_links to iterate sta links (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: don't trust firmware n_channels (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix OEM's name in the tas approved list (Íñigo Huguet) [2196821]
- wifi: iwlwifi: fix OEM's name in the ppag approved list (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix initialization of a return value (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix access to fw_id_to_mac_id (Íñigo Huguet) [2196821]
- wifi: iwlwifi: fw: fix DBGI dump (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix number of concurrent link checks (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix cancel_delayed_work_sync() deadlock (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: don't double-init spinlock (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: always free dup_data (Íñigo Huguet) [2196821]
- wifi: mac80211: recalc chanctx mindef before assigning (Íñigo Huguet) [2196821]
- wifi: mac80211: consider reserved chanctx for mindef (Íñigo Huguet) [2196821]
- wifi: mac80211: simplify chanctx allocation (Íñigo Huguet) [2196821]
- wifi: mac80211: Abort running color change when stopping the AP (Íñigo Huguet) [2196821]
- wifi: mac80211: fix min center freq offset tracing (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: rfi: disable RFI feature (Íñigo Huguet) [2196821]
- wifi: mac80211: Fix puncturing bitmap handling in __ieee80211_csa_finalize() (Íñigo Huguet) [2196821]
- wifi: mac80211: fortify the spinlock against deadlock by interrupt (Íñigo Huguet) [2196821]
- wifi: cfg80211: Drop entries with invalid BSSIDs in RNR (Íñigo Huguet) [2196821]
- wifi: rtl8xxxu: fix authentication timeout due to incorrect RCR value (Íñigo Huguet) [2196821]
- wifi: brcmfmac: Check for probe() id argument being NULL (Íñigo Huguet) [2196821]
- wifi: rtw88: correct qsel_to_ep[] type as int (Íñigo Huguet) [2196821]
- wifi: rtw88: use work to update rate to avoid RCU warning (Íñigo Huguet) [2196821]
- wifi: rtw89: 8852b: adjust quota to avoid SER L1 caused by access null page (Íñigo Huguet) [2196821]
- wifi: mt76: connac: fix stats->tx_bytes calculation (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: fix endianness of MT_TXD6_TX_RATE (Íñigo Huguet) [2196821]
- mac80211: use the new drop reasons infrastructure (Íñigo Huguet) [2196821]
- wifi: rtw88: Update spelling in main.h (Íñigo Huguet) [2196821]
- wifi: airo: remove ISA_DMA_API dependency (Íñigo Huguet) [2196821]
- wifi: rtl8xxxu: Simplify setting the initial gain (Íñigo Huguet) [2196821]
- wifi: rtl8xxxu: Add rtl8xxxu_write{8,16,32}_{set,clear} (Íñigo Huguet) [2196821]
- wifi: rtl8xxxu: Don't print the vendor/product/serial (Íñigo Huguet) [2196821]
- wifi: rtw88: Fix memory leak in rtw88_usb (Íñigo Huguet) [2196821]
- wifi: rtw88: call rtw8821c_switch_rf_set() according to chip variant (Íñigo Huguet) [2196821]
- wifi: rtw88: set pkg_type correctly for specific rtw8821c variants (Íñigo Huguet) [2196821]
- wifi: rtw88: rtw8821c: Fix rfe_option field width (Íñigo Huguet) [2196821]
- wifi: rtw88: usb: fix priority queue to endpoint mapping (Íñigo Huguet) [2196821]
- wifi: rtw88: 8822c: add iface combination (Íñigo Huguet) [2196821]
- wifi: rtw88: handle station mode concurrent scan with AP mode (Íñigo Huguet) [2196821]
- wifi: rtw88: prevent scan abort with other VIFs (Íñigo Huguet) [2196821]
- wifi: rtw88: refine reserved page flow for AP mode (Íñigo Huguet) [2196821]
- wifi: rtw88: disallow PS during AP mode (Íñigo Huguet) [2196821]
- wifi: rtw88: 8822c: extend reserved page number (Íñigo Huguet) [2196821]
- wifi: rtw88: add port switch for AP mode (Íñigo Huguet) [2196821]
- wifi: rtw88: add bitmap for dynamic port settings (Íñigo Huguet) [2196821]
- wifi: rtw89: mac: use regular int as return type of DLE buffer request (Íñigo Huguet) [2196821]
- wifi: mac80211: remove return value check of debugfs_create_dir() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix RFKILL report when driver is going down (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mei: re-ask for ownership after it was taken by CSME (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mei: make mei filtered scan more aggressive (Íñigo Huguet) [2196821]
- wifi: iwlwifi: modify scan request and results when in link protection (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: enable support for MLO APIs (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: prefer RCU_INIT_POINTER() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix potential memory leak (Íñigo Huguet) [2196821]
- wifi: iwlwifi: fw: fix argument to efi.get_variable (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix MIC removal confusion (Íñigo Huguet) [2196821]
- wifi: iwlwifi: fw: fix memory leak in debugfs (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Update support for b0 version (Íñigo Huguet) [2196821]
- wifi: ath11k: Remove disabling of 80+80 and 160 MHz (Íñigo Huguet) [2196821]
- wifi: ath11k: Fix SKB corruption in REO destination ring (Íñigo Huguet) [2196821]
- wifi: ath11k: Fix incorrect update of radiotap fields (Íñigo Huguet) [2196821]
- wifi: ath11k: fix tx status reporting in encap offload mode (Íñigo Huguet) [2196821]
- wifi: ath11k: add peer mac information in failure cases (Íñigo Huguet) [2196821]
- wifi: ath11k: Prevent REO cmd failures (Íñigo Huguet) [2196821]
- wifi: ath11k: fix double free of peer rx_tid during reo cmd failure (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: fill txd by host driver (Íñigo Huguet) [2196821]
- wifi: mt76: set NL80211_EXT_FEATURE_CAN_REPLACE_PTK0 on supported drivers (Íñigo Huguet) [2196821]
- wifi: mt76: dma: use napi_build_skb (Íñigo Huguet) [2196821]
- wifi: mt76: mt7615: increase eeprom size for mt7663 (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: enable mesh HW amsdu/de-amsdu support (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: enable configured beacon tx rate (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: enable BSS_CHANGED_MCAST_RATE support (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: enable BSS_CHANGED_BASIC_RATES support (Íñigo Huguet) [2196821]
- wifi: iwlwifi: dvm: Fix memcpy: detected field-spanning write backtrace (Íñigo Huguet) [2196821]
- wifi: mac80211: remove ieee80211_tx_status_8023 (Íñigo Huguet) [2196821]
- wifi: iwlwifi: bump FW API to 78 for AX devices (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: check firmware response size (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add MLO support to SF - use sta pointer (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: configure TLC on link activation (Íñigo Huguet) [2196821]
- wifi: iwlwifi: fix iwl_mvm_max_amsdu_size() for MLO (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: remove RS rate init update argument (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: initialize per-link STA ratescale data (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: rs-fw: properly access sband->iftype_data (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: only clients can be 20MHz-only (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix iwl_mvm_sta_rc_update for MLO (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: remove per-STA MFP setting (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: allow NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: use BSSID when building probe requests (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: update mac id management (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: adopt the latest firmware API (Íñigo Huguet) [2196821]
- wifi: mt76: connac: add nss calculation into mt76_connac2_mac_tx_rate_val() (Íñigo Huguet) [2196821]
- wifi: mt76: connac: fix txd multicast rate setting (Íñigo Huguet) [2196821]
- wifi: mt76: mt7921e: stop chip reset worker in unregister hook (Íñigo Huguet) [2196821]
- wifi: mt76: mt7921e: improve reliability of dma reset (Íñigo Huguet) [2196821]
- wifi: mt76: mt7921: fix missing unwind goto in `mt7921u_probe` (Íñigo Huguet) [2196821]
- mt76: mt7921: fix kernel panic by accessing unallocated eeprom.data (Íñigo Huguet) [2196821]
- wifi: mt76: move mcu_uni_event and mcu_reg_event in common code (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: enable coredump support (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: add full system reset knobs into debugfs (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: enable full system reset support (Íñigo Huguet) [2196821]
- wifi: mt76: mt7921: enable p2p support (Íñigo Huguet) [2196821]
- wifi: mt76: mt7921: Replace fake flex-arrays with flexible-array members (Íñigo Huguet) [2196821]
- wifi: mt76: Replace zero-length array with flexible-array member (Íñigo Huguet) [2196821]
- wifi: mt76: mt7921: add Netgear AXE3000 (A8000) support (Íñigo Huguet) [2196821]
- wifi: mt76: mt7915: drop redundant prefix of mt7915_txpower_puts() (Íñigo Huguet) [2196821]
- wifi: mt76: fix 6GHz high channel not be scanned (Íñigo Huguet) [2196821]
- wifi: mt76: mt7921e: fix probe timeout after reboot (Íñigo Huguet) [2196821]
- wifi: mt76: move shared mac definitions in mt76_connac2_mac.h (Íñigo Huguet) [2196821]
- wifi: mt76: mt7921: get rid of eeprom.h (Íñigo Huguet) [2196821]
- wifi: mt76: add mt76_connac_gen_ppe_thresh utility routine (Íñigo Huguet) [2196821]
- wifi: mt76: get rid of unused sta_ps callbacks (Íñigo Huguet) [2196821]
- wifi: mt76: add mt76_connac_irq_enable utility routine (Íñigo Huguet) [2196821]
- wifi: mt76: move irq_tasklet in mt76_dev struct (Íñigo Huguet) [2196821]
- wifi: mt76: mt7921e: Set memory space enable in PCI_COMMAND if unset (Íñigo Huguet) [2196821]
- wifi: mt76: mt7921: use driver flags rather than mac80211 flags to mcu (Íñigo Huguet) [2196821]
- wifi: mt76: mt7921: introduce mt7921_get_mac80211_ops utility routine (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: fix eeprom tx path bitfields (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: remove mt7996_mcu_set_pm() (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: init mpdu density cap (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: fix pointer calculation in ie countdown event (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: remove unused eeprom band selection (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: let non-bufferable MMPDUs use correct hw queue (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: add eht rx rate support (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: remove mt7996_mcu_beacon_check_caps() (Íñigo Huguet) [2196821]
- wifi: mt76: mt7915: remove mt7915_mcu_beacon_check_caps() (Íñigo Huguet) [2196821]
- wifi: mt76: connac: refresh tx session timer for WED device (Íñigo Huguet) [2196821]
- wifi: mt76: add missing locking to protect against concurrent rx/status calls (Íñigo Huguet) [2196821]
- wifi: mt76: handle failure of vzalloc in mt7615_coredump_work (Íñigo Huguet) [2196821]
- wifi: mt76: drop the incorrect scatter and gather frame (Íñigo Huguet) [2196821]
- wifi: mt76: mt7915: rework init flow in mt7915_thermal_init() (Íñigo Huguet) [2196821]
- wifi: mt76: mt7915: add dev->hif2 support for mt7916 WED device (Íñigo Huguet) [2196821]
- wifi: mt76: mt7915: expose device tree match table (Íñigo Huguet) [2196821]
- wifi: mt76: dynamic channel bandwidth changes in AP mode (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: fix radiotap bitfield (Íñigo Huguet) [2196821]
- wifi: mt76: mt7915: unlock on error in mt7915_thermal_temp_store() (Íñigo Huguet) [2196821]
- wifi: mt76: mt7996: Remove unneeded semicolon (Íñigo Huguet) [2196821]
- wifi: mt76: mt7921: fix PCI DMA hang after reboot (Íñigo Huguet) [2196821]
- wifi: mt76: mt7921: fix wrong command to set STA channel (Íñigo Huguet) [2196821]
- wifi: mt76: remove redundent MCU_UNI_CMD_* definitions (Íñigo Huguet) [2196821]
- wifi: ath9k: fix per-packet TX-power cap for TPC (Íñigo Huguet) [2196821]
- wifi: ath11k: fix undefined behavior with __fls in dp (Íñigo Huguet) [2196821]
- wifi: ath11k: Ignore frags from uninitialized peer in dp. (Íñigo Huguet) [2196821]
- wifi: ath11k: print a warning when crypto_alloc_shash() fails (Íñigo Huguet) [2196821]
- wifi: ath11k: pci: Add more MODULE_FIRMWARE() entries (Íñigo Huguet) [2196821]
- wifi: ath11k: enable SAR support on WCN6750 (Íñigo Huguet) [2196821]
- wifi: ath11k: Disable Spectral scan upon removing interface (Íñigo Huguet) [2196821]
- wifi: rtw89: add support of concurrent mode (Íñigo Huguet) [2196821]
- wifi: rtw89: Disallow power save with multiple stations (Íñigo Huguet) [2196821]
- wifi: rtw89: update statistics to FW for fine-tuning performance (Íñigo Huguet) [2196821]
- wifi: rtw89: use struct instead of macros to set H2C command of hardware scan (Íñigo Huguet) [2196821]
- wifi: rtw89: refine scan function after chanctx (Íñigo Huguet) [2196821]
- wifi: rtw89: prohibit enter IPS during HW scan (Íñigo Huguet) [2196821]
- wifi: rtw89: coex: send more hardware module info to firmware for 8851B (Íñigo Huguet) [2196821]
- wifi: rtw89: coex: Update function to get BT RSSI and hardware counter (Íñigo Huguet) [2196821]
- wifi: rtw89: coex: Add path control register to monitor list (Íñigo Huguet) [2196821]
- wifi: rtw89: coex: Enable Wi-Fi RX gain control for free run solution (Íñigo Huguet) [2196821]
- wifi: rtw89: fix power save function in WoWLAN mode (Íñigo Huguet) [2196821]
- wifi: rtw89: support WoWLAN mode for 8852be (Íñigo Huguet) [2196821]
- wifi: iwlwifi: move debug buffer allocation failure to info verbosity (Íñigo Huguet) [2196821]
- wifi: iwlwifi: make the loop for card preparation effective (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: allow number of beacons from FW (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: implement key link switching (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: implement BAID link switching (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: track station mask for BAIDs (Íñigo Huguet) [2196821]
- wifi: iwlwifi: bump FW API to 77 for AX devices (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: use correct sta mask to remove queue (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: avoid iterating over an un-initialized list (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: factor out iwl_mvm_sta_fw_id_mask() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: properly implement HE AP support (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: Fix _iwl_mvm_get_scan_type() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix getting lowest TX rate for MLO (Íñigo Huguet) [2196821]
- wifi: iwlwifi: call napi_synchronize() before freeing rx/tx queues (Íñigo Huguet) [2196821]
- wifi: iwlwifi: nvm-parse: add full BW UL MU-MIMO support (Íñigo Huguet) [2196821]
- wifi: rtl8xxxu: Support devices with 5-6 out endpoints (Íñigo Huguet) [2196821]
- wifi: rtl8xxxu: Clean up some messy ifs (Íñigo Huguet) [2196821]
- wifi: brcmfmac: add Cypress 43439 SDIO ids (Íñigo Huguet) [2196821]
- wifi: rtw89: fix crash due to null pointer of sta in AP mode (Íñigo Huguet) [2196821]
- wifi: rtw89: correct 5 MHz mask setting (Íñigo Huguet) [2196821]
- wifi: rtw89: 8851b: add tables for RFK (Íñigo Huguet) [2196821]
- wifi: rtw89: 8851b: add BB and RF tables (2 of 2) (Íñigo Huguet) [2196821]
- wifi: rtw89: 8851b: add BB and RF tables (1 of 2) (Íñigo Huguet) [2196821]
- wifi: rtw89: pci: update PCI related settings to support 8851B (Íñigo Huguet) [2196821]
- wifi: rtw89: mac: update MAC settings to support 8851b (Íñigo Huguet) [2196821]
- wifi: rtw89: 8851b: fix TX path to path A for one RF path chip (Íñigo Huguet) [2196821]
- wifi: rtw89: read version of analog hardware (Íñigo Huguet) [2196821]
- wifi: rtw89: use hardware CFO to improve performance (Íñigo Huguet) [2196821]
- wifi: rtw89: support parameter tables by RFE type (Íñigo Huguet) [2196821]
- wifi: rtw89: add firmware format version to backward compatible with older drivers (Íñigo Huguet) [2196821]
- wifi: rtw89: use schedule_work to request firmware (Íñigo Huguet) [2196821]
- wifi: rtw89: fw: use generic flow to set/check features (Íñigo Huguet) [2196821]
- wifi: rtw89: fix authentication fail during scan (Íñigo Huguet) [2196821]
- wifi: rtw89: add flag check for power state (Íñigo Huguet) [2196821]
- wifi: rtw89: add ieee80211::remain_on_channel ops (Íñigo Huguet) [2196821]
- wifi: rtw89: add function to wait for completion of TX skbs (Íñigo Huguet) [2196821]
- wifi: rtw89: 8852c: add beacon filter and CQM support (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: tx: remove misleading if statement (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: Fix setting the rate for non station cases (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: validate station properly in flush (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: set STA mask for keys in MLO (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix ptk_pn memory leak (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: make iwl_mvm_mac_ctxt_send_beacon() static (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: track AP STA pointer and use it for MFP (Íñigo Huguet) [2196821]
- wifi: iwlwifi: pcie: Fix integer overflow in iwl_write_to_user_buf (Íñigo Huguet) [2196821]
- wifi: iwlwifi: fw: move memset before early return (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: initialize seq variable (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: Fix spelling mistake "Gerenal" -> "General" (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Fix spelling mistake "upto" -> "up to" (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: enable new MLD FW API (Íñigo Huguet) [2196821]
- wifi: iwlwifi: add a new PCI device ID for BZ device (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Add RF Step Type for BZ device (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: scan legacy bands and UHB channels with same antenna (Íñigo Huguet) [2196821]
- wifi: iwlwifi: yoyo: Fix possible division by zero (Íñigo Huguet) [2196821]
- wifi: iwlwifi: yoyo: skip dump correctly on hw error (Íñigo Huguet) [2196821]
- wifi: iwlwifi: pcie: fix possible NULL pointer dereference (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Fix the duplicate dump name (Íñigo Huguet) [2196821]
- wifi: iwlwifi: pcie: work around ROM bug on AX210 integrated (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add DSM_FUNC_ENABLE_6E value to debugfs (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: cleanup beacon_inject_active during hw restart (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: support wowlan info notification version 2 (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: make HLTK configuration for PASN station optional (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: request limiting to 8 MSDUs per A-MSDU (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix shift-out-of-bounds (Íñigo Huguet) [2196821]
- wifi: iwlwifi: acpi: support modules with high antenna gain (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: don't drop unencrypted MCAST frames (Íñigo Huguet) [2196821]
- wifi: iwlwifi: dbg: print pc register data once fw dump occurred (Íñigo Huguet) [2196821]
- wifi: mac80211: add flush_sta method (Íñigo Huguet) [2196821]
- wifi: mac80211: flush queues on STA removal (Íñigo Huguet) [2196821]
- wifi: ieee80211: correctly mark FTM frames non-bufferable (Íñigo Huguet) [2196821]
- wifi: ieee80211: clean up public action codes (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: don't set CHECKSUM_COMPLETE for unsupported protocols (Íñigo Huguet) [2196821]
- wifi: iwlwifi: trans: don't trigger d3 interrupt twice (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Update configurations for Bnj-a0 and specific rf devices (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Update init sequence if tx diversity supported (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: move function sequence (Íñigo Huguet) [2196821]
- wifi: iwlwifi: nvm: Update HE capabilities on 6GHz band for EHT device (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: refactor TX csum mode check (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix A-MSDU checks (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: enable bz hw checksum from c step (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: use OFDM rate if IEEE80211_TX_CTL_NO_CCK_RATE is set (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: convert TID to FW value on queue remove (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Update configuration for SO,SOF MAC and HR RF (Íñigo Huguet) [2196821]
- wifi: iwlwifi: add a validity check of queue_id in iwl_txq_reclaim (Íñigo Huguet) [2196821]
- wifi: iwlwifi: nvm-parse: enable 160/320 MHz for AP mode (Íñigo Huguet) [2196821]
- wifi: iwlwifi: debug: fix crash in __iwl_err() (Íñigo Huguet) [2196821]
- wifi: rtw88: Add support for the SDIO based RTL8821CS chipset (Íñigo Huguet) [2196821]
- wifi: rtw88: Add support for the SDIO based RTL8822CS chipset (Íñigo Huguet) [2196821]
- wifi: rtw88: Add support for the SDIO based RTL8822BS chipset (Íñigo Huguet) [2196821]
- wifi: rtw88: main: Reserve 8 bytes of extra TX headroom for SDIO cards (Íñigo Huguet) [2196821]
- wifi: rtw88: main: Add the {cpwm,rpwm}_addr for SDIO based chipsets (Íñigo Huguet) [2196821]
- wifi: rtw88: mac: Support SDIO specific bits in the power on sequence (Íñigo Huguet) [2196821]
- wifi: rtw88: sdio: Add HCI implementation for SDIO based chipsets (Íñigo Huguet) [2196821]
- wifi: rtw88: Clear RTW_FLAG_POWERON early in rtw_mac_power_switch() (Íñigo Huguet) [2196821]
- wifi: ath12k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821]
- wifi: ath10k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821]
- wifi: ath11k: Remove redundant pci_clear_master (Íñigo Huguet) [2196821]
- wifi: ath11k: Send 11d scan start before WMI_START_SCAN_CMDID (Íñigo Huguet) [2196821]
- wifi: ath11k: fix writing to unintended memory region (Íñigo Huguet) [2196821]
- wifi: ath11k: Fix invalid management rx frame length issue (Íñigo Huguet) [2196821]
- wifi: ath11k: fix rssi station dump not updated in QCN9074 (Íñigo Huguet) [2196821]
- wifi: ath11k: Configure the FTM responder role using firmware capability flag (Íñigo Huguet) [2196821]
- wifi: ath11k: Optimize 6 GHz scan time (Íñigo Huguet) [2196821]
- wifi: mac80211: set EHT support flag in AP mode (Íñigo Huguet) [2196821]
- wifi: mac80211_hwsim: fix potential NULL deref in hwsim_pmsr_report_nl() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: fix duplicate entry in iwl_dev_info_table (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix the order of TIMING_MEASUREMENT notifications (Íñigo Huguet) [2196821]
- bus: mhi: host: Use mhi_tryset_pm_state() for setting fw error state (Íñigo Huguet) [2196821]
- bus: mhi: host: Remove duplicate ee check for syserr (Íñigo Huguet) [2196821]
- bus: mhi: host: Avoid ringing EV DB if there are no elements to process (Íñigo Huguet) [2196821]
- net: rfkill-gpio: Add explicit include for of.h (Íñigo Huguet) [2196821]
- net: qrtr: correct types of trace event parameters (Íñigo Huguet) [2196821]
- wifi: rt2x00: Fix memory leak when handling surveys (Íñigo Huguet) [2196821]
- wifi: b43legacy: Remove the unused function prev_slot() (Íñigo Huguet) [2196821]
- wifi: rtw89: Remove redundant pci_clear_master (Íñigo Huguet) [2196821]
- wifi: rtw89: fix potential race condition between napi_init and napi_enable (Íñigo Huguet) [2196821]
- wifi: rtw89: config EDCCA threshold during scan to prevent TX failed (Íñigo Huguet) [2196821]
- wifi: rtw89: fix incorrect channel info during scan due to ppdu_sts filtering (Íñigo Huguet) [2196821]
- wifi: rtw89: remove superfluous H2C of join_info (Íñigo Huguet) [2196821]
- wifi: rtw89: set data lowest rate according to AP supported rate (Íñigo Huguet) [2196821]
- wifi: rtw89: add counters of register-based H2C/C2H (Íñigo Huguet) [2196821]
- wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.1 (Íñigo Huguet) [2196821]
- wifi: rtw89: coex: Add report control v5 variation (Íñigo Huguet) [2196821]
- wifi: rtw89: coex: Update RTL8852B LNA2 hardware parameter (Íñigo Huguet) [2196821]
- wifi: rtw89: coex: Not to enable firmware report when WiFi is power saving (Íñigo Huguet) [2196821]
- wifi: rtw89: coex: Add LPS protocol radio state for RTL8852B (Íñigo Huguet) [2196821]
- bus: mhi: pci_generic: Add Foxconn T99W510 (Íñigo Huguet) [2196821]
- bus: mhi: host: Use ERANGE for BHIOFF/BHIEOFF range check (Íñigo Huguet) [2196821]
- bus: mhi: host: Range check CHDBOFF and ERDBOFF (Íñigo Huguet) [2196821]
- wifi: mwifiex: remove unused evt_buf variable (Íñigo Huguet) [2196821]
- wifi: brcmsmac: ampdu: remove unused suc_mpdu variable (Íñigo Huguet) [2196821]
- wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_reg() (Íñigo Huguet) [2196821]
- wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_rfreg() (Íñigo Huguet) [2196821]
- wifi: brcmsmac: remove unused has_5g variable (Íñigo Huguet) [2196821]
- wifi: b43legacy: remove unused freq_r3A_value function (Íñigo Huguet) [2196821]
- wifi: rtlwifi: Replace fake flex-array with flex-array member (Íñigo Huguet) [2196821]
- wifi: rtw88: Remove redundant pci_clear_master (Íñigo Huguet) [2196821]
- wifi: rndis_wlan: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821]
- wifi: rndis_wlan: clean up a type issue (Íñigo Huguet) [2196821]
- wifi: rtw88: remove unused rtw_pci_get_tx_desc function (Íñigo Huguet) [2196821]
- wifi: rsi: Slightly simplify rsi_set_channel() (Íñigo Huguet) [2196821]
- wifi: ipw2x00: remove unused _ipw_read16 function (Íñigo Huguet) [2196821]
- wifi: mac80211: enable EHT mesh support (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: correctly use link in iwl_mvm_sta_del() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: separate AP link management queues (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: free probe_resp_data later (Íñigo Huguet) [2196821]
- wifi: iwlwifi: bump FW API to 75 for AX devices (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: move max_agg_bufsize into host TLC lq_sta (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: send full STA during HW restart (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: rework active links counting (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: update mac config when assigning chanctx (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: use the correct link queue (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: clean up mac_id vs. link_id in MLD sta (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix station link data leak (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: initialize max_rc_amsdu_len per-link (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: use appropriate link for rate selection (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: use the new lockdep-checking macros (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: remove chanctx WARN_ON (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: avoid sending MAC context for idle (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: remove only link-specific AP keys (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: skip inactive links (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: adjust iwl_mvm_scan_respect_p2p_go_iter() for MLO (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: rxmq: report link ID to mac80211 (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: use bcast/mcast link station id (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: translate management frame address (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: implement mac80211 callback change_sta_links (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: use the link sta address (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: adjust rs init to MLO (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: adjust radar detection to MLO (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: adjust iwl_mvm_sec_key_remove_ap to MLO (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: make a few warnings only trigger once (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: coex: start handling multiple links (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: rs-fw: don't crash on missing channel (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: use STA link address (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: skip MEI update for MLO (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix narrow RU check for MLO (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: make some HW flags conditional (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: implement link change ops (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: adjust some cleanup functions to MLO (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: refactor iwl_mvm_mac_sta_state_common() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: update iwl_mvm_tx_reclaim() for MLO (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: adjust to MLO assign/unassign/switch_vif_chanctx() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add fw link id allocation (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: adjust internal stations to MLO (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: replace bss_info_changed() with vif_cfg/link_info_changed() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add link_conf parameter for add/remove/change link (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: don't check dtim_period in new API (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: adjust SMPS for MLO (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add set_hw_timestamp to mld ops (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add link to firmware earlier (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: adjust some PS and PM methods to MLD (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: adjust mld_mac_ctxt_/beacon_changed() for MLO (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: adjust smart fifo configuration to MLO (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: align to the LINK cmd update in the FW (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: always use the sta->addr as the peers addr (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: modify link instead of removing it during csa (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix crash on queue removal for MLD API too (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix "modify_mask" value in the link cmd. (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add all missing ops to iwl_mvm_mld_ops (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add support for post_channel_switch in MLD mode (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: unite sta_modify_disable_tx flows (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add cancel/remain_on_channel for MLD mode (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: refactor iwl_mvm_roc() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add some new MLD ops (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add sta handling flows for MLD mode (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add an indication that the new MLD API is used (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: sta preparation for MLO (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: vif preparation for MLO (Íñigo Huguet) [2196821]
- wifi: nl80211: support advertising S1G capabilities (Íñigo Huguet) [2196821]
- wifi: mac80211: S1G capabilities information element in probe request (Íñigo Huguet) [2196821]
- mac80211: minstrel_ht: remove unused n_supported variable (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: Use 64-bit division helper in iwl_mvm_get_crosstimestamp_fw() (Íñigo Huguet) [2196821]
- wifi: carl9170: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821]
- wifi: carl9170: Fix multiple -Warray-bounds warnings (Íñigo Huguet) [2196821]
- wifi: ath10k: remove unused ath10k_get_ring_byte function (Íñigo Huguet) [2196821]
- wifi: ath12k: incorrect channel survey dump (Íñigo Huguet) [2196821]
- wifi: ath12k: fix incorrect handling of AMSDU frames (Íñigo Huguet) [2196821]
- wifi: ath12k: fix packets are sent in native wifi mode while we set raw mode (Íñigo Huguet) [2196821]
- wifi: ath12k: fill peer meta data during reo_reinject (Íñigo Huguet) [2196821]
- mac80211_hwsim: add PMSR report support via virtio (Íñigo Huguet) [2196821]
- mac80211_hwsim: add PMSR abort support via virtio (Íñigo Huguet) [2196821]
- mac80211_hwsim: add PMSR request support via virtio (Íñigo Huguet) [2196821]
- wifi: nl80211: make nl80211_send_chandef non-static (Íñigo Huguet) [2196821]
- mac80211_hwsim: add PMSR capability support (Íñigo Huguet) [2196821]
- mac80211: support RNR for EMA AP (Íñigo Huguet) [2196821]
- cfg80211: support RNR for EMA AP (Íñigo Huguet) [2196821]
- wifi: mac80211: use bullet list for amsdu_mesh_control formats list (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix NULL deref in iwl_mvm_mld_disable_txq (Íñigo Huguet) [2196821]
- wifi: mac80211_hwsim: EMA support (Íñigo Huguet) [2196821]
- wifi: mac80211_hwsim: Multiple BSSID support (Íñigo Huguet) [2196821]
- wifi: mac80211_hwsim: move beacon transmission to a separate function (Íñigo Huguet) [2196821]
- wifi: mac80211: generate EMA beacons in AP mode (Íñigo Huguet) [2196821]
- wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821]
- wifi: mac80211: implement support for yet another mesh A-MSDU format (Íñigo Huguet) [2196821]
- wifi: mac80211: add mesh fast-rx support (Íñigo Huguet) [2196821]
- wifi: mac80211: use mesh header cache to speed up mesh forwarding (Íñigo Huguet) [2196821]
- wifi: mac80211: mesh fast xmit support (Íñigo Huguet) [2196821]
- wifi: mac80211: fix race in mesh sequence number assignment (Íñigo Huguet) [2196821]
- wifi: mac80211: add support for letting drivers register tc offload support (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: Add debugfs to get TAS status (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: support enabling and disabling HW timestamping (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Update configurations for Bnj device (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: refactor iwl_mvm_add_sta(), iwl_mvm_rm_sta() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: remove not needed initializations (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: refactor iwl_mvm_sta_send_to_fw() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: refactor iwl_mvm_sta (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: refactor iwl_mvm_cfg_he_sta() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: Don't send MAC CTXT cmd after deauthorization (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add stop_ap() and leave_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add start_ap() and join_ibss() callbacks for MLD mode (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: select ptp cross timestamp from multiple reads (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: implement PHC clock adjustments (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: enable TX beacon protection (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add support for timing measurement (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: report hardware timestamps in RX/TX status (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: read synced time from firmware if supported (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add support for PTP HW clock (PHC) (Íñigo Huguet) [2196821]
- wifi: ath12k: Enable IMPS for WCN7850 (Íñigo Huguet) [2196821]
- wifi: ath12k: Identify DFS channel when sending scan channel list command (Íñigo Huguet) [2196821]
- wifi: ath12k: fix firmware assert during channel switch for peer sta (Íñigo Huguet) [2196821]
- wifi: ath12k: fix memory leak in ath12k_qmi_driver_event_work() (Íñigo Huguet) [2196821]
- wifi: ath11k: fix BUFFER_DONE read on monitor ring rx buffer (Íñigo Huguet) [2196821]
- wifi: rtl8xxxu: Support new chip RTL8710BU aka RTL8188GU (Íñigo Huguet) [2196821]
- wifi: rtl8xxxu: RTL8192EU always needs full init (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Avoid disabling GCC specific flag with clang (Íñigo Huguet) [2196821]
- wifi: iwlwifi: suppress printf warnings in tracing (Íñigo Huguet) [2196821]
- wifi: iwlwifi: fw: pnvm: fix uefi reduced TX power loading (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Update configurations for Bnj and Bz devices (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: rs: print BAD_RATE for invalid HT/VHT index (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Replace space with tabs as code indent (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Add required space before open '(' (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Remove prohibited spaces (Íñigo Huguet) [2196821]
- wifi: iwlwifi: fix typos in comment (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: remove setting of 'sta' parameter (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add an unassign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: refactor __iwl_mvm_unassign_vif_chanctx() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add an assign_vif_chanctx() callback for MLD mode (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: refactor __iwl_mvm_assign_vif_chanctx() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: Add a remove_interface() callback for mld mode (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: Add an add_interface() callback for mld mode (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add support for the new STA related commands (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add support for the new LINK command (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add support for the new MAC CTXT command (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: Refactor MAC_CONTEXT_CMD sending flow (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: Refactor STA_HE_CTXT_CMD sending flow (Íñigo Huguet) [2196821]
- wifi: iwlwifi: yoyo: Add driver defined dump file name (Íñigo Huguet) [2196821]
- wifi: iwlwifi: yoyo: Add new tlv for dump file name extension (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: avoid sta lookup in queue alloc (Íñigo Huguet) [2196821]
- wifi: ath11k: fix deinitialization of firmware resources (Íñigo Huguet) [2196821]
- wifi: ath11k: Replace fake flex-array with flexible-array member (Íñigo Huguet) [2196821]
- wifi: ath12k: Add missing unwind goto in ath12k_pci_probe() (Íñigo Huguet) [2196821]
- net: Use of_property_present() for testing DT property presence (Íñigo Huguet) [2196821]
- wifi: brcmfmac: slab-out-of-bounds read in brcmf_get_assoc_ies() (Íñigo Huguet) [2196821] {CVE-2023-1380}
- wifi: rtw88: fix memory leak in rtw_usb_probe() (Íñigo Huguet) [2196821]
- wifi: rtw89: coex: Add v5 firmware cycle status report (Íñigo Huguet) [2196821]
- wifi: rtw89: coex: Add v2 Bluetooth scan info (Íñigo Huguet) [2196821]
- wifi: rtw89: coex: Fix wrong structure assignment at null data report (Íñigo Huguet) [2196821]
- wifi: rtw89: coex: Add register monitor report v2 format (Íñigo Huguet) [2196821]
- wifi: rtw89: coex: Add traffic TX/RX info and its H2C (Íñigo Huguet) [2196821]
- wifi: rtw89: coex: Add WiFi role info v2 (Íñigo Huguet) [2196821]
- wifi: rtw89: coex: Add more error_map and counter to log (Íñigo Huguet) [2196821]
- wifi: qtnfmac: use struct_size and size_sub for payload length (Íñigo Huguet) [2196821]
- wifi: ipw2x00: convert ipw_fw_error->elem to flexible array[] (Íñigo Huguet) [2196821]
- wifi: rtl8xxxu: use module_usb_driver (Íñigo Huguet) [2196821]
- wifi: rtw89: release RX standby timer of beamformee CSI to save power (Íñigo Huguet) [2196821]
- wifi: rtl8xxxu: mark Edimax EW-7811Un V2 as tested (Íñigo Huguet) [2196821]
- wifi: brcmfmac: Use ISO3166 country code and rev 0 as fallback on 4356 (Íñigo Huguet) [2196821]
- wifi: move raycs, wl3501 and rndis_wlan to legacy directory (Íñigo Huguet) [2196821]
- wifi: move mac80211_hwsim and virt_wifi to virtual directory (Íñigo Huguet) [2196821]
- wifi: ath11k: add debug prints in regulatory WMI event processing (Íñigo Huguet) [2196821]
- wifi: ath11k: add support to parse new WMI event for 6 GHz (Íñigo Huguet) [2196821]
- wifi: ath11k: use proper regulatory reference for bands (Íñigo Huguet) [2196821]
- bus: mhi: host: pci_generic: Revert "Add a secondary AT port to Telit FN990" (Íñigo Huguet) [2196821]
- bus: mhi: host: pci_generic: Drop redundant pci_enable_pcie_error_reporting() (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: fix EOF bit reporting (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Do not include radiotap EHT user info if not needed (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add EHT RU allocation to radiotap (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Update logs for yoyo reset sw changes (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: clean up duplicated defines (Íñigo Huguet) [2196821]
- wifi: iwlwifi: rs-fw: break out for unsupported bandwidth (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Add support for B step of BnJ-Fm4 (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: make flush code a bit clearer (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: avoid UB shift of snif_queue (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add primary 80 known for EHT radiotap (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: parse FW frame metadata for EHT sniffer mode (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: decode USIG_B1_B7 RU to nl80211 RU width (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: rename define to generic name (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: allow Microsoft to use TAS (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add all EHT based on data0 info from HW (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add EHT radiotap info based on rate_n_flags (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add an helper function radiotap TLVs (Íñigo Huguet) [2196821]
- wifi: radiotap: separate vendor TLV into header/content (Íñigo Huguet) [2196821]
- bus: mhi: ep: Demote unsupported channel error log to debug (Íñigo Huguet) [2196821]
- bus: mhi: host: Remove mhi_poll() API (Íñigo Huguet) [2196821]
- wifi: iwlwifi: reduce verbosity of some logging events (Íñigo Huguet) [2196821]
- wifi: iwlwifi: Adding the code to get RF name for MsP device (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: mark mac header with no data frames (Íñigo Huguet) [2196821]
- wifi: iwlwifi: mvm: add LSIG info to radio tap info in EHT (Íñigo Huguet) [2196821]
- wifi: nl80211: convert cfg80211_scan_request allocation to *_size macros (Íñigo Huguet) [2196821]
- wifi: nl80211: Add support for randomizing TA of auth and deauth frames (Íñigo Huguet) [2196821]
- wifi: mac80211: add LDPC related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821]
- wifi: mac80211: add EHT MU-MIMO related flags in ieee80211_bss_conf (Íñigo Huguet) [2196821]
- wifi: mac80211: introduce ieee80211_refresh_tx_agg_session_timer() (Íñigo Huguet) [2196821]
- wifi: mac80211: add support for driver adding radiotap TLVs (Íñigo Huguet) [2196821]
- wifi: radiotap: Add EHT radiotap definitions (Íñigo Huguet) [2196821]
- wifi: mac80211: fix ieee80211_link_set_associated() type (Íñigo Huguet) [2196821]
- wifi: mac80211: simplify reasoning about EHT capa handling (Íñigo Huguet) [2196821]
- wifi: mac80211: mlme: remove pointless sta check (Íñigo Huguet) [2196821]
- wifi: mac80211_hwsim: Indicate support for NL80211_EXT_FEATURE_SCAN_MIN_PREQ_CONTENT (Íñigo Huguet) [2196821]
- wifi: mac80211: add netdev per-link debugfs data and driver hook (Íñigo Huguet) [2196821]
- wifi: mac80211: remove SMPS from AP debugfs (Íñigo Huguet) [2196821]
- wifi: mac80211: add pointer from bss_conf to vif (Íñigo Huguet) [2196821]
- wifi: mac80211: warn only once on AP probe (Íñigo Huguet) [2196821]
- wifi: cfg80211/mac80211: report link ID on control port RX (Íñigo Huguet) [2196821]
- wifi: mac80211: add support for set_hw_timestamp command (Íñigo Huguet) [2196821]
- wifi: nl80211: add a command to enable/disable HW timestamping (Íñigo Huguet) [2196821]
- wifi: wireless: cleanup unused function parameters (Íñigo Huguet) [2196821]
- wifi: wireless: correct primary channel validation on 6 GHz (Íñigo Huguet) [2196821]
- wifi: wireless: return primary channel regardless of DUP (Íñigo Huguet) [2196821]
- wifi: mac80211: allow beacon protection HW offload (Íñigo Huguet) [2196821]
- wifi: mac80211: check key taint for beacon protection (Íñigo Huguet) [2196821]
- wifi: mac80211: clear all bits that relate rtap fields on skb (Íñigo Huguet) [2196821]
- wifi: mac80211: adjust scan cancel comment/check (Íñigo Huguet) [2196821]
- wifi: nl80211: Update the documentation of NL80211_SCAN_FLAG_COLOCATED_6GHZ (Íñigo Huguet) [2196821]
- gpiolib: split linux/gpio/driver.h out of linux/gpio.h (Íñigo Huguet) [2196821]
- wifi: rtlwifi: rtl8192se: Remove some unused variables (Íñigo Huguet) [2196821]
- wifi: rtw88: mac: Return the original error from rtw_mac_power_switch() (Íñigo Huguet) [2196821]
- wifi: rtw88: mac: Return the original error from rtw_pwr_seq_parser() (Íñigo Huguet) [2196821]
- wifi: brcmfmac: pcie: Add 4359C0 firmware definition (Íñigo Huguet) [2196821]
- wifi: rtw89: fix SER L1 might stop entering LPS issue (Íñigo Huguet) [2196821]
- wifi: ath11k: Add tx ack signal support for management packets (Íñigo Huguet) [2196821]
- wifi: brcmfmac: pcie: Add BCM4378B3 support (Íñigo Huguet) [2196821]
- wifi: brcmfmac: common: Add support for external calibration blobs (Íñigo Huguet) [2196821]
- wifi: brcmfmac: pcie: Load and provide TxCap blobs (Íñigo Huguet) [2196821]
- wifi: brcmfmac: common: Add support for downloading TxCap blobs (Íñigo Huguet) [2196821]
- wifi: brcmfmac: pcie: Add IDs/properties for BCM4387 (Íñigo Huguet) [2196821]
- wifi: brcmfmac: cfg80211: Pass the PMK in binary instead of hex (Íñigo Huguet) [2196821]
- wifi: brcmfmac: cfg80211: Add support for PMKID_V3 operations (Íñigo Huguet) [2196821]
- wifi: brcmfmac: feature: Add support for setting feats based on WLC version (Íñigo Huguet) [2196821]
- wifi: brcmfmac: cfg80211: Add support for scan params v2 (Íñigo Huguet) [2196821]
- wifi: brcmfmac: chip: Handle 1024-unit sizes for TCM blocks (Íñigo Huguet) [2196821]
- wifi: brcmfmac: chip: Only disable D11 cores; handle an arbitrary number (Íñigo Huguet) [2196821]
- wifi: ath11k: generate rx and tx mcs maps for supported HE mcs (Íñigo Huguet) [2196821]
- wifi: ath11k: move HE MCS mapper to a separate function (Íñigo Huguet) [2196821]
- wifi: ath11k: push MU-MIMO params from hostapd to hardware (Íñigo Huguet) [2196821]
- wifi: ath11k: modify accessor macros to match index size (Íñigo Huguet) [2196821]
- wifi: ath6kl: reduce WARN to dev_dbg() in callback (Íñigo Huguet) [2196821]
- wifi: brcmfmac: support CQM RSSI notification with older firmware (Íñigo Huguet) [2196821]
- wifi: brcmfmac: pcie: Provide a buffer of random bytes to the device (Íñigo Huguet) [2196821]
- wifi: brcmfmac: acpi: Add support for fetching Apple ACPI properties (Íñigo Huguet) [2196821]
- wifi: ath12k: remove memset with byte count of 278528 (Íñigo Huguet) [2196821]
- wifi: wcn36xx: Slightly optimize PREPARE_HAL_BUF() (Íñigo Huguet) [2196821]
- wifi: rtw89: refine FW feature judgement on packet drop (Íñigo Huguet) [2196821]
- wifi: rtw89: 8852b: enable hw_scan support (Íñigo Huguet) [2196821]
- wifi: rtw89: 8852b: add channel encoding for hw_scan (Íñigo Huguet) [2196821]
- wifi: rtw89: adjust channel encoding to common function (Íñigo Huguet) [2196821]
- wifi: rtw89: fw: configure CRASH_TRIGGER feature for 8852B (Íñigo Huguet) [2196821]
- wifi: rtw89: add tx_wake notify for 8852B (Íñigo Huguet) [2196821]
- wifi: rtw88: rtw8822c: Implement RTL8822CS (SDIO) efuse parsing (Íñigo Huguet) [2196821]
- wifi: rtw88: rtw8822b: Implement RTL8822BS (SDIO) efuse parsing (Íñigo Huguet) [2196821]
- wifi: rtw88: rtw8821c: Implement RTL8821CS (SDIO) efuse parsing (Íñigo Huguet) [2196821]
- wifi: rtw88: mac: Add SDIO HCI support in the TX/page table setup (Íñigo Huguet) [2196821]
- wifi: rtw88: mac: Add support for the SDIO HCI in rtw_pwr_seq_parser() (Íñigo Huguet) [2196821]
- wifi: rtl8xxxu: Remove always true condition in rtl8xxxu_print_chipinfo (Íñigo Huguet) [2196821]
- wifi: rtw89: add RNR support for 6 GHz scan (Íñigo Huguet) [2196821]
- wifi: rtlwifi: rtl8192de: Remove the unused variable bcnfunc_enable (Íñigo Huguet) [2196821]
- wifi: rtl8xxxu: 8188e: parse single one element of RA report for station mode (Íñigo Huguet) [2196821]
- wifi: rtlwifi: rtl8192ce: fix dealing empty EEPROM values (Íñigo Huguet) [2196821]
- wifi: ath11k: fix SAC bug on peer addition with sta band migration (Íñigo Huguet) [2196821]
- wifi: ath10k: Remove redundant assignment to changed_flags (Íñigo Huguet) [2196821]
- wifi: ath10k: snoc: enable threaded napi on WCN3990 (Íñigo Huguet) [2196821]
- wifi: ath5k: fix an off by one check in ath5k_eeprom_read_freq_list() (Íñigo Huguet) [2196821]
- wifi: ath5k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821]
- wifi: ath11k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2196821]
- wifi: ath12k: PCI ops for wakeup/release MHI (Íñigo Huguet) [2196821]
- wifi: ath12k: Handle lock during peer_id find (Íñigo Huguet) [2196821]
- wifi: ath9k: hif_usb: fix memory leak of remain_skbs (Íñigo Huguet) [2196821]
- wifi: ath11k: fix return value check in ath11k_ahb_probe() (Íñigo Huguet) [2196821]
- wifi: ath12k: use kfree_skb() instead of kfree() (Íñigo Huguet) [2196821]
- wifi: ath: Silence memcpy run-time false positive warning (Íñigo Huguet) [2196821]
- wifi: ath10k: Remove the unused function shadow_dst_wr_ind_addr() and ath10k_ce_error_intr_enable() (Íñigo Huguet) [2196821]
- wifi: ath12k: dp_mon: clean up some inconsistent indentings (Íñigo Huguet) [2196821]
- wifi: ath12k: dp_mon: Fix unsigned comparison with less than zero (Íñigo Huguet) [2196821]
- wifi: ath12k: Fix spelling mistakes in warning messages and comments (Íñigo Huguet) [2196821]
- wifi: ath6kl: minor fix for allocation size (Íñigo Huguet) [2196821]
- wifi: ath11k: Set ext passive scan flag to adjust passive scan start time (Íñigo Huguet) [2196821]
- tpm_tis-spi: Add hardware wait polling (Štěpán Horáček) [2168368]
- tpm: Add a helper for checking hwrng enabled (Štěpán Horáček) [2227058]
- tpm: Disable RNG for all AMD fTPMs (Štěpán Horáček) [2227058]
- tpm: return false from tpm_amd_is_rng_defective on non-x86 platforms (Štěpán Horáček) [2227058]
- tpm_tis_spi: Release chip select when flow control fails (Štěpán Horáček) [2227058]
- tpm: tpm_vtpm_proxy: fix a race condition in /dev/vtpmx creation (Štěpán Horáček) [2227058]
- tpm: Prevent hwrng from activating during resume (Štěpán Horáček) [2227058]
- tpm: Re-enable TPM chip boostrapping non-tpm_tis TPM drivers (Štěpán Horáček) [2227058]
- tpm: Add !tpm_amd_is_rng_defective() to the hwrng_unregister() call site (Štěpán Horáček) [2227058]
- tpm_tis: fix stall after iowrite*()s (Štěpán Horáček) [2227058]
- tpm: tpm_tis_spi: Mark ACPI and OF related data as maybe unused (Štěpán Horáček) [2227058]
- tpm, tpm_tis: startup chip before testing for interrupts (Štěpán Horáček) [2227058]
- spi: tegra210-quad: Enable TPM wait polling (Štěpán Horáček) [2168368]
- spi: Add TPM HW flow flag (Štěpán Horáček) [2168368]
- tpm: Fix a possible dereference of ERR_PTR in tpm_init() (Štěpán Horáček) [2227058]
- tpm: fix up the tpm_class shutdown_pre pointer when created (Štěpán Horáček) [2227058]
- spi: tegra210-quad: Fix iterator outside loop (Štěpán Horáček) [2168368]
- spi: tegra210-quad: Fix validate combined sequence (Štěpán Horáček) [2168368]
- spi: tegra210-quad: set half duplex flag (Štěpán Horáček) [2168368]
- tpm: Remove read16/read32/write32 calls from tpm_tis_phy_ops (Štěpán Horáček) [2227058]
- tpm: fix NPE on probe for missing device (Štěpán Horáček) [2227058]
- tpm_tis: Fix an error handling path in 'tpm_tis_core_init()' (Štěpán Horáček) [2227058]
- tpm: fix Atmel TPM crash caused by too frequent queries (Štěpán Horáček) [2227058]
- redhat/configs: Enable CONFIG_TPM_TIS_SPI (Štěpán Horáček) [2227058]
- xfrm: out-of-bounds read of XFRMA_MTIMER_THRESH nlattr (Daniel Mendes) [2218950] {CVE-2023-3773}
- netfilter: nf_tables: disallow rule addition to bound chain via NFTA_RULE_CHAIN_ID (Phil Sutter) [2225271] {CVE-2023-4147}
- netfilter: nft_set_pipapo: fix improper element removal (Phil Sutter) [2225277] {CVE-2023-4004}
Resolves: rhbz#2168368, rhbz#2184581, rhbz#2196821, rhbz#2213271, rhbz#2213808, rhbz#2218950, rhbz#2222462, rhbz#2225271, rhbz#2225277, rhbz#2227058

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-18 16:51:42 +02:00
Jan Stancek
845d97f89a kernel-5.14.0-357.el9
* Thu Aug 17 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-357.el9]
- drm/simpledrm: Support the XB24/AB24 format (Adam Jackson) [2231064]
- Revert "PCI: tegra194: Enable support for 256 Byte payload" (Jennifer Berringer) [2210133]
- Revert "drm/amd/display: edp do not add non-edid timings" (Mika Penttilä) [RHEL-846]
- Revert "drm/amd/display: reallocate DET for dual displays with high pixel rate ratio" (Mika Penttilä) [RHEL-846]
- drm/client: Fix memory leak in drm_client_modeset_probe (Mika Penttilä) [RHEL-846]
- drm/client: Fix memory leak in drm_client_target_cloned (Mika Penttilä) [RHEL-846]
- drm/atomic: Fix potential use-after-free in nonblocking commits (Mika Penttilä) [RHEL-846]
- drm/amd/pm: conditionally disable pcie lane/speed switching for SMU13 (Mika Penttilä) [RHEL-846]
- drm/amd/pm: share the code around SMU13 pcie parameters update (Mika Penttilä) [RHEL-846]
- drm/ttm: Don't leak a resource on swapout move error (Mika Penttilä) [RHEL-846]
- drm/amdgpu: avoid restore process run into dead loop. (Mika Penttilä) [RHEL-846]
- drm/amd/display: Correct `DMUB_FW_VERSION` macro (Mika Penttilä) [RHEL-846]
- drm/amd/display: Fix 128b132b link loss handling (Mika Penttilä) [RHEL-846]
- drm/amd/display: add a NULL pointer check (Mika Penttilä) [RHEL-846]
- drm/amd: Disable PSR-SU on Parade 0803 TCON (Mika Penttilä) [RHEL-846]
- drm/amdgpu: fix clearing mappings for BOs that are always valid in VM (Mika Penttilä) [RHEL-846]
- drm/amd/display: disable seamless boot if force_odm_combine is enabled (Mika Penttilä) [RHEL-846]
- drm/amd/display: Remove Phantom Pipe Check When Calculating K1 and K2 (Mika Penttilä) [RHEL-846]
- drm/amd/display: fix seamless odm transitions (Mika Penttilä) [RHEL-846]
- drm/dp_mst: Clear MSG_RDY flag before sending new message (Mika Penttilä) [RHEL-846]
- drm/atomic: Allow vblank-enabled + self-refresh "disable" (Mika Penttilä) [RHEL-846]
- drm/amd/display: perform a bounds check before filling dirty rectangles (Mika Penttilä) [RHEL-846]
- drm/nouveau: bring back blit subchannel for pre nv50 GPUs (Mika Penttilä) [RHEL-846]
- drm/nouveau/disp/g94: enable HDMI (Mika Penttilä) [RHEL-846]
- drm/nouveau/disp: fix HDMI on gt215+ (Mika Penttilä) [RHEL-846]
- drm/i915: Fix one wrong caching mode enum usage (Mika Penttilä) [RHEL-846]
- drm/i915: Don't preserve dpll_hw_state for slave crtc in Bigjoiner (Mika Penttilä) [RHEL-846]
- drm/panel: simple: Add Powertip PH800480T013 drm_display_mode flags (Mika Penttilä) [RHEL-846]
- drm/bridge: ti-sn65dsi86: Fix auxiliary bus lifetime (Mika Penttilä) [RHEL-846]
- drm/panel: simple: Add connector_type for innolux_at043tn24 (Mika Penttilä) [RHEL-846]
- drm/nouveau/acr: Abort loading ACR if no firmware was found (Mika Penttilä) [RHEL-846]
- drm/amdgpu: add RAS POISON interrupt funcs for jpeg_v4_0 (Mika Penttilä) [RHEL-846]
- drm/amdgpu: add RAS POISON interrupt funcs for jpeg_v2_6 (Mika Penttilä) [RHEL-846]
- drm/amdgpu: separate ras irq from jpeg instance irq for UVD_POISON (Mika Penttilä) [RHEL-846]
- drm/amdgpu: add RAS POISON interrupt funcs for vcn_v4_0 (Mika Penttilä) [RHEL-846]
- drm/amdgpu: add RAS POISON interrupt funcs for vcn_v2_6 (Mika Penttilä) [RHEL-846]
- drm/amdgpu: separate ras irq from vcn instance irq for UVD_POISON (Mika Penttilä) [RHEL-846]
- drm/amdgpu: Move vcn ras block init to ras sw_init (Mika Penttilä) [RHEL-846]
- drm/amdgpu: Move jpeg ras block init to ras sw_init (Mika Penttilä) [RHEL-846]
- drm/amdgpu: Fix usage of UMC fill record in RAS (Mika Penttilä) [RHEL-846]
- drm/amdgpu: Fix memcpy() in sienna_cichlid_append_powerplay_table function. (Mika Penttilä) [RHEL-846]
- amdgpu: validate offset_in_bo of drm_amdgpu_gem_va (Mika Penttilä) [RHEL-846]
- drm/radeon: fix possible division-by-zero errors (Mika Penttilä) [RHEL-846]
- drm/amd/display: Fix artifacting on eDP panels when engaging freesync video mode (Mika Penttilä) [RHEL-846]
- drm/amdkfd: Fix potential deallocation of previously deallocated memory. (Mika Penttilä) [RHEL-846]
- drm/amd/display: Fix a test dml32_rq_dlg_get_rq_reg() (Mika Penttilä) [RHEL-846]
- drm/amd/display: Fix a test CalculatePrefetchSchedule() (Mika Penttilä) [RHEL-846]
- drm/panel: simple: fix active size for Ampire AM-480272H3TMQW-T01H (Mika Penttilä) [RHEL-846]
- drm/bridge: ti-sn65dsi83: Fix enable/disable flow to meet spec (Mika Penttilä) [RHEL-846]
- drm/bridge: anx7625: Prevent endless probe loop (Mika Penttilä) [RHEL-846]
- xdrm/nouveau: dispnv50: fix missing-prototypes warning (Mika Penttilä) [RHEL-846]
- drm/bridge: tc358767: Switch to devm MIPI-DSI helpers (Mika Penttilä) [RHEL-846]
- drm/vkms: Fix RGB565 pixel conversion (Mika Penttilä) [RHEL-846]
- drm: Add fixed-point helper to get rounded integer values (Mika Penttilä) [RHEL-846]
- drm/vkms: isolate pixel conversion functionality (Mika Penttilä) [RHEL-846]
- drm/panel: sharp-ls043t1le01: adjust mode settings (Mika Penttilä) [RHEL-846]
- drm/vram-helper: fix function names in vram helper doc (Mika Penttilä) [RHEL-846]
- drm/bridge: tc358768: fix THS_TRAILCNT computation (Mika Penttilä) [RHEL-846]
- drm/bridge: tc358768: fix TXTAGOCNT computation (Mika Penttilä) [RHEL-846]
- drm/bridge: tc358768: fix THS_ZEROCNT computation (Mika Penttilä) [RHEL-846]
- drm/bridge: tc358768: fix TCLK_TRAILCNT computation (Mika Penttilä) [RHEL-846]
- drm/bridge: tc358768: Add atomic_get_input_bus_fmts() implementation (Mika Penttilä) [RHEL-846]
- drm/bridge: tc358768: fix TCLK_ZEROCNT computation (Mika Penttilä) [RHEL-846]
- drm/bridge: tc358768: fix PLL target frequency (Mika Penttilä) [RHEL-846]
- drm/bridge: tc358768: fix PLL parameters computation (Mika Penttilä) [RHEL-846]
- drm/bridge: tc358768: always enable HS video mode (Mika Penttilä) [RHEL-846]
- drm/bridge: ti-sn65dsi83: Fix enable error path (Mika Penttilä) [RHEL-846]
- drm/bridge: it6505: Move a variable assignment behind a null pointer check in receive_timing_debugfs_show() (Mika Penttilä) [RHEL-846]
- drm/i915/guc/slpc: Provide sysfs for efficient freq (Mika Penttilä) [RHEL-846]
- drm/i915/guc: More debug print updates - GuC SLPC (Mika Penttilä) [RHEL-846]
- drm/amd/display: Explicitly specify update type per plane info change (Mika Penttilä) [RHEL-846]
- radeon: avoid double free in ci_dpm_init() (Mika Penttilä) [RHEL-846]
- drm/amd/display: fix is_timing_changed() prototype (Mika Penttilä) [RHEL-846]
- drm/amd/display: Add logging for display MALL refresh setting (Mika Penttilä) [RHEL-846]
- drm: use mgr->dev in drm_dbg_kms in drm_dp_add_payload_part2 (Mika Penttilä) [RHEL-846]
- drm/amd/display: Ensure vmin and vmax adjust for DCE (Mika Penttilä) [RHEL-846]
- drm/amdgpu: Validate VM ioctl flags. (Mika Penttilä) [RHEL-846]
- drm/amd/display: Do not update DRR while BW optimizations pending (Mika Penttilä) [RHEL-846]
- drm/radeon: fix race condition UAF in radeon_gem_set_domain_ioctl (Mika Penttilä) [RHEL-846]
- udmabuf: revert 'Add support for mapping hugepages (v4)' (Mika Penttilä) [RHEL-846]
- drm/amd/display: fix the system hang while disable PSR (Mika Penttilä) [RHEL-846]
- drm/amd/display: Add wrapper to call planes and stream update (Mika Penttilä) [RHEL-846]
- drm/amd/display: Use dc_update_planes_and_stream (Mika Penttilä) [RHEL-846]
- drm/nouveau: add nv_encoder pointer check for NULL (Mika Penttilä) [RHEL-846]
- drm/nouveau/dp: check for NULL nv_connector->native_mode (Mika Penttilä) [RHEL-846]
- drm/bridge: ti-sn65dsi86: Avoid possible buffer overflow (Mika Penttilä) [RHEL-846]
- drm/nouveau: don't detect DSM for non-NVIDIA device (Mika Penttilä) [RHEL-846]
- drm/amdgpu: Modify indirect buffer packages for resubmission (Mika Penttilä) [RHEL-846]
- drm/amdgpu: Implement gfx9 patch functions for resubmission (Mika Penttilä) [RHEL-846]
- drm/amdgpu: Program gds backup address as zero if no gds allocated (Mika Penttilä) [RHEL-846]
- drm/amdgpu: Reset CP_VMID_PREEMPT after trailing fence signaled (Mika Penttilä) [RHEL-846]
- drm/amdgpu: add missing radeon secondary PCI ID (Mika Penttilä) [RHEL-846]
- drm/amd/pm: workaround for compute workload type on some skus (Mika Penttilä) [RHEL-846]
- drm/amd: Tighten permissions on VBIOS flashing attributes (Mika Penttilä) [RHEL-846]
- drm/amd: Make sure image is written to trigger VBIOS image update flow (Mika Penttilä) [RHEL-846]
- drm/amd/display: edp do not add non-edid timings (Mika Penttilä) [RHEL-846]
- drm/amd/display: limit DPIA link rate to HBR3 (Mika Penttilä) [RHEL-846]
- drm/amdgpu: vcn_4_0 set instance 0 init sched score to 1 (Mika Penttilä) [RHEL-846]
- Revert "drm/amdgpu: remove TOPDOWN flags when allocating VRAM in large bar system" (Mika Penttilä) [RHEL-846]
- drm:amd:amdgpu: Fix missing buffer object unlock in failure path (Mika Penttilä) [RHEL-846]
- drm: panel-orientation-quirks: Change Air's quirk to support Air Plus (Mika Penttilä) [RHEL-846]
- drm/amd/display: add ODM case when looking for first split pipe (Mika Penttilä) [RHEL-846]
- drm/amd/display: Reduce sdp bw after urgent to 90%% (Mika Penttilä) [RHEL-846]
- drm/amd/pm: Fix power context allocation in SMU13 (Mika Penttilä) [RHEL-846]
- drm/amd: Disallow s0ix without BIOS support again (Mika Penttilä) [RHEL-846]
- drm/amdgpu: change reserved vram info print (Mika Penttilä) [RHEL-846]
- drm/amdgpu: fix xclk freq on CHIP_STONEY (Mika Penttilä) [RHEL-846]
- drm/amd/pm: conditionally disable pcie lane switching for some sienna_cichlid SKUs (Mika Penttilä) [RHEL-846]
- drm/i915/gt: Use the correct error value when kernel_context() fails (Mika Penttilä) [RHEL-846]
- drm/amdgpu: fix Null pointer dereference error in amdgpu_device_recover_vram (Mika Penttilä) [RHEL-846]
- drm/i915/selftests: Add some missing error propagation (Mika Penttilä) [RHEL-846]
- drm/i915: Use 18 fast wake AUX sync len (Mika Penttilä) [RHEL-846]
- drm/i915: Explain the magic numbers for AUX SYNC/precharge length (Mika Penttilä) [RHEL-846]
- drm/amd/pm: reverse mclk and fclk clocks levels for renoir (Mika Penttilä) [RHEL-846]
- drm/amd/pm: reverse mclk and fclk clocks levels for yellow carp (Mika Penttilä) [RHEL-846]
- drm/amd/pm: reverse mclk clocks levels for SMU v13.0.5 (Mika Penttilä) [RHEL-846]
- drm/amd/pm: resolve reboot exception for si oland (Mika Penttilä) [RHEL-846]
- drm/amd/pm: reverse mclk and fclk clocks levels for vangogh (Mika Penttilä) [RHEL-846]
- drm/amd/pm: reverse mclk and fclk clocks levels for SMU v13.0.4 (Mika Penttilä) [RHEL-846]
- drm/amdgpu: enable tmz by default for GC 11.0.1 (Mika Penttilä) [RHEL-846]
- drm/amd/display: Only wait for blank completion if OTG active (Mika Penttilä) [RHEL-846]
- drm/amdgpu: skip disabling fence driver src_irqs when device is unplugged (Mika Penttilä) [RHEL-846]
- drm/amdgpu: set gfx9 onwards APU atomics support to be true (Mika Penttilä) [RHEL-846]
- drm/amdgpu/nv: update VCN 3 max HEVC encoding resolution (Mika Penttilä) [RHEL-846]
- drm/amdgpu: Use the default reset when loading or reloading the driver (Mika Penttilä) [RHEL-846]
- drm/amd/display: fix memleak in aconnector->timing_requested (Mika Penttilä) [RHEL-846]
- drm/amdgpu: release gpu full access after "amdgpu_device_ip_late_init" (Mika Penttilä) [RHEL-846]
- drm/i915: Fix PIPEDMC disabling for a bigjoiner configuration (Mika Penttilä) [RHEL-846]
- drm/i915: Disable DPLLs before disconnecting the TC PHY (Mika Penttilä) [RHEL-846]
- drm/i915: Move shared DPLL disabling into CRTC disable hook (Mika Penttilä) [RHEL-846]
- drm: fix drmm_mutex_init() (Mika Penttilä) [RHEL-846]
- drm/amd/amdgpu: limit one queue per gang (Mika Penttilä) [RHEL-846]
- drm/amd/pm: Fix output of pp_od_clk_voltage (Mika Penttilä) [RHEL-846]
- drm/amd/pm: add missing NotifyPowerSource message mapping for SMU13.0.7 (Mika Penttilä) [RHEL-846]
- drm/amdgpu: don't enable secure display on incompatible platforms (Mika Penttilä) [RHEL-846]
- drm/radeon: reintroduce radeon_dp_work_func content (Mika Penttilä) [RHEL-846]
- drm/amd/display: Have Payload Properly Created After Resume (Mika Penttilä) [RHEL-846]
- drm/amdgpu: reserve the old gc_11_0_*_mes.bin (Mika Penttilä) [RHEL-846]
- drm/amd/amdgpu: introduce gc_*_mes_2.bin v2 (Mika Penttilä) [RHEL-846]
- drm/amdgpu/gfx11: update gpu_clock_counter logic (Mika Penttilä) [RHEL-846]
- drm/amdgpu: refine get gpu clock counter method (Mika Penttilä) [RHEL-846]
- drm/amdgpu/gfx11: Adjust gfxoff before powergating on gfx11 as well (Mika Penttilä) [RHEL-846]
- drm/amdgpu/gfx10: Disable gfxoff before disabling powergating. (Mika Penttilä) [RHEL-846]
- drm/amdgpu/gmc11: implement get_vbios_fb_size() (Mika Penttilä) [RHEL-846]
- drm/amd/pm: fix possible power mode mismatch between driver and PMFW (Mika Penttilä) [RHEL-846]
- drm/amdgpu: Enable IH retry CAM on GFX9 (Mika Penttilä) [RHEL-846]
- drm/amdgpu: Fix sdma v4 sw fini error (Mika Penttilä) [RHEL-846]
- drm/amd: Fix an out of bounds error in BIOS parser (Mika Penttilä) [RHEL-846]
- drm/amd/display: Correct DML calculation to follow HW SPEC (Mika Penttilä) [RHEL-846]
- drm/tegra: Avoid potential 32-bit integer overflow (Mika Penttilä) [RHEL-846]
- drm/amd/display: fixed dcn30+ underflow issue (Mika Penttilä) [RHEL-846]
- drm/amd/display: reallocate DET for dual displays with high pixel rate ratio (Mika Penttilä) [RHEL-846]
- drm/amd/display: Use DC_LOG_DC in the trasform pixel function (Mika Penttilä) [RHEL-846]
- drm/amd/display: Enable HostVM based on rIOMMU active (Mika Penttilä) [RHEL-846]
- drm/amd/display: enable DPG when disabling plane for phantom pipe (Mika Penttilä) [RHEL-846]
- drm/amd/display: Correct DML calculation to align HW formula (Mika Penttilä) [RHEL-846]
- drm/amd/display: populate subvp cmd info only for the top pipe (Mika Penttilä) [RHEL-846]
- drm/displayid: add displayid_get_header() and check bounds better (Mika Penttilä) [RHEL-846]
- drm/i915: taint kernel when force probing unsupported devices (Mika Penttilä) [RHEL-846]
- drm/i915/dp: prevent potential div-by-zero (Mika Penttilä) [RHEL-846]
- drm/i915: Fix NULL ptr deref by checking new_crtc_state (Mika Penttilä) [RHEL-846]
- drm/i915/guc: Don't capture Gen8 regs on Xe devices (Mika Penttilä) [RHEL-846]
- drm/sched: Check scheduler work queue before calling timeout handling (Mika Penttilä) [RHEL-846]
- drm/mipi-dsi: Set the fwnode for mipi_dsi_device (Mika Penttilä) [RHEL-846]
- drm/nouveau/disp: More DP_RECEIVER_CAP_SIZE array fixes (Mika Penttilä) [RHEL-846]
- drm/dsc: fix DP_DSC_MAX_BPP_DELTA_* macro values (Mika Penttilä) [RHEL-846]
- drm/fbdev-generic: prohibit potential out-of-bounds access (Mika Penttilä) [RHEL-846]
- exfat: check if filename entries exceeds max filename length (Pavel Reichl) [2221611] {CVE-2023-4273}
- RHEL only: mark io_uring tech preview (Jeff Moyer) [2217069]
- RHEL only: disable io_uring by default (Jeff Moyer) [2217069]
- io_uring: treat -EAGAIN for REQ_F_NOWAIT as final for io-wq (Jeff Moyer) [2217069]
- io_uring: don't audit the capability check in io_uring_create() (Jeff Moyer) [2217069]
- io_uring/net: clear msg_controllen on partial sendmsg retry (Jeff Moyer) [2217069]
- io_uring/poll: serialize poll linked timer start with poll removal (Jeff Moyer) [2218611] {CVE-2023-3389}
- io_uring/net: use the correct msghdr union member in io_sendmsg_copy_hdr (Jeff Moyer) [2217069]
- io_uring/net: save msghdr->msg_control for retries (Jeff Moyer) [2217069]
- io_uring: wait interruptibly for request completions on exit (Jeff Moyer) [2217069]
- io_uring: add a sysctl to disable io_uring system-wide (Jeff Moyer) [2217069]
- io_uring: undeprecate epoll_ctl support (Jeff Moyer) [2217069]
- io_uring/rsrc: use nospec'ed indexes (Jeff Moyer) [2217069]
- selinux: implement the security_uring_cmd() LSM hook (Jeff Moyer) [2217069]
- selinux: add support for the io_uring access controls (Jeff Moyer) [2217069]
- selinux: log anon inode class name (Jeff Moyer) [2217069]
- RHEL-only: revert RHEL add a boot parameter to enable io_uring (Jeff Moyer) [2217069]
- RHEL-only: revert RHEL: io_uring: mark tech preview (Jeff Moyer) [2217069]
- Documentation/x86: Fix backwards on/off logic about YMM support (Waiman Long) [2229875] {CVE-2022-40982}
- KVM: Add GDS_NO support to KVM (Waiman Long) [2229875] {CVE-2022-40982}
- redhat/configs/x86: Disable CONFIG_GDS_FORCE_MITIGATION (Waiman Long) [2229875] {CVE-2022-40982}
- x86/speculation: Add Kconfig option for GDS (Waiman Long) [2229875] {CVE-2022-40982}
- x86/speculation: Add force option to GDS mitigation (Waiman Long) [2229875] {CVE-2022-40982}
- x86/speculation: Add Gather Data Sampling mitigation (Waiman Long) [2229875] {CVE-2022-40982}
- x86/cpu: Switch to arch_cpu_finalize_init() (Waiman Long) [2229875]
- init: Provide arch_cpu_finalize_init() (Waiman Long) [2229875]
- x86/bugs: Use sysfs_emit() (Waiman Long) [2229875]
- docs/kernel-parameters: Update descriptions for "mitigations=" param with retbleed (Waiman Long) [2229875]
- arm64: correct the effect of mitigations off on kpti (Waiman Long) [2229875]
- x86/speculation: Add missing srbds=off to the mitigations= help text (Waiman Long) [2229875]
- Documentation/ABI: Mention retbleed vulnerability info file for sysfs (Waiman Long) [2229875]
- ABI: sysfs-devices-system-cpu: use cpuX instead of cpu# (Waiman Long) [2229875]
- PCI: Fix runtime PM race with PME polling (Eric Auger) [2216699]
- PCI/VPD: Add runtime power management to sysfs interface (Eric Auger) [2216699]
- fuse: optional supplementary group in create requests (Miklos Szeredi) [2134128]
- fuse: add request extension (Miklos Szeredi) [2134128]
- redhat: Switch UKI to using its own SecureBoot cert (Vitaly Kuznetsov) [2225529]
- redhat: Add RHEL specifc .sbat section to UKI (Vitaly Kuznetsov) [2225529]
- xfrm: add NULL check in xfrm_update_ae_params (Daniel Mendes) [2218947] {CVE-2023-3772}
- nvme-rdma: fix potential unbalanced freeze & unfreeze (Ming Lei) [2158750]
- nvme-tcp: fix potential unbalanced freeze & unfreeze (Ming Lei) [2158750]
- nvme: fix possible hang when removing a controller during error recovery (Ming Lei) [2158750]
- crypto: rng - Fix lock imbalance in crypto_del_rng (Herbert Xu) [2229643]
Resolves: rhbz#2134128, rhbz#2158750, rhbz#2210133, rhbz#2216699, rhbz#2217069, rhbz#2218611, rhbz#2218947, rhbz#2221611, rhbz#2225529, rhbz#2229643, rhbz#2229875, rhbz#2231064, RHEL-846

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-17 11:46:34 +02:00
Jan Stancek
393cbd4364 kernel-5.14.0-355.el9
* Mon Aug 14 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-355.el9]
- docs: Move the HTE documentation to driver-api/ (Charles Mirabile) [2213227]
- hte: tegra-194: Fix off by one in tegra_hte_map_to_line_id() (Charles Mirabile) [2213227]
- gpio: tegra186: Add Tegra234 hte support (Charles Mirabile) [2213227]
- hte: handle nvidia,gpio-controller property (Charles Mirabile) [2213227]
- hte: Deprecate nvidia,slices property (Charles Mirabile) [2213227]
- hte: Add Tegra234 provider (Charles Mirabile) [2213227]
- arm64: tegra: Add Tegra234 GTE nodes (Charles Mirabile) [2213227]
- dt-bindings: timestamp: Deprecate nvidia,slices property (Charles Mirabile) [2213227]
- dt-bindings: timestamp: Add Tegra234 support (Charles Mirabile) [2213227]
- MAINTAINERS: Add HTE/timestamp subsystem details (Charles Mirabile) [2213227]
- hte: Use of_property_present() for testing DT property presence (Charles Mirabile) [2213227]
- hte: tegra-194: Use proper includes (Charles Mirabile) [2213227]
- tools: gpio: fix -c option of gpio-event-mon (Charles Mirabile) [2213227]
- gpio: tegra186: remove unneeded loop in tegra186_gpio_init_route_mapping() (Charles Mirabile) [2213227]
- hte: tegra: fix 'struct of_device_id' build error (Charles Mirabile) [2213227]
- hte: Use device_match_of_node() (Charles Mirabile) [2213227]
- dt-bindings: timestamp: Correct id path (Charles Mirabile) [2213227]
- dt-bindings: Renamed hte directory to timestamp (Charles Mirabile) [2213227]
- hte: Fix possible use-after-free in tegra_hte_test_remove() (Charles Mirabile) [2213227]
- hte: Remove unused including <linux/version.h> (Charles Mirabile) [2213227]
- MAINTAINERS: Add HTE Subsystem (Charles Mirabile) [2213227]
- tools: gpio: Add new hardware clock type (Charles Mirabile) [2213227]
- dt-bindings: Add HTE bindings (Charles Mirabile) [2213227]
- Documentation: Add HTE subsystem guide (Charles Mirabile) [2213227]
- net/mlx5e: TC, CT: Offload ct clear only once (Mohammad Kabat) [2214574]
- net/mlx5e: TC, Cleanup ct resources for nic flow (Mohammad Kabat) [2214574]
- xdp: bpf_xdp_metadata use EOPNOTSUPP for no driver support (Mohammad Kabat) [2165364]
- vfio-iommufd: Support iommufd for physical VFIO devices (Mohammad Kabat) [2214574]
- net/mlx5e: TC, Add null pointer check for hardware miss support (Mohammad Kabat) [2214574]
- net/mlx5e: TC, Remove special handling of CT action (Mohammad Kabat) [2214574]
- net/mlx5: DR, Add missing mutex init/destroy in pattern manager (Mohammad Kabat) [2214574]
- net/mlx5e: Do not update SBCM when prio2buffer command is invalid (Mohammad Kabat) [2214574]
- net/mlx5e: Move Ethernet driver debugfs to profile init callback (Mohammad Kabat) [2214574]
- net/mlx5e: Use query_special_contexts cmd only once per mdev (Mohammad Kabat) [2214574]
- net/mlx5e: TC, Fix using eswitch mapping in nic mode (Mohammad Kabat) [2214574]
- net/mlx5: Read embedded cpu after init bit cleared (Mohammad Kabat) [2214574]
- net/mlx5e: Fix error handling in mlx5e_refresh_tirs (Mohammad Kabat) [2214574]
- net/mlx5e: Don't attach netdev profile while handling internal error (Mohammad Kabat) [2214574]
- net/mlx5: Fix post parse infra to only parse every action once (Mohammad Kabat) [2214574]
- net/mlx5: fw_tracer, Fix event handling (Mohammad Kabat) [2214574]
- net/mlx5: SF, Drain health before removing device (Mohammad Kabat) [2214574]
- net/mlx5e: Consider internal buffers size in port buffer calculations (Mohammad Kabat) [2214574]
- net/mlx5: Devcom, serialize devcom registration (Mohammad Kabat) [2214574]
- net/mlx5: Devcom, fix error flow in mlx5_devcom_register_device (Mohammad Kabat) [2214574]
- net/mlx5e: Fix SQ wake logic in ptp napi_poll context (Mohammad Kabat) [2214574]
- net/mlx5e: Fix deadlock in tc route query code (Mohammad Kabat) [2214574]
- net/mlx5: Fix error message when failing to allocate device memory (Mohammad Kabat) [2214574]
- net/mlx5e: Use correct encap attribute during invalidation (Mohammad Kabat) [2214574]
- net/mlx5: DR, Check force-loopback RC QP capability independently from RoCE (Mohammad Kabat) [2214574]
- net/mlx5: DR, Fix crc32 calculation to work on big-endian (BE) CPUs (Mohammad Kabat) [2214574]
- net/mlx5: Handle pairing of E-switch via uplink un/load APIs (Mohammad Kabat) [2214574]
- net/mlx5: Collect command failures data only for known commands (Mohammad Kabat) [2214574]
- net/mlx5e: do as little as possible in napi poll when budget is 0 (Mohammad Kabat) [2214574]
- net/mlx5e: Fix error flow in representor failing to add vport rx rule (Mohammad Kabat) [2214574]
- RDMA/mlx5: Fix flow counter query via DEVX (Mohammad Kabat) [2214574]
- net/mlx5: Fix wrong comment (Mohammad Kabat) [2214574]
- net/mlx5e: Coding style fix, add empty line (Mohammad Kabat) [2214574]
- RDMA/mlx5: Coding style fix reported by checkpatch (Mohammad Kabat) [2214574]
- net/mlx5: DR, Calculate sync threshold of each pool according to its type (Mohammad Kabat) [2015447]
- net/mlx5: DR, Fix dumping of legacy modify_hdr in debug dump (Mohammad Kabat) [2015447]
- net/mlx5: DR, Enable patterns and arguments for supporting devices (Mohammad Kabat) [2015447]
- net/mlx5: DR, Add support for the pattern/arg parameters in debug dump (Mohammad Kabat) [2015447]
- net/mlx5: DR, Modify header action of size 1 optimization (Mohammad Kabat) [2015447]
- net/mlx5: DR, Support decap L3 action using pattern / arg mechanism (Mohammad Kabat) [2015447]
- net/mlx5: DR, Apply new accelerated modify action and decapl3 (Mohammad Kabat) [2015447]
- net/mlx5: DR, Add modify header argument pointer to actions attributes (Mohammad Kabat) [2015447]
- net/mlx5: DR, Add modify header arg pool mechanism (Mohammad Kabat) [2015447]
- net/mlx5: DR, Read ICM memory into dedicated buffer (Mohammad Kabat) [2015447]
- net/mlx5: DR, Add support for writing modify header argument (Mohammad Kabat) [2015447]
- net/mlx5: DR, Add create/destroy for modify-header-argument general object (Mohammad Kabat) [2015447]
- net/mlx5: DR, Check for modify_header_argument device capabilities (Mohammad Kabat) [2015447]
- net/mlx5: DR, Split chunk allocation to HW-dependent ways (Mohammad Kabat) [2015447]
- net/mlx5: DR, Add cache for modify header pattern (Mohammad Kabat) [2015447]
- net/mlx5: DR, Move ACTION_CACHE_LINE_SIZE macro to header (Mohammad Kabat) [2015447]
- net/mlx5: DR, Add modify-header-pattern ICM pool (Mohammad Kabat) [2015447]
- net/mlx5: DR, Prepare sending new WQE type (Mohammad Kabat) [2015447]
- net/mlx5: Add new WQE for updating flow table (Mohammad Kabat) [2015447]
- net/mlx5: Add mlx5_ifc bits for modify header argument (Mohammad Kabat) [2015447]
- RHEL-only: redhat/configs: Enable CONFIG_MLX5_VFIO_PCI on all archs (Mohammad Kabat) [2165324]
- vfio/mlx5: Fallback to STOP_COPY upon specific PRE_COPY error (Mohammad Kabat) [2165324]
- vfio/mlx5: Consider temporary end of stream as part of PRE_COPY (Mohammad Kabat) [2165324]
- vfio/mlx5: Enable MIGRATION_PRE_COPY flag (Mohammad Kabat) [2165324]
- vfio/mlx5: fix error code in mlx5vf_precopy_ioctl() (Mohammad Kabat) [2165324]
- vfio/mlx5: error pointer dereference in error handling (Mohammad Kabat) [2165324]
- vfio/mlx5: Fix UBSAN note (Mohammad Kabat) [2165324]
- vfio/mlx5: Allow loading of larger images than 512 MB (Mohammad Kabat) [2165324]
- vfio/mlx5: Check whether VF is migratable (Mohammad Kabat) [2165324]
- vfio/mlx5: Fix the report of dirty_bytes upon pre-copy (Mohammad Kabat) [2165324]
- vfio/mlx5: Improve the source side flow upon pre_copy (Mohammad Kabat) [2165324]
- vfio/mlx5: Improve the target side flow to reduce downtime (Mohammad Kabat) [2165324]
- vfio/mlx5: Introduce multiple loads (Mohammad Kabat) [2165324]
- vfio/mlx5: Fix range size calculation upon tracker creation (Mohammad Kabat) [2165324]
- vfio/mlx5: Introduce vfio precopy ioctl implementation (Mohammad Kabat) [2165324]
- vfio/mlx5: Introduce SW headers for migration states (Mohammad Kabat) [2165324]
- vfio/mlx5: Introduce device transitions of PRE_COPY (Mohammad Kabat) [2165324]
- vfio/mlx5: Refactor to use queue based data chunks (Mohammad Kabat) [2165324]
- vfio/mlx5: Refactor migration file state (Mohammad Kabat) [2165324]
- vfio/mlx5: Refactor MKEY usage (Mohammad Kabat) [2165324]
- vfio/mlx5: Refactor PD usage (Mohammad Kabat) [2165324]
- vfio/mlx5: Enforce a single SAVE command at a time (Mohammad Kabat) [2165324]
- vfio/mlx5: Fix a typo in mlx5vf_cmd_load_vhca_state() (Mohammad Kabat) [2165324]
- vfio: Add an option to get migration data size (Mohammad Kabat) [2165324]
- vfio/mlx5: Switch to use module_pci_driver() macro (Mohammad Kabat) [2165324]
- vfio/pci: Add driver_managed_dma to the new vfio_pci drivers (Mohammad Kabat) [2165324]
- vfio/mlx5: Use the new device life cycle helpers (Mohammad Kabat) [2165324]
- vfio/mlx5: Set the driver DMA logging callbacks (Mohammad Kabat) [2165324]
- vfio/mlx5: Manage error scenarios on tracker (Mohammad Kabat) [2165324]
- vfio/mlx5: Report dirty pages from tracker (Mohammad Kabat) [2165324]
- vfio/mlx5: Create and destroy page tracker object (Mohammad Kabat) [2165324]
- vfio/mlx5: Init QP based resources for dirty tracking (Mohammad Kabat) [2165324]
- vfio: Split migration ops from main device ops (Mohammad Kabat) [2165324]
- vfio/mlx5: Protect mlx5vf_disable_fds() upon close device (Mohammad Kabat) [2165324]
- vfio/pci: Have all VFIO PCI drivers store the vfio_pci_core_device in drvdata (Mohammad Kabat) [2165324]
- vfio/mlx5: Run the SAVE state command in an async mode (Mohammad Kabat) [2165324]
- vfio/mlx5: Refactor to enable VFs migration in parallel (Mohammad Kabat) [2165324]
- vfio/mlx5: Manage the VF attach/detach callback from the PF (Mohammad Kabat) [2165324]
- vfio/mlx5: Fix to not use 0 as NULL pointer (Mohammad Kabat) [2165324]
- vfio/mlx5: Use its own PCI reset_done error handler (Mohammad Kabat) [2165324]
- vfio/mlx5: Implement vfio_pci driver for mlx5 devices (Mohammad Kabat) [2165324]
- vfio/mlx5: Expose migration commands over mlx5 device (Mohammad Kabat) [2165324]
- net/mlx5e: RX, Remove doubtful unlikely call (Mohammad Kabat) [2165364]
- net/mlx5e: Remove redundant page argument in mlx5e_xdp_handle() (Mohammad Kabat) [2165364]
- net/mlx5e: Remove redundant page argument in mlx5e_xmit_xdp_buff() (Mohammad Kabat) [2165364]
- net/mlx5e: Support RX XDP metadata (Mohammad Kabat) [2165364]
- net/mlx5e: Introduce wrapper for xdp_buff (Mohammad Kabat) [2165364]
- Revert "net/mlx5: Enable management PF initialization" (Mohammad Kabat) [2165364]
- RDMA/mlx5: Use correct device num_ports when modify DC (Mohammad Kabat) [2165364]
- net/mlx5e: Nullify table pointer when failing to create (Mohammad Kabat) [2165364]
- net/mlx5: Use recovery timeout on sync reset flow (Mohammad Kabat) [2165364]
- Revert "net/mlx5: Remove "recovery" arg from mlx5_load_one() function" (Mohammad Kabat) [2165364]
- net/mlx5: Release tunnel device after tc update skb (Mohammad Kabat) [2165364]
- net/mlx5: E-switch, Don't destroy indirect table in split rule (Mohammad Kabat) [2165364]
- net/mlx5: E-switch, Create per vport table based on devlink encap mode (Mohammad Kabat) [2165364]
- net/mlx5e: Release the label when replacing existing ct entry (Mohammad Kabat) [2165364]
- net/mlx5e: Don't clone flow post action attributes second time (Mohammad Kabat) [2165364]
- RDMA/mlx5: Check pcie_relaxed_ordering_enabled() in UMR (Mohammad Kabat) [2165364]
- net/mlx5: DR, Fix QP continuous allocation (Mohammad Kabat) [2165364]
- IB/mlx5: Add support for 400G_8X lane speed (Mohammad Kabat) [2165364]
- net/mlx5: E-Switch, Fix an Oops in error handling code (Mohammad Kabat) [2165364]
- net/mlx5: Read the TC mapping of all priorities on ETS query (Mohammad Kabat) [2165364]
- net/mlx5e: Initialize link speed to zero (Mohammad Kabat) [2165364]
- net/mlx5: Fix steering rules cleanup (Mohammad Kabat) [2165364]
- net/mlx5e: Block entering switchdev mode with ns inconsistency (Mohammad Kabat) [2165364]
- net/mlx5e: Set uplink rep as NETNS_LOCAL (Mohammad Kabat) [2165364]
- net/mlx5e: TC, Remove error message log print (Mohammad Kabat) [2165364]
- net/mlx5e: TC, fix cloned flow attribute (Mohammad Kabat) [2165364]
- net/mlx5e: TC, fix missing error code (Mohammad Kabat) [2165364]
- net/sched: TC, fix raw counter initialization (Mohammad Kabat) [2165364]
- net/mlx5e: Lower maximum allowed MTU in XSK to match XDP prerequisites (Mohammad Kabat) [2165364]
- net/mlx5: Set BREAK_FW_WAIT flag first when removing driver (Mohammad Kabat) [2165364]
- net/mlx5e: kTLS, Fix missing error unwind on unsupported cipher type (Mohammad Kabat) [2165364]
- net/mlx5e: Fix cleanup null-ptr deref on encap lock (Mohammad Kabat) [2165364]
- net/mlx5: E-switch, Fix missing set of split_count when forward to ovs internal port (Mohammad Kabat) [2165364]
- net/mlx5: E-switch, Fix wrong usage of source port rewrite in split rules (Mohammad Kabat) [2165364]
- net/mlx5: Disable eswitch before waiting for VF pages (Mohammad Kabat) [2165364]
- net/mlx5: Fix setting ec_function bit in MANAGE_PAGES (Mohammad Kabat) [2165364]
- net/mlx5e: Don't cache tunnel offloads capability (Mohammad Kabat) [2165364]
- net/mlx5: Geneve, Fix handling of Geneve object id as error code (Mohammad Kabat) [2165364]
- net/mlx5e: Verify flow_source cap before using it (Mohammad Kabat) [2165364]
- net/mlx5: ECPF, wait for VF pages only after disabling host PFs (Mohammad Kabat) [2165364]
- mlx5: fix possible ptp queue fifo use-after-free (Mohammad Kabat) [2165364]
- mlx5: fix skb leak while fifo resync and push (Mohammad Kabat) [2165364]
- net/mlx5e: TC, fix return value check in mlx5e_tc_act_stats_create() (Mohammad Kabat) [2165364]
- net/mlx5: Remove NULL check before dev_{put, hold} (Mohammad Kabat) [2165364]
- net/mlx5e: Remove hairpin write debugfs files (Mohammad Kabat) [2165364]
- net/mlx5: Fix memory leak in IPsec RoCE creation (Mohammad Kabat) [2165364]
- net/mlx5e: Align IPsec ASO result memory to be as required by hardware (Mohammad Kabat) [2165364]
- net/mlx5e: TC, Set CT miss to the specific ct action instance (Mohammad Kabat) [2165364]
- net/mlx5e: Rename CHAIN_TO_REG to MAPPED_OBJ_TO_REG (Mohammad Kabat) [2165364]
- net/mlx5: Refactor tc miss handling to a single function (Mohammad Kabat) [2165364]
- net/mlx5: Kconfig: Make tc offload depend on tc skb extension (Mohammad Kabat) [2165364]
- IB/mlx5: Extend debug control for CC parameters (Mohammad Kabat) [2165364]
- net/mlx5e: Fix outdated TLS comment (Mohammad Kabat) [2165364]
- net/mlx5e: Remove unused function mlx5e_sq_xmit_simple (Mohammad Kabat) [2165364]
- net/mlx5e: Allow offloading of ct 'new' match (Mohammad Kabat) [2164782 2165364]
- net/mlx5e: Implement CT entry update (Mohammad Kabat) [2164782 2165364]
- net/mlx5: Simplify eq list traversal (Mohammad Kabat) [2165364]
- net/mlx5e: Switch to using napi_build_skb() (Mohammad Kabat) [2165364]
- RDMA/mlx5: Use query_special_contexts for mkeys (Mohammad Kabat) [2165364]
- net/mlx5e: Use query_special_contexts for mkeys (Mohammad Kabat) [2165364]
- net/mlx5: Change define name for 0x100 lkey value (Mohammad Kabat) [2165364]
- net/mlx5: Expose bits for querying special mkeys (Mohammad Kabat) [2165364]
- RDMA/mlx5: Use rdma_umem_for_each_dma_block() (Mohammad Kabat) [2165364]
- net/mlx5: Configure IPsec steering for egress RoCEv2 traffic (Mohammad Kabat) [2164766 2165364]
- net/mlx5: Configure IPsec steering for ingress RoCEv2 traffic (Mohammad Kabat) [2164766 2165364]
- net/mlx5: Add IPSec priorities in RDMA namespaces (Mohammad Kabat) [2164766 2165364]
- net/mlx5: Implement new destination type TABLE_TYPE (Mohammad Kabat) [2164766 2165364]
- net/mlx5: Introduce new destination type TABLE_TYPE (Mohammad Kabat) [2164766 2165364]
- net/mlx5: Suspend auxiliary devices only in case of PCI device suspend (Mohammad Kabat) [2165364]
- net/mlx5: Remove "recovery" arg from mlx5_load_one() function (Mohammad Kabat) [2165364]
- net/mlx5e: Move devlink port registration to be done before netdev alloc (Mohammad Kabat) [2165364]
- net/mlx5e: Move dl_port to struct mlx5e_dev (Mohammad Kabat) [2165364]
- net/mlx5e: Replace usage of mlx5e_devlink_get_dl_port() by netdev->devlink_port (Mohammad Kabat) [2165364]
- net/mlx5e: Pass mdev to mlx5e_devlink_port_register() (Mohammad Kabat) [2165364]
- net/mlx5: Remove outdated comment (Mohammad Kabat) [2165364]
- net/mlx5e: TC, Remove redundant parse_attr argument (Mohammad Kabat) [2164777 2165364]
- net/mlx5e: Use a simpler comparison for uplink rep (Mohammad Kabat) [2164777 2165364]
- net/mlx5: Lag, Add single RDMA device in multiport mode (Mohammad Kabat) [2164777 2165364]
- net/mlx5: Lag, set different uplink vport metadata in multiport eswitch mode (Mohammad Kabat) [2164777 2165364]
- net/mlx5: E-Switch, rename bond update function to be reused (Mohammad Kabat) [2164777 2165364]
- net/mlx5e: TC, Add peer flow in mpesw mode (Mohammad Kabat) [2164777 2165364]
- net/mlx5: Lag, Control MultiPort E-Switch single FDB mode (Mohammad Kabat) [2164777 2165364]
- net/mlx5e: TC, support per action stats (Mohammad Kabat) [2165364]
- net/mlx5e: TC, map tc action cookie to a hw counter (Mohammad Kabat) [2165364]
- net/mlx5e: TC, store tc action cookies per attr (Mohammad Kabat) [2165364]
- net/mlx5e: TC, add hw counter to branching actions (Mohammad Kabat) [2165364]
- RDMA/mlx5: Track netdev to avoid deadlock during netdev notifier unregister (Mohammad Kabat) [2165364]
- net/mlx5e: Propagate an internal event in case uplink netdev changes (Mohammad Kabat) [2165364]
- net/mlx5e: Fix trap event handling (Mohammad Kabat) [2165364]
- net/mlx5e: Improve remote NUMA preferences used for the IRQ affinity hints (Mohammad Kabat) [2165364]
- net/mlx5: fw_tracer, Add support for unrecognized string (Mohammad Kabat) [2165364]
- net/mlx5: fw_tracer, Add support for strings DB update event (Mohammad Kabat) [2165364]
- net/mlx5: fw_tracer, allow 0 size string DBs (Mohammad Kabat) [2165364]
- net/mlx5: fw_tracer: Fix debug print (Mohammad Kabat) [2165364]
- net/mlx5: fs, Remove redundant assignment of size (Mohammad Kabat) [2165364]
- net/mlx5: fs_core, Remove redundant variable err (Mohammad Kabat) [2165364]
- net/mlx5: Fix memory leak in error flow of port set buffer (Mohammad Kabat) [2165364]
- net/mlx5e: Remove incorrect debugfs_create_dir NULL check in TLS (Mohammad Kabat) [2165364]
- net/mlx5e: Remove incorrect debugfs_create_dir NULL check in hairpin (Mohammad Kabat) [2165364]
- net/mlx5: fs, Remove redundant vport_number assignment (Mohammad Kabat) [2165364]
- net/mlx5e: Remove redundant code for handling vlan actions (Mohammad Kabat) [2165364]
- net/mlx5e: Don't listen to remove flows event (Mohammad Kabat) [2165364]
- net/mlx5: fw reset: Skip device ID check if PCI link up failed (Mohammad Kabat) [2165364]
- net/mlx5: Remove redundant health work lock (Mohammad Kabat) [2165364]
- mlx5: reduce stack usage in mlx5_setup_tc (Mohammad Kabat) [2165364]
- RDMA/mlx5: Check reg_create() create for errors (Mohammad Kabat) [2165364]
- RDMA/mlx5: Remove impossible check of mkey cache cleanup failure (Mohammad Kabat) [2165364]
- RDMA/mlx5: Fix MR cache debugfs error in IB representors mode (Mohammad Kabat) [2165364]
- net/mlx5e: Trigger NAPI after activating an SQ (Mohammad Kabat) [2165364]
- net/mlx5e: IPsec, support upper protocol selector field offload (Mohammad Kabat) [2165364]
- net/mlx5e: IPoIB, Add support for XDR speed (Mohammad Kabat) [2165364]
- net/mlx5: Enhance debug print in page allocation failure (Mohammad Kabat) [2165364]
- net/mlx5: Add firmware support for MTUTC scaled_ppm frequency adjustments (Mohammad Kabat) [2165364]
- net/mlx5: Lag, Move mpesw related definitions to mpesw.h (Mohammad Kabat) [2165364]
- net/mlx5: Lag, Use flag to check for shared FDB mode (Mohammad Kabat) [2165364]
- net/mlx5: Lag, Remove redundant bool allocation on the stack (Mohammad Kabat) [2165364]
- net/mlx5: Lag, Use mlx5_lag_dev() instead of derefering pointers (Mohammad Kabat) [2165364]
- net/mlx5: Lag, Update multiport eswitch check to log an error (Mohammad Kabat) [2165364]
- net/mlx5e: kTLS, Improve connection rate by using fast update encryption key (Mohammad Kabat) [2165364]
- net/mlx5: Keep only one bulk of full available DEKs (Mohammad Kabat) [2165364]
- net/mlx5: Add async garbage collector for DEK bulk (Mohammad Kabat) [2165364]
- net/mlx5: Reuse DEKs after executing SYNC_CRYPTO command (Mohammad Kabat) [2165364]
- net/mlx5: Use bulk allocation for fast update encryption key (Mohammad Kabat) [2165364]
- net/mlx5: Add bulk allocation and modify_dek operation (Mohammad Kabat) [2165364]
- net/mlx5: Add support SYNC_CRYPTO command (Mohammad Kabat) [2165364]
- net/mlx5: Add new APIs for fast update encryption key (Mohammad Kabat) [2165364]
- net/mlx5: Refactor the encryption key creation (Mohammad Kabat) [2165364]
- net/mlx5: Add const to the key pointer of encryption key creation (Mohammad Kabat) [2165364]
- net/mlx5: Prepare for fast crypto key update if hardware supports it (Mohammad Kabat) [2165364]
- net/mlx5: Change key type to key purpose (Mohammad Kabat) [2165364]
- net/mlx5: Add IFC bits and enums for crypto key (Mohammad Kabat) [2165364]
- net/mlx5: Add IFC bits for general obj create param (Mohammad Kabat) [2165364]
- net/mlx5: Header file for crypto (Mohammad Kabat) [2165364]
- RDMA/mlx5: Add work to remove temporary entries from the cache (Mohammad Kabat) [2165364]
- RDMA/mlx5: Cache all user cacheable mkeys on dereg MR flow (Mohammad Kabat) [2165364]
- RDMA/mlx5: Introduce mlx5r_cache_rb_key (Mohammad Kabat) [2165364]
- RDMA/mlx5: Change the cache structure to an RB-tree (Mohammad Kabat) [2165364]
- RDMA/mlx5: Remove implicit ODP cache entry (Mohammad Kabat) [2165364]
- RDMA/mlx5: Don't keep umrable 'page_shift' in cache entries (Mohammad Kabat) [2165364]
- net/mlx5e: Fill IPsec state validation failure reason (Mohammad Kabat) [2165364]
- net/mlx5e: Fill IPsec policy validation failure reason (Mohammad Kabat) [2165364]
- xfrm: extend add policy callback to set failure reason (Mohammad Kabat) [2165364]
- net/mlx5e: Use read lock for eswitch get callbacks (Mohammad Kabat) [2165364]
- net/mlx5: E-Switch, Fix typo for egress (Mohammad Kabat) [2165364]
- net/mlx5e: Warn when destroying mod hdr hash table that is not empty (Mohammad Kabat) [2165364]
- net/mlx5e: TC, Use common function allocating flow mod hdr or encap mod hdr (Mohammad Kabat) [2165364]
- net/mlx5e: TC, Add tc prefix to attach/detach hdr functions (Mohammad Kabat) [2165364]
- net/mlx5e: TC, Pass flow attr to attach/detach mod hdr functions (Mohammad Kabat) [2165364]
- net/mlx5e: Add warning when log WQE size is smaller than log stride size (Mohammad Kabat) [2165364]
- net/mlx5e: Fail with messages when params are not valid for XSK (Mohammad Kabat) [2165364]
- net/mlx5: E-switch, Remove redundant comment about meta rules (Mohammad Kabat) [2165364]
- net/mlx5: Add hardware extended range support for PTP adjtime and adjphase (Mohammad Kabat) [2165364]
- net/mlx5: Add adjphase function to support hardware-only offset control (Mohammad Kabat) [2165364]
- net/mlx5: Suppress error logging on UCTX creation (Mohammad Kabat) [2165364]
- net/mlx5e: Suppress Send WQEBB room warning for PAGE_SIZE >= 16KB (Mohammad Kabat) [2165364]
- RDMA/mlx5: Print error syndrome in case of fatal QP errors (Mohammad Kabat) [2165364]
- RDMA/mlx: Calling qp event handler in workqueue context (Mohammad Kabat) [2165364]
- net/mlx5: Introduce CQE error syndrome (Mohammad Kabat) [2165364]
- net/mlx5e: Use kzalloc() in mlx5e_accel_fs_tcp_create() (Mohammad Kabat) [2165364]
- net/mlx5: remove redundant ret variable (Mohammad Kabat) [2165364]
- net/mlx5e: Replace 0-length array with flexible array (Mohammad Kabat) [2165364]
- net/mlx5e: Replace zero-length array with flexible-array member (Mohammad Kabat) [2165364]
- net/mlx5: Prevent high-rate FW commands from populating all slots (Mohammad Kabat) [2165364]
- net/mlx5: Introduce and use opcode getter in command interface (Mohammad Kabat) [2165364]
- net/mlx5: Enable management PF initialization (Mohammad Kabat) [2165364]
- net/mlx5e: Add hairpin debugfs files (Mohammad Kabat) [2165364]
- net/mlx5e: Add flow steering debugfs directory (Mohammad Kabat) [2165364]
- net/mlx5e: Add hairpin params structure (Mohammad Kabat) [2165364]
- net/mlx5e: kTLS, Add debugfs (Mohammad Kabat) [2165364]
- net/mlx5e: Add Ethernet driver debugfs (Mohammad Kabat) [2165364]
- net/mlx5e: Update shared buffer along with device buffer changes (Mohammad Kabat) [2165364]
- net/mlx5e: Add API to query/modify SBPR and SBCM registers (Mohammad Kabat) [2165364]
- net/mlx5: Expose shared buffer registers bits and structs (Mohammad Kabat) [2165364]
- net/mlx5e: Support 256 bit keys with kTLS device offload (Mohammad Kabat) [2164747]
- net/mlx5e: Protect global IPsec ASO (Mohammad Kabat) [2165364]
- net/mlx5e: Remove optimization which prevented update of ESN state (Mohammad Kabat) [2165364]
- net/mlx5e: Open mlx5 driver to accept IPsec packet offload (Mohammad Kabat) [2165320]
- net/mlx5e: Handle ESN update events (Mohammad Kabat) [2165320]
- net/mlx5e: Handle hardware IPsec limits events (Mohammad Kabat) [2165320]
- net/mlx5e: Update IPsec soft and hard limits (Mohammad Kabat) [2165320]
- net/mlx5e: Store all XFRM SAs in Xarray (Mohammad Kabat) [2165320]
- net/mlx5e: Provide intermediate pointer to access IPsec struct (Mohammad Kabat) [2165320]
- net/mlx5e: Skip IPsec encryption for TX path without matching policy (Mohammad Kabat) [2165320]
- net/mlx5e: Add statistics for Rx/Tx IPsec offloaded flows (Mohammad Kabat) [2165320]
- net/mlx5e: Improve IPsec flow steering autogroup (Mohammad Kabat) [2165320]
- net/mlx5e: Configure IPsec packet offload flow steering (Mohammad Kabat) [2165320]
- net/mlx5e: Use same coding pattern for Rx and Tx flows (Mohammad Kabat) [2165320]
- net/mlx5e: Add XFRM policy offload logic (Mohammad Kabat) [2165320]
- net/mlx5e: Create IPsec policy offload tables (Mohammad Kabat) [2165364]
- net/mlx5e: Generalize creation of default IPsec miss group and rule (Mohammad Kabat) [2165320]
- net/mlx5e: Group IPsec miss handles into separate struct (Mohammad Kabat) [2165320]
- net/mlx5e: Make clear what IPsec rx_err does (Mohammad Kabat) [2165320]
- net/mlx5e: Flatten the IPsec RX add rule path (Mohammad Kabat) [2165320]
- net/mlx5e: Refactor FTE setup code to be more clear (Mohammad Kabat) [2165320]
- net/mlx5e: Move IPsec flow table creation to separate function (Mohammad Kabat) [2165320]
- net/mlx5e: Create hardware IPsec packet offload objects (Mohammad Kabat) [2165320]
- net/mlx5e: Create Advanced Steering Operation object for IPsec (Mohammad Kabat) [2165320]
- net/mlx5e: Remove accesses to priv for low level IPsec FS code (Mohammad Kabat) [2165320]
- net/mlx5e: Use mlx5 print routines for low level IPsec code (Mohammad Kabat) [2165320]
- net/mlx5e: Create symmetric IPsec RX and TX flow steering structs (Mohammad Kabat) [2165320]
- net/mlx5e: Remove extra layers of defines (Mohammad Kabat) [2165320]
- net/mlx5e: Store replay window in XFRM attributes (Mohammad Kabat) [2165320]
- net/mlx5e: Advertise IPsec packet offload support (Mohammad Kabat) [2165320]
- net/mlx5: Add HW definitions for IPsec packet offload (Mohammad Kabat) [2165320]
- net/mlx5: Return ready to use ASO WQE (Mohammad Kabat) [2165320]
- scsi: storvsc: Fix handling of virtual Fibre Channel timeouts (Cathy Avery) [2228298]
- net: extend drop reasons for multiple subsystems (Íñigo Huguet) [2215988]
- net: deprecate PF_KEY (Sabrina Dubroca) [RHEL-1015]
- memory: tegra: Add dummy implementation on Tegra194 (Charles Mirabile) [2184406]
- memory: tegra: make icc_set_bw return zero if BWMGR not supported (Charles Mirabile) [2184406]
- memory: tegra: add check if MRQ_EMC_DVFS_LATENCY is supported (Charles Mirabile) [2184406]
- memory: tegra: Add clients used by DRM in Tegra234 (Charles Mirabile) [2184406]
- memory: tegra: sort tegra234_mc_clients table as per register offsets (Charles Mirabile) [2184406]
- PCI: tegra194: Add interconnect support in Tegra234 (Charles Mirabile) [2184406]
- PCI: tegra194: Fix possible array out of bounds access (Charles Mirabile) [2184406]
- memory: tegra: Make CPU cluster BW request a multiple of MC channels (Charles Mirabile) [2184406]
- dt-bindings: tegra: Add ICC IDs for dummy memory clients (Charles Mirabile) [2184406]
- memory: tegra: Add software memory clients in Tegra234 (Charles Mirabile) [2184406]
- memory: tegra: Add memory clients for Tegra234 (Charles Mirabile) [2184406]
- memory: tegra: Add interconnect support for DRAM scaling in Tegra234 (Charles Mirabile) [2184406]
- scsi: zfcp: Defer fc_rport blocking until after ADISC response (Tobias Huschle) [2230243]
- redhat/configs: aarch64: add disabled ROCKCHIP_ERRATUM_3588001 (Mark Salter) [2179060]
- irqchip/gic: Correctly validate OF quirk descriptors (Mark Salter) [2179060]
- irqchip/gic-v3: Disable pseudo NMIs on Mediatek devices w/ firmware issues (Mark Salter) [2179060]
- irqchip/gic-v3: Add Rockchip 3588001 erratum workaround (Mark Salter) [2179060]
- irqchip/gicv3: Workaround for NVIDIA erratum T241-FABRIC-4 (Mark Salter) [2179060]
- genirq: GENERIC_IRQ_EFFECTIVE_AFF_MASK depends on SMP (Mark Salter) [2179060]
- irqchip/gic: Drop support for board files (Mark Salter) [2179060]
- arm64: irqflags: use alternative branches for pseudo-NMI logic (Mark Salter) [2179060]
- arm64: add ARM64_HAS_GIC_PRIO_RELAXED_SYNC cpucap (Mark Salter) [2179060]
- arm64: make ARM64_HAS_GIC_PRIO_MASKING depend on ARM64_HAS_GIC_CPUIF_SYSREGS (Mark Salter) [2179060]
- arm64: rename ARM64_HAS_IRQ_PRIO_MASKING to ARM64_HAS_GIC_PRIO_MASKING (Mark Salter) [2179060]
- arm64: rename ARM64_HAS_SYSREG_GIC_CPUIF to ARM64_HAS_GIC_CPUIF_SYSREGS (Mark Salter) [2179060]
- irqchip/gic-v2m: Mark a few functions __init (Mark Salter) [2179060]
- irqchip/gic-v2m: Include arm-gic-common.h (Mark Salter) [2179060]
- irqchip/gic-v3: Fix typo in comment (Mark Salter) [2179060]
- irqchip/gic-v3-its: Remove cpumask_var_t allocation (Mark Salter) [2179060]
- irqchip/gic-v3: Fix comment typo (Mark Salter) [2179060]
- irqchip/gic-v3: Fix refcount leak in gic_populate_ppi_partitions (Mark Salter) [2179060]
- irqchip/gic-v3: Fix error handling in gic_populate_ppi_partitions (Mark Salter) [2179060]
- irqchip/gic: Improved warning about incorrect type (Mark Salter) [2179060]
- irqchip/gic-v3: Always trust the managed affinity provided by the core code (Mark Salter) [2179060]
- genirq: Take the proposed affinity at face value if force==true (Mark Salter) [2179060]
- genirq: Always limit the affinity to online CPUs (Mark Salter) [2179060]
- RDMA/efa: Add EFA 0xefa2 PCI ID (Izabela Bakollari) [2170085]
- RDMA/efa: Support CQ receive entries with source GID (Izabela Bakollari) [2170085]
Resolves: rhbz#2015447, rhbz#2164747, rhbz#2164766, rhbz#2164777, rhbz#2164782, rhbz#2165320, rhbz#2165324, rhbz#2165364, rhbz#2170085, rhbz#2179060, rhbz#2184406, rhbz#2213227, rhbz#2214574, rhbz#2215988, rhbz#2228298, rhbz#2230243, RHEL-1015

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-14 14:15:59 +02:00
Herton R. Krzesinski
f07ef124d9 kernel-5.14.0-354.el9
* Thu Aug 10 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-354.el9]
- phy: qcom-snps-femto-v2: use qcom_snps_hsphy_suspend/resume error code (Adrien Thierry) [RHEL-1019]
- phy: qcom-snps-femto-v2: properly enable ref clock (Adrien Thierry) [RHEL-1019]
- phy: qcom-snps-femto-v2: keep cfg_ahb_clk enabled during runtime suspend (Adrien Thierry) [RHEL-1019]
- fs: do not update freeing inode i_io_list (Carlos Maiolino) [2228888]
- fs: record I_DIRTY_TIME even if inode already has I_DIRTY_INODE (Carlos Maiolino) [2228888]
- writeback: Avoid skipping inode writeback (Carlos Maiolino) [2228888]
- netfilter: nf_tables: Introduce NFT_MSG_GETSETELEM_RESET (Phil Sutter) [1925492]
- netfilter: nf_tables: fix wrong pointer passed to PTR_ERR() (Phil Sutter) [1925492]
- netfilter: nf_tables: add support to destroy operation (Phil Sutter) [1925492]
- netfilter: nf_tables: set element extended ACK reporting support (Phil Sutter) [1925492]
- netfilter: nf_tables: Introduce NFT_MSG_GETRULE_RESET (Phil Sutter) [1925492]
- netfilter: nf_tables: Extend nft_expr_ops::dump callback parameters (Phil Sutter) [1925492]
- redhat: configs: generic: Enabel NVIDIA_WMI_EC_BACKLIGHT support (Kate Hsuan) [2185526 2221211]
- Add acpi video to the filter_modules.sh for rhel (Kate Hsuan) [2185526 2221211]
- ACPI: video: Allow selecting NVidia-WMI-EC or Apple GMUX backlight from the cmdline (Kate Hsuan) [2185526 2221211]
- platform/x86: nvidia-wmi-ec-backlight: Add force module parameter (Kate Hsuan) [2185526 2221211]
- platform/x86: nvidia-wmi-ec-backlight: Use acpi_video_get_backlight_type() (Kate Hsuan) [2185526 2221211]
- ACPI: video: Add Nvidia WMI EC brightness control detection (v3) (Kate Hsuan) [2185526 2221211]
- platform/x86: nvidia-wmi-ec-backlight: Move fw interface definitions to a header (v2) (Kate Hsuan) [2185526 2221211]
- platform/x86: Rename wmaa-backlight-wmi to nvidia-wmi-ec-backlight (Kate Hsuan) [2185526 2221211]
- platform/x86: Remove "WMAA" from identifier names in wmaa-backlight-wmi.c (Kate Hsuan) [2185526 2221211]
- platform/x86: Add driver for ACPI WMAA EC-based backlight control (Kate Hsuan) [2185526 2221211]
- mm/hugetlb_vmemmap: rename ARCH_WANT_HUGETLB_PAGE_OPTIMIZE_VMEMMAP (Jeff Moyer) [2217652]
- mm/vmemmap/devdax: fix kernel crash when probing devdax devices (Jeff Moyer) [2217652]
- hsr: ratelimit only when errors are printed (Felix Maurer) [2177256]
- net: hsr: Don't log netdev_err message on unknown prp dst node (Felix Maurer) [2177256]
- selftests: Add a basic HSR test. (Felix Maurer) [2177256]
- hsr: Use a single struct for self_node. (Felix Maurer) [2177256]
- hsr: Synchronize sequence number updates. (Felix Maurer) [2177256]
- hsr: Synchronize sending frames to have always incremented outgoing seq nr. (Felix Maurer) [2177256]
- hsr: Disable netpoll. (Felix Maurer) [2177256]
- hsr: Avoid double remove of a node. (Felix Maurer) [2177256]
- hsr: Add a rcu-read lock to hsr_forward_skb(). (Felix Maurer) [2177256]
- Revert "net: hsr: use hlist_head instead of list_head for mac addresses" (Felix Maurer) [2177256]
- net: hsr: Fix potential use-after-free (Felix Maurer) [2177256]
- net: hsr: avoid possible NULL deref in skb_clone() (Felix Maurer) [2177256]
- flow_dissector: Add support for HSRv0 (Felix Maurer) [2177256]
- flow_dissector: Add support for HSR (Felix Maurer) [2177256]
- net: hsr: fix hsr build error when lockdep is not enabled (Felix Maurer) [2177256]
- net: hsr: fix suspicious RCU usage warning in hsr_node_get_first() (Felix Maurer) [2177256]
- net: hsr: use hlist_head instead of list_head for mac addresses (Felix Maurer) [2177256]
- hsr: remove get_prp_lan_id() (Felix Maurer) [2177256]
- net: hsr: Add support for redbox supervision frames (Felix Maurer) [2177256]
- redhat: Enable HSR and PRP (Felix Maurer) [2177256]
Resolves: rhbz#1925492, rhbz#2177256, rhbz#2185526, rhbz#2217652, rhbz#2221211, rhbz#2228888, RHEL-1019

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-08-10 17:28:03 +00:00
Herton R. Krzesinski
9d60a4e3c1 kernel-5.14.0-351.el9
* Mon Aug 07 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-351.el9]
- Revert "x86/PAT: Have pat_enabled() properly reflect state when running on Xen" (Vitaly Kuznetsov) [2215362]
- fuse: add feature flag for expire-only (Pavel Reichl) [2188181]
- fuse: add "expire only" mode to FUSE_NOTIFY_INVAL_ENTRY (Pavel Reichl) [2188181]
- cpufreq: Fix parameter in parse_perf_domain() (Mark Langsdorf) [2181272]
- cpufreq: Make kobj_type structure constant (Mark Langsdorf) [2181272]
- cpufreq: Make cpufreq_unregister_driver() return void (Mark Langsdorf) [2181272]
- drivers/cpufreq: Remove "select SRCU" (Mark Langsdorf) [2181272]
- cpufreq: remove s3c24xx drivers (Mark Langsdorf) [2181272]
- cpufreq: remove sa1100 driver (Mark Langsdorf) [2181272]
- cpufreq: CPPC: Add u64 casts to avoid overflowing (Mark Langsdorf) [2181272]
- cpufreq: stats: Convert to use sysfs_emit_at() API (Mark Langsdorf) [2181272]
- cpufreq: Init completion before kobject_init_and_add() (Mark Langsdorf) [2181272]
- cpufreq: ACPI: Use str_enabled_disabled() helper (Mark Langsdorf) [2181272]
- cpufreq: Generalize of_perf_domain_get_sharing_cpumask phandle format (Mark Langsdorf) [2181272]
- cpufreq: Add of_perf_domain_get_sharing_cpumask (Mark Langsdorf) [2181272]
- cpufreq: qcom-nvmem: Switch to use dev_err_probe() helper (Mark Langsdorf) [2181272]
- cpufreq: dt: Switch to use dev_err_probe() helper (Mark Langsdorf) [2181272]
- cpufreq: qcom: fix writes in read-only memory region (Mark Langsdorf) [2181272]
- cpufreq: qcom: remove unused parameter in function definition (Mark Langsdorf) [2181272]
- cpufreq: qcom: fix memory leak in error path (Mark Langsdorf) [2181272]
- cpufreq: imx6q: Switch to use dev_err_probe() helper (Mark Langsdorf) [2181272]
- cpufreq: Add __init annotation to module init funcs (Mark Langsdorf) [2181272]
- redhat/configs: Remove CONFIG_DRM_I915_GVT & CONFIG_DRM_I915_GVT_KVMGT (Alex Williamson) [2206599]
- dt-bindings: reserved-memory: Support framebuffer reserved memory (Iker Pedrosa) [2144636]
- dt-bindings: display: simple-framebuffer: Document 32-bit BGR format (Iker Pedrosa) [2144636]
- dt-bindings: display: simple-framebuffer: Support system memory framebuffers (Iker Pedrosa) [2144636]
Resolves: rhbz#2144636, rhbz#2181272, rhbz#2188181, rhbz#2206599, rhbz#2215362

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-08-07 23:28:17 +00:00
Jan Stancek
b4922ac074 kernel-5.14.0-349.el9
* Wed Aug 02 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-349.el9]
- x86/speculation: Allow enabling STIBP with legacy IBRS (Ricardo Robaina) [2187269] {CVE-2023-1998}
- gfs2: retry interrupted internal reads (Andreas Gruenbacher) [2192392]
- gfs2: ignore rindex_update failure in dinode_dealloc (Bob Peterson) [2192392]
- selinux: make labeled NFS work when mounted before policy load (Juraj Marcin) [2218207]
- redhat/configs: Disable new PHY_QCOM_SGMII_ETH config (Andrew Halaney) [RHEL-799]
- arm64: dts: qcom: sa8775p-ride: enable ethernet0 (Andrew Halaney) [RHEL-799]
- arm64: dts: qcom: sa8775p-ride: add pin functions for ethernet0 (Andrew Halaney) [RHEL-799]
- arm64: dts: qcom: sa8775p-ride: enable the SerDes PHY (Andrew Halaney) [RHEL-799]
- arm64: dts: qcom: sa8775p: add the first 1Gb ethernet interface (Andrew Halaney) [RHEL-799]
- arm64: dts: qcom: sa8775p: add the SGMII PHY node (Andrew Halaney) [RHEL-799]
- phy: qcom: add the SGMII SerDes PHY driver (Andrew Halaney) [RHEL-799]
- phy: qualcomm: fix indentation in Makefile (Andrew Halaney) [RHEL-799]
- net: stmmac: fix double serdes powerdown (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: add support for emac4 on sa8775p platforms (Andrew Halaney) [RHEL-799]
- net: stmmac: add new switch to struct plat_stmmacenet_data (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: add support for SGMII (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: prepare the driver for more PHY modes (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: add support for the phyaux clock (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: add support for the optional serdes phy (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: remove stray space (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: add a newline between headers (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: add missing include (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: use a helper variable for &pdev->dev (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: tweak the order of local variables (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: rename a label in probe() (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: shrink clock code with devres (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: fix a regression on EMAC < 3 (Andrew Halaney) [RHEL-799]
- Revert "NFSv4: Retry LOCK on OLD_STATEID during delegation return" (Benjamin Coddington) [2217659]
- ACPI: sleep: Avoid breaking S3 wakeup due to might_sleep() (Mark Langsdorf) [2215972]
- fs: dlm: fix mismatch of plock results from userspace (Alexander Aring) [2192751]
- fs: dlm: make F_SETLK use unkillable wait_event (Alexander Aring) [2204519]
- fs: dlm: interrupt posix locks only when process is killed (Alexander Aring) [2192746]
- fs: dlm: fix cleanup pending ops when interrupted (Alexander Aring) [2192744]
- fs: dlm: return positive pid value for F_GETLK (Alexander Aring) [2192747]
- vmstat: skip periodic vmstat update for isolated CPUs (Marcelo Tosatti) [2118482]
- memcg: do not drain charge pcp caches on remote isolated cpus (Marcelo Tosatti) [2118482]
- sched/isolation: add cpu_is_isolated() API (Marcelo Tosatti) [2118482]
Resolves: rhbz#2118482, rhbz#2187269, rhbz#2192392, rhbz#2192744, rhbz#2192746, rhbz#2192747, rhbz#2192751, rhbz#2204519, rhbz#2215972, rhbz#2217659, rhbz#2218207, RHEL-799

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-02 11:37:39 +02:00
Jan Stancek
6d7d3e47c4 kernel-5.14.0-347.el9
* Fri Jul 28 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-347.el9]
- rxrpc: Truncate UTS_RELEASE for rxrpc version (Marc Dionne) [2222918]
- rxrpc: Use alloc_ordered_workqueue() to create ordered workqueues (Marc Dionne) [2222918]
- rxrpc: Fix timeout of a call that hasn't yet been granted a channel (Marc Dionne) [2222918]
- rxrpc: Make it so that a waiting process can be aborted (Marc Dionne) [2222918]
- rxrpc: Fix hard call timeout units (Marc Dionne) [2222918]
- rxrpc: Fix potential data race in rxrpc_wait_to_be_connected() (Marc Dionne) [2222918]
- rxrpc: Fix error when reading rxrpc tokens (Marc Dionne) [2222918]
- rxrpc: Fix potential race in error handling in afs_make_call() (Marc Dionne) [2222918]
- rxrpc: Fix overproduction of wakeups to recvmsg() (Marc Dionne) [2222918]
- rxrpc: use bvec_set_page to initialize a bvec (Marc Dionne) [2222918]
- rxrpc: Kill service bundle (Marc Dionne) [2222918]
- rxrpc: Remove local->defrag_sem (Marc Dionne) [2222918]
- rxrpc: Don't lock call->tx_lock to access call->tx_buffer (Marc Dionne) [2222918]
- rxrpc: Simplify ACK handling (Marc Dionne) [2222918]
- rxrpc: De-atomic call->ackr_window and call->ackr_nr_unacked (Marc Dionne) [2222918]
- rxrpc: Generate extra pings for RTT during heavy-receive call (Marc Dionne) [2222918]
- rxrpc: Convert call->recvmsg_lock to a spinlock (Marc Dionne) [2222918]
- rxrpc: Reduce unnecessary ack transmission (Marc Dionne) [2222918]
- rxrpc: Trace ack.rwind (Marc Dionne) [2222918]
- rxrpc: Shrink the tabulation in the rxrpc trace header a bit (Marc Dionne) [2222918]
- rxrpc: Remove whitespace before ')' in trace header (Marc Dionne) [2222918]
- rxrpc: Fix overwaking on call poking (Marc Dionne) [2222918]
- rxrpc: Fix trace string (Marc Dionne) [2222918]
- afs: Fix accidental truncation when storing data (Marc Dionne) [2222918]
- afs: convert pagevec to folio_batch in afs_extend_writeback() (Marc Dionne) [2222918]
- afs: Fix vlserver probe RTT handling (Marc Dionne) [2222918]
- afs: Fix setting of mtime when creating a file/dir/symlink (Marc Dionne) [2222918]
- afs: Avoid endless loop if file is larger than expected (Marc Dionne) [2222918]
- afs: Fix getattr to report server i_size on dirs, not local size (Marc Dionne) [2222918]
- afs: Fix updating of i_size with dv jump from server (Marc Dionne) [2222918]
- afs: split afs_pagecache_valid() out of afs_validate() (Marc Dionne) [2222918]
- afs: use bvec_set_folio to initialize a bvec (Marc Dionne) [2222918]
- afs: Stop implementing ->writepage() (Marc Dionne) [2222918]
- ACPI: video: Add acpi_backlight=video quirk for Lenovo ThinkPad W530 (Mark Langsdorf) [2186058]
- ACPI: video: Stop trying to use vendor backlight control on laptops from after ~2012 (Mark Langsdorf) [2186058]
- ACPI: video: Remove register_backlight_delay module option and code (Mark Langsdorf) [2186058]
- ACPI: video: Don't enable fallback path for creating ACPI backlight by default (Mark Langsdorf) [2186058]
- ACPI: video: Prefer native over vendor (Mark Langsdorf) [2186058]
- ACPI: video: Simplify __acpi_video_get_backlight_type() (Mark Langsdorf) [2186058]
- PM/devfreq: governor: Add a private governor_data for governor (Steve Best) [2212961]
- redhat/configs: Enable CONFIG_TCG_VTPM_PROXY (Štěpán Horáček) [2210263]
- iavf: fix reset task race with iavf_remove() (Petr Oros) [2223599]
- iavf: fix a deadlock caused by rtnl and driver's lock circular dependencies (Petr Oros) [2223599]
- Revert "iavf: Do not restart Tx queues after reset task failure" (Petr Oros) [2223599]
- Revert "iavf: Detach device during reset task" (Petr Oros) [2223599]
- iavf: Wait for reset in callbacks which trigger it (Petr Oros) [2223599]
- iavf: use internal state to free traffic IRQs (Petr Oros) [2223599]
- iavf: Fix out-of-bounds when setting channels on remove (Petr Oros) [2223599]
- iavf: Fix use-after-free in free_netdev (Petr Oros) [2223599]
- iavf: make functions static where possible (Petr Oros) [2223599]
- iavf: fix err handling for MAC replace (Petr Oros) [2223599]
- iavf: remove some unused functions and pointless wrappers (Petr Oros) [2223599]
- iavf: remove mask from iavf_irq_enable_queues() (Petr Oros) [2223599]
- iavf: send VLAN offloading caps once after VFR (Petr Oros) [2223599]
Resolves: rhbz#2186058, rhbz#2210263, rhbz#2212961, rhbz#2222918, rhbz#2223599

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-28 18:48:21 +02:00
Jan Stancek
e7f7b50f72 kernel-5.14.0-345.el9
* Tue Jul 25 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-345.el9]
- i2c: imx: Only DMA messages with I2C_M_DMA_SAFE flag set (Steve Best) [2184312]
- i2c: imx: use devm_platform_get_and_ioremap_resource() (Steve Best) [2184312]
- i2c: imx: If pm_runtime_get_sync() returned 1 device access is possible (Steve Best) [2184312]
- i2c: imx: Make sure to unregister adapter on remove() (Steve Best) [2184312]
- clk: imx: Remove the snvs clock (Steve Best) [2184094]
- clk: imx: add mcore_booted module paratemter (Steve Best) [2184094]
- clk: imx8mq: add 27m phy pll ref clock (Steve Best) [2184094]
- clk: imx8m: fix clock tree update of TF-A managed clocks (Steve Best) [2184094]
- Documentation: gpio: Remove text about ARCH_NR_GPIOS (Shaoqin Huang) [2183344]
- dt-bindings: timestamp: Deprecate nvidia,slices property (Shaoqin Huang) [2183344]
- gpiolib: fix allocation of mixed dynamic/static GPIOs (Shaoqin Huang) [2183344]
- tools: gpio: fix debounce_period_us output of lsgpio (Shaoqin Huang) [2183344]
- hte: Use device_match_of_node() (Shaoqin Huang) [2183344]
- hte: tegra-194: Fix off by one in tegra_hte_map_to_line_id() (Shaoqin Huang) [2183344]
- hte: tegra-194: Use proper includes (Shaoqin Huang) [2183344]
- gpio: tegra186: Add Tegra234 hte support (Shaoqin Huang) [2183344]
- hte: handle nvidia,gpio-controller property (Shaoqin Huang) [2183344]
- hte: Deprecate nvidia,slices property (Shaoqin Huang) [2183344]
- hte: Add Tegra234 provider (Shaoqin Huang) [2183344]
- dt-bindings: timestamp: Add Tegra234 support (Shaoqin Huang) [2183344]
- hte: Use of_property_present() for testing DT property presence (Shaoqin Huang) [2183344]
- gpio: gpiolib: Simplify gpiochip_add_data_with_key() fwnode (Shaoqin Huang) [2183344]
- gpiolib: Add gpiochip_set_data() helper (Shaoqin Huang) [2183344]
- gpiolib: Move gpiochip_get_data() higher in the code (Shaoqin Huang) [2183344]
- gpiolib: Check array_info for NULL only once in gpiod_get_array() (Shaoqin Huang) [2183344]
- gpiolib: Replace open coded krealloc() (Shaoqin Huang) [2183344]
- gpio: mm-lantiq: Fix typo in the newly added header filename (Shaoqin Huang) [2183344]
- gpiolib: acpi: use the fwnode in acpi_gpiochip_find() (Shaoqin Huang) [2183344]
- sh: mach-x3proto: Add missing #include <linux/gpio/driver.h> (Shaoqin Huang) [2183344]
- gpiolib: acpi: Move ACPI device NULL check to acpi_get_driver_gpio_data() (Shaoqin Huang) [2183344]
- powerpc/40x: Add missing select OF_GPIO_MM_GPIOCHIP (Shaoqin Huang) [2183344]
- gpiolib: Use IRQ hardware number getter instead of direct access (Shaoqin Huang) [2183344]
- gpiolib: Remove duplicative check in gpiod_find_and_request() (Shaoqin Huang) [2183344]
- gpiolib: Move gpiodevice_*() to gpiodev namespace (Shaoqin Huang) [2183344]
- gpio: Use of_property_read_bool() for boolean properties (Shaoqin Huang) [2183344]
- gpiolib: Get rid of devprop prefix in one function (Shaoqin Huang) [2183344]
- gpio: sim: Deactivate device in reversed order (Shaoqin Huang) [2183344]
- mips: ar7: include linux/gpio/driver.h (Shaoqin Huang) [2183344]
- gpiolib: Get rid of gpio_bus_match() forward declaration (Shaoqin Huang) [2183344]
- gpiolib: Access device's fwnode via dev_fwnode() (Shaoqin Huang) [2183344]
- gpiolib: Clean up headers (Shaoqin Huang) [2183344]
- gpiolib: Group forward declarations in consumer.h (Shaoqin Huang) [2183344]
- gpiolib: Deduplicate forward declarations in consumer.h (Shaoqin Huang) [2183344]
- gpiolib: Drop unused forward declaration from driver.h (Shaoqin Huang) [2183344]
- gpio: regmap: Add missing header(s) (Shaoqin Huang) [2183344]
- gpiolib: split of_mm_gpio_chip out of linux/of_gpio.h (Shaoqin Huang) [2183344]
- gpiolib: remove legacy gpio_export() (Shaoqin Huang) [2183344]
- gpiolib: remove gpio_set_debounce() (Shaoqin Huang) [2183344]
- gpiolib: remove asm-generic/gpio.h (Shaoqin Huang) [2183344]
- gpiolib: coldfire: remove custom asm/gpio.h (Shaoqin Huang) [2183344]
- hte: tegra: fix 'struct of_device_id' build error (Shaoqin Huang) [2183344]
- gpiolib: Make the legacy <linux/gpio.h> consumer-only (Shaoqin Huang) [2183344]
- gpio: GPIO_REGMAP: select REGMAP instead of depending on it (Shaoqin Huang) [2183344]
- kbuild, gpio: gpio-aspeed-sgpio: remove MODULE_LICENSE in non-modules (Shaoqin Huang) [2183344]
- kbuild, gpio: remove MODULE_LICENSE in non-modules (Shaoqin Huang) [2183344]
- gpio: sim: fix a memory leak (Shaoqin Huang) [2183344]
- gpio: sim: Use %%pfwP specifier instead of calling fwnode API directly (Shaoqin Huang) [2183344]
- gpiolib: Clear the gpio_device's fwnode initialized flag before adding (Shaoqin Huang) [2183344]
- gpio: tegra186: remove unneeded loop in tegra186_gpio_init_route_mapping() (Shaoqin Huang) [2183344]
- gpiolib: of: Move enum of_gpio_flags to its only user (Shaoqin Huang) [2183344]
- gpio: Get rid of gpio_to_chip() (Shaoqin Huang) [2183344]
- gpio: omap: use dynamic allocation of base (Shaoqin Huang) [2183344]
- gpiolib: Remove unused of_mm_gpiochip_add() (Shaoqin Huang) [2183344]
- gpiolib: Do not mention legacy API in the code (Shaoqin Huang) [2183344]
- gpio: Remove unused and obsoleted irq_to_gpio() (Shaoqin Huang) [2183344]
- gpio: regmap: use new regmap_might_sleep() (Shaoqin Huang) [2183344]
- gpio: Remove unused and obsoleted gpio_export_link() (Shaoqin Huang) [2183344]
- gpiolib: sort header inclusion alphabetically (Shaoqin Huang) [2183344]
- gpiolib: Get rid of not used of_node member (Shaoqin Huang) [2183344]
- gpiolib: Introduce gpio_device_get() and gpio_device_put() (Shaoqin Huang) [2183344]
- gpiolib: of: remove [devm_]gpiod_get_from_of_node() APIs (Shaoqin Huang) [2183344]
- gpiolib: of: remove of_get_gpio[_flags]() and of_get_named_gpio_flags() (Shaoqin Huang) [2183344]
- gpiolib: of: remove obsolete comment for of_gpio_get_count() (Shaoqin Huang) [2183344]
- gpiolib: of: stop exporting of_gpio_named_count() (Shaoqin Huang) [2183344]
- gpiolib: of: remove of_gpio_count() (Shaoqin Huang) [2183344]
- gpio: regmap: Always set gpio_chip get_direction (Shaoqin Huang) [2183344]
- gpiolib: use irq_domain_alloc_irqs() (Shaoqin Huang) [2183344]
- tools: gpio: fix -c option of gpio-event-mon (Shaoqin Huang) [2183344]
- Revert "gpiolib: of: Introduce hook for missing gpio-ranges" (Shaoqin Huang) [2183344]
- pinctrl: bcm2835: Switch to use ->add_pin_ranges() (Shaoqin Huang) [2183344]
- gpiolib: Check "gpio-ranges" before calling ->add_pin_ranges() (Shaoqin Huang) [2183344]
- gpiolib: acpi: Allow ignoring wake capability on pins that aren't in _AEI (Shaoqin Huang) [2183344]
- gpiolib: Fix using uninitialized lookup-flags on ACPI platforms (Shaoqin Huang) [2183344]
- gpio: sim: set a limit on the number of GPIOs (Shaoqin Huang) [2183344]
- gpiolib: protect the GPIO device against being dropped while in use by user-space (Shaoqin Huang) [2183344]
- gpiolib: cdev: fix NULL-pointer dereferences (Shaoqin Huang) [2183344]
- gpiolib: Provide to_gpio_device() helper (Shaoqin Huang) [2183344]
- gpiolib: Unify access to the device properties (Shaoqin Huang) [2183344]
- gpio: Do not include <linux/kernel.h> when not really needed. (Shaoqin Huang) [2183344]
- gpiolib: fix memory leak in gpiochip_setup_dev() (Shaoqin Huang) [2183344]
- drm/tegra: Switch to using devm_fwnode_gpiod_get() (Shaoqin Huang) [2183344]
- gpiolib: ensure that fwnode is properly set (Shaoqin Huang) [2183344]
- gpiolib: of: Use correct fwnode for DT-probed chips (Shaoqin Huang) [2183344]
- gpiolib: of: Drop redundant check in of_mm_gpiochip_remove() (Shaoqin Huang) [2183344]
- gpiolib: of: Prepare of_mm_gpiochip_add_data() for fwnode (Shaoqin Huang) [2183344]
- gpiolib: add support for software nodes (Shaoqin Huang) [2183344]
- gpiolib: consolidate GPIO lookups (Shaoqin Huang) [2183344]
- gpiolib: acpi: avoid leaking ACPI details into upper gpiolib layers (Shaoqin Huang) [2183344]
- gpiolib: acpi: teach acpi_find_gpio() to handle data-only nodes (Shaoqin Huang) [2183344]
- gpiolib: acpi: change acpi_find_gpio() to accept firmware node (Shaoqin Huang) [2183344]
- gpiolib: of: change of_find_gpio() to accept device node (Shaoqin Huang) [2183344]
- gpiolib: of: Integrate of_gpiochip_init_valid_mask() into gpiochip_init_valid_mask() (Shaoqin Huang) [2183344]
- gpiolib: of: Prepare of_gpiochip_add() / of_gpiochip_remove() for fwnode (Shaoqin Huang) [2183344]
- gpiolib: remove devm_fwnode_get_[index_]gpiod_from_child() (Shaoqin Huang) [2183344]
- gpiolib: of: add polarity quirk for Freescale PCIe controller (Shaoqin Huang) [2183344]
- gpiolib: of: factor out quirk setting polarity via separate property (Shaoqin Huang) [2183344]
- gpiolib: of: Make use of device_match_of_node() (Shaoqin Huang) [2183344]
- PCI: tegra: Switch to using devm_fwnode_gpiod_get (Shaoqin Huang) [2183344]
- gpiolib: cdev: Fix typo in kernel doc for struct line (Shaoqin Huang) [2183344]
- gpiolib: acpi: Use METHOD_NAME__AEI macro for acpi_walk_resources (Shaoqin Huang) [2183344]
- gpiolib: Clean up headers (Shaoqin Huang) [2183344]
- gpiolib: cdev: Add missing header(s) (Shaoqin Huang) [2183344]
- gpio: reg: Add missing header(s) (Shaoqin Huang) [2183344]
- gpiolib: of: add a quirk for reset line polarity for Himax LCDs (Shaoqin Huang) [2183344]
- gpiolib: of: add quirk for phy reset polarity for Freescale Ethernet (Shaoqin Huang) [2183344]
- gpiolib: of: factor out code overriding gpio line polarity (Shaoqin Huang) [2183344]
- gpiolib: of: add a quirk for legacy names in MOXA ART RTC (Shaoqin Huang) [2183344]
- gpiolib: of: add a quirk for reset line for Cirrus CS42L56 codec (Shaoqin Huang) [2183344]
- gpiolib: of: add a quirk for reset line for Marvell NFC controller (Shaoqin Huang) [2183344]
- gpiolib: of: add quirk for locating reset lines with legacy bindings (Shaoqin Huang) [2183344]
- gpiolib: of: tighten selection of gpio renaming quirks (Shaoqin Huang) [2183344]
- gpiolib: of: consolidate simple renames into a single quirk (Shaoqin Huang) [2183344]
- gpiolib: of: add a quirk for legacy names in Mediatek mt2701-cs42448 (Shaoqin Huang) [2183344]
- gpio: tegra: Convert to immutable irq chip (Shaoqin Huang) [2183344]
- gpio: aspeed: Add missing header(s) (Shaoqin Huang) [2183344]
- gpiolib: Get rid of ARCH_NR_GPIOS (Shaoqin Huang) [2183344]
- gpiolib: Warn on drivers still using static gpiobase allocation (Shaoqin Huang) [2183344]
- gpiolib: cdev: add fdinfo output for line request file descriptors (Shaoqin Huang) [2183344]
- mtd: rawnand: Replace of_gpio_named_count() by gpiod_count() (Shaoqin Huang) [2183344]
- gpiolib: cdev: Set lineevent_state::irq after IRQ register successfully (Shaoqin Huang) [2183344]
- gpiolib: fix OOB access in quirk callbacks (Shaoqin Huang) [2183344]
- net: phy: spi_ks8895: switch to using gpiod API (Shaoqin Huang) [2183344]
- gpiolib: of: factor out conversion from OF flags (Shaoqin Huang) [2183344]
- gpiolib: rework quirk handling in of_find_gpio() (Shaoqin Huang) [2183344]
- gpiolib: of: make Freescale SPI quirk similar to all others (Shaoqin Huang) [2183344]
- gpiolib: of: do not ignore requested index when applying quirks (Shaoqin Huang) [2183344]
- gpiolib: acpi: Add support to ignore programming an interrupt (Shaoqin Huang) [2183344]
- gpiolib: make fwnode_get_named_gpiod() static (Shaoqin Huang) [2183344]
- gpiolib: acpi: support bias pull disable (Shaoqin Huang) [2183344]
- gpiolib: of: support bias pull disable (Shaoqin Huang) [2183344]
- gpiolib: add support for bias pull disable (Shaoqin Huang) [2183344]
- gpiolib: cdev: compile out HTE unless CONFIG_HTE selected (Shaoqin Huang) [2183344]
- gpiolib: cdev: consolidate edge detector configuration flags (Shaoqin Huang) [2183344]
- gpiolib: cdev: simplify line event identification (Shaoqin Huang) [2183344]
- gpiolib: cdev: replace if-else chains with switches (Shaoqin Huang) [2183344]
- gpiolib: cdev: simplify parameter in call to hte_edge_setup (Shaoqin Huang) [2183344]
- gpiolib: cdev: simplify linereq_free (Shaoqin Huang) [2183344]
- gpio: gpiolib-of: Fix refcount bugs in of_mm_gpiochip_add_data() (Shaoqin Huang) [2183344]
- gpiolib: of: Use device_match_of_node() helper (Shaoqin Huang) [2183344]
- gpiolib: devres: Get rid of unused devm_gpio_free() (Shaoqin Huang) [2183344]
- gpio: gpiolib: Allow free() callback to be overridden (Shaoqin Huang) [2183344]
- hte: Fix possible use-after-free in tegra_hte_test_remove() (Shaoqin Huang) [2183344]
- hte: Remove unused including <linux/version.h> (Shaoqin Huang) [2183344]
- pinctrl: ocelot: Fix interrupt parsing (Shaoqin Huang) [2183344]
- pinctrl: Get rid of duplicate of_node assignment in the drivers (Shaoqin Huang) [2183344]
- pinctrl: ocelot: update pinctrl to automatic base address (Shaoqin Huang) [2183344]
- powerpc/iommu: Limit number of TCEs to 512 for H_STUFF_TCE hcall (Mamatha Inamdar) [2222935]
- net: wwan: mark t7xx driver as tech preview (Jose Ignacio Tornos Martinez) [2110561]
- net: wwan: enable t7xx driver (Jose Ignacio Tornos Martinez) [2110561]
- net: wwan: t7xx: Add AP CLDMA (Jose Ignacio Tornos Martinez) [2110561 2140372]
- redhat/configs: disable CONFIG_USB_DWC2 and CONFIG_USB_DWC3 on x86 (Desnes Nunes) [2110796]
- irqchip/irq-imx-gpcv2: Mark fwnode device as not initialized (Steve Best) [2184110]
- irqchip/imx-gpcv2: Mark imx_gpcv2_instance with __ro_after_init (Steve Best) [2184110]
- irqchip/imx-irqsteer: Add runtime PM support (Steve Best) [2184110]
- irqchip/imx-irqsteer: Constify irq_chip struct (Steve Best) [2184110]
- selftests/ftrace: Stop tracing while reading the trace file by default (Jerome Marchand) [2138363]
- dt-bindings: iio: adc: Add NXP IMX93 ADC (Steve Best) [2181783]
- iio: adc: imx93: Fix spelling mistake "geting" -> "getting" (Steve Best) [2181783]
- iio: adc: add imx93 adc support (Steve Best) [2181783]
- net: wwan: mark Qualcomm driver as tech preview (Jose Ignacio Tornos Martinez) [2222914]
- net: wwan: enable Qualcomm driver (Jose Ignacio Tornos Martinez) [2222914]
Resolves: rhbz#2110561, rhbz#2110796, rhbz#2138363, rhbz#2140372, rhbz#2181783, rhbz#2183344, rhbz#2184094, rhbz#2184110, rhbz#2184312, rhbz#2222914, rhbz#2222935

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-25 17:02:23 +02:00
Jan Stancek
ef9c914c53 kernel-5.14.0-344.el9
* Mon Jul 24 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-344.el9]
- redhat/configs: turn on i.MX8MP interconnect driver (Steve Best) [2195986]
- power: supply: Fix logic checking if system is running from battery (Cornelia Huck) [2183335]
- power: supply: Ratelimit no data debug output (Cornelia Huck) [2183335]
- power: supply: Fix power_supply_get_battery_info() if parent is NULL (Cornelia Huck) [2183335]
- power: supply: core: auto-exposure of simple-battery data (Cornelia Huck) [2183335]
- power: supply: charger-manager: Use of_property_read_bool() for boolean properties (Cornelia Huck) [2183335]
- power: supply: fix null pointer check order in __power_supply_register (Cornelia Huck) [2183335]
- power: supply: leds: explicitly include linux/leds.h (Cornelia Huck) [2183335]
- power: supply: use sysfs_emit() instead of sprintf() for sysfs show() (Cornelia Huck) [2183335]
- power: supply: fix null pointer dereferencing in power_supply_get_battery_info (Cornelia Huck) [2183335]
- power: supply: fix residue sysfs file in error handle route of __power_supply_register() (Cornelia Huck) [2183335]
- power: supply: core: repair kernel-doc for power_supply_vbat2ri() (Cornelia Huck) [2183335]
- power: supply: Fix repeated word in comments (Cornelia Huck) [2183335]
- extcon: Fix extcon_get_extcon_dev() error handling (Cornelia Huck) [2183335]
- power: supply: axp288_charger: Use the defined variable to clean code (Cornelia Huck) [2183335]
- soc: imx: gpcv2: add GENPD_FLAG_ACTIVE_WAKEUP flag for usb of imx8mm/n (Steve Best) [2184054]
- soc: imx: gpcv2: Assert reset before ungating clock (Steve Best) [2184054]
- soc: imx: gpcv2: print errno for regulator errors (Steve Best) [2184054]
- soc: imx: gpcv2: add support for i.MX8MP power domains (Steve Best) [2184054]
- soc: imx: gpcv2: add PGC control register indirection (Steve Best) [2184054]
- soc: imx: gpcv2: Fix clock disabling imbalance in error path (Steve Best) [2184054]
- soc: imx: gpcv2: Add dispmix and mipi domains to imx8mn (Steve Best) [2184054]
- soc: imx: gpcv2: keep i.MX8MN gpumix bus clock enabled (Steve Best) [2184054]
- soc: imx: gpcv2: keep i.MX8MM VPU-H1 bus clock active (Steve Best) [2184054]
- soc: imx: gpcv2: Synchronously suspend MIX domains (Steve Best) [2184054]
- soc: imx: gpcv2: support system suspend/resume (Steve Best) [2184054]
- soc: imx: gpcv2: keep i.MX8M* bus clocks enabled (Steve Best) [2184054]
- soc: imx: gpcv2: add domain option to keep domain clocks enabled (Steve Best) [2184054]
- soc: imx: gpcv2: add lockdep annotation (Steve Best) [2184054]
- Revert "soc: imx: gpcv2: move reset assert after requesting domain power up" (Steve Best) [2184054]
- soc: imx: gpcv2: allow to disable individual power domains (Steve Best) [2184054]
- soc: imx: gpcv2: Set both GPC_PGC_nCTRL(GPU_2D|GPU_3D) for MX8MM GPU domain (Steve Best) [2184054]
- soc: imx: gpcv2: Turn domain->pgc into bitfield (Steve Best) [2184054]
- soc: imx8m: Fix incorrect check for of_clk_get_by_name() (Steve Best) [2184054]
- soc: imx8m: Enable OCOTP clock before reading the register (Steve Best) [2184054]
- nvme-pci: fix DMA direction of unmapping integrity data (Ming Lei) [2215173]
- dt-bindings: gpio: Remove FSI domain ports on Tegra234 (Steve Best) [2213216]
- clk: imx: avoid memory leak (Steve Best) [2212497]
- clk: imx: remove clk_count of imx_register_uart_clocks (Steve Best) [2212497]
- clk: imx: get stdout clk count from device tree (Steve Best) [2212497]
- clk: imx: Add check for kcalloc (Steve Best) [2212497]
- redhat/configs: disable pre-UVC cameras for RHEL on aarch64 (Dean Nelson) [2190505]
- redhat/configs: enable CONFIG_MEDIA_SUPPORT for RHEL on aarch64 (Dean Nelson) [2190505 2192722]
- dt-bindings: can: fsl,flexcan: add imx93 compatible (Steve Best) [2214947]
- scsi: sd: Add "probe_type" module parameter to allow synchronous probing (Ewan D. Milne) [2140017]
- soc/tegra: pmc: Add the PMIC wake event for Tegra234 (Steve Best) [2218655]
- soc/tegra: pmc: Add wake source interrupt for MGBE (Steve Best) [2218655]
- soc/tegra: pmc: Support software wake-up for SPE (Steve Best) [2218655]
- kernfs: dont take d_lock on revalidate (Ian Kent) [2186094]
- kernfs: dont take i_lock on inode attr read (Ian Kent) [2186094]
Resolves: rhbz#2140017, rhbz#2183335, rhbz#2184054, rhbz#2186094, rhbz#2190505, rhbz#2192722, rhbz#2195986, rhbz#2212497, rhbz#2213216, rhbz#2214947, rhbz#2215173, rhbz#2218655

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-24 07:29:14 +02:00
Jan Stancek
63e4a79ccd kernel-5.14.0-343.el9
* Fri Jul 21 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-343.el9]
- net: openvswitch: add support for l4 symmetric hashing (Timothy Redaelli) [2188082]
- gfs2: Don't deref jdesc in evict (Bob Peterson) [2214358] {CVE-2023-3212}
- net: wwan: mark iosm driver as tech preview (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: enable iosm driver (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: enable wwan hw simulator (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: adjust new configuration options (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Convert single instance struct member to flexible array (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Use alloc_ordered_workqueue() to create ordered workqueues (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Ensure init is completed before system sleep (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix NULL pointer dereference when removing device (Jose Ignacio Tornos Martinez) [2123542]
- wwan: core: add print for wwan port attach/disconnect (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: Expose secondary AT port on DATA1 (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Fix error handling path in ipc_pcie_probe() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: do not compile with -Werror (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fixes 7560 modem crash (Jose Ignacio Tornos Martinez) [2123542]
- wwan: core: Support slicing in port TX flow of WWAN subsystem (Jose Ignacio Tornos Martinez) [2123542]
- platform/x86: intel: int1092: intel_sar: Convert to platform remove callback returning void (Jose Ignacio Tornos Martinez) [2123542]
- platform/x86: int1092: Switch to use acpi_evaluate_dsm_typed() (Jose Ignacio Tornos Martinez) [2123542]
- Documentation: networking: correct spelling (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Fix Runtime PM initialization (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Fix Runtime PM resume sequence (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix memory leak in ipc_mux_init() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix incorrect skb length (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix crash in peek throughput test (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix dma_alloc_coherent incompatible pointer type (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix kernel test robot reported error (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Fix the ACPI memory leak (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: use ACPI_FREE() but not kfree() in ipc_pcie_read_bios_cfg() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix kernel test robot reported errors (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix invalid mux header type (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix driver not working with INTEL_IOMMU disabled (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix memory leak in ipc_pcie_read_bios_cfg (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Remove unnecessary if_mutex lock (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: mhi: fix memory leak in mhi_mbim_dellink (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix memory leak in ipc_wwan_dellink (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add NAPI support (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Use needed_headroom instead of hard_header_len (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: add rpc interface for xmm modems (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add port for modem logging (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: use union to group port type specific data (Jose Ignacio Tornos Martinez) [2123542]
- net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2123542]
- wwan_hwsim: fix possible memory leak in wwan_hwsim_dev_new() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Call mutex_init before locking it (Jose Ignacio Tornos Martinez) [2123542]
- wwan_hwsim: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: mhi_wwan_ctrl: Add DUN2 to have a secondary AT port (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Fix return type of t7xx_ccmni_start_xmit (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Fix return type of ipc_wwan_link_transmit (Jose Ignacio Tornos Martinez) [2123542]
- wwan: iosm: use a flexible array rather than allocate short objects (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: use GFP_ATOMIC under spin lock in t7xx_cldma_gpd_set_next_ptr() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: remove pointless null check (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Fix smatch errors (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Avoid calls to skb_data_area_size() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Fix return type of t7xx_dl_add_timedout() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add maintainers and documentation (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Device deep sleep lock/unlock (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Runtime PM (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Introduce power management (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add WWAN network interface (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add data path interface (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Data path HW layer (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add AT and MBIM WWAN ports (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add control port (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add port proxy infrastructure (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add core components (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add control DMA interface (Jose Ignacio Tornos Martinez) [2123542]
- wwan_hwsim: Avoid flush_scheduled_work() usage (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: qcom_bam_dmux: fix wrong pointer passed to IS_ERR() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: drop debugfs dev reference (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: debugfs obtained dev reference not dropped (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Enable M.2 7360 WWAN card support (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: Fix MRU mismatch issue which may lead to data connection lost (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Let PCI core handle PCI power transition (Jose Ignacio Tornos Martinez) [2123542]
- wwan: Replace kernel.h with the necessary inclusions (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: correct open parenthesis alignment (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: removed unused function decl (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: release data channel in case no active IP session (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: set tx queue len (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fixes unable to send AT command during mbim tx (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fixes net interface nonfunctional after fw flash (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fixes unnecessary doorbell send (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: make debugfs optional (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: move debugfs knobs into a subdir (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: allow trace port be uninitialized (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: consolidate trace port init code (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2123542]
- dt-bindings: net: Add schema for Qualcomm BAM-DMUX (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: Add Qualcomm BAM-DMUX WWAN network driver (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: device trace collection using relayfs (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: common debugfs base dir for wwan device (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix compilation warning (Jose Ignacio Tornos Martinez) [2123542]
- platform/x86: int1092: Fix non sequential device mode handling (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: correct devlink extra params (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Move devlink_register to be last devlink command (Jose Ignacio Tornos Martinez) [2123542]
- net: iosm: Use hrtimer_forward_now() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fw flashing and cd improvements (Jose Ignacio Tornos Martinez) [2123542]
- devlink: Make devlink_register to be void (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fw flashing & cd collection infrastructure changes (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: devlink fw flashing & cd collection documentation (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: transport layer support for fw flashing/cd (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: coredump collection support (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fw flashing support (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: devlink registration (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Unify IO accessors used in the driver (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Replace io.*64_lo_hi() with regular accessors (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: switch from 'pci_' to 'dma_' API (Jose Ignacio Tornos Martinez) [2123542]
- platform/x86: BIOS SAR driver for Intel M.2 Modem (Jose Ignacio Tornos Martinez) [2123542]
- wwan: core: Unshadow error code returned by ida_alloc_range() (Jose Ignacio Tornos Martinez) [2123542]
- wwan: mhi: Fix missing spin_lock_init() in mhi_mbim_probe() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: Add MHI MBIM network driver (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Switch to use module_pci_driver() macro (Jose Ignacio Tornos Martinez) [2123542]
- net: tipc: resize nlattr array to correct size (Xin Long) [2221401]
- tipc: fix kernel warning when sending SYN message (Xin Long) [2221401]
- thunderbolt: Mask ring interrupt on Intel hardware as well (Desnes Nunes) [2212495]
- thunderbolt: Clear registers properly when auto clear isn't in use (Desnes Nunes) [2212495]
- media: usb: siano: Fix warning due to null work_func_t function pointer (Desnes Nunes) [2212495]
- usb: host: xhci-plat: Add reset support (Desnes Nunes) [2212495]
- usb: host: xhci-plat: Improve clock handling in probe() (Desnes Nunes) [2212495]
- media: uvcvideo: Don't expose unsupported formats to userspace (Desnes Nunes) [2212495]
- Revert "dt-bindings: usb: renesas, usb3-peri: Document RZ/V2M r9a09g011 support" (Desnes Nunes) [2212495]
- usb: typec: altmodes/displayport: Fix configure initial pin assignment (Desnes Nunes) [2212495]
- xhci: Free the command allocated for setting LPM if we return early (Desnes Nunes) [2212495]
- Revert "usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS" (Desnes Nunes) [2212495]
- xhci: also avoid the XHCI_ZERO_64B_REGS quirk with a passthrough iommu (Desnes Nunes) [2212495]
- USB: serial: option: add Quectel RM500U-CN modem (Desnes Nunes) [2212495]
- usb: xhci: tegra: fix sleep in atomic call (Desnes Nunes) [2212495]
- usb: chipidea: core: fix possible concurrent when switch role (Desnes Nunes) [2212495]
- usb: chipdea: core: fix return -EINVAL if request role is the same with current role (Desnes Nunes) [2212495]
- uas: Add US_FL_NO_REPORT_OPCODES for JMicron JMS583Gen 2 (Desnes Nunes) [2212495]
- USB: serial: option: add Telit FE990 compositions (Desnes Nunes) [2212495]
- USB: serial: cp210x: add Silicon Labs IFS-USB-DATACABLE IDs (Desnes Nunes) [2212495]
- thunderbolt: Use scale field when allocating USB3 bandwidth (Desnes Nunes) [2212495]
- thunderbolt: Limit USB3 bandwidth of certain Intel USB4 host routers (Desnes Nunes) [2212495]
- thunderbolt: Call tb_check_quirks() after initializing adapters (Desnes Nunes) [2212495]
- thunderbolt: Add missing UNSET_INBOUND_SBTX for retimer access (Desnes Nunes) [2212495]
- thunderbolt: Fix memory leak in margining (Desnes Nunes) [2212495]
- usb: typec: tcpm: fix warning when handle discover_identity message (Desnes Nunes) [2212495]
- usb: typec: tcpm: fix create duplicate source-capabilities file (Desnes Nunes) [2212495]
- usb: ucsi_acpi: Increase the command completion timeout (Desnes Nunes) [2212495]
- usb: ucsi: Fix ucsi->connector race (Desnes Nunes) [2212495]
- usb: ucsi: Fix NULL pointer deref in ucsi_connector_change() (Desnes Nunes) [2212495]
- thunderbolt: Add quirk to disable CLx (Desnes Nunes) [2212495]
- usb: typec: pd: Add higher capability sysfs for sink PDO (Desnes Nunes) [2212495]
- usb: typec: pd: Remove usb_suspend_supported sysfs from sink PDO (Desnes Nunes) [2212495]
- xhci: host: potential NULL dereference in xhci_generic_plat_probe() (Desnes Nunes) [2212495]
- media: usb: siano: Fix use after free bugs caused by do_submit_urb (Desnes Nunes) [2212495]
- media: usb: dvb-usb-v2: af9015.c: return 0 instead of 'ret'. (Desnes Nunes) [2212495]
- dt-bindings: usb: convert fcs,fusb302.txt to yaml (Desnes Nunes) [2212495]
- usb: uvc: Enumerate valid values for color matching (Desnes Nunes) [2212495]
- USB: ene_usb6250: Allocate enough memory for full object (Desnes Nunes) [2212495]
- usb: host: xhci: mvebu: Iterate over array indexes instead of using pointer math (Desnes Nunes) [2212495]
- USB: uhci: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495]
- USB: ULPI: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495]
- USB: chipidea: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495]
- USB: serial: option: add support for VW/Skoda "Carstick LTE" (Desnes Nunes) [2212495]
- usb: remove the dead USB_OHCI_SH option (Desnes Nunes) [2212495]
- xhci: decouple usb2 port resume and get_port_status request handling (Desnes Nunes) [2212495]
- xhci: clear usb2 resume related variables in one place. (Desnes Nunes) [2212495]
- xhci: rename resume_done to resume_timestamp (Desnes Nunes) [2212495]
- xhci: Pass port structure as parameter to xhci_disable_port(). (Desnes Nunes) [2212495]
- xhci: move port specific items such as state completions to port structure (Desnes Nunes) [2212495]
- xhci: pass port pointer as parameter to xhci_set_port_power() (Desnes Nunes) [2212495]
- xhci: cleanup xhci_hub_control port references (Desnes Nunes) [2212495]
- xhci: add helpers for enabling and disabling interrupters (Desnes Nunes) [2212495]
- xhci: Refactor interrupter code for initial multi interrupter support. (Desnes Nunes) [2212495]
- xhci: remove xhci_test_trb_in_td_math early development check (Desnes Nunes) [2212495]
- xhci: fix event ring segment table related masks and variables in header (Desnes Nunes) [2212495]
- dt-bindings: usb: Add Cypress cypd4226 Type-C controller (Desnes Nunes) [2212495]
- xhci: split out rcar/rz support from xhci-plat.c (Desnes Nunes) [2212495]
- usb: ohci-omap: avoid unused-variable warning (Desnes Nunes) [2212495]
- usb: remove ohci-tmio driver (Desnes Nunes) [2212495]
- USB: core: Don't hold device lock while reading the "descriptors" sysfs file (Desnes Nunes) [2212495]
- usb: early: xhci-dbc: Use memcpy_and_pad() (Desnes Nunes) [2212495]
- usb: early: xhci-dbc: Optimize early_xdbc_write() (Desnes Nunes) [2212495]
- usb: early: xhci-dbc: Fix a potential out-of-bound memory access (Desnes Nunes) [2212495]
- usb: chipidea: ci_hdrc_imx: use dev_err_probe (Desnes Nunes) [2212495]
- dt-bindings: usb: fsa4480: Use generic node name (Desnes Nunes) [2212495]
- dt-bindings: usb: phy: nop: Fix a typo ("specifiy") (Desnes Nunes) [2212495]
- Documentation: usb: correct spelling (Desnes Nunes) [2212495]
- usb: uvc: use v4l2_fill_fmtdesc instead of open coded format name (Desnes Nunes) [2212495]
- usb: uvc: make uvc_format_desc table const (Desnes Nunes) [2212495]
- usb: uvc: move uvc_fmts and uvc_format_by_guid to own compile unit (Desnes Nunes) [2212495]
- usb: uvc: move media/v4l2-uvc.h to usb/uvc.h (Desnes Nunes) [2212495]
- usb: gadget: uvc: add v4l2 try_format api call (Desnes Nunes) [2212495]
- usb: gadget: uvc: add v4l2 enumeration api calls (Desnes Nunes) [2212495]
- media: uvcvideo: Add GUID for BGRA/X 8:8:8:8 (Desnes Nunes) [2212495]
- thunderbolt: Add missing kernel-doc comment to tb_tunnel_maximum_bandwidth() (Desnes Nunes) [2212495]
- thunderbolt: Handle bandwidth allocation mode enablement notification (Desnes Nunes) [2212495]
- dt-bindings: usb: Convert Marvell Orion EHCI to DT schema (Desnes Nunes) [2212495]
- dt-bindings: usb: Convert OMAP OHCI/EHCI bindings to schema (Desnes Nunes) [2212495]
- dt-bindings: usb: Convert multiple "usb-ohci" bindings to DT schema (Desnes Nunes) [2212495]
- dt-bindings: usb: Remove obsolete brcm,bcm3384-usb.txt (Desnes Nunes) [2212495]
- usb: typec: tcpm: Remove altmode active state updates (Desnes Nunes) [2212495]
- usb: typec: altmodes/displayport: Update active state (Desnes Nunes) [2212495]
- usb: typec: mux: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495]
- redhat: configs: Add unset CONFIG_TYPEC_MUX_GPIO_SBU option (Desnes Nunes) [2212495]
- dt-bindings: usb: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495]
- usb: host: ehci-fsl: Use DRV_NAME (Desnes Nunes) [2212495]
- usb: typec: tcpci: Add callback for evaluating contaminant presence (Desnes Nunes) [2212495]
- usb: typec: tcpm: Add callbacks to mitigate wakeups due to contaminant (Desnes Nunes) [2212495]
- usb: typec: Make bus switch code retimer-aware (Desnes Nunes) [2212495]
- usb: typec: Add wrapper for bus switch set code (Desnes Nunes) [2212495]
- usb: typec: Add retimer handle to port altmode (Desnes Nunes) [2212495]
- usb: typec: tipd: Support wakeup (Desnes Nunes) [2212495]
- dt-bindings: usb: tps6598x: Add wakeup property (Desnes Nunes) [2212495]
- USB: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495]
- usb: typec: intel_pmc_mux: Deduplicate ACPI matching in probe (Desnes Nunes) [2212495]
- usb: typec: intel_pmc_mux: Don't leak the ACPI device reference count (Desnes Nunes) [2212495]
- usb: typec: tcpci: Request IRQ with IRQF_SHARED (Desnes Nunes) [2212495]
- dt-bindings: usb: usbmisc-imx: add i.MX8MM usbmisc (Desnes Nunes) [2212495]
- dt-bindings: usb: ci-hdrc-usb2: add i.MX8MM compatible (Desnes Nunes) [2212495]
- USB: Improve usb_fill_* documentation (Desnes Nunes) [2212495]
- usb: gadget: xudc: Refactor update data role work (Desnes Nunes) [2212495]
- thunderbolt: Add support for DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495]
- thunderbolt: Include the additional DP IN double word in debugfs dump (Desnes Nunes) [2212495]
- thunderbolt: Add functions to support DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495]
- thunderbolt: Take CL states into account when waiting for link to come up (Desnes Nunes) [2212495]
- thunderbolt: Improve debug logging in tb_available_bandwidth() (Desnes Nunes) [2212495]
- thunderbolt: Log DP adapter type (Desnes Nunes) [2212495]
- thunderbolt: Use decimal port number in control and tunnel logs too (Desnes Nunes) [2212495]
- media: uvcvideo: Silence memcpy() run-time false positive warnings (Desnes Nunes) [2212495]
- media: uvcvideo: Quirk for autosuspend in Logitech B910 and C910 (Desnes Nunes) [2212495]
- media: uvcvideo: Fix race condition with usb_kill_urb (Desnes Nunes) [2212495]
- media: uvcvideo: Use standard names for menus (Desnes Nunes) [2212495]
- media: uvcvideo: Fix power line control for Lenovo Integrated Camera (Desnes Nunes) [2212495]
- media: uvcvideo: Refactor power_line_frequency_controls_limited (Desnes Nunes) [2212495]
- media: uvcvideo: Refactor uvc_ctrl_mappings_uvcXX (Desnes Nunes) [2212495]
- media: uvcvideo: Implement mask for V4L2_CTRL_TYPE_MENU (Desnes Nunes) [2212495]
- media: uvcvideo: Extend documentation of uvc_video_clock_decode() (Desnes Nunes) [2212495]
- media: uvcvideo: Refactor __uvc_ctrl_add_mapping (Desnes Nunes) [2212495]
- media: uvcvideo: Fix handling on Bitmask controls (Desnes Nunes) [2212495]
- media: uvcvideo: Do not return positive errors in uvc_query_ctrl() (Desnes Nunes) [2212495]
- media: uvcvideo: Return -EACCES for Wrong state error (Desnes Nunes) [2212495]
- media: uvcvideo: Improve error logging in uvc_query_ctrl() (Desnes Nunes) [2212495]
- media: uvcvideo: Check for INACTIVE in uvc_ctrl_is_accessible() (Desnes Nunes) [2212495]
- media: uvcvideo: Factor out usb_string() calls (Desnes Nunes) [2212495]
- media: uvcvideo: Limit power line control for Acer EasyCamera (Desnes Nunes) [2212495]
- media: uvcvideo: Recover stalled ElGato devices (Desnes Nunes) [2212495]
- media: uvcvideo: Remove void casting for the status endpoint (Desnes Nunes) [2212495]
- media: uvcvideo: Fix usage of symbolic permissions to octal (Desnes Nunes) [2212495]
- media: uvcvideo: Fix assignment inside if condition (Desnes Nunes) [2212495]
- media: uvcvideo: Fix missing newline after declarations (Desnes Nunes) [2212495]
- media: uvcvideo: Handle errors from calls to usb_string (Desnes Nunes) [2212495]
- media: uvcvideo: Only create input devs if hw supports it (Desnes Nunes) [2212495]
- media: uvcvideo: Handle cameras with invalid descriptors (Desnes Nunes) [2212495]
- media: uvcvideo: Remove format descriptions (Desnes Nunes) [2212495]
- net: thunderbolt: Add tracepoints (Desnes Nunes) [2212495]
- net: thunderbolt: Add debugging when sending/receiving control packets (Desnes Nunes) [2212495]
- net: thunderbolt: Move into own directory (Desnes Nunes) [2212495]
- xhci: Convert to use list_count_nodes() (Desnes Nunes) [2212495]
- usb: typec: ucsi: Register USB Power Delivery Capabilities (Desnes Nunes) [2212495]
- usb: chipidea: imx: Drop empty platform remove function (Desnes Nunes) [2212495]
- usb: typec: altmodes/displayport: Add hpd sysfs attribute (Desnes Nunes) [2212495]
- thunderbolt: Refactor tb_acpi_add_link() (Desnes Nunes) [2212495]
- thunderbolt: Use correct type in tb_port_is_clx_enabled() prototype (Desnes Nunes) [2212495]
- netfilter: conntrack: gre: don't set assured flag for clash entries (Florian Westphal) [2220944]
- netfilter: conntrack: allow insertion clash of gre protocol (Florian Westphal) [2220944]
- netfilter: conntrack: dccp: copy entire header to stack buffer, not just basic one (Florian Westphal) [2220884]
- netfilter: nfnetlink_osf: fix module autoload (Florian Westphal) [2220884]
- xfs: fix up for "xfs: pass perag to xfs_alloc_read_agf()" (Bill O'Donnell) [2218635]
- tls: improve lockless access safety of tls_err_abort() (Sabrina Dubroca) [2219775]
- tls: rx: strp: don't use GFP_KERNEL in softirq context (Sabrina Dubroca) [2219775]
- tls: rx: strp: preserve decryption status of skbs when needed (Sabrina Dubroca) [2219775]
- tls: rx: strp: factor out copying skb data (Sabrina Dubroca) [2219775]
- tls: rx: strp: fix determining record length in copy mode (Sabrina Dubroca) [2219775]
- tls: rx: strp: force mixed decrypted records into copy mode (Sabrina Dubroca) [2219775]
- tls: rx: strp: set the skb->len of detached / CoW'ed skbs (Sabrina Dubroca) [2219775]
- tls: rx: device: fix checking decryption status (Sabrina Dubroca) [2219775]
- mptcp: do not rely on implicit state check in mptcp_listen() (Davide Caratti) [2219612]
- mptcp: handle correctly disconnect() failures (Davide Caratti) [2219612]
- mptcp: fix active subflow finalization (Davide Caratti) [2219612]
- mptcp: ensure subflow is unhashed before cleaning the backlog (Davide Caratti) [2219612]
- mptcp: ensure listener is unhashed before updating the sk status (Davide Caratti) [2219612]
- mptcp: fix possible list corruption on passive MPJ (Davide Caratti) [2219612]
- mptcp: fix possible divide by zero in recvmsg() (Davide Caratti) [2219612]
- tcp: deny tcp_disconnect() when threads are waiting (Davide Caratti) [2219612]
- sit: update dev->needed_headroom in ipip6_tunnel_bind_dev() (Guillaume Nault) [2219606]
- net: sched: fix NULL pointer dereference in mq_attach (Davide Caratti) [2219411]
- net/sched: cls_api: remove block_cb from driver_list before freeing (Davide Caratti) [2219411]
- sch_netem: fix issues in netem_change() vs get_dist_table() (Davide Caratti) [2219411]
- sch_netem: acquire qdisc lock in netem_change() (Davide Caratti) [2219411]
- net/sched: cls_api: Fix lockup on flushing explicitly created chain (Davide Caratti) [2219411]
- net/sched: cls_u32: Fix reference counter leak leading to overflow (Davide Caratti) [2219411]
- net/sched: taprio: fix slab-out-of-bounds Read in taprio_dequeue_from_txq (Davide Caratti) [2219411]
- net: sched: fix possible refcount leak in tc_chain_tmplt_add() (Davide Caratti) [2219411]
- RDMA/mlx4: Prevent shift wrapping in set_user_sq_size() (Mohammad Kabat) [2165367]
- mlx4: bpf_xdp_metadata_rx_hash add xdp rss hash type (Mohammad Kabat) [2165367]
- net/mlx4_en: Introduce flexible array to silence overflow warning (Mohammad Kabat) [2165367]
- net/mlx4_en: Support RX XDP metadata (Mohammad Kabat) [2165367]
- net/mlx4_en: Introduce wrapper for xdp_buff (Mohammad Kabat) [2165367]
- net/mlx4: small optimization in mlx4_en_xmit() (Mohammad Kabat) [2165367]
- net/mlx4: MLX4_TX_BOUNCE_BUFFER_SIZE depends on MAX_SKB_FRAGS (Mohammad Kabat) [2165367]
- net/mlx4: rename two constants (Mohammad Kabat) [2165367]
- RDMA/mlx4: Remove NULL check before dev_{put, hold} (Mohammad Kabat) [2165367]
- ptp: mlx4: convert to .adjfine and adjust_by_scaled_ppm (Mohammad Kabat) [2165367]
- net/mlx4: Check retval of mlx4_bitmap_init (Mohammad Kabat) [2165367]
- net/mlx4: Fix error check for dma_map_sg (Mohammad Kabat) [2165367]
- mlx4: Do type_clear() for devlink ports when type_set() was called previously (Mohammad Kabat) [2165367]
- devlink: Fix crash with CONFIG_NET_NS=n (Davide Caratti) [2219326]
- devlink: change per-devlink netdev notifier to static one (Davide Caratti) [2219326]
- af_packet: Don't send zero-byte data in packet_sendmsg_spkt(). (Davide Caratti) [2219326]
- vlan: partially enable SIOCSHWTSTAMP in container (Davide Caratti) [2219326]
- net: macsec: fix double free of percpu stats (Sabrina Dubroca) [2218898]
- ping6: Fix send to link-local addresses with VRF. (Hangbin Liu) [2218130]
- ipv6: rpl: Fix Route of Death. (Hangbin Liu) [2218130]
- ipv6: Fix out-of-bounds access in ipv6_find_tlv() (Hangbin Liu) [2218130]
- net: rpl: fix rpl header size calculation (Hangbin Liu) [2218130]
- igb: fix nvm.ops.read() error handling (Corinna Vinschen) [2153362]
- igb: Fix extts capture value format for 82580/i354/i350 (Corinna Vinschen) [2153362]
- igb: Define igb_pm_ops conditionally on CONFIG_PM (Corinna Vinschen) [2153362]
- igb: fix bit_shift to be in [1..8] range (Corinna Vinschen) [2153362]
- igb: refactor igb_ptp_adjfine_82580 to use diff_by_scaled_ppm (Corinna Vinschen) [2153362]
- igb: revert rtnl_lock() that causes deadlock (Corinna Vinschen) [2153362]
- igb: Remove unnecessary aer.h include (Corinna Vinschen) [2153362]
- igb: Fix PPS input and output using 3rd and 4th SDP (Corinna Vinschen) [2153362]
- igb: Remove redundant pci_enable_pcie_error_reporting() (Corinna Vinschen) [2153362]
- igb: Add lock to avoid data race (Corinna Vinschen) [2153362]
- HID: logitech-hidpp: add HIDPP_QUIRK_DELAYED_INIT for the T651. (Benjamin Tissoires) [2166610]
- gpiolib-acpi: Don't set GPIOs for wakeup in S3 mode (Benjamin Tissoires) [2166610]
- gpiolib: acpi: Add wake_capable variants of acpi_dev_gpio_irq_get (Benjamin Tissoires) [2166610]
- gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xNU (Benjamin Tissoires) [2166610]
- gpiolib: acpi: Add a ignore wakeup quirk for Clevo NH5xAx (Benjamin Tissoires) [2166610]
- gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xRU (Benjamin Tissoires) [2166610]
- pm-graph: sleepgraph: Avoid crashing on binary data in device names (Benjamin Tissoires) [2166610]
- HID: hidpp: terminate retry loop on success (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Handle timeout differently from busy (Benjamin Tissoires) [2166610]
- HID: wacom: avoid integer overflow in wacom_intuos_inout() (Benjamin Tissoires) [2166610]
- selftests/harness: Pass variant to teardown (Benjamin Tissoires) [2166610]
- selftests/harness: Run TEARDOWN for ASSERT failures (Benjamin Tissoires) [2166610]
- HID: wacom: insert timestamp to packed Bluetooth (BT) events (Benjamin Tissoires) [2166610]
- HID: wacom: Set a default resolution for older tablets (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Handle "no sensors" enabled for SFH1.1 (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Increase sensor command timeout for SFH1.1 (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Correct the stop all command (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Add support for shutdown operation (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Fix illuminance value (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Correct the sensor enable and disable command (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Correct the structure fields (Benjamin Tissoires) [2166610]
- HID: hid-sensor-custom: Fix buffer overrun in device name (Benjamin Tissoires) [2166610]
- selftest: hid: fix hid_bpf not set in config (Benjamin Tissoires) [2166610]
- Revert "HID: sony: remove DualShock4 support." (Benjamin Tissoires) [2166610]
- Merge tag 'for-linus-2023022201' of git://git.kernel.org/pub/scm/linux/kernel/git/hid/hid (Benjamin Tissoires) [2166610]
- HID: sony: remove DualShock4 support. (Benjamin Tissoires) [2166610]
- staging: greybus: hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610]
- HID: fix BT_HIDP Kconfig dependencies (Benjamin Tissoires) [2166610]
- Documentation: hid: correct spelling (Benjamin Tissoires) [2166610]
- HID: bpf: reorder BPF registration (Benjamin Tissoires) [2166610]
- HID: bpf: clean up entrypoint (Benjamin Tissoires) [2166610]
- selftests: hid: enforce new attach API (Benjamin Tissoires) [2166610]
- HID: bpf: rework how programs are attached and stored in the kernel (Benjamin Tissoires) [2166610]
- selftests: hid: prepare tests for HID_BPF API change (Benjamin Tissoires) [2166610]
- selftests: hid: ensure the program is correctly pinned (Benjamin Tissoires) [2166610]
- selftests: hid: attach/detach 2 bpf programs, not just one (Benjamin Tissoires) [2166610]
- selftests: hid: allow to compile hid_bpf with LLVM (Benjamin Tissoires) [2166610]
- selftests: hid: add vmtest.sh (Benjamin Tissoires) [2166610]
- kselftests: hid: fix missing headers_install step (Benjamin Tissoires) [2166610]
- selftests: hid: ensures we have the proper requirements in config (Benjamin Tissoires) [2166610]
- samples/hid: Fix spelling mistake "wihout" -> "without" (Benjamin Tissoires) [2166610]
- HID: bpf: enforce HID_BPF dependencies (Benjamin Tissoires) [2166610]
- HID: bpf: do not rely on ALLOW_ERROR_INJECTION (Benjamin Tissoires) [2166610]
- HID: bpf: return non NULL data pointer when CONFIG_HID_BPF is not set (Benjamin Tissoires) [2166610]
- Documentation: add HID-BPF docs (Benjamin Tissoires) [2166610]
- samples/hid: add Surface Dial example (Benjamin Tissoires) [2166610]
- samples/hid: add new hid BPF example (Benjamin Tissoires) [2166610]
- selftests/hid: Add a test for BPF_F_INSERT_HEAD (Benjamin Tissoires) [2166610]
- selftests/hid: add report descriptor fixup tests (Benjamin Tissoires) [2166610]
- HID: bpf: allow to change the report descriptor (Benjamin Tissoires) [2166610]
- selftests/hid: add tests for bpf_hid_hw_request (Benjamin Tissoires) [2166610]
- HID: bpf: introduce hid_hw_request() (Benjamin Tissoires) [2166610]
- selftests/hid: add test to change the report size (Benjamin Tissoires) [2166610]
- HID: bpf: allocate data memory for device_event BPF programs (Benjamin Tissoires) [2166610]
- HID: bpf jmp table: simplify the logic of cleaning up programs (Benjamin Tissoires) [2166610]
- selftests: add tests for the HID-bpf initial implementation (Benjamin Tissoires) [2166610]
- HID: initial BPF implementation (Benjamin Tissoires) [2166610]
- HID: Kconfig: split HID support and hid-core compilation (Benjamin Tissoires) [2166610]
- hwrng: u2fzero - account for high quality RNG (Benjamin Tissoires) [2166610]
- HID: i2c-hid: goodix: Add mainboard-vddio-supply (Benjamin Tissoires) [2166610]
- HID: i2c-hid: goodix: Stop tying the reset line to the regulator (Benjamin Tissoires) [2166610]
- HID: intel-ish-hid: Fix kernel panic during warm reset (Benjamin Tissoires) [2166610]
- HID: topre: Add support for 87 keys Realforce R2 (Benjamin Tissoires) [2166610]
- HID: add HP 13t-aw100 & 14t-ea100 digitizer battery quirks (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Add support for Logitech MX Master 3S mouse (Benjamin Tissoires) [2166610]
- HID: cp2112: Fix driver not registering GPIO IRQ chip as threaded (Benjamin Tissoires) [2166610]
- HID: uhid: Over-ride the default maximum data buffer value with our own (Benjamin Tissoires) [2166610]
- HID: core: Provide new max_buffer_size attribute to over-ride the default (Benjamin Tissoires) [2166610]
- HID: mcp-2221: prevent UAF in delayed work (Benjamin Tissoires) [2166610]
- hid: bigben_probe(): validate report count (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Add myself to authors (Benjamin Tissoires) [2166610]
- HID: logitech: add myself as a reviewer (Benjamin Tissoires) [2166610]
- HID: bigben: use spinlock to safely schedule workers (Benjamin Tissoires) [2166610]
- HID: bigben_worker() remove unneeded check on report_field (Benjamin Tissoires) [2166610]
- HID: bigben: use spinlock to protect concurrent accesses (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Retry commands when device is busy (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Add more debug statements (Benjamin Tissoires) [2166610]
- HID: Add support for Logitech G923 Xbox Edition steering wheel (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Add Signature M650 (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Remove HIDPP_QUIRK_NO_HIDINPUT quirk (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Don't restart communication if not necessary (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Add constants for HID++ 2.0 error codes (Benjamin Tissoires) [2166610]
- Revert "HID: logitech-hidpp: add a module parameter to keep firmware gestures" (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Hard-code HID++ 1.0 fast scroll support (Benjamin Tissoires) [2166610]
- HID: retain initial quirks set up when creating HID devices (Benjamin Tissoires) [2166610]
- HID: evision: Add preliminary support for EVision keyboards (Benjamin Tissoires) [2166610]
- HID: Ignore battery for ELAN touchscreen 29DF on HP (Benjamin Tissoires) [2166610]
- HID: use standard debug APIs (Benjamin Tissoires) [2166610]
- HID: surface-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610]
- HID: intel-ish-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610]
- HID: steam: Constify lowlevel HID driver (Benjamin Tissoires) [2166610]
- HID: logitech-dj: Constify lowlevel HID driver (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Constify lowlevel HID driver (Benjamin Tissoires) [2166610]
- HID: amd_sfh: if no sensors are enabled, clean up (Benjamin Tissoires) [2166610]
- HID: logitech: Disable hi-res scrolling on USB (Benjamin Tissoires) [2166610]
- HID: core: Fix deadloop in hid_apply_multiplier. (Benjamin Tissoires) [2166610]
- HID: hid-steam: Add rumble on Deck (Benjamin Tissoires) [2166610]
- HID: hid-steam: Add Steam Deck support (Benjamin Tissoires) [2166610]
- HID: surface-hid: Use target-ID enum instead of hard-coding values (Benjamin Tissoires) [2166610]
- HID: Ignore battery for Elan touchscreen on Asus TP420IA (Benjamin Tissoires) [2166610]
- HID: elecom: add support for TrackBall 056E:011C (Benjamin Tissoires) [2166610]
- HID: betop: check shape of output reports (Benjamin Tissoires) [2166610]
- HID: playstation: correct DualSense gyro bias handling. (Benjamin Tissoires) [2166610]
- HID: playstation: correct DualShock4 gyro bias handling. (Benjamin Tissoires) [2166610]
- HID: playstation: fix DualShock4 unreachable calibration code. (Benjamin Tissoires) [2166610]
- HID: playstation: sanity check DualSense calibration data. (Benjamin Tissoires) [2166610]
- HID: playstation: sanity check DualShock4 calibration data. (Benjamin Tissoires) [2166610]
- HID: multitouch: Add quirks for flipped axes (Benjamin Tissoires) [2166610]
- HID: i2c-hid: acpi: Unify ACPI ID tables format (Benjamin Tissoires) [2166610]
- HID: i2c-hid: acpi: Drop unneded NULL check of adev (Benjamin Tissoires) [2166610]
- HID: Recognize sensors with application collections (Benjamin Tissoires) [2166610]
- HID: uclogic: Use KUNIT_EXPECT_MEMEQ (Benjamin Tissoires) [2166610]
- HID: uclogic: Add support for XP-PEN Deco Pro MW (Benjamin Tissoires) [2166610]
- HID: uclogic: Add support for XP-PEN Deco Pro SW (Benjamin Tissoires) [2166610]
- HID: uclogic: Handle wireless device reconnection (Benjamin Tissoires) [2166610]
- HID: uclogic: Refactor UGEEv2 probe magic data (Benjamin Tissoires) [2166610]
- HID: uclogic: Add battery quirk (Benjamin Tissoires) [2166610]
- HID: uclogic: Add frame type quirk (Benjamin Tissoires) [2166610]
- HID: uclogic: Add support for XP-PEN Deco 01 V2 (Benjamin Tissoires) [2166610]
- HID: i2c-hid: use uniform debugging APIs (Benjamin Tissoires) [2166610]
- HID: i2c-hid: switch to standard debugging APIs (Benjamin Tissoires) [2166610]
- HID: check empty report_list in bigben_probe() (Benjamin Tissoires) [2166610]
- HID: check empty report_list in hid_validate_values() (Benjamin Tissoires) [2166610]
- HID: hid-sensor-custom: Fix big on-stack allocation in hid_sensor_custom_get_known() (Benjamin Tissoires) [2166610]
- HID: sony: Fix unused function warning (Benjamin Tissoires) [2166610]
- HID: Ignore HP Envy x360 eu0009nv stylus battery (Benjamin Tissoires) [2166610]
- HID: Add Mapping for System Microphone Mute (Benjamin Tissoires) [2166610]
- HID: input: map battery system charging (Benjamin Tissoires) [2166610]
- HID: hid-sensor-custom: Add LISS custom sensors (Benjamin Tissoires) [2166610]
- HID: hid-sensor-custom: Allow more custom iio sensors (Benjamin Tissoires) [2166610]
- HID: playstation: fix free of uninialized pointer for DS4 in Bluetooth. (Benjamin Tissoires) [2166610]
- Revert "HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices" (Benjamin Tissoires) [2166610]
- Revert "HID: logitech-hidpp: Remove special-casing of Bluetooth devices" (Benjamin Tissoires) [2166610]
- HID: add mapping for camera access keys (Benjamin Tissoires) [2166610]
- HID: i2c-hid: Don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610]
- HID: i2c-hid: acpi: Stop setting wakeup_capable (Benjamin Tissoires) [2166610]
- HID: i2c-hid: Use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610]
- i2c: acpi: Use ACPI wake capability bit to set wake_irq (Benjamin Tissoires) [2166610]
- HID: logitech HID++: Send SwID in GetProtocolVersion (Benjamin Tissoires) [2166610]
- HID: hid-elan: use default remove for hid device (Benjamin Tissoires) [2166610]
- HID: hid-alps: use default remove for hid device (Benjamin Tissoires) [2166610]
- HID: force HID depending on INPUT (Benjamin Tissoires) [2166610]
- HID: playstation: fix DualShock4 bluetooth CRC endian issue. (Benjamin Tissoires) [2166610]
- HID: playstation: fix DualShock4 bluetooth memory corruption bug. (Benjamin Tissoires) [2166610]
- HID: apple: Swap Control and Command keys on Apple keyboards (Benjamin Tissoires) [2166610]
- HID: uclogic: Standardize test name prefix (Benjamin Tissoires) [2166610]
- HID: hid-sensor-custom: Allow more than one hinge angle sensor (Benjamin Tissoires) [2166610]
- HID: ft260: fix 'cast to restricted' kernel CI bot warnings (Benjamin Tissoires) [2166610]
- HID: ft260: missed NACK from busy device (Benjamin Tissoires) [2166610]
- HID: ft260: fix a NULL pointer dereference in ft260_i2c_write (Benjamin Tissoires) [2166610]
- HID: ft260: wake up device from power saving mode (Benjamin Tissoires) [2166610]
- HID: ft260: missed NACK from big i2c read (Benjamin Tissoires) [2166610]
- HID: ft260: remove SMBus Quick command support (Benjamin Tissoires) [2166610]
- HID: ft260: skip unexpected HID input reports (Benjamin Tissoires) [2166610]
- HID: ft260: do not populate /dev/hidraw device (Benjamin Tissoires) [2166610]
- HID: ft260: improve i2c large reads performance (Benjamin Tissoires) [2166610]
- HID: ft260: support i2c reads greater than HID report size (Benjamin Tissoires) [2166610]
- HID: ft260: support i2c writes larger than HID report size (Benjamin Tissoires) [2166610]
- HID: ft260: improve i2c write performance (Benjamin Tissoires) [2166610]
- HID: ft260: ft260_xfer_status routine cleanup (Benjamin Tissoires) [2166610]
- HID: playstation: add DualShock4 dongle support. (Benjamin Tissoires) [2166610]
- HID: playstation: set default DualShock4 BT poll interval to 4ms. (Benjamin Tissoires) [2166610]
- HID: playstation: add DualShock4 bluetooth support. (Benjamin Tissoires) [2166610]
- HID: playstation: add option to ignore CRC in ps_get_report. (Benjamin Tissoires) [2166610]
- HID: playstation: support DualShock4 lightbar blink. (Benjamin Tissoires) [2166610]
- HID: playstation: support DualShock4 lightbar. (Benjamin Tissoires) [2166610]
- HID: playstation: make LED brightness adjustable in ps_led_register. (Benjamin Tissoires) [2166610]
- HID: playstation: Add DualShock4 rumble support. (Benjamin Tissoires) [2166610]
- HID: playstation: add DualShock4 accelerometer and gyroscope support. (Benjamin Tissoires) [2166610]
- HID: playstation: add DualShock4 touchpad support. (Benjamin Tissoires) [2166610]
- HID: playstation: add DualShock4 battery support. (Benjamin Tissoires) [2166610]
- HID: playstation: report DualShock4 hardware and firmware version. (Benjamin Tissoires) [2166610]
- HID: playstation: initial DualShock4 USB support. (Benjamin Tissoires) [2166610]
- HID: uclogic: Add support for XP-PEN Deco LW (Benjamin Tissoires) [2166610]
- HID: wiimote: Add support for the DJ Hero turntable (Benjamin Tissoires) [2166610]
- HID: asus: Remove unused variable in asus_report_tool_width() (Benjamin Tissoires) [2166610]
- HID: mcp2221: correct undefined references when CONFIG_GPIOLIB isn't defined (Benjamin Tissoires) [2166610]
- HID: mcp2221: fix 'cast to restricted __le16' sparse warnings (Benjamin Tissoires) [2166610]
- HID: mcp2221: fix usage of tmp variable in mcp2221_raw_event() (Benjamin Tissoires) [2166610]
- HID: i2c: use simple i2c probe (Benjamin Tissoires) [2166610]
- HID: mcp2221: add ADC/DAC support via iio subsystem (Benjamin Tissoires) [2166610]
- HID: mcp2221: change 'select GPIOLIB' to imply (Benjamin Tissoires) [2166610]
- HID: mcp2221: switch i2c registration to devm functions (Benjamin Tissoires) [2166610]
- HID: lenovo: Make array tp10ubkbd_led static const (Benjamin Tissoires) [2166610]
- HID: playstation: support updated DualSense rumble mode. (Benjamin Tissoires) [2166610]
- HID: wacom: add three styli to wacom_intuos_get_tool_type (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Change dev_err to dev_dbg for additional debug info (Benjamin Tissoires) [2166610]
- Input: add ABS_PROFILE to uapi and documentation (Benjamin Tissoires) [2166610]
- HID: nintendo: deregister home LED when it fails (Benjamin Tissoires) [2166610]
- HID: convert defines of HID class requests into a proper enum (Benjamin Tissoires) [2166610]
- HID: export hid_report_type to uapi (Benjamin Tissoires) [2166610]
- HID: core: store the unique system identifier in hid_device (Benjamin Tissoires) [2166610]
- HID: Add driver for PhoenixRC Flight Controller (Benjamin Tissoires) [2166610]
- HID: Add driver for VRC-2 Car Controller (Benjamin Tissoires) [2166610]
- MAINTAINERS: Add Wacom driver maintainers (Benjamin Tissoires) [2166610]
- HID: sony: Fix double word in comments (Benjamin Tissoires) [2166610]
- hid: hid-logitech-hidpp: avoid unnecessary assignments in hidpp_connect_event (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Detect hi-res scrolling support (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Remove hard-coded "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Fix "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Remove special-casing of Bluetooth devices (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices (Benjamin Tissoires) [2166610]
- HID: core: Export hid_match_id() (Benjamin Tissoires) [2166610]
- HID: wacom: Add new Intuos Pro Small (PTH-460) device IDs (Benjamin Tissoires) [2166610]
- HID: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610]
- HID: vivaldi: convert to use dev_groups (Benjamin Tissoires) [2166610]
- HID: wacom: Simplify comments (Benjamin Tissoires) [2166610]
- HID: rmi: replace ternary operator with min() (Benjamin Tissoires) [2166610]
- HID: Kconfig: remove redundant "depends on HID" lines (Benjamin Tissoires) [2166610]
- HID: playstation: convert to use dev_groups (Benjamin Tissoires) [2166610]
- HID: uclogic: Add support for Parblo A610 PRO (Benjamin Tissoires) [2166610]
- HID: uclogic: Add support for XP-PEN Deco Pro S (Benjamin Tissoires) [2166610]
- HID: uclogic: Add support for UGEE v2 mouse frames (Benjamin Tissoires) [2166610]
- HID: uclogic: Add support for UGEE v2 dial frames (Benjamin Tissoires) [2166610]
- HID: uclogic: Parse the UGEE v2 frame type (Benjamin Tissoires) [2166610]
- HID: uclogic: Refactor UGEE v2 frame initialization (Benjamin Tissoires) [2166610]
- HID: uclogic: Refactor UGEE v2 string descriptor parsing (Benjamin Tissoires) [2166610]
- HID: uclogic: KUnit best practices and naming conventions (Benjamin Tissoires) [2166610]
- Input: elan_i2c_core - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610]
- Input: elan_i2c - don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610]
- Input: elan_i2c - use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610]
- Input: elan_i2c - convert to use dev_groups (Benjamin Tissoires) [2166610]
- Input: i8042 - add TUXEDO devices to i8042 quirk tables for partial fix (Benjamin Tissoires) [2166610]
- Input: i8042 - add quirk for Fujitsu Lifebook A574/H (Benjamin Tissoires) [2166610]
- Input: i8042 - add Clevo PCX0DX to i8042 quirk table (Benjamin Tissoires) [2166610]
- Input: i8042 - rename i8042-x86ia64io.h to i8042-acpipnpio.h (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - fix SPI device ID (Benjamin Tissoires) [2166610]
- Input: rmi_smbus - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610]
- Input: rmi_i2c - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - convert to use sysfs_emit() APIs (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - drop useless gotos in rmi_f34v7_do_reflash() (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - simplify rmi_f34v7_start_reflash() (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - remove unneeded struct register_offset (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - make rmi_f34v7_erase_all() use the "erase all" command (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - reset after writing partition table (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - rewrite partition table unconditionally (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - fix command completion check for bootloader v7/v8 (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - introduce rmi_f34v7_check_command_status() helper (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - fix firmware update operations with bootloader v8 (Benjamin Tissoires) [2166610]
- Input: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - remove unnecessary flush_workqueue() (Benjamin Tissoires) [2166610]
- ASoC: Intel: sof_sdw: add quick for Dell SKU 0BDA (Jaroslav Kysela) [2217298]
- ASoC: Intel: soc-acpi: add tables for Dell SKU 0B34 (Jaroslav Kysela) [2217298]
- ASoC: Intel: sof-sdw: add Dell SKU 0B34 (Jaroslav Kysela) [2217298]
- ASoC: Intel: soc-acpi: add table for RPL Dell SKU 0BDA (Jaroslav Kysela) [2217298]
- padata: Always leave BHs disabled when running ->parallel() (Waiman Long) [2190004]
- padata: Fix list iterator in padata_do_serial() (Waiman Long) [2190004]
- padata: Convert from atomic_t to refcount_t on parallel_data->refcnt (Waiman Long) [2190004]
- cgroup: fix display of forceidle time at root (Waiman Long) [2190004]
- kselftests: cgroup: update kmem test precision tolerance (Waiman Long) [2190004]
- docs: admin-guide: cgroup-v1: update description of inactive_file (Waiman Long) [2190004]
- cgroup: remove rcu_read_lock()/rcu_read_unlock() in critical section of spin_lock_irq() (Waiman Long) [2190004]
- selftests: cgroup: fix unsigned comparison with less than zero (Waiman Long) [2190004]
- device_cgroup: Roll back to original exceptions after copy failure (Waiman Long) [2190004]
- cgroup: cgroup refcnt functions should be exported when CONFIG_DEBUG_CGROUP_REF (Waiman Long) [2190004]
- redhat/configs: Add CONFIG_DEBUG_CGROUP_REF=n (Waiman Long) [2190004]
- cgroup: Implement DEBUG_CGROUP_REF (Waiman Long) [2190004]
- mm: cgroup: fix comments for get from fd/file helpers (Waiman Long) [2190004]
- mm: memcontrol: drop dead CONFIG_MEMCG_SWAP config symbol (Waiman Long) [2190004]
- mm: memcontrol: use do_memsw_account() in a few more places (Waiman Long) [2190004]
- mm: memcontrol: deprecate swapaccounting=0 mode (Waiman Long) [2190004]
- mm: memcontrol: make cgroup_memory_noswap a static key (Waiman Long) [2190004]
- mm/memcontrol: use kstrtobool for swapaccount param parsing (Waiman Long) [2190004]
- cgroup: use strscpy() is more robust and safer (Waiman Long) [2190004]
- cgroup: simplify code in cgroup_apply_control (Waiman Long) [2190004]
- cgroup: Remove CFTYPE_PRESSURE (Waiman Long) [2190004]
- cgroup: Improve cftype add/rm error handling (Waiman Long) [2190004]
- cgroup: add pids.peak interface for pids controller (Waiman Long) [2190004]
- cgroup: Remove data-race around cgrp_dfl_visible (Waiman Long) [2190004]
- cgroup: Replace cgroup->ancestor_ids[] with ->ancestors[] (Waiman Long) [2190004]
- selftests: memcg: expect no low events in unprotected sibling (Waiman Long) [2190004]
- cgroup: remove the superfluous judgment (Waiman Long) [2190004]
- cgroup: Make cgroup_debug static (Waiman Long) [2190004]
- kseltest/cgroup: Make test_stress.sh work if run interactively (Waiman Long) [2190004]
- cgroup: account for memory_recursiveprot in test_memcg_low() (Waiman Long) [2190004]
- kselftest/cgroup: fix test_stress.sh to use OUTPUT dir (Waiman Long) [2190004]
- kselftests: memcg: speed up the memory.high test (Waiman Long) [2190004]
- kselftests: memcg: update the oom group leaf events test (Waiman Long) [2190004]
- cgroup: Add config file to cgroup selftest suite (Waiman Long) [2190004]
- Docs: admin/kernel-parameters: edit a few boot options (Waiman Long) [2190004]
- cgroup: clarify cgroup_css_set_fork() (Waiman Long) [2190004]
- cgroup: Fix cgroup_can_fork() and cgroup_post_fork() kernel-doc comment (Waiman Long) [2190004]
- cgroup: rstat: retrieve current bstat to delta directly (Waiman Long) [2190004]
- cgroup: rstat: use same convention to assign cgroup_base_stat (Waiman Long) [2190004]
- cgroup: get the wrong css for css_alloc() during cgroup_init_subsys() (Waiman Long) [2190004]
- cgroup: rstat: Mark benign data race to silence KCSAN (Waiman Long) [2190004]
- docs/cgroup: add entry for misc.events (Waiman Long) [2190004]
- misc_cgroup: remove error log to avoid log flood (Waiman Long) [2190004]
- misc_cgroup: introduce misc.events to count failures (Waiman Long) [2190004]
- cpufreq: amd-pstate: Update policy->cur in amd_pstate_adjust_perf() (David Arcari) [2218689]
- cpufreq: amd-pstate: Add ->fast_switch() callback (David Arcari) [2218689]
- cpufreq: amd-pstate: Make varaiable mode_state_machine static (David Arcari) [2218689]
- cpufreq: amd-pstate: Add guided mode control support via sysfs (David Arcari) [2218689]
- cpufreq: amd-pstate: Add guided autonomous mode (David Arcari) [2218689]
- ACPI: CPPC: Add auto select register read/write support (David Arcari) [2218689]
- Documentation: cpufreq: amd-pstate: Move amd_pstate param to alphabetical order (David Arcari) [2218689]
- Documentation: introduce amd pstate active mode kernel command line options (David Arcari) [2218689]
- Documentation: add amd-pstate kernel command line options (David Arcari) [2218689]
Resolves: rhbz#2123542, rhbz#2153362, rhbz#2165367, rhbz#2166610, rhbz#2188082, rhbz#2190004, rhbz#2212495, rhbz#2214358, rhbz#2217298, rhbz#2218130, rhbz#2218635, rhbz#2218689, rhbz#2218898, rhbz#2219326, rhbz#2219411, rhbz#2219606, rhbz#2219612, rhbz#2219775, rhbz#2220884, rhbz#2220944, rhbz#2221401

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-21 17:50:09 +02:00
Jan Stancek
2c2948cd6d kernel-5.14.0-342.el9
* Thu Jul 20 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-342.el9]
- cgroup: cgroup-v1: do not exclude cgrp_dfl_root (Waiman Long) [2222987]
- Revert "wifi: mark the support for WiFi on aarch64 architecture as tech preview" (Jose Ignacio Tornos Martinez) [2208365]
- driver core: bus: move documentation for lock_key to proper location. (Mark Langsdorf) [2178302]
- driver core: fw_devlink: Print full path and name of fwnode (Mark Langsdorf) [2178302]
- driver core: fw_devlink: Avoid spurious error message (Mark Langsdorf) [2178302]
- driver core: bus: Handle early calls to bus_to_subsys() (Mark Langsdorf) [2178302]
- driver core: class: move EXPORT_SYMBOL_GPL() lines to the correct place (Mark Langsdorf) [2178302]
- driver core: cpu: don't hand-override the uevent bus_type callback. (Mark Langsdorf) [2178302]
- driver core: bus: update my copyright notice (Mark Langsdorf) [2178302]
- driver core: bus: add bus_get_dev_root() function (Mark Langsdorf) [2178302]
- driver core: bus: constify bus_unregister() (Mark Langsdorf) [2178302]
- driver core: bus: constify some internal functions (Mark Langsdorf) [2178302]
- driver core: bus: constify bus_get_kset() (Mark Langsdorf) [2178302]
- driver core: bus: constify bus_register/unregister_notifier() (Mark Langsdorf) [2178302]
- driver core: remove private pointer from struct bus_type (Mark Langsdorf) [2178302]
- driver core: create bus_is_registered() (Mark Langsdorf) [2178302]
- driver core: bus: clean up driver_find() (Mark Langsdorf) [2178302]
- driver core: move driver_find() to bus.c (Mark Langsdorf) [2178302]
- driver core: bus: clean up bus_sort_breadthfirst() (Mark Langsdorf) [2178302]
- driver core: bus: bus iterator cleanups (Mark Langsdorf) [2178302]
- driver core: bus: bus_add/remove_driver() cleanups (Mark Langsdorf) [2178302]
- driver core: bus: bus_register/unregister_notifier() cleanups (Mark Langsdorf) [2178302]
- driver core: bus: bus_get_kset() cleanup (Mark Langsdorf) [2178302]
- driver core: bus: subsys_interface_register/unregister() cleanups (Mark Langsdorf) [2178302]
- driver core: bus: bus_register/unregister() cleanups (Mark Langsdorf) [2178302]
- driver core: bus: bus_add/probe/remove_device() cleanups (Mark Langsdorf) [2178302]
- driver core: bus: sysfs function cleanups (Mark Langsdorf) [2178302]
- driver core: bus: convert bus_create/remove_file to be constant (Mark Langsdorf) [2178302]
- driver core: bus: constantify the bus_find_* functions (Mark Langsdorf) [2178302]
- driver core: bus: implement bus_get/put() without the private pointer (Mark Langsdorf) [2178302]
- driver core: add local subsys_get and subsys_put functions (Mark Langsdorf) [2178302]
- driver core: change to_subsys_private() to use container_of_const() (Mark Langsdorf) [2178302]
- driver core: fw_devlink: Make cycle detection more robust (Mark Langsdorf) [2178302]
- driver core: fw_devlink: Consolidate device link flag computation (Mark Langsdorf) [2178302]
- driver core: fw_devlink: Allow marking a fwnode link as being part of a cycle (Mark Langsdorf) [2178302]
- driver core: fw_devlink: Add DL_FLAG_CYCLE support to device links (Mark Langsdorf) [2178302]
- driver core: fw_devlink: Improve check for fwnode with no device/driver (Mark Langsdorf) [2178302]
- driver core: fw_devlink: Don't purge child fwnode's consumer links (Mark Langsdorf) [2178302]
- drivers: base: dd: fix memory leak with using debugfs_lookup() (Mark Langsdorf) [2178302]
- drivers: base: component: fix memory leak with using debugfs_lookup() (Mark Langsdorf) [2178302]
- drivers/base: Remove CONFIG_SRCU (Mark Langsdorf) [2178302]
- devtmpfs: convert to pr_fmt (Mark Langsdorf) [2178302]
- driver core: bus: move lock_class_key into dynamic structure (Mark Langsdorf) [2178302]
- driver core: platform: simplify __platform_driver_probe() (Mark Langsdorf) [2178302]
- driver core: platform: removed unneeded variable from __platform_driver_probe() (Mark Langsdorf) [2178302]
- regmap: apply reg_base and reg_downshift for single register ops (Mark Langsdorf) [2178302]
- driver core: soc: remove layering violation for the soc_bus (Mark Langsdorf) [2178302]
- driver core: device_get_devnode() should take a const * (Mark Langsdorf) [2178302]
- driver core: make struct device_type.devnode() take a const * (Mark Langsdorf) [2178302]
- driver core: class: Clear private pointer on registration failures (Mark Langsdorf) [2178302]
- cacheinfo: Fix shared_cpu_map to handle shared caches at different levels (Mark Langsdorf) [2178302]
- drivers: base: transport_class: fix resource leak when transport_add_device() fails (Mark Langsdorf) [2178302]
- driver core: location: Free struct acpi_pld_info *pld before return false (Mark Langsdorf) [2178302]
- driver core: fix resource leak in device_add() (Mark Langsdorf) [2178302]
- drivers/base/memory: Fix comments for phys_index_show() (Mark Langsdorf) [2178302]
- driver core: Fix test_async_probe_init saves device in wrong array (Mark Langsdorf) [2178302]
- device property: fix of node refcount leak in fwnode_graph_get_next_endpoint() (Mark Langsdorf) [2178302]
- driver core: bus: move bus notifier logic into bus.c (Mark Langsdorf) [2178302]
- driver core: bus.h: document bus notifiers better (Mark Langsdorf) [2178302]
- platform: remove useless if-branch in __platform_get_irq_byname() (Mark Langsdorf) [2178302]
- platform: Document platform_add_devices() return value (Mark Langsdorf) [2178302]
- software node: Remove unused APIs (Mark Langsdorf) [2178302]
- software node: Switch property entry test to a new API (Mark Langsdorf) [2178302]
- platform: Provide a remove callback that returns no value (Mark Langsdorf) [2178302]
- regmap: Rework regmap_mdio_c45_{read|write} for new C45 API. (Mark Langsdorf) [2178302]
- net: Convert more users of mdiobus_* to mdiodev_* (Mark Langsdorf) [2178302]
- PM: runtime: Document that force_suspend() is incompatible with SMART_SUSPEND (Mark Langsdorf) [2178302]
- cpuidle, ARM: OMAP2+: powerdomain: Remove trace_.*_rcuidle() (Mark Langsdorf) [2178302]
- driver core: Make driver_deferred_probe_timeout a static variable (Mark Langsdorf) [2178302]
- Revert "driver core: Set default deferred_probe_timeout back to 0." (Mark Langsdorf) [2178302]
- driver core: fix potential null-ptr-deref in device_add() (Mark Langsdorf) [2178302]
- PM: domains: Allow a genpd consumer to require a synced power off (Mark Langsdorf) [2178302]
- driver core: move struct subsys_dev_iter to a local file (Mark Langsdorf) [2178302]
- driver core: make subsys_dev_iter_exit() static (Mark Langsdorf) [2178302]
- driver core: make subsys_dev_iter_next() static (Mark Langsdorf) [2178302]
- driver core: make subsys_dev_iter_init() static (Mark Langsdorf) [2178302]
- driver core: remove subsys_find_device_by_id() (Mark Langsdorf) [2178302]
- driver core: make bus_get_device_klist() static (Mark Langsdorf) [2178302]
- platform/mellanox: mlxbf-pmc: Fix event typo (Mark Langsdorf) [2178302]
- firmware_loader: remove #include <generated/utsrelease.h> (Mark Langsdorf) [2178302]
- regmap-irq: Add handle_mask_sync() callback (Mark Langsdorf) [2178302]
- PM: runtime: Adjust white space in the core code (Mark Langsdorf) [2178302]
- device property: Fix documentation for fwnode_get_next_parent() (Mark Langsdorf) [2178302]
- PM: runtime: Relocate rpm_callback() right after __rpm_callback() (Mark Langsdorf) [2178302]
- PM: runtime: Do not call __rpm_callback() from rpm_idle() (Mark Langsdorf) [2178302]
- regmap: Add FSI bus support (Mark Langsdorf) [2178302]
- PM: domains: Reverse the order of performance and enabling ops (Mark Langsdorf) [2178302]
- xen/xenbus: move to_xenbus_device() to use container_of_const() (Mark Langsdorf) [2178302]
- virtio: move dev_to_virtio() to use container_of_const() (Mark Langsdorf) [2178302]
- firmware_loader: fix up to_fw_sysfs() to preserve const (Mark Langsdorf) [2178302]
- driver core: make struct class.dev_uevent() take a const * (Mark Langsdorf) [2178302]
- device property: Add a blank line in Kconfig of tests (Mark Langsdorf) [2178302]
- device property: Rename goto label to be more precise (Mark Langsdorf) [2178302]
- regmap: add regmap_might_sleep() (Mark Langsdorf) [2178302]
- platform: use fwnode_irq_get_byname instead of of_irq_get_byname to get irq (Mark Langsdorf) [2178302]
- driver core: Fix bus_type.match() error handling in __driver_attach() (Mark Langsdorf) [2178302]
- driver core: Use kstrtobool() instead of strtobool() (Mark Langsdorf) [2178302]
- driver core: mark driver_allows_async_probing static (Mark Langsdorf) [2178302]
- driver core: remove devm_device_remove_group() (Mark Langsdorf) [2178302]
- driver core: remove devm_device_remove_groups() (Mark Langsdorf) [2178302]
- regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Mark Langsdorf) [2178302]
- driver core: class: make namespace and get_ownership take const * (Mark Langsdorf) [2178302]
- devres: Use kmalloc_size_roundup() to match ksize() usage (Mark Langsdorf) [2178302]
- class: fix possible memory leak in __class_register() (Mark Langsdorf) [2178302]
- PM: domains: Power off[on] domain in hibernate .freeze[thaw]_noirq hook (Mark Langsdorf) [2178302]
- PM: domains: Consolidate genpd_restore_noirq() and genpd_resume_noirq() (Mark Langsdorf) [2178302]
- PM: domains: Pass generic PM noirq hooks to genpd_finish_suspend() (Mark Langsdorf) [2178302]
- PM: domains: Drop genpd status manipulation for hibernate restore (Mark Langsdorf) [2178302]
- PM: domains: Fix handling of unavailable/disabled idle states (Mark Langsdorf) [2178302]
- device property: Fix documentation for *_match_string() APIs (Mark Langsdorf) [2178302]
- PM: domains: log failures to register always-on domains (Mark Langsdorf) [2178302]
- dt-bindings: irqchip: Describe the IMX MU block as a MSI controller (Mark Langsdorf) [2178302]
- PM: runtime: Return -EINPROGRESS from rpm_resume() in the RPM_NOWAIT case (Mark Langsdorf) [2178302]
- driver core: use IS_ERR_OR_NULL() helper in device_create_groups_vargs() (Mark Langsdorf) [2178302]
- devcoredump : Serialize devcd_del work (Mark Langsdorf) [2178302]
- regmap: mmio: replace return 0 with break in switch statement (Mark Langsdorf) [2178302]
- mm: kill is_memblock_offlined() (Mark Langsdorf) [2178302]
- driver core: remove make_class_name declaration (Mark Langsdorf) [2178302]
- regmap: spi-avmm: Use swabXX_array() helpers (Mark Langsdorf) [2178302]
- swab: Add array operations (Mark Langsdorf) [2178302]
- regmap/hexagon: Properly fix the generic IO helpers (Mark Langsdorf) [2178302]
- regmap: mmio: Use swabXX_array() helpers (Mark Langsdorf) [2178302]
- regmap: trace: Remove unneeded blank lines (Mark Langsdorf) [2178302]
- regmap: trace: Remove explicit castings (Mark Langsdorf) [2178302]
- regmap: trace: Remove useless check for NULL for bulk ops (Mark Langsdorf) [2178302]
- PM: wakeup: Add extra debugging statement for multiple active IRQs (Mark Langsdorf) [2178302]
- devres: Slightly optimize alloc_dr() (Mark Langsdorf) [2178302]
- drivers: base: Print error code on synthetic uevent failure (Mark Langsdorf) [2178302]
- class: use IS_ERR_OR_NULL() helper in class_unregister() (Mark Langsdorf) [2178302]
- driver_core: move from strlcpy with unused retval to strscpy (Mark Langsdorf) [2178302]
- regmap: mmio: Fix rebase error (Mark Langsdorf) [2178302]
- regmap: check right noinc bounds in debug print (Mark Langsdorf) [2178302]
- regmap: introduce value tracing for regmap bulk operations (Mark Langsdorf) [2178302]
- regmap: mmio: Support accelerared noinc operations (Mark Langsdorf) [2178302]
- regmap: Support accelerated noinc operations (Mark Langsdorf) [2178302]
- regmap: Make use of get_unaligned_be24(), put_unaligned_be24() (Mark Langsdorf) [2178302]
- regmap: mmio: Fix MMIO accessors to avoid talking to IO port (Mark Langsdorf) [2178302]
- regmap: mmio: Introduce IO accessors that can talk to IO port (Mark Langsdorf) [2178302]
- regmap: mmio: Get rid of broken 64-bit IO (Mark Langsdorf) [2178302]
- regmap: mmio: Remove mmio_relaxed member from context (Mark Langsdorf) [2178302]
- nvme-pci: clamp max_hw_sectors based on DMA optimized limitation (Ewan D. Milne) [2168169]
- seccomp: Move copy_seccomp() to no failure path. (Viktor Malik) [2218682]
- perf/amlogic: Fix config1/config2 parsing issue (Mark Salter) [2217547]
- docs: perf: Include hns3-pmu.rst in toctree to fix 'htmldocs' WARNING (Mark Salter) [2217547]
- drivers/perf: hisi: Don't migrate perf to the CPU going to teardown (Mark Salter) [2217547]
- docs: fix 'make htmldocs' warning in perf (Mark Salter) [2217547]
- perf/arm-cmn: Move overlapping wp_combine field (Mark Salter) [2217547]
- redhat/configs: Add some new disabled PMU drivers (Mark Salter) [2217547]
- perf/amlogic: adjust register offsets (Mark Salter) [2217547]
- perf: arm_spe: Support new SPEv1.2/v8.7 'not taken' event (Mark Salter) [2217547]
- perf: arm_spe: Use new PMSIDR_EL1 register enums (Mark Salter) [2217547]
- perf/arm-cmn: Reset DTM_PMU_CONFIG at probe (Mark Salter) [2217547]
- drivers/perf: hisi: Extract initialization of "cpa_pmu->pmu" (Mark Salter) [2217547]
- drivers/perf: hisi: Simplify the parameters of hisi_pmu_init() (Mark Salter) [2217547]
- drivers/perf: hisi: Advertise the PERF_PMU_CAP_NO_EXCLUDE capability (Mark Salter) [2217547]
- Partially revert "perf/arm-cmn: Optimise DTC counter accesses" (Mark Salter) [2217547]
- arm_pmu: Drop redundant armpmu->map_event() in armpmu_event_init() (Mark Salter) [2217547]
- drivers/perf: hisi: Add TLP filter support (Mark Salter) [2217547]
- Documentation: perf: Indent filter options list of hisi-pcie-pmu (Mark Salter) [2217547]
- docs: perf: Fix PMU instance name of hisi-pcie-pmu (Mark Salter) [2217547]
- drivers/perf: hisi: Fix some event id for hisi-pcie-pmu (Mark Salter) [2217547]
- perf/amlogic: Remove unused header inclusions of <linux/version.h> (Mark Salter) [2217547]
- perf/amlogic: Fix build error for x86_64 allmodconfig (Mark Salter) [2217547]
- dt-binding: perf: Add Amlogic DDR PMU (Mark Salter) [2217547]
- docs/perf: Add documentation for the Amlogic G12 DDR PMU (Mark Salter) [2217547]
- perf/amlogic: Add support for Amlogic meson G12 SoC DDR PMU driver (Mark Salter) [2217547]
- MAINTAINERS: Update HiSilicon PMU maintainers (Mark Salter) [2217547]
- perf/smmuv3: Fix hotplug callback leak in arm_smmu_pmu_init() (Mark Salter) [2217547]
- perf/arm_dmc620: Fix hotplug callback leak in dmc620_pmu_init() (Mark Salter) [2217547]
- perf: arm_dsu: Fix hotplug callback leak in dsu_pmu_init() (Mark Salter) [2217547]
- drivers/perf: ALIBABA_UNCORE_DRW_PMU should depend on ACPI (Mark Salter) [2217547]
- drivers/perf: fix return value check in ali_drw_pmu_probe() (Mark Salter) [2217547]
- drivers/perf: add DDR Sub-System Driveway PMU driver for Yitian 710 SoC (Mark Salter) [2217547]
- drivers/perf: Add Apple icestorm/firestorm CPU PMU driver (Mark Salter) [2217547]
- perf/arm-cmn: Add more bits to child node address offset field (Mark Salter) [2217547]
- drivers/perf: hisi: add driver for HNS3 PMU (Mark Salter) [2217547]
- drivers/perf: hisi: Add description for HNS3 PMU driver (Mark Salter) [2217547]
- perf/arm-cci: Use the bitmap API to allocate bitmaps (Mark Salter) [2217547]
- perf: hisi: Extract hisi_pmu_init (Mark Salter) [2217547]
- perf/arm-cci: fix typo in comment (Mark Salter) [2217547]
- drivers/perf:Directly use ida_alloc()/free() (Mark Salter) [2217547]
- drivers/perf: hisi: Add Support for CPA PMU (Mark Salter) [2217547]
- drivers/perf: hisi: Associate PMUs in SICL with CPUs online (Mark Salter) [2217547]
- drivers/perf: arm_spe: Expose saturating counter to 16-bit (Mark Salter) [2217547]
- perf: check return value of armpmu_request_irq() (Mark Salter) [2217547]
- arm_pmu: Validate single/group leader events (Mark Salter) [2217547]
- perf: qcom_l2_pmu: fix an incorrect NULL check on list iterator (Mark Salter) [2217547]
- irqchip/apple-aic: Move PMU-specific registers to their own include file (Mark Salter) [2217547]
- irqchip/apple-aic: Wire PMU interrupts (Mark Salter) [2217547]
- irqchip/apple-aic: Parse FIQ affinities from device-tree (Mark Salter) [2217547]
- dt-bindings: apple,aic: Add CPU PMU per-cpu pseudo-interrupts (Mark Salter) [2217547]
- dt-bindings: arm-pmu: Document Apple PMU compatible strings (Mark Salter) [2217547]
- Documentation: arm64: Document PMU counters access from userspace (Mark Salter) [2217547]
- perf: replace bitmap_weight with bitmap_empty where appropriate (Mark Salter) [2217547]
- perf: Replace acpi_bus_get_device() (Mark Salter) [2217547]
- perf/arm-ccn: Use platform_get_irq() to get the interrupt (Mark Salter) [2217547]
- perf/smmuv3: Fix unused variable warning when CONFIG_OF=n (Mark Salter) [2217547]
- drivers/perf: hisi: Add driver for HiSilicon PCIe PMU (Mark Salter) [2217547]
- docs: perf: Add description for HiSilicon PCIe PMU driver (Mark Salter) [2217547]
- dt-bindings: Add Arm SMMUv3 PMCG binding (Mark Salter) [2217547]
- perf/smmuv3: Synthesize IIDR from CoreSight ID registers (Mark Salter) [2217547]
- perf/smmuv3: Add devicetree support (Mark Salter) [2217547]
- drivers/perf: hisi: Fix PA PMU counter offset (Mark Salter) [2217547]
- drivers/perf: thunderx2_pmu: Change data in size tx2_uncore_event_update() (Mark Salter) [2217547]
- x86/retbleed: Mark call depth tracking mitigation as tech preview (Waiman Long) [2190342]
- scripts/gdb: fix 'lx-current' for x86 (Waiman Long) [2190342]
- samples: ftrace: Include the nospec-branch.h only for x86 (Waiman Long) [2190342]
- ftrace: Export ftrace_free_filter() to modules (Waiman Long) [2190342]
- selftests/bpf: Temporarily disable part of btf_dump:var_data test. (Waiman Long) [2190342]
- x86/calldepth: Fix incorrect init section references (Waiman Long) [2190342]
- x86: Unconfuse CONFIG_ and X86_FEATURE_ namespaces (Waiman Long) [2190342]
- x86/retpoline: Fix crash printing warning (Waiman Long) [2190342]
- x86/paravirt: Fix a !PARAVIRT build warning (Waiman Long) [2190342]
- x86/bugs: Add retbleed=force (Waiman Long) [2190342]
- x86/retbleed: Add call depth tracking mitigation (Waiman Long) [2190342]
- x86/ftrace: Make it call depth tracking aware (Waiman Long) [2190342]
- x86/ftrace: Rebalance RSB (Waiman Long) [2190342]
- x86/ftrace: Remove ftrace_epilogue() (Waiman Long) [2190342]
- x86/bpf: Emit call depth accounting if required (Waiman Long) [2190342]
- x86/orc: Make it callthunk aware (Waiman Long) [2190342]
- static_call: Add call depth tracking support (Waiman Long) [2190342]
- x86/calldepth: Add ret/call counting for debug (Waiman Long) [2190342]
- x86/retbleed: Add SKL call thunk (Waiman Long) [2190342]
- x86/retpoline: Add SKL retthunk retpolines (Waiman Long) [2190342]
- x86/retbleed: Add SKL return thunk (Waiman Long) [2190342]
- x86/asm: Provide ALTERNATIVE_3 (Waiman Long) [2190342]
- x86/returnthunk: Allow different return thunks (Waiman Long) [2190342]
- x86/modules: Add call patching (Waiman Long) [2190342]
- redhat/configs: Enable CONFIG_CALL_DEPTH_TRACKING (Waiman Long) [2190342]
- x86/callthunks: Add call patching for call depth tracking (Waiman Long) [2190342]
- x86/paravirt: Make struct paravirt_call_site unconditionally available (Waiman Long) [2190342]
- x86/entry: Make some entry symbols global (Waiman Long) [2190342]
- x86/alternatives: Provide text_poke_copy_locked() (Waiman Long) [2190342]
- x86/retbleed: Add X86_FEATURE_CALL_DEPTH (Waiman Long) [2190342]
- x86/Kconfig: Introduce function padding (Waiman Long) [2190342]
- x86/putuser: Provide room for padding (Waiman Long) [2190342]
- x86/entry: Make sync_regs() invocation a tail call (Waiman Long) [2190342]
- objtool: Allow STT_NOTYPE -> STT_FUNC+0 sibling-calls (Waiman Long) [2190342]
- objtool: Rework instruction -> symbol mapping (Waiman Long) [2190342]
- objtool: Allow symbol range comparisons for IBT/ENDBR (Waiman Long) [2190342]
- objtool: Fix find_{symbol,func}_containing() (Waiman Long) [2190342]
- objtool: Add --hacks=skylake (Waiman Long) [2190342]
- objtool: Add .call_sites section (Waiman Long) [2190342]
- objtool: Track init section (Waiman Long) [2190342]
- objtool: Allow !PC relative relocations (Waiman Long) [2190342]
- x86: Fixup asm-offsets duplicate (Waiman Long) [2190342]
- x86/softirq: Move softirq pending next to current task (Waiman Long) [2190342]
- x86/percpu: Move irq_stack variables next to current_task (Waiman Long) [2190342]
- x86/percpu: Move current_top_of_stack next to current_task (Waiman Long) [2190342]
- x86/percpu: Move cpu_number next to current_task (Waiman Long) [2190342]
- x86/percpu: Move preempt_count next to current_task (Waiman Long) [2190342]
- x86: Put hot per CPU variables into a struct (Waiman Long) [2190342]
- crypto: x86/poly1305: Remove custom function alignment (Waiman Long) [2190342]
- crypto: twofish: Remove redundant alignments (Waiman Long) [2190342]
- crypto: x86/sha256: Remove custom alignments (Waiman Long) [2190342]
- crypto: x86/sha1: Remove custom alignments (Waiman Long) [2190342]
- crypto: x86/serpent: Remove redundant alignments (Waiman Long) [2190342]
- crypto: x86/crct10dif-pcl: Remove redundant alignments (Waiman Long) [2190342]
- crypto: x86/cast5: Remove redundant alignments (Waiman Long) [2190342]
- crypto: x86/camellia: Remove redundant alignments (Waiman Long) [2190342]
- x86/entry: Align SYM_CODE_START() variants (Waiman Long) [2190342]
- x86/paravirt: Properly align PV functions (Waiman Long) [2190342]
- x86/error_inject: Align function properly (Waiman Long) [2190342]
- x86/asm: Differentiate between code and function alignment (Waiman Long) [2190342]
- arch: Introduce CONFIG_FUNCTION_ALIGNMENT (Waiman Long) [2190342]
- x86: Sanitize linker script (Waiman Long) [2190342]
- x86/vdso: Ensure all kernel code is seen by objtool (Waiman Long) [2190342]
- x86/modules: Set VM_FLUSH_RESET_PERMS in module_alloc() (Waiman Long) [2190342]
- x86/cpu: Re-enable stackprotector (Waiman Long) [2190342]
- x86/cpu: Get rid of redundant switch_to_new_gdt() invocations (Waiman Long) [2190342]
- x86/cpu: Remove segment load from switch_to_new_gdt() (Waiman Long) [2190342]
- x86/cacheinfo: Add a cpu_llc_shared_mask() UP variant (Waiman Long) [2190342]
- x86/nospec: Fix i386 RSB stuffing (Waiman Long) [2190342]
- x86/nospec: Unwreck the RSB stuffing (Waiman Long) [2190342]
- x86/entry: Build thunk_$(BITS) only if CONFIG_PREEMPTION=y (Waiman Long) [2190342]
- x86/speculation: Make all RETbleed mitigations 64-bit only (Waiman Long) [2190342]
- kbuild: clean .tmp_* pattern by make clean (Waiman Long) [2190342]
- x86: Always inline on_thread_stack() and current_top_of_stack() (Waiman Long) [2190342]
- x86/32: Remove lazy GS macros (Waiman Long) [2190342]
- static_call: Don't make __static_call_return0 static (Waiman Long) [2190342]
- kbuild: fix empty ${PYTHON} in scripts/link-vmlinux.sh (Waiman Long) [2190342]
- lib/Kconfig.debug: add ARCH dependency for FUNCTION_ALIGN option (Waiman Long) [2190342]
- x86/ibt,ftrace: Add ENDBR to samples/ftrace (Waiman Long) [2190342]
- tracing: Fix selftest config check for function graph start up test (Waiman Long) [2190342]
- ftrace/samples: Add missing prototypes direct functions (Waiman Long) [2190342]
- ftrace/samples: Add module to test multi direct modify interface (Waiman Long) [2190342]
- ftrace/samples: add s390 support for ftrace direct multi sample (Waiman Long) [2190342]
- tracing: Fix selftest config check for function graph start up test (Waiman Long) [2190342]
- samples: add s390 support for ftrace direct call samples (Waiman Long) [2190342]
- ext4: allow concurrent unaligned dio overwrites (Brian Foster) [2151952]
- arm64/signal: Restore TPIDR2 register rather than memory state (Mark Salter) [2188323]
- arm64: signal: include asm/exception.h (Mark Salter) [2188323]
- arm64/signal: Alloc tpidr2 sigframe after checking system_supports_tpidr2() (Mark Salter) [2188323]
- arm64/signal: Use system_supports_tpidr2() to check TPIDR2 (Mark Salter) [2188323]
- arm64: efi: Make efi_rt_lock a raw_spinlock (Mark Salter) [2188323]
- arm64: compat: Work around uninitialized variable warning (Mark Salter) [2188323]
- arm64: efi: Set NX compat flag in PE/COFF header (Mark Salter) [2188323]
- arm64/fpsimd: Remove warning for SME without SVE (Mark Salter) [2188323]
- arm64: head: Move all finalise_el2 calls to after __enable_mmu (Mark Salter) [2188323]
- arm64/sme: Fix __finalise_el2 SMEver check (Mark Salter) [2188323]
- arm64/signal: Only read new data when parsing the ZT context (Mark Salter) [2188323]
- arm64/signal: Only read new data when parsing the ZA context (Mark Salter) [2188323]
- arm64/signal: Only read new data when parsing the SVE context (Mark Salter) [2188323]
- arm64/signal: Avoid rereading context frame sizes (Mark Salter) [2188323]
- arm64/signal: Make interface for restore_fpsimd_context() consistent (Mark Salter) [2188323]
- arm64/signal: Remove redundant size validation from parse_user_sigframe() (Mark Salter) [2188323]
- arm64/signal: Don't redundantly verify FPSIMD magic (Mark Salter) [2188323]
- arm64/cpufeature: Use helper macros to specify hwcaps (Mark Salter) [2188323]
- arm64/cpufeature: Always use symbolic name for feature value in hwcaps (Mark Salter) [2188323]
- arm64/sysreg: Initial unsigned annotations for ID registers (Mark Salter) [2188323]
- arm64/sysreg: Initial annotation of signed ID registers (Mark Salter) [2188323]
- arm64/sysreg: Allow enumerations to be declared as signed or unsigned (Mark Salter) [2188323]
- arm64: irqflags: use alternative branches for pseudo-NMI logic (Mark Salter) [2188323]
- arm64: add ARM64_HAS_GIC_PRIO_RELAXED_SYNC cpucap (Mark Salter) [2188323]
- arm64: make ARM64_HAS_GIC_PRIO_MASKING depend on ARM64_HAS_GIC_CPUIF_SYSREGS (Mark Salter) [2188323]
- arm64: rename ARM64_HAS_IRQ_PRIO_MASKING to ARM64_HAS_GIC_PRIO_MASKING (Mark Salter) [2188323]
- arm64: rename ARM64_HAS_SYSREG_GIC_CPUIF to ARM64_HAS_GIC_CPUIF_SYSREGS (Mark Salter) [2188323]
- arm64: unify asm-arch manipulation (Mark Salter) [2188323]
- arm64/signal: Include TPIDR2 in the signal context (Mark Salter) [2188323]
- arm64/sme: Document ABI for TPIDR2 signal information (Mark Salter) [2188323]
- arm64/sme: Add hwcaps for SME 2 and 2.1 features (Mark Salter) [2188323]
- arm64/sme: Implement ZT0 ptrace support (Mark Salter) [2188323]
- arm64/sme: Implement signal handling for ZT (Mark Salter) [2188323]
- arm64/sme: Implement context switching for ZT0 (Mark Salter) [2188323]
- arm64/sme: Provide storage for ZT0 (Mark Salter) [2188323]
- arm64/sme: Add basic enumeration for SME2 (Mark Salter) [2188323]
- arm64/sme: Enable host kernel to access ZT0 (Mark Salter) [2188323]
- arm64/sme: Manually encode ZT0 load and store instructions (Mark Salter) [2188323]
- arm64/esr: Document ISS for ZT0 being disabled (Mark Salter) [2188323]
- arm64/sme: Document SME 2 and SME 2.1 ABI (Mark Salter) [2188323]
- arm64/ptrace: Document extension of NT_ARM_TLS to cover TPIDR2_EL0 (Mark Salter) [2188323]
- arm64/sve: Document our actual ABI for clearing registers on syscall (Mark Salter) [2188323]
- arm64/sysreg: Update system registers for SME 2 and 2.1 (Mark Salter) [2188323]
- arm64: Document boot requirements for SME 2 (Mark Salter) [2188323]
- arm64/sme: Rename za_state to sme_state (Mark Salter) [2188323]
- Documentation: arm64: correct spelling (Mark Salter) [2188323]
- arm64: traps: attempt to dump all instructions (Mark Salter) [2188323]
- arm64: el2_setup.h: fix spelling typo in comments (Mark Salter) [2188323]
- arm64: Kconfig: fix spelling (Mark Salter) [2188323]
- arm64: cpufeature: Use kstrtobool() instead of strtobool() (Mark Salter) [2188323]
- arm64: patching: Add aarch64_insn_write_literal_u64() (Mark Salter) [2188323]
- arm64: insn: Add helpers for BTI (Mark Salter) [2188323]
- arm64: Add compat hwcap SSBS (Mark Salter) [2188323]
- arm64: Add compat hwcap SB (Mark Salter) [2188323]
- arm64: Add compat hwcap I8MM (Mark Salter) [2188323]
- arm64: Add compat hwcap ASIMDBF16 (Mark Salter) [2188323]
- arm64: Add compat hwcap ASIMDFHM (Mark Salter) [2188323]
- arm64: Add compat hwcap ASIMDDP (Mark Salter) [2188323]
- arm64: Add compat hwcap FPHP and ASIMDHP (Mark Salter) [2188323]
- arm64: Stash shadow stack pointer in the task struct on interrupt (Mark Salter) [2188323]
- arm64: Always load shadow stack pointer directly from the task struct (Mark Salter) [2188323]
- arm64/sme: Optimise SME exit on syscall entry (Mark Salter) [2188323]
- arm64/sme: Don't use streaming mode to probe the maximum SME VL (Mark Salter) [2188323]
- arm64/ptrace: Use system_supports_tpidr2() to check for TPIDR2 support (Mark Salter) [2188323]
- arm64/cpufeature: Remove 4 bit assumption in ARM64_FEATURE_MASK() (Mark Salter) [2188323]
- arm64: efi: Account for the EFI runtime stack in stack unwinder (Mark Salter) [2188323]
- arm64: efi: Avoid workqueue to check whether EFI runtime is live (Mark Salter) [2188323]
- efi: rt-wrapper: Add missing include (Mark Salter) [2188323]
- arm64: efi: Recover from synchronous exceptions occurring in firmware (Mark Salter) [2188323]
- arm64: efi: Execute runtime services from a dedicated stack (Mark Salter) [2188323]
- arm64: efi: Limit allocations to 48-bit addressable physical region (Mark Salter) [2188323]
- arm64: Prohibit instrumentation on arch_stack_walk() (Mark Salter) [2188323]
- pinctrl: amd: Don't show `Invalid config param` errors (David Arcari) [2222141]
- pinctrl: amd: remove MODULE_LICENSE in non-modules (David Arcari) [2222141]
- pinctrl: amd: Unify debounce handling into amd_pinconf_set() (David Arcari) [2222141]
- pinctrl: amd: Drop pull up select configuration (David Arcari) [2222141]
- pinctrl: amd: Use amd_pinconf_set() for all config options (David Arcari) [2222141]
- pinctrl: amd: Only use special debounce behavior for GPIO 0 (David Arcari) [2222141]
- pinctrl: amd: Use pm_pr_dbg to show debugging messages (David Arcari) [2222141]
- pinctrl: amd: Revert "pinctrl: amd: disable and mask interrupts on probe" (David Arcari) [2222141]
- pinctrl: amd: Detect and mask spurious interrupts (David Arcari) [2222141]
- pinctrl: amd: Fix mistake in handling clearing pins at startup (David Arcari) [2222141]
- pinctrl: amd: Detect internal GPIO0 debounce handling (David Arcari) [2222141]
- pinctrl: amd: Add fields for interrupt status and wake status (David Arcari) [2222141]
- pinctrl: amd: Adjust debugfs output (David Arcari) [2222141]
- pinctrl: amd: Fix debug output for debounce time (David Arcari) [2222141]
- pinctrl: amd: Add Z-state wake control bits (David Arcari) [2222141]
- pinctrl: amd: Add dynamic debugging for active GPIOs (David Arcari) [2222141]
- pinctrl: amd: change dev_warn to dev_dbg for additional feature support (David Arcari) [2222141]
- pinctrl: amd: Pick some different unicode symbols (David Arcari) [2222141]
- pinctrl: amd: Fix an unused variable (David Arcari) [2222141]
- pinctrl: amd: Use unicode for debugfs output (David Arcari) [2222141]
- pinctrl: amd: Fix newline declaration in debugfs output (David Arcari) [2222141]
- pinctrl: amd: Remove contact information (David Arcari) [2222141]
- pinctrl: amd: Use devm_platform_get_and_ioremap_resource (David Arcari) [2222141]
- pinctrl: amd: Implement pinmux functionality (David Arcari) [2222141]
- pinctrl: amd: Add amd_get_iomux_res function (David Arcari) [2222141]
- pinctrl: amd: Define and use AMD_PINS macro (David Arcari) [2222141]
- pinctrl: amd: Use PINCTRL_PINGROUP to manage pingroups (David Arcari) [2222141]
- pinctrl: amd: Remove amd_pingroup and use pingroup (David Arcari) [2222141]
- pinctrl: Get rid of duplicate of_node assignment in the drivers (David Arcari) [2222141]
- net/ncsi: use proper "mellanox" DT vendor prefix (Joel Slebodnick) [2183338]
- niu: read property length only if we use it (Joel Slebodnick) [2183338]
- net: fec: add stop mode support for imx8 platform (Joel Slebodnick) [2183338]
- fec: Restart PPS after link state change (Joel Slebodnick) [2183338]
- can: esd_usb: Improve readability on decoding ESD_EV_CAN_ERROR_EXT messages (Joel Slebodnick) [2183338]
- can: esd_usb: Make use of can_change_state() and relocate checking skb for NULL (Joel Slebodnick) [2183338]
- can: esd_usb: Allow REC and TEC to return to zero (Joel Slebodnick) [2183338]
- can: esd_usb: Move mislocated storage of SJA1000_ECC_SEG bits in case of a bus error (Joel Slebodnick) [2183338]
- can: ctucanfd: ctucan_platform_probe(): use devm_platform_ioremap_resource() (Joel Slebodnick) [2183338]
- can: bittiming: can_validate_bitrate(): report error via netlink (Joel Slebodnick) [2183338]
- can: bittiming: can_calc_bittiming(): convert from netdev_err() to NL_SET_ERR_MSG_FMT() (Joel Slebodnick) [2183338]
- can: bittiming: can_calc_bittiming(): clean up SJW handling (Joel Slebodnick) [2183338]
- can: bittiming: can_sjw_set_default(): use Phase Seg2 / 2 as default for SJW (Joel Slebodnick) [2183338]
- can: bittiming: can_sjw_check(): check that SJW is not longer than either Phase Buffer Segment (Joel Slebodnick) [2183338]
- can: bittiming: can_sjw_check(): report error via netlink and harmonize error value (Joel Slebodnick) [2183338]
- can: bittiming: can_fixup_bittiming(): report error via netlink and harmonize error value (Joel Slebodnick) [2183338]
- can: bittiming: factor out can_sjw_set_default() and can_sjw_check() (Joel Slebodnick) [2183338]
- can: bittiming: can_changelink() pass extack down callstack (Joel Slebodnick) [2183338]
- can: netlink: can_changelink(): convert from netdev_err() to NL_SET_ERR_MSG_FMT() (Joel Slebodnick) [2183338]
- can: netlink: can_validate(): validate sample point for CAN and CAN-FD (Joel Slebodnick) [2183338]
- can: dev: register_candev(): bail out if both fixed bit rates and bit timing constants are provided (Joel Slebodnick) [2183338]
- can: dev: register_candev(): ensure that bittiming const are valid (Joel Slebodnick) [2183338]
- can: bittiming: can_get_bittiming(): use direct return and remove unneeded else (Joel Slebodnick) [2183338]
- can: bittiming: can_fixup_bittiming(): use CAN_SYNC_SEG instead of 1 (Joel Slebodnick) [2183338]
- can: bittiming(): replace open coded variants of can_bit_time() (Joel Slebodnick) [2183338]
- can: peak_usb: Reorder include directives alphabetically (Joel Slebodnick) [2183338]
- can: peak_usb: align CAN channel ID format in log with sysfs attribute (Joel Slebodnick) [2183338]
- can: peak_usb: export PCAN CAN channel ID as sysfs device attribute (Joel Slebodnick) [2183338]
- can: peak_usb: add ethtool interface to user-configurable CAN channel identifier (Joel Slebodnick) [2183338]
- can: peak_usb: replace unregister_netdev() with unregister_candev() (Joel Slebodnick) [2183338]
- can: peak_usb: allow flashing of the CAN channel ID (Joel Slebodnick) [2183338]
- can: peak_usb: add callback to read CAN channel ID of PEAK CAN-FD devices (Joel Slebodnick) [2183338]
- can: peak_usb: rename device_id to CAN channel ID (Joel Slebodnick) [2183338]
- can: ems_pci: Add myself as module author (Joel Slebodnick) [2183338]
- can: ems_pci: Deassert hardware reset (Joel Slebodnick) [2183338]
- can: ems_pci: Add IRQ enable (Joel Slebodnick) [2183338]
- can: ems_pci: Initialize CAN controller base addresses (Joel Slebodnick) [2183338]
- can: ems_pci: Add read/write register and post irq functions (Joel Slebodnick) [2183338]
- can: ems_pci: Initialize BAR registers (Joel Slebodnick) [2183338]
- can: ems_pci: Add Asix AX99100 definitions (Joel Slebodnick) [2183338]
- can: ems_pci: Fix code style, copyright and email address (Joel Slebodnick) [2183338]
- can: rcar_canfd: Add helper variable dev (Joel Slebodnick) [2183338]
- can: rcar_canfd: Use devm_reset_control_get_optional_exclusive (Joel Slebodnick) [2183338]
- can: rcar_canfd: Use dev_err_probe() to simplify code and better handle -EPROBE_DEFER (Joel Slebodnick) [2183338]
- can: rcar_canfd: Sort included header files (Joel Slebodnick) [2183338]
- can: rcar_canfd: Fix R-Car Gen4 CFCC.CFTML field width (Joel Slebodnick) [2183338]
- can: rcar_canfd: Fix R-Car Gen4 DCFG.DSJW field width (Joel Slebodnick) [2183338]
- can: rcar_canfd: Add support for R-Car Gen4 (Joel Slebodnick) [2183338]
- can: rcar_canfd: Abstract out DCFG address differences (Joel Slebodnick) [2183338]
- can: rcar_canfd: Fix R-Car V3U GAFLCFG field accesses (Joel Slebodnick) [2183338]
- can: rcar_canfd: Fix R-Car V3U CAN mode selection (Joel Slebodnick) [2183338]
- can: mcp251xfd: regmap: optimizing transfer size for CRC transfers size 1 (Joel Slebodnick) [2183338]
- can: mcp251xfd: mcp251xfd_ring_set_ringparam(): assign missing tx_obj_num_coalesce_irq (Joel Slebodnick) [2183338]
- can: kvaser_usb: hydra: help gcc-13 to figure out cmd_len (Joel Slebodnick) [2183338]
- can: tcan4x5x: Specify separate read/write ranges (Joel Slebodnick) [2183338]
- can: tcan4x5x: Fix register range of first two blocks (Joel Slebodnick) [2183338]
- can: tcan4x5x: Fix use of register error status mask (Joel Slebodnick) [2183338]
- can: tcan4x5x: Remove invalid write in clear_interrupts (Joel Slebodnick) [2183338]
- can: m_can: Batch acknowledge rx fifo (Joel Slebodnick) [2183338]
- can: m_can: Batch acknowledge transmit events (Joel Slebodnick) [2183338]
- can: m_can: Count read getindex in the driver (Joel Slebodnick) [2183338]
- can: m_can: Count TXE FIFO getidx in the driver (Joel Slebodnick) [2183338]
- can: m_can: Read register PSR only on error (Joel Slebodnick) [2183338]
- can: m_can: Avoid reading irqstatus twice (Joel Slebodnick) [2183338]
- can: m_can: Eliminate double read of TXFQS in tx_handler (Joel Slebodnick) [2183338]
- can: m_can: Call the RAM init directly from m_can_chip_config (Joel Slebodnick) [2183338]
- can: ucan: remove unused ucan_priv::intf (Joel Slebodnick) [2183338]
- can: ucan: use strscpy() to instead of strncpy() (Joel Slebodnick) [2183338]
- can: etas_es58x: remove es58x_get_product_info() (Joel Slebodnick) [2183338]
- can: etas_es58x: export product information through devlink_ops::info_get() (Joel Slebodnick) [2183338]
- can: c_can: use devm_platform_get_and_ioremap_resource() (Joel Slebodnick) [2183338]
- can: etas_es58x: add devlink port support (Joel Slebodnick) [2183338]
- can: etas_es58x: es58x_init_netdev(): free netdev when register_candev() (Joel Slebodnick) [2183338]
- can: etas_es58x: add devlink support (Joel Slebodnick) [2183338]
- can: etas_es58x: sort the includes by alphabetic order (Joel Slebodnick) [2183338]
- can: ctucanfd: Drop obsolete dependency on COMPILE_TEST (Joel Slebodnick) [2183338]
- can: rcar_canfd: Add multi_channel_irqs to struct rcar_canfd_hw_info (Joel Slebodnick) [2183338]
- can: rcar_canfd: Add postdiv to struct rcar_canfd_hw_info (Joel Slebodnick) [2183338]
- can: rcar_canfd: Add shared_global_irqs to struct rcar_canfd_hw_info (Joel Slebodnick) [2183338]
- can: rcar_canfd: Add max_channels to struct rcar_canfd_hw_info (Joel Slebodnick) [2183338]
- can: m_can: sort header inclusion alphabetically (Joel Slebodnick) [2183338]
- can: rcar_canfd: rcar_canfd_probe: Add struct rcar_canfd_hw_info to driver data (Joel Slebodnick) [2183338]
Resolves: rhbz#2151952, rhbz#2168169, rhbz#2178302, rhbz#2183338, rhbz#2188323, rhbz#2190342, rhbz#2208365, rhbz#2217547, rhbz#2218682, rhbz#2222141, rhbz#2222987

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-20 10:41:52 +02:00
Jan Stancek
018655b2fa kernel-5.14.0-340.el9
* Mon Jul 17 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-340.el9]
- soc/tegra: fuse: Remove nvmem root only access (Steve Best) [2218664]
- Revert "net/mlx5e: Don't use termination table when redundant" (Mohammad Kabat) [2165363]
- net/mlx5e: xsk: Set napi_id to support busy polling on XSK RQ (Mohammad Kabat) [2165363]
- net/mlx5: Query hca_cap_2 only when supported (Mohammad Kabat) [2165363]
- net/mlx5: fw_tracer, Zero consumer index when reloading the tracer (Mohammad Kabat) [2165363]
- net/mlx5: fw_tracer, Clear load bit when freeing string DBs buffers (Mohammad Kabat) [2165363]
- net/mlx5: Expose SF firmware pages counter (Mohammad Kabat) [2165363]
- net/mlx5: Store page counters in a single array (Mohammad Kabat) [2165363]
- net/mlx5e: IPoIB, Show unknown speed instead of error (Mohammad Kabat) [2165363]
- net/mlx5: Bridge, fix ageing of peer FDB entries (Mohammad Kabat) [2165363]
- net/mlx5: DR, Fix potential race in dr_rule_create_rule_nic (Mohammad Kabat) [2165363]
- net/mlx5e: Update rx ring hw mtu upon each rx-fcs flag change (Mohammad Kabat) [2165363]
- net/mlx5: E-switch, Coverity: overlapping copy (Mohammad Kabat) [2165363]
- net/mlx5e: Don't support encap rules with gbp option (Mohammad Kabat) [2165363]
- net/mlx5e: Fix memory leak on updating vport counters (Mohammad Kabat) [2165363]
- net/mlx5: DR, Fix 'stack frame size exceeds limit' error in dr_rule (Mohammad Kabat) [2164764 2165363]
- net/mlx5: Expose steering dropped packets counter (Mohammad Kabat) [2165363]
- net/mlx5: Refactor and expand rep vport stat group (Mohammad Kabat) [2164764 2165363]
- net/mlx5e: multipath, support routes with more than 2 nexthops (Mohammad Kabat) [2165363]
- RDMA/mlx5: Remove not-used IB_FLOW_SPEC_IB define (Mohammad Kabat) [2165363]
- net/mlx5: E-Switch, Implement devlink port function cmds to control migratable (Mohammad Kabat) [2165363]
- net/mlx5: E-Switch, Implement devlink port function cmds to control RoCE (Mohammad Kabat) [2165363]
- net/mlx5: Add generic getters for other functions caps (Mohammad Kabat) [2165363]
- net/mlx5: Introduce IFC bits for migratable (Mohammad Kabat) [2165363]
- net/mlx5: Introduce ifc bits for pre_copy (Mohammad Kabat) [2165363]
- RDMA/mlx5: no need to kfree NULL pointer (Mohammad Kabat) [2165363]
- net/mlx5e: Support devlink reload of IPsec core (Mohammad Kabat) [2165363]
- net/mlx5e: TC, Add offload support for trap with additional actions (Mohammad Kabat) [2165363]
- net/mlx5e: Do early return when setup vports dests for slow path flow (Mohammad Kabat) [2165363]
- net/mlx5: Remove redundant check (Mohammad Kabat) [2165363]
- net/mlx5e: Delete always true DMA check (Mohammad Kabat) [2165363]
- net/mlx5e: Don't access directly DMA device pointer (Mohammad Kabat) [2165363]
- net/mlx5e: Don't use termination table when redundant (Mohammad Kabat) [2165363]
- net/mlx5: Use generic definition for UMR KLM alignment (Mohammad Kabat) [2165363]
- net/mlx5: Generalize name of UMR alignment definition (Mohammad Kabat) [2165363]
- net/mlx5: Remove unused UMR MTT definitions (Mohammad Kabat) [2165363]
- net/mlx5e: Add padding when needed in UMR WQEs (Mohammad Kabat) [2165363]
- net/mlx5: Remove unused ctx variables (Mohammad Kabat) [2165363]
- net/mlx5e: Remove unneeded io-mapping.h #include (Mohammad Kabat) [2165363]
- net/mlx5e: ethtool: get_link_ext_stats for PHY down events (Mohammad Kabat) [2165363]
- net/mlx5e: CT, optimize pre_ct table lookup (Mohammad Kabat) [2165363]
- net/mlx5e: kTLS, Use a single async context object per a callback bulk (Mohammad Kabat) [2165363]
- net/mlx5e: kTLS, Remove unnecessary per-callback completion (Mohammad Kabat) [2165363]
- net/mlx5e: kTLS, Remove unused work field (Mohammad Kabat) [2165363]
- net/mlx5e: TC, Remove redundant WARN_ON() (Mohammad Kabat) [2165363]
- net/mlx5e: Add error flow when failing update_rx (Mohammad Kabat) [2165363]
- net/mlx5e: Move params kernel log print to probe function (Mohammad Kabat) [2165363]
- net/mlx5e: Support enhanced CQE compression (Mohammad Kabat) [2165363]
- net/mlx5e: Use clamp operation instead of open coding it (Mohammad Kabat) [2165363]
- net/mlx5e: remove unused list in arfs (Mohammad Kabat) [2165363]
- net/mlx5: Expose vhca_id to debugfs (Mohammad Kabat) [2165363]
- net/mlx5: Fix spelling mistake "destoy" -> "destroy" (Mohammad Kabat) [2165363]
- net/mlx5: Bridge, Use debug instead of warn if entry doesn't exists (Mohammad Kabat) [2165363]
- ptp: mlx5: convert to .adjfine and adjust_by_scaled_ppm (Mohammad Kabat) [2165363]
- net/mlx5: DR, Remove the buddy used_list (Mohammad Kabat) [2165363]
- net/mlx5: DR, Keep track of hot ICM chunks in an array instead of list (Mohammad Kabat) [2165363]
- net/mlx5: DR, Lower sync threshold for ICM hot memory (Mohammad Kabat) [2165363]
- net/mlx5: DR, Allocate htbl from its own slab allocator (Mohammad Kabat) [2165363]
- net/mlx5: DR, Allocate icm_chunks from their own slab allocator (Mohammad Kabat) [2165363]
- net/mlx5: DR, Manage STE send info objects in pool (Mohammad Kabat) [2165363]
- net/mlx5: DR, In rehash write the line in the entry immediately (Mohammad Kabat) [2165363]
- net/mlx5: DR, Handle domain memory resources init/uninit separately (Mohammad Kabat) [2165363]
- net/mlx5: DR, Initialize chunk's ste_arrays at chunk creation (Mohammad Kabat) [2165363]
- net/mlx5: DR, For short chains of STEs, avoid allocating ste_arr dynamically (Mohammad Kabat) [2165363]
- net/mlx5: DR, Remove unneeded argument from dr_icm_chunk_destroy (Mohammad Kabat) [2165363]
- net/mlx5: DR, Check device state when polling CQ (Mohammad Kabat) [2165363]
- net/mlx5: DR, Fix the SMFS sync_steering for fast teardown (Mohammad Kabat) [2165363]
- net/mlx5: DR, In destroy flow, free resources even if FW command failed (Mohammad Kabat) [2165363]
- net/mlx5e: Overcome slow response for first macsec ASO WQE (Mohammad Kabat) [2165323]
- RHEL-only: redhat/configs: Enable CONFIG_MLX5_EN_MACSEC on all archs (Mohammad Kabat) [2165355]
- net/mlx5e: Fix macsec ASO context alignment (Mohammad Kabat) [2165355]
- net/mlx5e: Remove redundant xsk pointer check in mlx5e_mpwrq_validate_xsk (Mohammad Kabat) [2165355]
- net/mlx5e: Fix macsec possible null dereference when updating MAC security entity (SecY) (Mohammad Kabat) [2165355]
- net/mlx5e: Fix macsec ssci attribute handling in offload path (Mohammad Kabat) [2165355]
- net/mlx5: Lag, fix failure to cancel delayed bond work (Mohammad Kabat) [2165355]
- net/mlx5e: Fix RX reporter for XSK RQs (Mohammad Kabat) [2165355]
- Revert "net/mlx5e: MACsec, remove replay window size limitation in offload path" (Mohammad Kabat) [2165355]
- net/mlx5e: MACsec, block offload requests with encrypt off (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: MACsec, fix Tx SA active field update (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: MACsec, remove replay window size limitation in offload path (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: MACsec, fix add Rx security association (SA) rule memory leak (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: MACsec, fix mlx5e_macsec_update_rxsa bail condition and functionality (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: MACsec, fix update Rx secure channel active field (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: MACsec, fix memory leak when MACsec device is deleted (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: MACsec, fix RX data path 16 RX security channel limit (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Use kvfree() in mlx5e_accel_fs_tcp_create() (Mohammad Kabat) [2165355]
- net/mlx5e: Fix a couple error codes (Mohammad Kabat) [2165355]
- net/mlx5e: Fix possible race condition in macsec extended packet number update routine (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Fix MACsec update SecY (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Fix MACsec SA initialization routine (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Remove leftovers from old XSK queues enumeration (Mohammad Kabat) [2165355]
- net/mlx5e: Fix missing alignment in size of MTT/KLM entries (Mohammad Kabat) [2165355]
- net/mlx5: Lag, avoid lockdep warnings (Mohammad Kabat) [2165355]
- net/mlx5e: Fix usage of DMA sync API (Mohammad Kabat) [2165355]
- net/mlx5e: Fix macsec sci endianness at rx sa update (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Fix wrong bitwise comparison usage in macsec_fs_rx_add_rule function (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Fix macsec rx security association (SA) update/delete (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Fix macsec coverity issue at rx sa update (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Cleanup MACsec uninitialization routine (Mohammad Kabat) [2165355]
- net/mlx5: Make ASO poll CQ usable in atomic context (Mohammad Kabat) [2165355]
- net/mlx5: E-Switch, Return EBUSY if can't get mode lock (Mohammad Kabat) [2165355]
- net/mlx5: E-switch, Don't update group if qos is not enabled (Mohammad Kabat) [2165355]
- net/mlx5: Set default grace period based on function type (Mohammad Kabat) [2165355]
- net/mlx5: Start health poll at earlier stage of driver load (Mohammad Kabat) [2165355]
- net/mlx5e: Expose rx_oversize_pkts_buffer counter (Mohammad Kabat) [2165355]
- net/mlx5e: xsk: Optimize for unaligned mode with 3072-byte frames (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Print a warning in slow configurations (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use KLM to protect frame overrun in unaligned mode (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Improve MTT/KSM alignment (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use umr_mode to calculate striding RQ parameters (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Improve need_wakeup logic (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Include XSK skb_from_cqe callbacks in INDIRECT_CALL (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Set napi_id to support busy polling (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Flush RQ on XSK activation to save memory (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use queue indices starting from 0 for XSK queues (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Introduce the mlx5e_flush_rq function (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Support XDP metadata on XSK RQs (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Optimize RQ page deallocation (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Call mlx5e_page_release_dynamic directly where possible (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Use non-XSK page allocator in SHAMPO (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use xsk_buff_alloc_batch on striding RQ (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use xsk_buff_alloc_batch on legacy RQ (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Split out WQE allocation for legacy XSK RQ (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Remove the outer loop when allocating legacy RQ WQEs (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use partial batches in legacy RQ with XSK (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Use partial batches in legacy RQ (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Make the wqe_index_mask calculation more exact (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Introduce wqe_index_mask for legacy RQ (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Drop the check for XSK state in mlx5e_xsk_wakeup (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use mlx5e_trigger_napi_icosq for XSK wakeup (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Move repeating clear_bit in mlx5e_rx_reporter_err_rq_cqe_recover (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Split out channel (de)activation in rx_res (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Remove mlx5e_xsk_page_alloc_pool (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Convert struct mlx5e_alloc_unit to a union (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Remove DMA address from mlx5e_alloc_unit (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Rename mlx5e_dma_info to prepare for removal of DMA address (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Optimize the page cache reducing its size 2x (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use KSM for unaligned XSK (Mohammad Kabat) [2164750 2165355]
- net/mlx5: Add MLX5_FLEXIBLE_INLEN to safely calculate cmd inlen (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Keep a separate MKey for striding RQ (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use XSK frame size as striding RQ page size (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Use runtime page_shift for striding RQ (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Use runtime values of striding RQ parameters in datapath (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Make dma_info array dynamic in struct mlx5e_mpw_info (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Improve the MTU change shortcut (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Fix SKB headroom calculation in validation (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Remove dead code in validation (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Simplify stride size calculation for linear RQ (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: kTLS, Check ICOSQ WQE size in advance (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Use the aligned max TX MPWQE size (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Use mlx5e_stop_room_for_max_wqe where appropriate (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Let mlx5e_get_sw_max_sq_mpw_wqebbs accept mdev (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Validate striding RQ before enabling XDP (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Make mlx5e_verify_rx_mpwqe_strides static (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Remove unused fields from datapath structs (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Convert mlx5e_get_max_sq_wqebbs to u8 (Mohammad Kabat) [2164750 2165355]
- net/mlx5: Add the log_min_mkey_entity_size capability (Mohammad Kabat) [2164750 2165355]
- net/mlx5: Remove from FPGA IFC file not-needed definitions (Mohammad Kabat) [2165355]
- net/mlx5: Remove unused structs (Mohammad Kabat) [2165355]
- net/mlx5: Remove unused functions (Mohammad Kabat) [2165355]
- net/mlx5: detect and enable bypass port select flow table (Mohammad Kabat) [2165355]
- net/mlx5: Lag, enable hash mode by default for all NICs (Mohammad Kabat) [2165355]
- net/mlx5: Lag, set active ports if support bypass port select flow table (Mohammad Kabat) [2165355]
- RDMA/mlx5: Don't set tx affinity when lag is in hash mode (Mohammad Kabat) [2165355]
- net/mlx5: add IFC bits for bypassing port select flow table (Mohammad Kabat) [2165355]
- net/mlx5: Add support for NPPS with real time mode (Mohammad Kabat) [2165355]
- net/mlx5: Expose NPPS related registers (Mohammad Kabat) [2165355]
- net/mlx5e: macsec: remove checks on the prepare phase (Mohammad Kabat) [2165355]
- net/mlx5e: Support MACsec offload replay window (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Support MACsec offload extended packet number (EPN) (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Move MACsec initialization from profile init stage to profile enable stage (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Create advanced steering operation (ASO) object for MACsec (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Expose memory key creation (mkey) function (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Add ifc bits for MACsec extended packet number (EPN) and replay protection (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Fix MACsec initial packet number (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Fix MACsec initialization error path (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Fix fields name prefix in MACsec (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Ensure macsec_rule is always initiailized in macsec_fs_{r,t}x_add_rule() (Mohammad Kabat) [2165355]
- net/mlx5e: Switch to kmemdup() when allocate dev_addr (Mohammad Kabat) [2165355]
- net/mlx5e: add missing error code in error path (Mohammad Kabat) [2165355]
- RDMA/mlx5: Remove duplicate assignment in umr_rereg_pas() (Mohammad Kabat) [2165355]
- net/mlx5e: Add support to configure more than one macsec offload device (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Add MACsec stats support for Rx/Tx flows (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Add MACsec offload SecY support (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Implement MACsec Rx data path using MACsec skb_metadata_dst (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Add MACsec RX steering rules (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Add MACsec Rx tables support to fs_core (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Add MACsec offload Rx command support (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Implement MACsec Tx data path using MACsec skb_metadata_dst (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Add MACsec TX steering rules (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Add MACsec Tx tables support to fs_core (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Add MACsec offload Tx command support (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Introduce MACsec Connect-X offload hardware bits and structures (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Generalize Flow Context for new crypto fields (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Removed esp_id from struct mlx5_flow_act (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Query ADV_VIRTUALIZATION capabilities (Mohammad Kabat) [2165355]
- net/mlx5: Introduce ifc bits for page tracker (Mohammad Kabat) [2165355]
- RDMA/mlx5: Move function mlx5_core_query_ib_ppcnt() to mlx5_ib (Mohammad Kabat) [2165355]
- IB/mlx5: Support querying eswitch functions from DEVX (Mohammad Kabat) [2165355]
- net/mlx5e: Do not use err uninitialized in mlx5e_rep_add_meta_tunnel_rule() (Mohammad Kabat) [2165355]
- IB/mlx5: Remove duplicate header inclusion related to ODP (Mohammad Kabat) [2165355]
- net/mlx5: TC, Add support for SF tunnel offload (Mohammad Kabat) [2165355]
- net/mlx5: E-Switch, Move send to vport meta rule creation (Mohammad Kabat) [2165355]
- net/mlx5: E-Switch, Split creating fdb tables into smaller chunks (Mohammad Kabat) [2165355]
- net/mlx5: E-Switch, Add default drop rule for unmatched packets (Mohammad Kabat) [2165355]
- net/mlx5e: Completely eliminate priv from fs.h (Mohammad Kabat) [2165355]
- net/mlx5e: Make all ttc functions of en_fs get fs struct as argument (Mohammad Kabat) [2165355]
- net/mlx5e: Make flow steering arfs independent of priv (Mohammad Kabat) [2165355]
- net/mlx5e: Introduce flow steering debug macros (Mohammad Kabat) [2165355]
- net/mlx5e: Separate ethtool_steering from fs.h and make private (Mohammad Kabat) [2165355]
- net/mlx5e: Directly get flow_steering struct as input when init/cleanup ethtool steering (Mohammad Kabat) [2165355]
- net/mlx5e: Convert ethtool_steering member of flow_steering struct to pointer (Mohammad Kabat) [2165355]
- net/mlx5e: Drop priv argument of ptp function in en_fs (Mohammad Kabat) [2165355]
- net/mlx5e: Decouple fs_tcp from en.h (Mohammad Kabat) [2165355]
- net/mlx5e: Decouple fs_tt_redirect from en.h (Mohammad Kabat) [2165355]
- net/mlx5e: Introduce flow steering API (Mohammad Kabat) [2165355]
- IB/mlx5: Call io_stop_wc() after writing to WC MMIO (Mohammad Kabat) [2165355]
- net: fix stack overflow when LRO is disabled for virtual interfaces (Hangbin Liu) [2218139]
- ipv6: prevent router_solicitations for team port (Hangbin Liu) [2218139]
- ipv6: Don't send rs packets to the interface of ARPHRD_TUNNEL (Hangbin Liu) [2218139]
- teaming: deliver link-local packets with the link they arrive on (Hangbin Liu) [2218139]
- cifs: fix negotiate context parsing (Ronnie Sahlberg) [2218388]
- cifs: fix dentry lookups in directory handle cache (Ronnie Sahlberg) [2218388]
- cifs: use the least loaded channel for sending requests (Ronnie Sahlberg) [2218388]
- cifs: Simplify SMB2_open_init() (Ronnie Sahlberg) [2218388]
- cifs: Simplify SMB2_open_init() (Ronnie Sahlberg) [2218388]
- cifs: Simplify SMB2_open_init() (Ronnie Sahlberg) [2218388]
- smb3: fix unusable share after force unmount failure (Ronnie Sahlberg) [2218388]
- cifs: print session id while listing open files (Ronnie Sahlberg) [2218388]
- cifs: dump pending mids for all channels in DebugData (Ronnie Sahlberg) [2218388]
- cifs: empty interface list when server doesn't support query interfaces (Ronnie Sahlberg) [2218388]
- cifs: do not poll server interfaces too regularly (Ronnie Sahlberg) [2218388]
- cifs: use tcon allocation functions even for dummy tcon (Ronnie Sahlberg) [2218388]
- cifs: use bvec_set_page to initialize bvecs (Ronnie Sahlberg) [2218388]
- cifs: generate signkey for the channel that's reconnecting (Ronnie Sahlberg) [2218388]
- cifs: Fix smb2_set_path_size() (Ronnie Sahlberg) [2218388]
- cifs: Move the in_send statistic to __smb_send_rqst() (Ronnie Sahlberg) [2218388]
- cifs: don't try to use rdma offload on encrypted connections (Ronnie Sahlberg) [2218388]
- cifs: split out smb3_use_rdma_offload() helper (Ronnie Sahlberg) [2218388]
- cifs: introduce cifs_io_parms in smb2_async_writev() (Ronnie Sahlberg) [2218388]
- use less confusing names for iov_iter direction initializers (Ronnie Sahlberg) [2218388]
- cifs: do not include page data when checking signature (Ronnie Sahlberg) [2218388]
- cifs: Fix race between hole punch and page fault (Ronnie Sahlberg) [2218388]
- redhat/Makefile: Fix RHJOBS grep warning (Eric Chanudet)
- NFSv4.1: freeze the session table upon receiving NFS4ERR_BADSESSION (Benjamin Coddington) [2217964]
- sfc: use budget for TX completions (Íñigo Huguet) [2179545]
- arm64: kaslr: don't pretend KASLR is enabled if offset < MIN_KIMG_ALIGN (Jennifer Berringer) [2190491]
- KVM: x86/mmu: Refresh CR0.WP prior to checking for emulated permission faults (Paolo Bonzini) [2210042]
- KVM: x86: Add helpers to query individual CR0/CR4 bits (Paolo Bonzini) [2210042]
- KVM: x86: Preserve TDP MMU roots until they are explicitly invalidated (Paolo Bonzini) [2210042]
- KVM: VMX: Make CR0.WP a guest owned bit (Paolo Bonzini) [2210042]
- KVM: x86: Make use of kvm_read_cr*_bits() when testing bits (Paolo Bonzini) [2210042]
- KVM: x86: Ignore CR0.WP toggles in non-paging mode (Paolo Bonzini) [2210042]
- KVM: x86: Do not unload MMU roots when only toggling CR0.WP with TDP enabled (Paolo Bonzini) [2210042]
- igc: Fix possible system crash when loading module (Corinna Vinschen) [2153371]
- igc: Clean the TX buffer and TX descriptor ring (Corinna Vinschen) [2153371]
- igc: Avoid transmit queue timeout for XDP (Corinna Vinschen) [2153371]
- igc: read before write to SRRCTL register (Corinna Vinschen) [2153371]
- igc: Enable and fix RX hash usage by netstack (Corinna Vinschen) [2153371]
- igc: Remove obsolete DMA coalescing code (Corinna Vinschen) [2153371]
- igc: fix the validation logic for taprio's gate list (Corinna Vinschen) [2153371]
- igc: Add ndo_tx_timeout support (Corinna Vinschen) [2153371]
- igc: return an error if the mac type is unknown in igc_ptp_systim_to_hwtstamp() (Corinna Vinschen) [2153371]
- igc: Remove redundant pci_enable_pcie_error_reporting() (Corinna Vinschen) [2153371]
- igc: Fix PPS delta between two synchronized end-points (Corinna Vinschen) [2153371]
- igc: Remove reset adapter task for i226 during disable tsn config (Corinna Vinschen) [2153371]
- igc: enable Qbv configuration for 2nd GCL (Corinna Vinschen) [2153371]
- igc: remove I226 Qbv BaseTime restriction (Corinna Vinschen) [2153371]
- igc: Set Qbv start_time and end_time to end_time if not being configured in GCL (Corinna Vinschen) [2153371]
- igc: recalculate Qbv end_time by considering cycle time (Corinna Vinschen) [2153371]
- igc: allow BaseTime 0 enrollment for Qbv (Corinna Vinschen) [2153371]
- igc: Add checking for basetime less than zero (Corinna Vinschen) [2153371]
- igc: Use strict cycles for Qbv scheduling (Corinna Vinschen) [2153371]
- igc: Enhance Qbv scheduling by using first flag bit (Corinna Vinschen) [2153371]
- xfrm: Linearize the skb after offloading if needed. (Sabrina Dubroca) [2218900]
- xfrm: fix inbound ipv4/udp/esp packets to UDPv6 dualstack sockets (Sabrina Dubroca) [2218900]
- xfrm: add missed call to delete offloaded policies (Sabrina Dubroca) [2218900]
- af_key: Reject optional tunnel/BEET mode templates in outbound policies (Sabrina Dubroca) [2218900]
- xfrm: Reject optional tunnel/BEET mode templates in outbound policies (Sabrina Dubroca) [2218900]
- xfrm: Fix leak of dev tracker (Sabrina Dubroca) [2218900]
- xfrm: release all offloaded policy memory (Sabrina Dubroca) [2218900]
- xfrm: don't check the default policy if the policy allows the packet (Sabrina Dubroca) [2218900]
- x86/bugs: Workaround for incorrectly set X86_BUG_RETBLEED under VMware (Waiman Long) [2189577]
- sched/fair: Don't balance task to its current running CPU (Valentin Schneider) [2095206]
Resolves: rhbz#2095206, rhbz#2153371, rhbz#2164750, rhbz#2164764, rhbz#2165323, rhbz#2165355, rhbz#2165363, rhbz#2179545, rhbz#2189577, rhbz#2190491, rhbz#2210042, rhbz#2217964, rhbz#2218139, rhbz#2218388, rhbz#2218664, rhbz#2218900

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-17 09:01:13 +02:00
Jan Stancek
f4ef30fd5c kernel-5.14.0-335.el9
* Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9]
- r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265]
- r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265]
- r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265]
- r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265]
- r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265]
- r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265]
- r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265]
- r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265]
- r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265]
- cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265]
- r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265]
- net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265]
- net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265]
- r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265]
- r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265]
- r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265]
- r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265]
- r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265]
- r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265]
- r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265]
- net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265]
- USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265]
- Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265]
- r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265]
- r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265]
- net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265]
- net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265]
- r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265]
- udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518]
- fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730]
- fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730]
- fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730]
- fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730]
- xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730]
- fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730]
- xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730]
- fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730]
- fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730]
- fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730]
- fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730]
- fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730]
- xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730]
- xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730]
- mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730]
- mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730]
- xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730]
- xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730]
- xfs: add dax dedupe support (Bill O'Donnell) [2192730]
- xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730]
- fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730]
- fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730]
- fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730]
- fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730]
- fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730]
- fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730]
- xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730]
- mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730]
- fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730]
- pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730]
- dax: introduce holder for dax_device (Bill O'Donnell) [2192730]
- Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966]
- net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966]
- net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966]
- tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966]
- net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966]
- ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966]
- ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966]
- ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848]
- ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848]
- soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848]
- soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848]
- soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848]
- ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848]
- ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848]
- ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848]
- ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848]
- ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848]
- ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848]
- ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848]
- ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848]
- ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848]
- ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848]
- regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848]
- ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848]
- ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848]
- ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848]
- ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848]
- ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848]
- ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848]
- ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848]
- ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848]
- ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848]
- ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848]
- ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848]
- ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848]
- ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848]
- ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848]
- ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848]
- tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848]
- ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848]
- ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848]
- ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848]
- ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848]
- ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848]
- ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848]
- ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848]
- ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848]
- ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848]
- ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848]
- ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848]
- ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848]
- ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848]
- ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848]
- ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848]
- ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848]
- ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848]
- ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848]
- ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848]
- ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848]
- ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848]
- ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848]
- ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848]
- ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848]
- ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848]
- ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848]
- ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848]
- ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848]
- ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848]
- ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848]
- ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848]
- ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848]
- ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848]
- ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848]
- ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848]
- ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848]
- ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848]
- ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848]
- ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848]
- ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848]
- ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848]
- ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848]
- ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848]
- ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848]
- ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848]
- ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848]
- ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848]
- ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848]
- ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848]
- ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848]
- soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848]
- ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848]
- ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848]
- ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848]
- ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848]
- ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848]
- ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848]
- ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848]
- ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848]
- ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848]
- ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848]
- ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848]
- ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848]
- Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848]
- ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848]
- ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848]
- ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848]
- ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848]
- ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848]
- ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848]
- ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848]
- ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848]
- ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848]
- ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848]
- ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848]
- firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848]
- ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848]
- ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848]
- ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848]
- ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848]
- ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848]
- ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848]
- ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848]
- ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848]
- ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848]
- ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848]
- ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848]
- ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848]
- ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848]
- ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848]
- ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848]
- ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848]
- ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848]
- ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848]
- ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848]
- mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848]
- ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848]
- ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848]
- ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848]
- soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848]
- soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848]
- soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848]
- soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848]
- ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848]
- ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848]
- ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848]
- soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848]
- soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848]
- ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848]
- ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848]
- ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848]
- soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848]
- soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848]
- soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848]
- soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848]
- soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848]
- soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848]
- soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848]
- soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848]
- soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848]
- ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848]
- ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848]
- ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848]
- ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848]
- ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848]
- ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848]
- ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848]
- firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848]
- ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848]
- firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848]
- mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848]
- ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848]
- ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848]
- ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848]
- ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848]
- soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848]
- ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848]
- ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848]
- ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848]
- soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848]
- soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848]
- ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848]
- ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848]
- soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848]
- soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848]
- soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848]
- soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848]
- soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848]
- soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848]
- soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848]
- soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848]
- soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848]
- soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848]
- soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848]
- soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848]
- soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848]
- soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848]
- soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848]
- soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848]
- ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848]
- ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848]
- ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848]
- ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848]
- ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848]
- ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848]
- ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848]
- ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848]
- ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848]
- ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848]
- ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848]
- ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848]
- ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848]
- ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848]
- ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848]
- ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848]
- ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848]
- ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848]
- ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848]
- ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848]
- ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848]
- ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848]
- ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848]
- ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848]
- ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848]
- ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848]
- ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848]
- ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848]
- ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848]
- ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848]
- ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848]
- ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848]
- ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848]
- ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848]
- ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848]
- ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848]
- ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848]
- dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848]
- ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848]
- ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848]
- ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848]
- ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848]
- ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848]
- ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848]
- ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848]
- ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848]
- ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848]
- ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848]
- ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848]
- ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848]
- ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848]
- ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848]
- ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848]
- soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848]
- soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848]
- soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848]
- ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848]
- ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848]
- ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848]
- ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848]
- MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848]
- ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848]
- dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848]
- MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848]
- soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848]
- dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848]
- powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848]
- MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848]
- soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848]
- dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848]
- ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848]
- ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848]
- ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848]
- ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848]
- ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848]
- ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848]
- ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848]
- ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848]
- ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848]
- ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848]
- ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848]
- ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848]
- ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848]
- ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848]
- ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848]
- ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848]
- ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848]
- ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848]
- ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848]
- ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848]
- ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848]
- ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848]
- ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848]
- ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848]
- ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848]
- ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848]
- SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848]
- ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848]
- ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848]
- ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848]
- ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848]
- ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848]
- ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848]
- ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848]
- ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848]
- ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848]
- ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848]
- ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848]
- MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848]
- ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848]
- ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848]
- ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848]
- ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848]
- ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848]
- ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848]
- ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848]
- ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848]
- ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848]
- ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848]
- ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848]
- ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848]
- ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848]
- ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848]
- ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848]
- ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848]
- ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848]
- ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848]
- ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848]
- ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848]
- ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848]
- ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848]
- ASoC: ti: use helper function (Jaroslav Kysela) [2179848]
- ASoC: tegra: use helper function (Jaroslav Kysela) [2179848]
- ASoC: sof: use helper function (Jaroslav Kysela) [2179848]
- ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848]
- ASoC: meson: use helper function (Jaroslav Kysela) [2179848]
- ASoC: intel: use helper function (Jaroslav Kysela) [2179848]
- ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848]
- ASoC: spear: use helper function (Jaroslav Kysela) [2179848]
- ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848]
- ASoC: rt: use helper function (Jaroslav Kysela) [2179848]
- ASoC: max: use helper function (Jaroslav Kysela) [2179848]
- ASoC: hda: use helper function (Jaroslav Kysela) [2179848]
- ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848]
- ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848]
- ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848]
- ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848]
- ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848]
- ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848]
- ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848]
- ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848]
- ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848]
- ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848]
- Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848]
- ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848]
- ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848]
- ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848]
- ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848]
- ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848]
- ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848]
- ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848]
- ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848]
- ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848]
- ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848]
- ASoC: topology: Fix function name (Jaroslav Kysela) [2179848]
- ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848]
- ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848]
- ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848]
- soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848]
- ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848]
- ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848]
- ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848]
- ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848]
- Documentation: sound: correct spelling (Jaroslav Kysela) [2179848]
- treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848]
- ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848]
- ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848]
- scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848]
- MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848]
- ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848]
- ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848]
- ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848]
- ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848]
- ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848]
- ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848]
- ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848]
- ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848]
- soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848]
- soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848]
- soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848]
- soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848]
- soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848]
- ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848]
- ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848]
- ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848]
- ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848]
- Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848]
- ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848]
- Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848]
- ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848]
- ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848]
- ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848]
- ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848]
- soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848]
- soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848]
- ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848]
- ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848]
- ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848]
- ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848]
- ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848]
- ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848]
- ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848]
- ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848]
- ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848]
- ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848]
- ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848]
- regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848]
- regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848]
- ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848]
- ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848]
- ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848]
- ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848]
- ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848]
- ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848]
- ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848]
- ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848]
- ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848]
- ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848]
- ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848]
- ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848]
- ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848]
- ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848]
- ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848]
- ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848]
- ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848]
- soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848]
- ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848]
- ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848]
- mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848]
- ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848]
- ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848]
- ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848]
- ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848]
- ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848]
- ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848]
- ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848]
- ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848]
- ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848]
- ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848]
- ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848]
- soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848]
- ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848]
- dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848]
- ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848]
- ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848]
- ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848]
- ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848]
- ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848]
- ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848]
- ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848]
- ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848]
- ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848]
- ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848]
- ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848]
- dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848]
- ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848]
- ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848]
- ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848]
- ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848]
- ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848]
- ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848]
- soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848]
- ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848]
- ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848]
- ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848]
- ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848]
- ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848]
- ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848]
- ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848]
- ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848]
- ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848]
- ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848]
- ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848]
- ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848]
- ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848]
- ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848]
- ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848]
- ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848]
- ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848]
- soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848]
- soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848]
- soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848]
- ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848]
- ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848]
- ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848]
- ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848]
- drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848]
- ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848]
- ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848]
- ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848]
- ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848]
- ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848]
- ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848]
- ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848]
- ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848]
- ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848]
- ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848]
- soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848]
- soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848]
- soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848]
- soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848]
- ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848]
- firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848]
- firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848]
- ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848]
- ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848]
- firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848]
- ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848]
- ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848]
- ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848]
- ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848]
- ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848]
- ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848]
- ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848]
- ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848]
- sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848]
- ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848]
- ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848]
- ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848]
- ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848]
- ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848]
- ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848]
- soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848]
- ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848]
- ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848]
- ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848]
- ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848]
- ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848]
- ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848]
- ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848]
- ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848]
- ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848]
- soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848]
- soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848]
- soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848]
- soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848]
- soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848]
- soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848]
- soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848]
- soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848]
- soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848]
- soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848]
- ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848]
- ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848]
- ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848]
- ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848]
- dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848]
- ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848]
- ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848]
- regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848]
- ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848]
- ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848]
- ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848]
- ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848]
- ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848]
- ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848]
- ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848]
- ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848]
- ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848]
- ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848]
- ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848]
- ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848]
- soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848]
- soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848]
- ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848]
- ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848]
- ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848]
- ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848]
- ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848]
- ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848]
- ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848]
- ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848]
- ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848]
- ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848]
- ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848]
- ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848]
- ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848]
- ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848]
- ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848]
- ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848]
- ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848]
- ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848]
- ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848]
- ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848]
- ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848]
- ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848]
- ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848]
- ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848]
- ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848]
- ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848]
- soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848]
- dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848]
- soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848]
- soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848]
- ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848]
- ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848]
- ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848]
- ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848]
- ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848]
- ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848]
- mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848]
- ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848]
- ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848]
- ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848]
- ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848]
- ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848]
- ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848]
- ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848]
- ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848]
- ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848]
- ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848]
- ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848]
- ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848]
- ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848]
- ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848]
- ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848]
- ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848]
- ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848]
- ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848]
- ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848]
- ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848]
- ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848]
- ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848]
- ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848]
- ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848]
- ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848]
- ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848]
- ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848]
- ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848]
- ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848]
- ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848]
- ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848]
- ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848]
- ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848]
- Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848]
- ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848]
- ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848]
- ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848]
- ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848]
- ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848]
- ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848]
- ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848]
- ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848]
- ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848]
- ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848]
- ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848]
- ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848]
- ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848]
- ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848]
- ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848]
- ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848]
- ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848]
- ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848]
- ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848]
- ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848]
- ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848]
- ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848]
- ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848]
- ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848]
- ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848]
- usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848]
- ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848]
- ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848]
- ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848]
- ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848]
- ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848]
- ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848]
- ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848]
- ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848]
- ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848]
- ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848]
- ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848]
- ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848]
- ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848]
- ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848]
- ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848]
- ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848]
- ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848]
- ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848]
- ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848]
- ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848]
- ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848]
- ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848]
- ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848]
- ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848]
- soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848]
- soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848]
- soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848]
- soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848]
- soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848]
- soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848]
- soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848]
- soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848]
- soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848]
- soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848]
- ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848]
- ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848]
- ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848]
- ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848]
- ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848]
- ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848]
- ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848]
- ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848]
- ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848]
- ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848]
- ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848]
- ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848]
- soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848]
- soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848]
- soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848]
- soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848]
- ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848]
- ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848]
- soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848]
- soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848]
- ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848]
- ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848]
- ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848]
- ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848]
- ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848]
- ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848]
- soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848]
- soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848]
- soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848]
- soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848]
- soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848]
- ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848]
- ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848]
- ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848]
- ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848]
- ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848]
- ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848]
- ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848]
- ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848]
- ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848]
- soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848]
- sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848]
- ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848]
- ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848]
- ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848]
- ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848]
- ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848]
- ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848]
- ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848]
- ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848]
- ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848]
- ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848]
- ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848]
- ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848]
- ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848]
- ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848]
- ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848]
- ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848]
- ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848]
- ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848]
- ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848]
- lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848]
- MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848]
- ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848]
- ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848]
- ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848]
- ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848]
- ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848]
- ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848]
- ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848]
- ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848]
- ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848]
- ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848]
- ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848]
- ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848]
- ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848]
- ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848]
- ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848]
- ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848]
- ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848]
- ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848]
- ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848]
- ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848]
- ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848]
- ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848]
- ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848]
- soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848]
- soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848]
- soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848]
- soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848]
- soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848]
- soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848]
- soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848]
- soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848]
- soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848]
- soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848]
- soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848]
- soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848]
- soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848]
- soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848]
- soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848]
- soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848]
- ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848]
- ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848]
- ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848]
- ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848]
- ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848]
- ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848]
- ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848]
- ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848]
- ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848]
- ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848]
- ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848]
- ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848]
- ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848]
- ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848]
- ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848]
- ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848]
- ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848]
- ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848]
- ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848]
- ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848]
- ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848]
- ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848]
- soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848]
- ASoC: Variable type completion (Jaroslav Kysela) [2179848]
- ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848]
- ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848]
- ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848]
- ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848]
- ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848]
- ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848]
- ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848]
- ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848]
- ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848]
- ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848]
- ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848]
- ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848]
- ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848]
- ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848]
- ASoC: Variable type completion (Jaroslav Kysela) [2179848]
- ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848]
- ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848]
- ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848]
- ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848]
- ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848]
- ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848]
- ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848]
- ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848]
- ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848]
- ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848]
- ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848]
- ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848]
- ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848]
- ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848]
- ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848]
- ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848]
- soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848]
- soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848]
- soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848]
- soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848]
- ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848]
- dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848]
- ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848]
- dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848]
- ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848]
- ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848]
- ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848]
- dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848]
- dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848]
- ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848]
- dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848]
- dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848]
- dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848]
- ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848]
- MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848]
- soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848]
- soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848]
- soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848]
- ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848]
- dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848]
- dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848]
- treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848]
- tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848]
- crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205]
- redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205]
- integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205]
- integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205]
- KEYS: DigitalSignature link restriction (Coiby Xu) [2055205]
- integrity: machine keyring CA configuration (Coiby Xu) [2055205]
- KEYS: CA link restriction (Coiby Xu) [2055205]
- KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205]
- KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205]
- KEYS: Add missing function documentation (Coiby Xu) [2055205]
- KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205]
- integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205]
- integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205]
- KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205]
- KEYS: store reference to machine keyring (Coiby Xu) [2055205]
- integrity: add new keyring handler for mok keys (Coiby Xu) [2055205]
- integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205]
- integrity: Fix warning about missing prototypes (Coiby Xu) [2055205]
Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 11:24:03 +02:00
Jan Stancek
9855b4c968 kernel-5.14.0-334.el9
* Fri Jun 30 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-334.el9]
- nfsd: move init of percpu reply_cache_stats counters back to nfsd_init_net (Jeffrey Layton) [2215429]
- ACPI: processor idle: avoid call to raw_local_irq_disable() from acpi_safe_halt() (David Arcari) [2217308]
- docs: admin-guide: Add information about intel_pstate active mode (David Arcari) [2216955]
- KVM: x86/pmu: Fix a typo in kvm_pmu_request_counter_reprogam() (Maxim Levitsky) [2177720]
- docs: kvm: x86: Fix broken field list (Maxim Levitsky) [2177720]
- KVM: nVMX: Do not report error code when synthesizing VM-Exit from Real Mode (Maxim Levitsky) [2177720]
- KVM: x86: Clear "has_error_code", not "error_code", for RM exception injection (Maxim Levitsky) [2177720]
- KVM: x86: Suppress pending MMIO write exits if emulator detects exception (Maxim Levitsky) [2177720]
- KVM: x86/ioapic: Resample the pending state of an IRQ when unmasking (Maxim Levitsky) [2177720]
- KVM: irqfd: Make resampler_list an RCU list (Maxim Levitsky) [2177720]
- KVM: SVM: Flush Hyper-V TLB when required (Maxim Levitsky) [2177720]
- KVM: selftests: Sync KVM exit reasons in selftests (Maxim Levitsky) [2177720]
- KVM: selftests: Add macro to generate KVM exit reason strings (Maxim Levitsky) [2177720]
- KVM: selftests: Print expected and actual exit reason in KVM exit reason assert (Maxim Levitsky) [2177720]
- KVM: selftests: Make vCPU exit reason test assertion common (Maxim Levitsky) [2177720]
- KVM: selftests: Add EVTCHNOP_send slow path test to xen_shinfo_test (Maxim Levitsky) [2177720]
- KVM: selftests: Use enum for test numbers in xen_shinfo_test (Maxim Levitsky) [2177720]
- KVM: selftests: Add helpers to make Xen-style VMCALL/VMMCALL hypercalls (Maxim Levitsky) [2177720]
- KVM: selftests: Move the guts of kvm_hypercall() to a separate macro (Maxim Levitsky) [2177720]
- KVM: SVM: WARN if GATag generation drops VM or vCPU ID information (Maxim Levitsky) [2177720]
- KVM: SVM: Modify AVIC GATag to support max number of 512 vCPUs (Maxim Levitsky) [2177720]
- KVM: SVM: Fix a benign off-by-one bug in AVIC physical table mask (Maxim Levitsky) [2177720]
- selftests: KVM: skip hugetlb tests if huge pages are not available (Maxim Levitsky) [2177720]
- KVM: VMX: Use tabs instead of spaces for indentation (Maxim Levitsky) [2177720]
- KVM: VMX: Fix indentation coding style issue (Maxim Levitsky) [2177720]
- KVM: nVMX: remove unnecessary #ifdef (Maxim Levitsky) [2177720]
- KVM: nVMX: add missing consistency checks for CR0 and CR4 (Maxim Levitsky) [2177720]
- KVM: SVM: hyper-v: placate modpost section mismatch error (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Make tdp_mmu_allowed static (Maxim Levitsky) [2177720]
- KVM: selftests: Remove duplicate macro definition (Maxim Levitsky) [2177720]
- KVM: selftests: Clean up misnomers in xen_shinfo_test (Maxim Levitsky) [2177720]
- selftests: KVM: Replace optarg with arg in guest_modes_cmdline (Maxim Levitsky) [2177720]
- KVM: update code comment in struct kvm_vcpu (Maxim Levitsky) [2177720]
- KVM: selftests: Assign guest page size in sync area early in memslot_perf_test (Maxim Levitsky) [2177720]
- KVM: SVM: Fix potential overflow in SEV's send|receive_update_data() (Maxim Levitsky) [2177720]
- KVM: nVMX: Simplify the setting of SECONDARY_EXEC_ENABLE_VMFUNC for nested. (Maxim Levitsky) [2177720]
- KVM: VMX: Do not trap VMFUNC instructions for L1 guests. (Maxim Levitsky) [2177720]
- KVM: selftests: Enable USERFAULTFD (Maxim Levitsky) [2177720]
- KVM: x86: Simplify msr_io() (Maxim Levitsky) [2177720]
- KVM: x86: Remove unnecessary initialization in kvm_vm_ioctl_set_msr_filter() (Maxim Levitsky) [2177720]
- KVM: x86: Explicitly state lockdep condition of msr_filter update (Maxim Levitsky) [2177720]
- KVM: x86: Simplify msr_filter update (Maxim Levitsky) [2177720]
- KVM: x86: Optimize kvm->lock and SRCU interaction (KVM_X86_SET_MSR_FILTER) (Maxim Levitsky) [2177720]
- KVM: x86: Optimize kvm->lock and SRCU interaction (KVM_SET_PMU_EVENT_FILTER) (Maxim Levitsky) [2177720]
- KVM: x86/emulator: Fix comment in __load_segment_descriptor() (Maxim Levitsky) [2177720]
- KVM: x86/emulator: Fix segment load privilege level validation (Maxim Levitsky) [2177720]
- KVM: selftests: Remove redundant setbuf() (Maxim Levitsky) [2177720]
- scripts/spelling.txt: add `permitted' (Maxim Levitsky) [2177720]
- Documentation: KVM: Update AMD memory encryption link (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Add PRIR++ and PDist support for SPR and later models (Maxim Levitsky) [2177720]
- KVM: selftests: Verify APIC_ID is set when forcing x2APIC=>xAPIC transition (Maxim Levitsky) [2177720]
- KVM: x86: Reinitialize xAPIC ID when userspace forces x2APIC => xAPIC (Maxim Levitsky) [2177720]
- KVM: selftests: Test Hyper-V extended hypercall exit to userspace (Maxim Levitsky) [2177720]
- KVM: selftests: Replace hardcoded Linux OS id with HYPERV_LINUX_OS_ID (Maxim Levitsky) [2177720]
- KVM: selftests: Test Hyper-V extended hypercall enablement (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Add extended hypercall support in Hyper-v (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Use common code for hypercall userspace exit (Maxim Levitsky) [2177720]
- KVM: Destroy target device if coalesced MMIO unregistration fails (Maxim Levitsky) [2177720]
- KVM: x86: Use emulator callbacks instead of duplicating "host flags" (Maxim Levitsky) [2177720]
- KVM: x86: Move HF_NMI_MASK and HF_IRET_MASK into "struct vcpu_svm" (Maxim Levitsky) [2177720]
- KVM: x86: Move HF_GIF_MASK into "struct vcpu_svm" as "guest_gif" (Maxim Levitsky) [2177720]
- KVM: nSVM: Don't sync tlb_ctl back to vmcb12 on nested VM-Exit (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Test read-only PT memory regions (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Fix check of dirty log PT write (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Do not default to dirty PTE pages on all S1PTWs (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Relax userfaultfd read vs. write checks (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Provide "error" semantics for unsupported-but-known PMU MSRs (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Don't tell userspace to save MSRs for non-existent fixed PMCs (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Don't tell userspace to save PMU MSRs if PMU is disabled (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Use separate array for defining "PMU MSRs to save" (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Gate all "unimplemented MSR" prints on report_ignored_msrs (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Cap kvm_pmu_cap.num_counters_gp at KVM's internal max (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Drop event_type and rename "struct kvm_event_hw_type_mapping" (Maxim Levitsky) [2177720]
- Documentation: KVM: fix typos in running-nested-guests.rst (Maxim Levitsky) [2177720]
- KVM: x86: Replace IS_ERR() with IS_ERR_VALUE() (Maxim Levitsky) [2177720]
- KVM: VMX: Handle NMI VM-Exits in noinstr region (Maxim Levitsky) [2177720]
- KVM: VMX: Provide separate subroutines for invoking NMI vs. IRQ handlers (Maxim Levitsky) [2177720]
- x86/entry: KVM: Use dedicated VMX NMI entry for 32-bit kernels too (Maxim Levitsky) [2177720]
- KVM: VMX: Always inline to_vmx() and to_kvm_vmx() (Maxim Levitsky) [2177720]
- KVM: VMX: Always inline eVMCS read/write helpers (Maxim Levitsky) [2177720]
- KVM: VMX: Allow VM-Fail path of VMREAD helper to be instrumented (Maxim Levitsky) [2177720]
- KVM: x86: Make vmx_get_exit_qual() and vmx_get_intr_info() noinstr-friendly (Maxim Levitsky) [2177720]
- KVM: VMX: don't use "unsigned long" in vmx_vcpu_enter_exit() (Maxim Levitsky) [2177720]
- KVM: VMX: Access @flags as a 32-bit value in __vmx_vcpu_run() (Maxim Levitsky) [2177720]
- KVM: SVM: Account scratch allocations used to decrypt SEV guest memory (Maxim Levitsky) [2177720]
- KVM: svm/avic: Drop "struct kvm_x86_ops" for avic_hardware_setup() (Maxim Levitsky) [2177720]
- KVM: SVM: remove redundant ret variable (Maxim Levitsky) [2177720]
- KVM: selftests: Stop assuming stats are contiguous in kvm_binary_stats_test (Maxim Levitsky) [2177720]
- KVM: x86/xen: Remove unneeded semicolon (Maxim Levitsky) [2177720]
- KVM: selftests: x86: Use host's native hypercall instruction in kvm_hypercall() (Maxim Levitsky) [2177720]
- KVM: selftests: x86: Cache host CPU vendor (AMD vs. Intel) (Maxim Levitsky) [2177720]
- KVM: selftests: x86: Use "this_cpu" prefix for cpu vendor queries (Maxim Levitsky) [2177720]
- KVM: selftests: Fix a typo in the vcpu_msrs_set assert (Maxim Levitsky) [2177720]
- KVM: selftests: kvm_vm_elf_load() and elfhdr_get() should close fd (Maxim Levitsky) [2177720]
- KVM: selftests: Test masked events in PMU filter (Maxim Levitsky) [2177720]
- KVM: selftests: Add testing for KVM_SET_PMU_EVENT_FILTER (Maxim Levitsky) [2177720]
- KVM: selftests: Add flags when creating a pmu event filter (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Introduce masked events to the pmu event filter (Maxim Levitsky) [2177720]
- KVM: x86/pmu: prepare the pmu event filter for masked events (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Remove impossible events from the pmu event filter (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Correct the mask used in a pmu event filter lookup (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Use kstrtobool() instead of strtobool() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Cleanup range-based flushing for given page (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Fix wrong gfn range of tlb flushing in validate_direct_spte() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Fix wrong start gfn of tlb flushing with range (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Reduce gfn range of tlb flushing in tdp_mmu_map_handle_target_level() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Fix wrong gfn range of tlb flushing in kvm_set_pte_rmapp() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Move round_gfn_for_level() helper into mmu_internal.h (Maxim Levitsky) [2177720]
- KVM: x86/mmu: fix an incorrect comment in kvm_mmu_new_pgd() (Maxim Levitsky) [2177720]
- kvm: x86/mmu: Don't clear write flooding for direct SP (Maxim Levitsky) [2177720]
- kvm: x86/mmu: Rename SPTE_TDP_AD_ENABLED_MASK to SPTE_TDP_AD_ENABLED (Maxim Levitsky) [2177720]
- x86/reboot: Disable SVM, not just VMX, when stopping CPUs (Maxim Levitsky) [2177720]
- x86/reboot: Disable virtualization in an emergency if SVM is supported (Maxim Levitsky) [2177720]
- x86/virt: Force GIF=1 prior to disabling SVM (for reboot flows) (Maxim Levitsky) [2177720]
- x86/crash: Disable virt in core NMI crash handler to avoid double shootdown (Maxim Levitsky) [2177720]
- KVM: x86/xen: update Xen CPUID Leaf 4 (tsc info) sub-leaves, if present (Maxim Levitsky) [2177720]
- KVM: x86/cpuid: generalize kvm_update_kvm_cpuid_base() and also capture limit (Maxim Levitsky) [2177720]
- KVM: x86: Replace cpu_dirty_logging_count with nr_memslots_dirty_logging (Maxim Levitsky) [2177720]
- KVM: x86: Replace 0-length arrays with flexible arrays (Maxim Levitsky) [2177720]
- KVM: x86: Advertise fast REP string features inherent to the CPU (Maxim Levitsky) [2177720]
- kvm_host.h: fix spelling typo in function declaration (Maxim Levitsky) [2177720]
- KVM: account allocation in generic version of kvm_arch_alloc_vm() (Maxim Levitsky) [2177720]
- KVM: x86: fire timer when it is migrated and expired, and in oneshot mode (Maxim Levitsky) [2177720]
- KVM: VMX: Intercept reads to invalid and write-only x2APIC registers (Maxim Levitsky) [2177720]
- KVM: VMX: Always intercept accesses to unsupported "extended" x2APIC regs (Maxim Levitsky) [2177720]
- KVM: x86: Split out logic to generate "readable" APIC regs mask to helper (Maxim Levitsky) [2177720]
- KVM: x86: Mark x2APIC DFR reg as non-existent for x2APIC (Maxim Levitsky) [2177720]
- KVM: x86: Inject #GP on x2APIC WRMSR that sets reserved bits 63:32 (Maxim Levitsky) [2177720]
- KVM: x86: Inject #GP if WRMSR sets reserved bits in APIC Self-IPI (Maxim Levitsky) [2177720]
- KVM: x86: remove redundant ret variable (Maxim Levitsky) [2177720]
- KVM: MMU: Make the definition of 'INVALID_GPA' common (Maxim Levitsky) [2177720]
- KVM: x86: Add helpers to recalc physical vs. logical optimized APIC maps (Maxim Levitsky) [2177720]
- KVM: x86: Allow APICv APIC ID inhibit to be cleared (Maxim Levitsky) [2177720]
- KVM: x86: Track required APICv inhibits with variable, not callback (Maxim Levitsky) [2177720]
- Revert "KVM: SVM: Do not throw warning when calling avic_vcpu_load on a running vcpu" (Maxim Levitsky) [2177720]
- KVM: SVM: Ignore writes to Remote Read Data on AVIC write traps (Maxim Levitsky) [2177720]
- KVM: SVM: Handle multiple logical targets in AVIC kick fastpath (Maxim Levitsky) [2177720]
- KVM: SVM: Require logical ID to be power-of-2 for AVIC entry (Maxim Levitsky) [2177720]
- KVM: SVM: Update svm->ldr_reg cache even if LDR is "bad" (Maxim Levitsky) [2177720]
- KVM: SVM: Always update local APIC on writes to logical dest register (Maxim Levitsky) [2177720]
- KVM: SVM: Inhibit AVIC if vCPUs are aliased in logical mode (Maxim Levitsky) [2177720]
- KVM: x86: Inhibit APICv/AVIC if the optimized physical map is disabled (Maxim Levitsky) [2177720]
- KVM: x86: Honor architectural behavior for aliased 8-bit APIC IDs (Maxim Levitsky) [2177720]
- KVM: x86: Disable APIC logical map if vCPUs are aliased in logical mode (Maxim Levitsky) [2177720]
- KVM: x86: Disable APIC logical map if logical ID covers multiple MDAs (Maxim Levitsky) [2177720]
- KVM: x86: Skip redundant x2APIC logical mode optimized cluster setup (Maxim Levitsky) [2177720]
- KVM: x86: Explicitly track all possibilities for APIC map's logical modes (Maxim Levitsky) [2177720]
- KVM: x86: Explicitly skip optimized logical map setup if vCPU's LDR==0 (Maxim Levitsky) [2177720]
- KVM: SVM: Add helper to perform final AVIC "kick" of single vCPU (Maxim Levitsky) [2177720]
- KVM: SVM: Document that vCPU ID == APIC ID in AVIC kick fastpatch (Maxim Levitsky) [2177720]
- Revert "KVM: SVM: Use target APIC ID to complete x2AVIC IRQs when possible" (Maxim Levitsky) [2177720]
- KVM: SVM: Fix x2APIC Logical ID calculation for avic_kick_target_vcpus_fast (Maxim Levitsky) [2177720]
- KVM: SVM: Compute dest based on sender's x2APIC status for AVIC kick (Maxim Levitsky) [2177720]
- KVM: SVM: Replace "avic_mode" enum with "x2avic_enabled" boolean (Maxim Levitsky) [2177720]
- KVM: x86: Inhibit APIC memslot if x2APIC and AVIC are enabled (Maxim Levitsky) [2177720]
- KVM: x86: Move APIC access page helper to common x86 code (Maxim Levitsky) [2177720]
- KVM: x86: Handle APICv updates for APIC "mode" changes via request (Maxim Levitsky) [2177720]
- KVM: SVM: Don't put/load AVIC when setting virtual APIC mode (Maxim Levitsky) [2177720]
- KVM: x86: Don't inhibit APICv/AVIC if xAPIC ID mismatch is due to 32-bit ID (Maxim Levitsky) [2177720]
- KVM: x86: Don't inhibit APICv/AVIC on xAPIC ID "change" if APIC is disabled (Maxim Levitsky) [2177720]
- KVM: SVM: Process ICR on AVIC IPI delivery failure due to invalid target (Maxim Levitsky) [2177720]
- KVM: SVM: Flush the "current" TLB when activating AVIC (Maxim Levitsky) [2177720]
- KVM: x86: Purge "highest ISR" cache when updating APICv state (Maxim Levitsky) [2177720]
- KVM: x86: Blindly get current x2APIC reg value on "nodecode write" traps (Maxim Levitsky) [2177720]
- KVM: Clean up error labels in kvm_init() (Maxim Levitsky) [2177720]
- KVM: Opt out of generic hardware enabling on s390 and PPC (Maxim Levitsky) [2177720]
- KVM: Register syscore (suspend/resume) ops early in kvm_init() (Maxim Levitsky) [2177720]
- KVM: Make hardware_enable_failed a local variable in the "enable all" path (Maxim Levitsky) [2177720]
- KVM: Use a per-CPU variable to track which CPUs have enabled virtualization (Maxim Levitsky) [2177720]
- KVM: Remove on_each_cpu(hardware_disable_nolock) in kvm_exit() (Maxim Levitsky) [2177720]
- KVM: Drop kvm_count_lock and instead protect kvm_usage_count with kvm_lock (Maxim Levitsky) [2177720]
- KVM: Ensure CPU is stable during low level hardware enable/disable (Maxim Levitsky) [2177720]
- KVM: Disable CPU hotplug during hardware enabling/disabling (Maxim Levitsky) [2177720]
- KVM: Rename and move CPUHP_AP_KVM_STARTING to ONLINE section (Maxim Levitsky) [2177720]
- KVM: x86: Do compatibility checks when onlining CPU (Maxim Levitsky) [2177720]
- KVM: x86: Move CPU compat checks hook to kvm_x86_ops (from kvm_x86_init_ops) (Maxim Levitsky) [2177720]
- KVM: SVM: Check for SVM support in CPU compatibility checks (Maxim Levitsky) [2177720]
- KVM: VMX: Shuffle support checks and hardware enabling code around (Maxim Levitsky) [2177720]
- KVM: x86: Do VMX/SVM support checks directly in vendor code (Maxim Levitsky) [2177720]
- KVM: VMX: Use current CPU's info to perform "disabled by BIOS?" checks (Maxim Levitsky) [2177720]
- KVM: x86: Unify pr_fmt to use module name for all KVM modules (Maxim Levitsky) [2177720]
- KVM: x86: Use KBUILD_MODNAME to specify vendor module name (Maxim Levitsky) [2177720]
- KVM: Drop kvm_arch_check_processor_compat() hook (Maxim Levitsky) [2177720]
- KVM: x86: Do CPU compatibility checks in x86 code (Maxim Levitsky) [2177720]
- KVM: VMX: Make VMCS configuration/capabilities structs read-only after init (Maxim Levitsky) [2177720]
- KVM: Drop kvm_arch_{init,exit}() hooks (Maxim Levitsky) [2177720]
- KVM: s390: Mark __kvm_s390_init() and its descendants as __init (Maxim Levitsky) [2177720]
- KVM: s390: Do s390 specific init without bouncing through kvm_init() (Maxim Levitsky) [2177720]
- KVM: PPC: Move processor compatibility check to module init (Maxim Levitsky) [2177720]
- KVM: MIPS: Register die notifier prior to kvm_init() (Maxim Levitsky) [2177720]
- KVM: MIPS: Setup VZ emulation? directly from kvm_mips_init() (Maxim Levitsky) [2177720]
- KVM: MIPS: Hardcode callbacks to hardware virtualization extensions (Maxim Levitsky) [2177720]
- KVM: arm64: Mark kvm_arm_init() and its unique descendants as __init (Maxim Levitsky) [2177720]
- KVM: arm64: Do arm/arch initialization without bouncing through kvm_init() (Maxim Levitsky) [2177720]
- KVM: arm64: Unregister perf callbacks if hypervisor finalization fails (Maxim Levitsky) [2177720]
- KVM: arm64: Free hypervisor allocations if vector slot init fails (Maxim Levitsky) [2177720]
- KVM: arm64: Simplify the CPUHP logic (Maxim Levitsky) [2177720]
- KVM: x86: Serialize vendor module initialization (hardware setup) (Maxim Levitsky) [2177720]
- KVM: VMX: Do _all_ initialization before exposing /dev/kvm to userspace (Maxim Levitsky) [2177720]
- KVM: x86: Move guts of kvm_arch_init() to standalone helper (Maxim Levitsky) [2177720]
- KVM: VMX: Move Hyper-V eVMCS initialization to helper (Maxim Levitsky) [2177720]
- KVM: VMX: Don't bother disabling eVMCS static key on module exit (Maxim Levitsky) [2177720]
- KVM: VMX: Reset eVMCS controls in VP assist page during hardware disabling (Maxim Levitsky) [2177720]
- KVM: Drop arch hardware (un)setup hooks (Maxim Levitsky) [2177720]
- KVM: x86: Move hardware setup/unsetup to init/exit (Maxim Levitsky) [2177720]
- KVM: x86: Do timer initialization after XCR0 configuration (Maxim Levitsky) [2177720]
- KVM: s390: Move hardware setup/unsetup to init/exit (Maxim Levitsky) [2177720]
- KVM: s390: Unwind kvm_arch_init() piece-by-piece() if a step fails (Maxim Levitsky) [2177720]
- KVM: Teardown VFIO ops earlier in kvm_exit() (Maxim Levitsky) [2177720]
- KVM: Allocate cpus_hardware_enabled after arch hardware setup (Maxim Levitsky) [2177720]
- KVM: Initialize IRQ FD after arch hardware setup (Maxim Levitsky) [2177720]
- KVM: Register /dev/kvm as the _very_ last thing during initialization (Maxim Levitsky) [2177720]
- KVM: selftests: Test Hyper-V invariant TSC control (Maxim Levitsky) [2177720]
- KVM: selftests: Test that values written to Hyper-V MSRs are preserved (Maxim Levitsky) [2177720]
- KVM: selftests: Convert hyperv_features test to using KVM_X86_CPU_FEATURE() (Maxim Levitsky) [2177720]
- KVM: selftests: Rename 'msr->available' to 'msr->fault_exepected' in hyperv_features test (Maxim Levitsky) [2177720]
- KVM: x86: Hyper-V invariant TSC control (Maxim Levitsky) [2177720]
- KVM: x86: Add a KVM-only leaf for CPUID_8000_0007_EDX (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Pivot on "TDP MMU enabled" when handling direct page faults (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Pivot on "TDP MMU enabled" to check if active MMU is TDP MMU (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Replace open coded usage of tdp_mmu_page with is_tdp_mmu_page() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Rename __direct_map() to direct_map() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Fix race condition in direct_page_fault (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Stop needlessly making MMU pages available for TDP MMU faults (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Split out TDP MMU page fault handling (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Initialize fault.{gfn,slot} earlier for direct MMUs (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Handle no-slot faults in kvm_faultin_pfn() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Avoid memslot lookup during KVM_PFN_ERR_HWPOISON handling (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Handle error PFNs in kvm_faultin_pfn() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Grab mmu_invalidate_seq in kvm_faultin_pfn() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Move TDP MMU VM init/uninit behind tdp_mmu_enabled (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Change tdp_mmu to a read-only parameter (Maxim Levitsky) [2177720]
- KVM: selftests: x86: Use TAP interface in the tsc_msrs_test (Maxim Levitsky) [2177720]
- KVM: selftests: Use TAP interface in the kvm_binary_stats_test (Maxim Levitsky) [2177720]
- kvm: x86/mmu: Warn on linking when sp->unsync_children (Maxim Levitsky) [2177720]
- KVM: VMX: Resurrect vmcs_conf sanitization for KVM-on-Hyper-V (Maxim Levitsky) [2177720]
- KVM: nVMX: Prepare to sanitize tertiary execution controls with eVMCS (Maxim Levitsky) [2177720]
- KVM: nVMX: Invert 'unsupported by eVMCSv1' check (Maxim Levitsky) [2177720]
- KVM: nVMX: Sanitize primary processor-based VM-execution controls with eVMCS too (Maxim Levitsky) [2177720]
- kvm: initialize all of the kvm_debugregs structure before sending it to userspace (Maxim Levitsky) [2177720]
- Documentation/hw-vuln: Fix rST warning (Maxim Levitsky) [2177720]
- Documentation/hw-vuln: Add documentation for Cross-Thread Return Predictions (Maxim Levitsky) [2177720]
- KVM: x86: Mitigate the cross-thread return address predictions bug (Maxim Levitsky) [2177720]
- x86/speculation: Identify processors vulnerable to SMT RSB predictions (Maxim Levitsky) [2177720]
- KVM: selftests: Make reclaim_period_ms input always be positive (Maxim Levitsky) [2177720]
- KVM: x86/vmx: Do not skip segment attributes if unusable bit is set (Maxim Levitsky) [2177720]
- selftests: kvm: move declaration at the beginning of main() (Maxim Levitsky) [2177720]
- KVM: x86/xen: Avoid deadlock by adding kvm->arch.xen.xen_lock leaf node lock (Maxim Levitsky) [2177720]
- KVM: x86/xen: Fix potential deadlock in kvm_xen_update_runstate_guest() (Maxim Levitsky) [2177720]
- KVM: x86/xen: Fix lockdep warning on "recursive" gpc locking (Maxim Levitsky) [2177720]
- Documentation: kvm: fix SRCU locking order docs (Maxim Levitsky) [2177720]
- KVM: x86: Do not return host topology information from KVM_GET_SUPPORTED_CPUID (Maxim Levitsky) [2177720]
- KVM: nSVM: clarify recalc_intercepts() wrt CR8 (Maxim Levitsky) [2177720]
- KVM: arm64: Document the behaviour of S1PTW faults on RO memslots (Maxim Levitsky) [2177720]
- KVM: selftests: restore special vmmcall code layout needed by the harness (Maxim Levitsky) [2177720]
- Documentation: kvm: clarify SRCU locking order (Maxim Levitsky) [2177720]
- KVM: x86: fix deadlock for KVM_XEN_EVTCHN_RESET (Maxim Levitsky) [2177720]
- KVM: x86/xen: Documentation updates and clarifications (Maxim Levitsky) [2177720]
- KVM: x86/xen: Add KVM_XEN_INVALID_GPA and KVM_XEN_INVALID_GFN to uapi (Maxim Levitsky) [2177720]
- KVM: x86/xen: Simplify eventfd IOCTLs (Maxim Levitsky) [2177720]
- KVM: x86/xen: Fix SRCU/RCU usage in readers of evtchn_ports (Maxim Levitsky) [2177720]
- KVM: x86/xen: Use kvm_read_guest_virt() instead of open-coding it badly (Maxim Levitsky) [2177720]
- KVM: x86/xen: Fix memory leak in kvm_xen_write_hypercall_page() (Maxim Levitsky) [2177720]
- KVM: Delete extra block of "};" in the KVM API documentation (Maxim Levitsky) [2177720]
- kvm: x86/mmu: Remove duplicated "be split" in spte.h (Maxim Levitsky) [2177720]
- kvm: Remove the unused macro KVM_MMU_READ_{,UN}LOCK() (Maxim Levitsky) [2177720]
- KVM: selftests: Mark correct page as mapped in virt_map() (Maxim Levitsky) [2177720]
- KVM: arm64: selftests: Don't identity map the ucall MMIO hole (Maxim Levitsky) [2177720]
- KVM: selftests: document the default implementation of vm_vaddr_populate_bitmap (Maxim Levitsky) [2177720]
- KVM: selftests: Use magic value to signal ucall_alloc() failure (Maxim Levitsky) [2177720]
- KVM: selftests: Disable "gnu-variable-sized-type-not-at-end" warning (Maxim Levitsky) [2177720]
- KVM: selftests: Include lib.mk before consuming $(CC) (Maxim Levitsky) [2177720]
- KVM: selftests: Explicitly disable builtins for mem*() overrides (Maxim Levitsky) [2177720]
- KVM: selftests: Probe -no-pie with actual CFLAGS used to compile (Maxim Levitsky) [2177720]
- KVM: selftests: Use proper function prototypes in probing code (Maxim Levitsky) [2177720]
- KVM: selftests: Rename UNAME_M to ARCH_DIR, fill explicitly for x86 (Maxim Levitsky) [2177720]
- KVM: selftests: Fix a typo in x86-64's kvm_get_cpu_address_width() (Maxim Levitsky) [2177720]
- KVM: selftests: Use pattern matching in .gitignore (Maxim Levitsky) [2177720]
- KVM: selftests: Delete dead code in x86_64/vmx_tsc_adjust_test.c (Maxim Levitsky) [2177720]
- KVM: selftests: Define literal to asm constraint in aarch64 as unsigned long (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Don't install TDP MMU SPTE if SP has unexpected level (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Re-check under lock that TDP MMU SP hugepage is disallowed (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Map TDP MMU leaf SPTE iff target level is reached (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Don't attempt to map leaf if target TDP MMU SPTE is frozen (Maxim Levitsky) [2177720]
- KVM: nVMX: Don't stuff secondary execution control if it's not supported (Maxim Levitsky) [2177720]
- KVM: nVMX: Properly expose ENABLE_USR_WAIT_PAUSE control to L1 (Maxim Levitsky) [2177720]
- KVM: nVMX: Document that ignoring memory failures for VMCLEAR is deliberate (Maxim Levitsky) [2177720]
- KVM: selftests: Zero out valid_bank_mask for "all" case in Hyper-V IPI test (Maxim Levitsky) [2177720]
- KVM: x86: Sanity check inputs to kvm_handle_memory_failure() (Maxim Levitsky) [2177720]
- KVM: x86: Simplify kvm_apic_hw_enabled (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Fix 'using uninitialized value' Coverity warning (Maxim Levitsky) [2177720]
- KVM: x86: ioapic: Fix level-triggered EOI and userspace I/OAPIC reconfigure race (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Prevent zero period event from being repeatedly released (Maxim Levitsky) [2177720]
- KVM: x86: Add proper ReST tables for userspace MSR exits/flags (Maxim Levitsky) [2177720]
- KVM: selftests: Allocate ucall pool from MEM_REGION_DATA (Maxim Levitsky) [2177720]
- KVM: arm64: selftests: Align VA space allocator with TTBR0 (Maxim Levitsky) [2177720]
- KVM: x86: remove unnecessary exports (Maxim Levitsky) [2177720]
- KVM: selftests: Fix spelling mistake "probabalistic" -> "probabilistic" (Maxim Levitsky) [2177720]
- tools: KVM: selftests: Convert clear/set_bit() to actual atomics (Maxim Levitsky) [2177720]
- tools: Drop "atomic_" prefix from atomic test_and_set_bit() (Maxim Levitsky) [2177720]
- tools: Drop conflicting non-atomic test_and_{clear,set}_bit() helpers (Maxim Levitsky) [2177720]
- KVM: selftests: Use non-atomic clear/set bit helpers in KVM tests (Maxim Levitsky) [2177720]
- KVM: arm64: selftests: Enable single-step without a "full" ucall() (Maxim Levitsky) [2177720]
- KVM: x86: fix APICv/x2AVIC disabled when vm reboot by itself (Maxim Levitsky) [2177720]
- KVM: Remove stale comment about KVM_REQ_UNHALT (Maxim Levitsky) [2177720]
- KVM: Add missing arch for KVM_CREATE_DEVICE and KVM_{SET,GET}_DEVICE_ATTR (Maxim Levitsky) [2177720]
- KVM: Delete all references to removed KVM_SET_MEMORY_ALIAS ioctl (Maxim Levitsky) [2177720]
- KVM: Delete all references to removed KVM_SET_MEMORY_REGION ioctl (Maxim Levitsky) [2177720]
- KVM: selftests: Define and use a custom static assert in lib headers (Maxim Levitsky) [2177720]
- KVM: selftests: Do kvm_cpu_has() checks before creating VM+vCPU (Maxim Levitsky) [2177720]
- KVM: selftests: Disallow "get supported CPUID" before REQ_XCOMP_GUEST_PERM (Maxim Levitsky) [2177720]
- KVM: selftests: Move __vm_xsave_require_permission() below CPUID helpers (Maxim Levitsky) [2177720]
- KVM: selftests: Move XFD CPUID checking out of __vm_xsave_require_permission() (Maxim Levitsky) [2177720]
- KVM: selftests: Restore assert for non-nested VMs in access tracking test (Maxim Levitsky) [2177720]
- KVM: selftests: Fix inverted "warning" in access tracking perf test (Maxim Levitsky) [2177720]
- KVM: x86: Use current rather than snapshotted TSC frequency if it is constant (Maxim Levitsky) [2177720]
- KVM: selftests: Verify userspace can stuff IA32_FEATURE_CONTROL at will (Maxim Levitsky) [2177720]
- KVM: VMX: Move MSR_IA32_FEAT_CTL.LOCKED check into "is valid" helper (Maxim Levitsky) [2177720]
- KVM: VMX: Allow userspace to set all supported FEATURE_CONTROL bits (Maxim Levitsky) [2177720]
- KVM: VMX: Make vmread_error_trampoline() uncallable from C code (Maxim Levitsky) [2177720]
- KVM: nVMX: Reword comments about generating nested CR0/4 read shadows (Maxim Levitsky) [2177720]
- KVM: x86: Clean up KVM_CAP_X86_USER_SPACE_MSR documentation (Maxim Levitsky) [2177720]
- KVM: x86: Reword MSR filtering docs to more precisely define behavior (Maxim Levitsky) [2177720]
- KVM: x86: Delete documentation for READ|WRITE in KVM_X86_SET_MSR_FILTER (Maxim Levitsky) [2177720]
- KVM: VMX: Guest usage of IA32_SPEC_CTRL is likely (Maxim Levitsky) [2177720]
- KVM: SVM: Replace kmap_atomic() with kmap_local_page() (Maxim Levitsky) [2177720]
- KVM: SVM: Skip WRMSR fastpath on VM-Exit if next RIP isn't valid (Maxim Levitsky) [2177720]
- KVM: x86: Fail emulation during EMULTYPE_SKIP on any exception (Maxim Levitsky) [2177720]
- KVM: x86: Keep the lock order consistent between SRCU and gpc spinlock (Maxim Levitsky) [2177720]
- KVM: VMX: Resume guest immediately when injecting #GP on ECREATE (Maxim Levitsky) [2177720]
- KVM: Skip unnecessary "unmap" if gpc is already valid during refresh (Maxim Levitsky) [2177720]
- KVM: Drop @gpa from exported gfn=>pfn cache check() and refresh() helpers (Maxim Levitsky) [2177720]
- KVM: Do not partially reinitialize gfn=>pfn cache during activation (Maxim Levitsky) [2177720]
- KVM: Drop KVM's API to allow temporarily unmapping gfn=>pfn cache (Maxim Levitsky) [2177720]
- KVM: Use gfn_to_pfn_cache's immutable "kvm" in kvm_gpc_refresh() (Maxim Levitsky) [2177720]
- KVM: Clean up hva_to_pfn_retry() (Maxim Levitsky) [2177720]
- KVM: Use gfn_to_pfn_cache's immutable "kvm" in kvm_gpc_check() (Maxim Levitsky) [2177720]
- KVM: Store immutable gfn_to_pfn_cache properties (Maxim Levitsky) [2177720]
- KVM: x86/xen: add support for 32-bit guests in SCHEDOP_poll (Maxim Levitsky) [2177720]
- KVM: x86: Remove unused argument in gpc_unmap_khva() (Maxim Levitsky) [2177720]
- KVM: Shorten gfn_to_pfn_cache function names (Maxim Levitsky) [2177720]
- KVM: x86/xen: Add runstate tests for 32-bit mode and crossing page boundary (Maxim Levitsky) [2177720]
- KVM: x86/xen: Allow XEN_RUNSTATE_UPDATE flag behaviour to be configured (Maxim Levitsky) [2177720]
- KVM: x86/xen: Compatibility fixes for shared runstate area (Maxim Levitsky) [2177720]
- KVM: selftests: Have perf_test_util signal when to stop vCPUs (Maxim Levitsky) [2177720]
- KVM: x86: Advertise PREFETCHIT0/1 CPUID to user space (Maxim Levitsky) [2177720]
- KVM: x86: Advertise AVX-NE-CONVERT CPUID to user space (Maxim Levitsky) [2177720]
- x86: KVM: Advertise AVX-IFMA CPUID to user space (Maxim Levitsky) [2177720]
- x86: KVM: Advertise AMX-FP16 CPUID to user space (Maxim Levitsky) [2177720]
- x86: KVM: Advertise CMPccXADD CPUID to user space (Maxim Levitsky) [2177720]
- KVM: x86: Add BUILD_BUG_ON() to detect bad usage of "scattered" flags (Maxim Levitsky) [2177720]
- KVM: x86/xen: Add CPL to Xen hypercall tracepoint (Maxim Levitsky) [2177720]
- KVM: always declare prototype for kvm_arch_irqchip_in_kernel (Maxim Levitsky) [2177720]
- KVM: selftests: Rename 'evmcs_test' to 'hyperv_evmcs' (Maxim Levitsky) [2177720]
- KVM: selftests: hyperv_svm_test: Introduce L2 TLB flush test (Maxim Levitsky) [2177720]
- KVM: selftests: evmcs_test: Introduce L2 TLB flush test (Maxim Levitsky) [2177720]
- KVM: selftests: Introduce rdmsr_from_l2() and use it for MSR-Bitmap tests (Maxim Levitsky) [2177720]
- KVM: selftests: Stuff RAX/RCX with 'safe' values in vmmcall()/vmcall() (Maxim Levitsky) [2177720]
- KVM: selftests: Allocate Hyper-V partition assist page (Maxim Levitsky) [2177720]
- KVM: selftests: Create a vendor independent helper to allocate Hyper-V specific test pages (Maxim Levitsky) [2177720]
- KVM: selftests: Split off load_evmcs() from load_vmcs() (Maxim Levitsky) [2177720]
- KVM: selftests: Move Hyper-V VP assist page enablement out of evmcs.h (Maxim Levitsky) [2177720]
- KVM: selftests: Sync 'struct hv_vp_assist_page' definition with hyperv-tlfs.h (Maxim Levitsky) [2177720]
- KVM: selftests: Sync 'struct hv_enlightened_vmcs' definition with hyperv-tlfs.h (Maxim Levitsky) [2177720]
- KVM: selftests: Hyper-V PV TLB flush selftest (Maxim Levitsky) [2177720]
- KVM: selftests: Export vm_vaddr_unused_gap() to make it possible to request unmapped ranges (Maxim Levitsky) [2177720]
- KVM: selftests: Fill in vm->vpages_mapped bitmap in virt_map() too (Maxim Levitsky) [2177720]
- KVM: selftests: Hyper-V PV IPI selftest (Maxim Levitsky) [2177720]
- KVM: selftests: Move the function doing Hyper-V hypercall to a common header (Maxim Levitsky) [2177720]
- KVM: selftests: Move HYPERV_LINUX_OS_ID definition to a common header (Maxim Levitsky) [2177720]
- KVM: selftests: Better XMM read/write helpers (Maxim Levitsky) [2177720]
- KVM: x86: Expose Hyper-V L2 TLB flush feature (Maxim Levitsky) [2177720]
- KVM: nSVM: hyper-v: Enable L2 TLB flush (Maxim Levitsky) [2177720]
- KVM: x86: Make kvm_hv_get_assist_page() return 0/-errno (Maxim Levitsky) [2177720]
- KVM: nVMX: hyper-v: Enable L2 TLB flush (Maxim Levitsky) [2177720]
- KVM: nVMX: hyper-v: Cache VP assist page in 'struct kvm_vcpu_hv' (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Introduce fast guest_hv_cpuid_has_l2_tlb_flush() check (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: L2 TLB flush (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Introduce kvm_hv_is_tlb_flush_hcall() (Maxim Levitsky) [2177720]
- KVM: x86: Introduce .hv_inject_synthetic_vmexit_post_tlb_flush() nested hook (Maxim Levitsky) [2177720]
- KVM: nSVM: Keep track of Hyper-V hv_vm_id/hv_vp_id (Maxim Levitsky) [2177720]
- KVM: nVMX: Keep track of hv_vm_id/hv_vp_id when eVMCS is in use (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Use preallocated buffer in 'struct kvm_vcpu_hv' instead of on-stack 'sparse_banks' (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Create a separate fifo for L2 TLB flush (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Don't use sparse_set_to_vcpu_mask() in kvm_hv_send_ipi() (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Use HV_MAX_SPARSE_VCPU_BANKS/HV_VCPUS_PER_SPARSE_BANK instead of raw '64' (Maxim Levitsky) [2177720]
- KVM: x86: Prepare kvm_hv_flush_tlb() to handle L2's GPAs (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Handle HVCALL_FLUSH_VIRTUAL_ADDRESS_LIST{,EX} calls gently (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Add helper to read hypercall data for array (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Introduce TLB flush fifo (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Resurrect dedicated KVM_REQ_HV_TLB_FLUSH flag (Maxim Levitsky) [2177720]
- KVM: x86: Move clearing of TLB_FLUSH_CURRENT to kvm_vcpu_flush_tlb_all() (Maxim Levitsky) [2177720]
- KVM: VMX: Rename "vmx/evmcs.{ch}" to "vmx/hyperv.{ch}" (Maxim Levitsky) [2177720]
- KVM: x86: Rename 'enable_direct_tlbflush' to 'enable_l2_tlb_flush' (Maxim Levitsky) [2177720]
- x86/hyperv: KVM: Rename "hv_enlightenments" to "hv_vmcb_enlightenments" (Maxim Levitsky) [2177720]
- KVM: SVM: Add a proper field for Hyper-V VMCB enlightenments (Maxim Levitsky) [2177720]
- KVM: selftests: Move "struct hv_enlightenments" to x86_64/svm.h (Maxim Levitsky) [2177720]
- KVM: x86: avoid memslot check in NX hugepage recovery if it cannot succeed (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Do not recover dirty-tracked NX Huge Pages (Maxim Levitsky) [2177720]
- KVM: x86/mmu: simplify kvm_tdp_mmu_map flow when guest has to retry (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Split huge pages mapped by the TDP MMU on fault (Maxim Levitsky) [2177720]
- KVM: selftests: Assert in prepare_eptp() that nEPT is supported (Maxim Levitsky) [2177720]
- KVM: selftests: Check for KVM nEPT support using "feature" MSRs (Maxim Levitsky) [2177720]
- KVM: selftests: Drop helpers for getting specific KVM supported CPUID entry (Maxim Levitsky) [2177720]
- KVM: selftests: Add and use KVM helpers for x86 Family and Model (Maxim Levitsky) [2177720]
- KVM: selftests: Add dedicated helpers for getting x86 Family and Model (Maxim Levitsky) [2177720]
- KVM: selftests: Add PMU feature framework, use in PMU event filter test (Maxim Levitsky) [2177720]
- KVM: selftests: Convert vmx_pmu_caps_test to use X86_PROPERTY_* (Maxim Levitsky) [2177720]
- KVM: selftests: Assert that XTILE is XSAVE-enabled (Maxim Levitsky) [2177720]
- KVM: selftests: Convert AMX test to use X86_PROPRETY_XXX (Maxim Levitsky) [2177720]
- KVM: selftests: Add kvm_cpu_*() support for X86_PROPERTY_* (Maxim Levitsky) [2177720]
- KVM: selftests: Refactor kvm_cpuid_has() to prep for X86_PROPERTY_* support (Maxim Levitsky) [2177720]
- KVM: selftests: Use X86_PROPERTY_MAX_KVM_LEAF in CPUID test (Maxim Levitsky) [2177720]
- KVM: selftests: Add X86_PROPERTY_* framework to retrieve CPUID values (Maxim Levitsky) [2177720]
- KVM: selftests: Refactor X86_FEATURE_* framework to prep for X86_PROPERTY_* (Maxim Levitsky) [2177720]
- KVM: selftests: Add X86_FEATURE_PAE and use it calc "fallback" MAXPHYADDR (Maxim Levitsky) [2177720]
- KVM: selftests: Add a test for KVM_CAP_EXIT_ON_EMULATION_FAILURE (Maxim Levitsky) [2177720]
- KVM: selftests: Expect #PF(RSVD) when TDP is disabled (Maxim Levitsky) [2177720]
- KVM: selftests: Provide error code as a KVM_ASM_SAFE() output (Maxim Levitsky) [2177720]
- KVM: selftests: Avoid JMP in non-faulting path of KVM_ASM_SAFE() (Maxim Levitsky) [2177720]
- KVM: selftests: Copy KVM PFERR masks into selftests (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Use BIT{,_ULL}() for PFERR masks (Maxim Levitsky) [2177720]
- KVM: selftests: Move flds instruction emulation failure handling to header (Maxim Levitsky) [2177720]
- KVM: selftests: Delete dead ucall code (Maxim Levitsky) [2177720]
- KVM: selftests: Explicitly require instructions bytes (Maxim Levitsky) [2177720]
- KVM: selftests: Rename emulator_error_test to smaller_maxphyaddr_emulation_test (Maxim Levitsky) [2177720]
- KVM: selftests: Don't assume vcpu->id is '0' in xAPIC state test (Maxim Levitsky) [2177720]
- KVM: selftests: Add arch specific post vm creation hook (Maxim Levitsky) [2177720]
- KVM: selftests: Add arch specific initialization (Maxim Levitsky) [2177720]
- KVM: selftests: Play nice with huge pages when getting PTEs/GPAs (Maxim Levitsky) [2177720]
- KVM: selftests: Use vm_get_page_table_entry() in addr_arch_gva2gpa() (Maxim Levitsky) [2177720]
- KVM: selftests: Use virt_get_pte() when getting PTE pointer (Maxim Levitsky) [2177720]
- KVM: selftests: Verify parent PTE is PRESENT when getting child PTE (Maxim Levitsky) [2177720]
- KVM: selftests: Remove useless shifts when creating guest page tables (Maxim Levitsky) [2177720]
- KVM: selftests: Drop reserved bit checks from PTE accessor (Maxim Levitsky) [2177720]
- KVM: selftests: Drop helpers to read/write page table entries (Maxim Levitsky) [2177720]
- KVM: selftests: Fix spelling mistake "begining" -> "beginning" (Maxim Levitsky) [2177720]
- KVM: selftests: Add ucall pool based implementation (Maxim Levitsky) [2177720]
- KVM: selftests: Drop now-unnecessary ucall_uninit() (Maxim Levitsky) [2177720]
- KVM: selftests: Make arm64's MMIO ucall multi-VM friendly (Maxim Levitsky) [2177720]
- tools: Add atomic_test_and_set_bit() (Maxim Levitsky) [2177720]
- KVM: selftests: Automatically do init_ucall() for non-barebones VMs (Maxim Levitsky) [2177720]
- KVM: selftests: Consolidate boilerplate code in get_ucall() (Maxim Levitsky) [2177720]
- KVM: selftests: Consolidate common code for populating ucall struct (Maxim Levitsky) [2177720]
- KVM: selftests: Rename perf_test_util symbols to memstress (Maxim Levitsky) [2177720]
- KVM: selftests: Rename pta (short for perf_test_args) to args (Maxim Levitsky) [2177720]
- KVM: selftests: Rename perf_test_util.[ch] to memstress.[ch] (Maxim Levitsky) [2177720]
- KVM: selftests: randomize page access order (Maxim Levitsky) [2177720]
- KVM: selftests: randomize which pages are written vs read (Maxim Levitsky) [2177720]
- KVM: selftests: create -r argument to specify random seed (Maxim Levitsky) [2177720]
- KVM: selftests: implement random number generator for guest code (Maxim Levitsky) [2177720]
- KVM: selftests: Allowing running dirty_log_perf_test on specific CPUs (Maxim Levitsky) [2177720]
- KVM: selftests: Add atoi_positive() and atoi_non_negative() for input validation (Maxim Levitsky) [2177720]
- KVM: selftests: Shorten the test args in memslot_modification_stress_test.c (Maxim Levitsky) [2177720]
- KVM: selftests: Use SZ_* macros from sizes.h in max_guest_memory_test.c (Maxim Levitsky) [2177720]
- KVM: selftests: Add atoi_paranoid() to catch errors missed by atoi() (Maxim Levitsky) [2177720]
- KVM: selftests: Put command line options in alphabetical order in dirty_log_perf_test (Maxim Levitsky) [2177720]
- KVM: selftests: Add missing break between -e and -g option in dirty_log_perf_test (Maxim Levitsky) [2177720]
- KVM: Push dirty information unconditionally to backup bitmap (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Add mix of tests into page_fault_test (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Add readonly memslot tests into page_fault_test (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Add dirty logging tests into page_fault_test (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Add userfaultfd tests into page_fault_test (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Add aarch64/page_fault_test (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Add virt_get_pte_hva() library function (Maxim Levitsky) [2177720]
- KVM: selftests: Use the right memslot for code, page-tables, and data allocations (Maxim Levitsky) [2177720]
- KVM: selftests: Fix alignment in virt_arch_pgd_alloc() and vm_vaddr_alloc() (Maxim Levitsky) [2177720]
- KVM: selftests: Add vm->memslots[] and enum kvm_mem_region_type (Maxim Levitsky) [2177720]
- KVM: selftests: Stash backing_src_type in struct userspace_mem_region (Maxim Levitsky) [2177720]
- KVM: selftests: Add missing close and munmap in __vm_mem_region_delete() (Maxim Levitsky) [2177720]
- KVM: selftests: Add a userfaultfd library (Maxim Levitsky) [2177720]
- KVM: selftests: Automate choosing dirty ring size in dirty_log_test (Maxim Levitsky) [2177720]
- KVM: selftests: Clear dirty ring states between two modes in dirty_log_test (Maxim Levitsky) [2177720]
- KVM: selftests: Use host page size to map ring buffer in dirty_log_test (Maxim Levitsky) [2177720]
- KVM: Support dirty ring in conjunction with bitmap (Maxim Levitsky) [2177720]
- KVM: Move declaration of kvm_cpu_dirty_log_size() to kvm_dirty_ring.h (Maxim Levitsky) [2177720]
- KVM: x86: Introduce KVM_REQ_DIRTY_RING_SOFT_FULL (Maxim Levitsky) [2177720]
- KVM: replace direct irq.h inclusion (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Defer counter emulated overflow via pmc->prev_counter (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Defer reprogram_counter() to kvm_pmu_handle_event() (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Clear "reprogram" bit if counter is disabled or disallowed (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Force reprogramming of all counters on PMU filter change (Maxim Levitsky) [2177720]
- KVM: x86/mmu: WARN if TDP MMU SP disallows hugepage after being zapped (Maxim Levitsky) [2177720]
- KVM: x86/mmu: explicitly check nx_hugepage in disallowed_hugepage_adjust() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Add helper to convert SPTE value to its shadow page (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Track the number of TDP MMU pages, but not the actual pages (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Set disallowed_nx_huge_page in TDP MMU before setting SPTE (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Properly account NX huge page workaround for nonpaging MMUs (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Rename NX huge pages fields/functions for consistency (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Tag disallowed NX huge pages even if they're not tracked (Maxim Levitsky) [2177720]
- selftests: kvm/x86: Test the flags in MSR filtering and MSR exiting (Maxim Levitsky) [2177720]
- KVM: x86: Add a VALID_MASK for the flags in kvm_msr_filter_range (Maxim Levitsky) [2177720]
- KVM: x86: Add a VALID_MASK for the flag in kvm_msr_filter (Maxim Levitsky) [2177720]
- KVM: x86: Add a VALID_MASK for the MSR exit reason flags (Maxim Levitsky) [2177720]
- tools headers kvm: Sync uapi/{asm/linux} kvm.h headers with the kernel sources (Maxim Levitsky) [2177720]
- tools headers UAPI: Sync linux/kvm.h with the kernel sources (Maxim Levitsky) [2177720]
- tools headers UAPI: Sync linux/kvm.h with the kernel sources (Maxim Levitsky) [2177720]
- tools headers UAPI: Sync x86's asm/kvm.h with the kernel sources (Maxim Levitsky) [2177720]
- KVM: Reference to kvm_userspace_memory_region in doc and comments (Maxim Levitsky) [2177720]
- KVM: x86: Disallow the use of KVM_MSR_FILTER_DEFAULT_ALLOW in the kernel (Maxim Levitsky) [2177720]
- kvm: x86: Allow to respond to generic signals during slow PF (Maxim Levitsky) [2177720]
- kvm: Add interruptible flag to __gfn_to_pfn_memslot() (Maxim Levitsky) [2177720]
- kvm: Add KVM_PFN_ERR_SIGPENDING (Maxim Levitsky) [2177720]
- mm/gup: Add FOLL_INTERRUPTIBLE (Maxim Levitsky) [2177720]
- KVM: x86: do not define SMM-related constants if SMM disabled (Maxim Levitsky) [2177720]
- KVM: zero output of KVM_GET_VCPU_EVENTS before filling in the struct (Maxim Levitsky) [2177720]
- KVM: x86: do not define KVM_REQ_SMI if SMM disabled (Maxim Levitsky) [2177720]
- KVM: x86: remove SMRAM address space if SMM is not supported (Maxim Levitsky) [2177720]
- KVM: x86: compile out vendor-specific code if SMM is disabled (Maxim Levitsky) [2177720]
- KVM: allow compiling out SMM support (Maxim Levitsky) [2177720]
- KVM: SVM: Name and check reserved fields with structs offset (Maxim Levitsky) [2177720]
- x86/kvm: Remove unused virt to phys translation in kvm_guest_cpu_init() (Maxim Levitsky) [2177720]
- KVM: x86: Insert "AMD" in KVM_X86_FEATURE_PSFD (Maxim Levitsky) [2177720]
- KVM: x86/mmu: use helper macro SPTE_ENT_PER_PAGE (Maxim Levitsky) [2177720]
- KVM: x86/mmu: fix some comment typos (Maxim Levitsky) [2177720]
- KVM: x86: remove obsolete kvm_mmu_gva_to_gpa_fetch() (Maxim Levitsky) [2177720]
- KVM: x86: Directly query supported PERF_CAPABILITIES for WRMSR checks (Maxim Levitsky) [2177720]
- KVM: x86: Handle PERF_CAPABILITIES in common x86's kvm_get_msr_feature() (Maxim Levitsky) [2177720]
- KVM: x86: Init vcpu->arch.perf_capabilities in common x86 code (Maxim Levitsky) [2177720]
- KVM: x86: Track supported PERF_CAPABILITIES in kvm_caps (Maxim Levitsky) [2177720]
- tools headers cpufeatures: Sync with the kernel sources (Maxim Levitsky) [2177720]
- KVM/VMX: Allow exposing EDECCSSA user leaf function to KVM guest (Maxim Levitsky) [2177720]
- x86/sgx: Allow enclaves to use Asynchrounous Exit Notification (Maxim Levitsky) [2177720]
- rcu: Remove unused 'cpu' in rcu_virt_note_context_switch() (Maxim Levitsky) [2177720]
- KVM: Document the interaction between KVM_CAP_HALT_POLL and halt_poll_ns (Maxim Levitsky) [2177720]
- KVM: Move halt-polling documentation into common directory (Maxim Levitsky) [2177720]
- KVM: Update gfn_to_pfn_cache khva when it moves within the same page (Maxim Levitsky) [2177720]
- KVM: x86/xen: Only do in-kernel acceleration of hypercalls for guest CPL0 (Maxim Levitsky) [2177720]
- KVM: x86/xen: Validate port number in SCHEDOP_poll (Maxim Levitsky) [2177720]
- KVM: x86: remove exit_int_info warning in svm_handle_exit (Maxim Levitsky) [2177720]
- KVM: selftests: add svm part to triple_fault_test (Maxim Levitsky) [2177720]
- KVM: x86: fix uninitialized variable use on KVM_REQ_TRIPLE_FAULT (Maxim Levitsky) [2177720]
- KVM: x86: allow L1 to not intercept triple fault (Maxim Levitsky) [2177720]
- kvm: selftests: add svm nested shutdown test (Maxim Levitsky) [2177720]
- KVM: selftests: move idt_entry to header (Maxim Levitsky) [2177720]
- KVM: x86: forcibly leave nested mode on vCPU reset (Maxim Levitsky) [2177720]
- KVM: x86: add kvm_leave_nested (Maxim Levitsky) [2177720]
- KVM: x86: nSVM: harden svm_free_nested against freeing vmcb02 while still in use (Maxim Levitsky) [2177720]
- KVM: x86: nSVM: leave nested mode on vCPU free (Maxim Levitsky) [2177720]
- KVM: Obey kvm.halt_poll_ns in VMs not using KVM_CAP_HALT_POLL (Maxim Levitsky) [2177720]
- KVM: Avoid re-reading kvm->max_halt_poll_ns during halt-polling (Maxim Levitsky) [2177720]
- KVM: Cap vcpu->halt_poll_ns before halting rather than after (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Block all page faults during kvm_zap_gfn_range() (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Limit the maximum number of supported AMD GP counters (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Limit the maximum number of supported Intel GP counters (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Do not speculatively query Intel GP PMCs that don't exist yet (Maxim Levitsky) [2177720]
- tools/kvm_stat: update exit reasons for vmx/svm/aarch64/userspace (Maxim Levitsky) [2177720]
- tools/kvm_stat: fix incorrect detection of debugfs (Maxim Levitsky) [2177720]
- x86, KVM: remove unnecessary argument to x86_virt_spec_ctrl and callers (Maxim Levitsky) [2177720]
- KVM: SVM: move MSR_IA32_SPEC_CTRL save/restore to assembly (Maxim Levitsky) [2177720]
- KVM: SVM: restore host save area from assembly (Maxim Levitsky) [2177720]
- KVM: SVM: move guest vmsave/vmload back to assembly (Maxim Levitsky) [2177720]
- KVM: SVM: do not allocate struct svm_cpu_data dynamically (Maxim Levitsky) [2177720]
- KVM: SVM: remove dead field from struct svm_cpu_data (Maxim Levitsky) [2177720]
- KVM: SVM: remove unused field from struct vcpu_svm (Maxim Levitsky) [2177720]
- KVM: SVM: retrieve VMCB from assembly (Maxim Levitsky) [2177720]
- KVM: SVM: adjust register allocation for __svm_vcpu_run() (Maxim Levitsky) [2177720]
- KVM: SVM: replace regs argument of __svm_vcpu_run() with vcpu_svm (Maxim Levitsky) [2177720]
- KVM: x86: use a separate asm-offsets.c file (Maxim Levitsky) [2177720]
- KVM: x86: Fix a typo about the usage of kvcalloc() (Maxim Levitsky) [2177720]
- KVM: x86: Use SRCU to protect zap in __kvm_set_or_clear_apicv_inhibit() (Maxim Levitsky) [2177720]
- KVM: VMX: Ignore guest CPUID for host userspace writes to DEBUGCTL (Maxim Levitsky) [2177720]
- KVM: VMX: Fold vmx_supported_debugctl() into vcpu_supported_debugctl() (Maxim Levitsky) [2177720]
- KVM: x86/xen: Fix eventfd error handling in kvm_xen_eventfd_assign() (Maxim Levitsky) [2177720]
- KVM: selftests: Mark "guest_saw_irq" as volatile in xen_shinfo_test (Maxim Levitsky) [2177720]
- KVM: selftests: Add tests in xen_shinfo_test to detect lock races (Maxim Levitsky) [2177720]
- KVM: Reject attempts to consume or refresh inactive gfn_to_pfn_cache (Maxim Levitsky) [2177720]
- KVM: Initialize gfn_to_pfn_cache locks in dedicated helper (Maxim Levitsky) [2177720]
- KVM: x86: Exempt pending triple fault from event injection sanity check (Maxim Levitsky) [2177720]
- KVM: debugfs: Return retval of simple_attr_open() if it fails (Maxim Levitsky) [2177720]
- KVM: x86: Reduce refcount if single_open() fails in kvm_mmu_rmaps_stat_open() (Maxim Levitsky) [2177720]
- KVM: x86: Mask off reserved bits in CPUID.8000001FH (Maxim Levitsky) [2177720]
- KVM: x86: Mask off reserved bits in CPUID.8000001AH (Maxim Levitsky) [2177720]
- KVM: x86: Mask off reserved bits in CPUID.80000008H (Maxim Levitsky) [2177720]
- KVM: x86: Mask off reserved bits in CPUID.80000006H (Maxim Levitsky) [2177720]
- KVM: x86: Mask off reserved bits in CPUID.80000001H (Maxim Levitsky) [2177720]
- KVM: x86: Add compat handler for KVM_X86_SET_MSR_FILTER (Maxim Levitsky) [2177720]
- KVM: x86: Copy filter arg outside kvm_vm_ioctl_set_msr_filter() (Maxim Levitsky) [2177720]
- kvm: Add support for arch compat vm ioctls (Maxim Levitsky) [2177720]
- kvm: vmx: keep constant definition format consistent (Maxim Levitsky) [2177720]
- kvm: mmu: fix typos in struct kvm_arch (Maxim Levitsky) [2177720]
- KVM: selftests: Fix nx_huge_pages_test on TDP-disabled hosts (Maxim Levitsky) [2177720]
- KVM: selftests: Add helpers to read kvm_{intel,amd} boolean module parameters (Maxim Levitsky) [2177720]
- KVM: selftests: Tell the compiler that code after TEST_FAIL() is unreachable (Maxim Levitsky) [2177720]
- Revert "KVM: selftests: Fix nested SVM tests when built with clang" (Maxim Levitsky) [2177720]
- KVM: selftests: Dedup subtests of fix_hypercall_test (Maxim Levitsky) [2177720]
- KVM: selftests: Explicitly verify KVM doesn't patch hypercall if quirk==off (Maxim Levitsky) [2177720]
- KVM: selftests: Hardcode VMCALL/VMMCALL opcodes in "fix hypercall" test (Maxim Levitsky) [2177720]
- KVM: selftests: Remove unnecessary register shuffling in fix_hypercall_test (Maxim Levitsky) [2177720]
- KVM: selftests: Compare insn opcodes directly in fix_hypercall_test (Maxim Levitsky) [2177720]
- KVM: selftests: Implement memcmp(), memcpy(), and memset() for guest use (Maxim Levitsky) [2177720]
- KVM: x86/svm/pmu: Rewrite get_gp_pmc_amd() for more counters scalability (Maxim Levitsky) [2177720]
- KVM: x86/svm/pmu: Direct access pmu->gp_counter[] to implement amd_*_to_pmc() (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Avoid using PEBS perf_events for normal counters (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Refactor PERF_GLOBAL_CTRL update helper for reuse by PEBS (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Don't generate PEBS records for emulated instructions (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Avoid setting BIT_ULL(-1) to pmu->host_cross_mapped_mask (Maxim Levitsky) [2177720]
- KVM: selftests: Don't set reserved bits for invalid Hyper-V hypercall number (Maxim Levitsky) [2177720]
- KVM: selftests: Load RAX with -EFAULT before Hyper-V hypercall (Maxim Levitsky) [2177720]
- KVM: selftests: Check result in hyperv_features for successful hypercalls (Maxim Levitsky) [2177720]
- Delete duplicate words from kernel docs (Maxim Levitsky) [2177720]
- KVM: selftests: Skip tests that require EPT when it is not available (Maxim Levitsky) [2177720]
- KVM: remove KVM_REQ_UNHALT (Maxim Levitsky) [2177720]
- KVM: mips, x86: do not rely on KVM_REQ_UNHALT (Maxim Levitsky) [2177720]
- KVM: x86: never write to memory from kvm_vcpu_check_block() (Maxim Levitsky) [2177720]
- KVM: x86: Don't snapshot pending INIT/SIPI prior to checking nested events (Maxim Levitsky) [2177720]
- KVM: nVMX: Make event request on VMXOFF iff INIT/SIPI is pending (Maxim Levitsky) [2177720]
- KVM: nVMX: Make an event request if INIT or SIPI is pending on VM-Enter (Maxim Levitsky) [2177720]
- KVM: SVM: Make an event request if INIT or SIPI is pending when GIF is set (Maxim Levitsky) [2177720]
- KVM: x86: lapic does not have to process INIT if it is blocked (Maxim Levitsky) [2177720]
- KVM: x86: Rename kvm_apic_has_events() to make it INIT/SIPI specific (Maxim Levitsky) [2177720]
- KVM: nVMX: Make an event request when pending an MTF nested VM-Exit (Maxim Levitsky) [2177720]
- KVM: x86: make vendor code check for all nested events (Maxim Levitsky) [2177720]
- KVM: x86: Allow force_emulation_prefix to be written without a reload (Maxim Levitsky) [2177720]
- KVM: selftests: Add an x86-only test to verify nested exception queueing (Maxim Levitsky) [2177720]
- KVM: selftests: Use uapi header to get VMX and SVM exit reasons/codes (Maxim Levitsky) [2177720]
- KVM: x86: Rename inject_pending_events() to kvm_check_and_inject_events() (Maxim Levitsky) [2177720]
- KVM: VMX: Update MTF and ICEBP comments to document KVM's subtle behavior (Maxim Levitsky) [2177720]
- KVM: x86: Treat pending TRIPLE_FAULT requests as pending exceptions (Maxim Levitsky) [2177720]
- KVM: x86: Morph pending exceptions to pending VM-Exits at queue time (Maxim Levitsky) [2177720]
- KVM: nVMX: Document priority of all known events on Intel CPUs (Maxim Levitsky) [2177720]
- KVM: nVMX: Add a helper to identify low-priority #DB traps (Maxim Levitsky) [2177720]
- KVM: x86: Evaluate ability to inject SMI/NMI/IRQ after potential VM-Exit (Maxim Levitsky) [2177720]
- KVM: x86: Hoist nested event checks above event injection logic (Maxim Levitsky) [2177720]
- KVM: x86: Use kvm_queue_exception_e() to queue #DF (Maxim Levitsky) [2177720]
- KVM: x86: Formalize blocking of nested pending exceptions (Maxim Levitsky) [2177720]
- KVM: x86: Make kvm_queued_exception a properly named, visible struct (Maxim Levitsky) [2177720]
- KVM: x86: Rename kvm_x86_ops.queue_exception to inject_exception (Maxim Levitsky) [2177720]
- KVM: VMX: Inject #PF on ENCLS as "emulated" #PF (Maxim Levitsky) [2177720]
- KVM: nVMX: Unconditionally clear mtf_pending on nested VM-Exit (Maxim Levitsky) [2177720]
- KVM: nVMX: Ignore SIPI that arrives in L2 when vCPU is not in WFS (Maxim Levitsky) [2177720]
- KVM: x86: Use DR7_GD macro instead of open coding check in emulator (Maxim Levitsky) [2177720]
- KVM: x86: Treat #DBs from the emulator as fault-like (code and DR7.GD=1) (Maxim Levitsky) [2177720]
- KVM: nVMX: Prioritize TSS T-flag #DBs over Monitor Trap Flag (Maxim Levitsky) [2177720]
- KVM: nVMX: Treat General Detect #DB (DR7.GD=1) as fault-like (Maxim Levitsky) [2177720]
- KVM: x86: Suppress code #DBs on Intel if MOV/POP SS blocking is active (Maxim Levitsky) [2177720]
- KVM: x86: Allow clearing RFLAGS.RF on forced emulation to test code #DBs (Maxim Levitsky) [2177720]
- KVM: x86: Don't check for code breakpoints when emulating on exception (Maxim Levitsky) [2177720]
- KVM: VMX: Drop bits 31:16 when shoving exception error code into VMCS (Maxim Levitsky) [2177720]
- KVM: nVMX: Unconditionally purge queued/injected events on nested "exit" (Maxim Levitsky) [2177720]
- KVM: x86: Add missing trace points for RDMSR/WRMSR in emulator path (Maxim Levitsky) [2177720]
- KVM: x86: Return emulator error if RDMSR/WRMSR emulation failed (Maxim Levitsky) [2177720]
- KVM: x86/mmu: fix repeated words in comments (Maxim Levitsky) [2177720]
- KVM: nVMX: Use cached host MSR_IA32_VMX_MISC value for setting up nested MSR (Maxim Levitsky) [2177720]
- KVM: VMX: Cache MSR_IA32_VMX_MISC in vmcs_config (Maxim Levitsky) [2177720]
- KVM: nVMX: Use sanitized allowed-1 bits for VMX control MSRs (Maxim Levitsky) [2177720]
- KVM: nVMX: Always set required-1 bits of pinbased_ctls to PIN_BASED_ALWAYSON_WITHOUT_TRUE_MSR (Maxim Levitsky) [2177720]
- KVM: VMX: Move LOAD_IA32_PERF_GLOBAL_CTRL errata handling out of setup_vmcs_config() (Maxim Levitsky) [2177720]
- KVM: x86: VMX: Replace some Intel model numbers with mnemonics (Maxim Levitsky) [2177720]
- KVM: VMX: Adjust CR3/INVPLG interception for EPT=y at runtime, not setup (Maxim Levitsky) [2177720]
- KVM: VMX: Add missing CPU based VM execution controls to vmcs_config (Maxim Levitsky) [2177720]
- KVM: VMX: Add missing VMEXIT controls to vmcs_config (Maxim Levitsky) [2177720]
- KVM: VMX: Move CPU_BASED_CR8_{LOAD,STORE}_EXITING filtering out of setup_vmcs_config() (Maxim Levitsky) [2177720]
- KVM: VMX: Extend VMX controls macro shenanigans (Maxim Levitsky) [2177720]
- KVM: VMX: Don't toggle VM_ENTRY_IA32E_MODE for 32-bit kernels/KVM (Maxim Levitsky) [2177720]
- KVM: VMX: Tweak the special handling of SECONDARY_EXEC_ENCLS_EXITING in setup_vmcs_config() (Maxim Levitsky) [2177720]
- KVM: VMX: Check CPU_BASED_{INTR,NMI}_WINDOW_EXITING in setup_vmcs_config() (Maxim Levitsky) [2177720]
- KVM: VMX: Check VM_ENTRY_IA32E_MODE in setup_vmcs_config() (Maxim Levitsky) [2177720]
- KVM: nVMX: Always emulate PERF_GLOBAL_CTRL VM-Entry/VM-Exit controls (Maxim Levitsky) [2177720]
- KVM: nVMX: Don't propagate vmcs12's PERF_GLOBAL_CTRL settings to vmcs02 (Maxim Levitsky) [2177720]
- KVM: VMX: Get rid of eVMCS specific VMX controls sanitization (Maxim Levitsky) [2177720]
- KVM: nVMX: Support PERF_GLOBAL_CTRL with enlightened VMCS (Maxim Levitsky) [2177720]
- KVM: nVMX: WARN once and fail VM-Enter if eVMCS sees VMFUNC[63:32] != 0 (Maxim Levitsky) [2177720]
- KVM: selftests: Switch to updated eVMCSv1 definition (Maxim Levitsky) [2177720]
- KVM: selftests: Add ENCLS_EXITING_BITMAP{,HIGH} VMCS fields (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Cache HYPERV_CPUID_NESTED_FEATURES CPUID leaf (Maxim Levitsky) [2177720]
- KVM: nVMX: Support several new fields in eVMCSv1 (Maxim Levitsky) [2177720]
- KVM: VMX: Define VMCS-to-EVMCS conversion for the new fields (Maxim Levitsky) [2177720]
- KVM: nVMX: Use CC() macro to handle eVMCS unsupported controls checks (Maxim Levitsky) [2177720]
- KVM: nVMX: Refactor unsupported eVMCS controls logic to use 2-d array (Maxim Levitsky) [2177720]
- KVM: nVMX: Treat eVMCS as enabled for guest iff Hyper-V is also enabled (Maxim Levitsky) [2177720]
- KVM: x86: Report error when setting CPUID if Hyper-V allocation fails (Maxim Levitsky) [2177720]
- KVM: x86: Check for existing Hyper-V vCPU in kvm_hv_vcpu_init() (Maxim Levitsky) [2177720]
- KVM: x86: Zero out entire Hyper-V CPUID cache before processing entries (Maxim Levitsky) [2177720]
- KVM: selftests: Require DISABLE_NX_HUGE_PAGES cap for NX hugepage test (Maxim Levitsky) [2177720]
- KVM: VMX: Do not declare vmread_error() asmlinkage (Maxim Levitsky) [2177720]
- KVM:x86: Clean up ModR/M "reg" initialization in reg op decoding (Maxim Levitsky) [2177720]
- KVM: x86: Print guest pgd in kvm_nested_vmenter() (Maxim Levitsky) [2177720]
- KVM: nVMX: Add tracepoint for nested VM-Enter (Maxim Levitsky) [2177720]
- KVM: x86: Update trace function for nested VM entry to support VMX (Maxim Levitsky) [2177720]
- KVM: x86: Use u64 for address and error code in page fault tracepoint (Maxim Levitsky) [2177720]
- KVM: Add extra information in kvm_page_fault trace point (Maxim Levitsky) [2177720]
- KVM: SVM: remove unnecessary check on INIT intercept (Maxim Levitsky) [2177720]
- KVM/VMX: Avoid stack engine synchronization uop in __vmx_vcpu_run (Maxim Levitsky) [2177720]
- KVM: fix memoryleak in kvm_init() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: fix memoryleak in kvm_mmu_vendor_module_init() (Maxim Levitsky) [2177720]
- KVM: x86/emulator: Fix handing of POP SS to correctly set interruptibility (Maxim Levitsky) [2177720]
- kvm: x86: Do proper cleanup if kvm_x86_ops->vm_init() fails (Maxim Levitsky) [2177720]
- x86: Fix various duplicate-word comment typos (Maxim Levitsky) [2177720]
- tests: fix idmapped mount_setattr test (Alex Gladkov) [2179877]
- Revert "Disable idmapped mounts" (Alex Gladkov) [2132410]
- platform/x86: intel-uncore-freq: add Emerald Rapids support (Michael Petlan) [2156827]
- nfsd: fix double fget() bug in __write_ports_addfd() (Scott Mayhew) [2215091]
- SUNRPC: Don't change task->tk_status after the call to rpc_exit_task (Scott Mayhew) [2215091]
- NFSD: Remove open coding of string copy (Scott Mayhew) [2215091]
- SUNRPC: Fix trace_svc_register() call site (Scott Mayhew) [2215091]
- SUNRPC: always free ctxt when freeing deferred request (Scott Mayhew) [2215091]
- SUNRPC: double free xprt_ctxt while still in use (Scott Mayhew) [2215091]
- NFSD: Clean up xattr memory allocation flags (Scott Mayhew) [2215091]
- SUNRPC: return proper error from get_expiry() (Scott Mayhew) [2215091]
- NFS: Cleanup unused rpc_clnt variable (Scott Mayhew) [2215091]
- SUNRPC: remove the maximum number of retries in call_bind_status (Scott Mayhew) [2215091]
- NFSv4.1: Always send a RECLAIM_COMPLETE after establishing lease (Scott Mayhew) [2215091]
- net: stmmac: propagate feature flags to vlan (Corinna Vinschen) [2213160]
Resolves: rhbz#2132410, rhbz#2156827, rhbz#2177720, rhbz#2179877, rhbz#2213160, rhbz#2215091, rhbz#2215429, rhbz#2216955, rhbz#2217308

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-30 14:26:37 +02:00
Jan Stancek
c374f9d913 kernel-5.14.0-333.el9
* Wed Jun 28 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-333.el9]
- redhat: rpminspect: ignore perf coresight tests (Jan Stancek)
- redhat: rpminspect: ignore perf coresight tests (Jan Stancek)
- PCI: hv: Add a per-bus mutex state_lock (Vitaly Kuznetsov) [2182619]
- Revert "PCI: hv: Fix a timing issue which causes kdump to fail occasionally" (Vitaly Kuznetsov) [2182619]
- PCI: hv: Remove the useless hv_pcichild_state from struct hv_pci_dev (Vitaly Kuznetsov) [2182619]
- PCI: hv: Fix a race condition in hv_irq_unmask() that can cause panic (Vitaly Kuznetsov) [2182619]
- PCI: hv: Fix a race condition bug in hv_pci_query_relations() (Vitaly Kuznetsov) [2182619]
- bpf: Free struct bpf_cpumask in call_rcu handler (Viktor Malik) [2178930]
- bpf: Only allocate one bpf_mem_cache for bpf_cpumask_ma (Viktor Malik) [2178930]
- bpf: netdev: init the offload table earlier (Felix Maurer) [2178930]
- spec: remove resolve_btfids from kernel-devel (Viktor Malik) [2178930]
- tools/resolve_btfids: Fix setting HOSTCFLAGS (Viktor Malik) [2178930]
- tools/resolve_btfids: Pass HOSTCFLAGS as EXTRA_CFLAGS to prepare targets (Viktor Malik) [2178930]
- tools/resolve_btfids: Tidy HOST_OVERRIDES (Viktor Malik) [2178930]
- tools/resolve_btfids: Compile resolve_btfids as host program (Viktor Malik) [2178930]
- tools/resolve_btfids: Alter how HOSTCC is forced (Viktor Malik) [2178930]
- tools/resolve_btfids: Install subcmd headers (Viktor Malik) [2178930]
- powerpc/bpf: populate extable entries only during the last pass (Viktor Malik) [2178930]
- powerpc/bpf: Only pad length-variable code at initial pass (Viktor Malik) [2178930]
- powerpc/bpf: Perform complete extra passes to update addresses (Viktor Malik) [2178930]
- powerpc: Remove __kernel_text_address() in show_instructions() (Viktor Malik) [2178930]
- selftests/bpf: Adjust bpf_xdp_metadata_rx_hash for new arg (Felix Maurer) [2178930]
- veth: bpf_xdp_metadata_rx_hash add xdp rss hash type (Felix Maurer) [2178930]
- xdp: rss hash types representation (Felix Maurer) [2178930]
- selftests/bpf: xdp_hw_metadata remove bpf_printk and add counters (Felix Maurer) [2178930]
- xdp: bpf_xdp_metadata use EOPNOTSUPP for no driver support (Felix Maurer) [2178930]
- bpf: tcp: Use sock_gen_put instead of sock_put in bpf_iter_tcp (Felix Maurer) [2178930]
- xsk: Add missing overflow check in xdp_umem_reg (Felix Maurer) [2178930]
- selftests/bpf: Fix flaky fib_lookup test (Felix Maurer) [2178930]
- selftests/bpf: Fix merge conflict due to SYS() macro change. (Felix Maurer) [2178930]
- selftests/bpf: move SYS() macro into the test_progs.h (Felix Maurer) [2178930]
- bpf, sockmap: Fix an infinite loop error when len is 0 in tcp_bpf_recvmsg_parser() (Felix Maurer) [2178930]
- xsk: add linux/vmalloc.h to xsk.c (Felix Maurer) [2178930]
- xsk: support use vaddr as ring (Felix Maurer) [2178930]
- selftests/bpf: Add bpf_fib_lookup test (Felix Maurer) [2178930]
- bpf: Add BPF_FIB_LOOKUP_SKIP_NEIGH for bpf_fib_lookup (Felix Maurer) [2178930]
- bpf: bpf_fib_lookup should not return neigh in NUD_FAILED state (Felix Maurer) [2178930]
- bpf: Disable bh in bpf_test_run for xdp and tc prog (Felix Maurer) [2178930]
- xsk: check IFF_UP earlier in Tx path (Felix Maurer) [2178930]
- net: stmmac: fix call trace when stmmac_xdp_xmit() is invoked (Felix Maurer) [2178930]
- bonding: add xdp_features support (Felix Maurer) [2178930]
- veth: take into account peer device for NETDEV_XDP_ACT_NDO_XMIT xdp_features flag (Felix Maurer) [2178930]
- selftests/bpf: fix xdp_redirect xdp-features selftest for veth driver (Felix Maurer) [2178930]
- net: xdp: don't call notifiers during driver init (Felix Maurer) [2178930]
- veth: rely on rtnl_dereference() instead of on rcu_dereference() in veth_set_xdp_features() (Felix Maurer) [2178930]
- net/mlx5e: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930]
- veth: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930]
- net: ena: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930]
- net: thunderx: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930]
- xdp: add xdp_set_features_flag utility routine (Felix Maurer) [2178930]
- libbpf: Fix bpf_xdp_query() in old kernels (Felix Maurer) [2178930]
- netdev-genl: fix repeated typo oflloading -> offloading (Felix Maurer) [2178930]
- hv_netvsc: add missing NETDEV_XDP_ACT_NDO_XMIT xdp-features flag (Felix Maurer) [2178930]
- net: stmmac: add missing NETDEV_XDP_ACT_XSK_ZEROCOPY bit to xdp_features (Felix Maurer) [2178930]
- net, xdp: Add missing xdp_features description (Felix Maurer) [2178930]
- virtio_net: Update xdp_features with xdp multi-buff (Felix Maurer) [2178930]
- libbpf: Always use libbpf_err to return an error in bpf_xdp_query() (Felix Maurer) [2178930]
- selftests/bpf: Fix spelling mistake "detecion" -> "detection" (Felix Maurer) [2178930]
- selftests/bpf: introduce XDP compliance test tool (Felix Maurer) [2178930]
- selftests/bpf: add test for bpf_xdp_query xdp-features support (Felix Maurer) [2178930]
- bpf: devmap: check XDP features in __xdp_enqueue routine (Felix Maurer) [2178930]
- libbpf: add API to get XDP/XSK supported features (Felix Maurer) [2178930]
- libbpf: add the capability to specify netlink proto in libbpf_netlink_send_recv (Felix Maurer) [2178930]
- xsk: add usage of XDP features flags (Felix Maurer) [2178930]
- drivers: net: turn on XDP features (Felix Maurer) [2178930]
- netdev-genl: create a simple family for netdev stuff (Felix Maurer) [2178930]
- bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930]
- Revert "bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES" (Felix Maurer) [2178930]
- bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930]
- configs: Enable CONFIG_PAGE_POOL_STATS for common/generic (Felix Maurer) [2178930]
- page_pool: add a comment explaining the fragment counter usage (Felix Maurer) [2178930]
- net: page_pool: use in_softirq() instead (Felix Maurer) [2178930]
- selftests/bpf: Initialize tc in xdp_synproxy (Felix Maurer) [2178930]
- selftests/bpf: Calls bpf_setsockopt() on a ktls enabled socket. (Felix Maurer) [2178930]
- bpf: Check the protocol of a sock to agree the calls to bpf_setsockopt(). (Felix Maurer) [2178930]
- kallsyms: add kallsyms_seqs_of_names to list of special symbols (Viktor Malik) [2178930]
- bpf: Fix incorrect verifier pruning due to missing register precision taints (Viktor Malik) [2178930]
- s390/bpf: Fix bpf_arch_text_poke() with new_addr == NULL (Viktor Malik) [2178930]
- bpf, arm64: Fixed a BTI error on returning to patched function (Viktor Malik) [2178930]
- bpf: Adjust insufficient default bpf_jit_limit (Viktor Malik) [2178930]
- selftests/bpf: Fix progs/find_vma_fail1.c build error. (Viktor Malik) [2178930]
- libbpf: Revert poisoning of strlcpy (Viktor Malik) [2178930]
- selftests/bpf: check that modifier resolves after pointer (Viktor Malik) [2178930]
- btf: fix resolving BTF_KIND_VAR after ARRAY, STRUCT, UNION, PTR (Viktor Malik) [2178930]
- bpf, doc: Link to submitting-patches.rst for general patch submission info (Viktor Malik) [2178930]
- bpf, doc: Do not link to docs.kernel.org for kselftest link (Viktor Malik) [2178930]
- libbpf: Fix arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930]
- bpf, docs: Fix link to BTF doc (Viktor Malik) [2178930]
- selftests/bpf: Tests for uninitialized stack reads (Viktor Malik) [2178930]
- bpf: Allow reads from uninit stack (Viktor Malik) [2178930]
- bpf: add missing header file include (Viktor Malik) [2178930]
- selftests/bpf: Add global subprog context passing tests (Viktor Malik) [2178930]
- selftests/bpf: Convert test_global_funcs test to test_loader framework (Viktor Malik) [2178930]
- bpf: Fix global subprog context argument resolution logic (Viktor Malik) [2178930]
- Fix typos in selftest/bpf files (Viktor Malik) [2178930]
- selftests/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930]
- samples/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930]
- bpftool: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930]
- libbpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930]
- libbpf: Introduce bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930]
- selftest/bpf/benchs: Add benchmark for hashmap lookups (Viktor Malik) [2178930]
- selftest/bpf/benchs: Print less if the quiet option is set (Viktor Malik) [2178930]
- selftest/bpf/benchs: Make quiet option common (Viktor Malik) [2178930]
- selftest/bpf/benchs: Remove an unused header (Viktor Malik) [2178930]
- selftest/bpf/benchs: Enhance argp parsing (Viktor Malik) [2178930]
- selftest/bpf/benchs: Make a function static in bpf_hashmap_full_update (Viktor Malik) [2178930]
- selftest/bpf/benchs: Fix a typo in bpf_hashmap_full_update (Viktor Malik) [2178930]
- selftests/bpf: check if BPF_ST with variable offset preserves STACK_ZERO (Viktor Malik) [2178930]
- bpf: BPF_ST with variable offset should preserve STACK_ZERO marks (Viktor Malik) [2178930]
- selftests/bpf: check if verifier tracks constants spilled by BPF_ST_MEM (Viktor Malik) [2178930]
- bpf: track immediate values written to stack by BPF_ST instruction (Viktor Malik) [2178930]
- selftests/bpf: Fix map_kptr test. (Viktor Malik) [2178930]
- selftests/bpf: Cross-compile bpftool (Viktor Malik) [2178930]
- Documentation: bpf: Add missing line break separator in node_data struct code block (Viktor Malik) [2178930]
- Revert "bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25" (Viktor Malik) [2178930]
- selftests/bpf: Clean up dynptr prog_tests (Viktor Malik) [2178930]
- selftests/bpf: Clean up user_ringbuf, cgrp_kfunc, kfunc_dynptr_param tests (Viktor Malik) [2178930]
- bpf, documentation: Add graph documentation for non-owning refs (Viktor Malik) [2178930]
- selftests/bpf: Add rbtree selftests (Viktor Malik) [2178930]
- bpf: Add bpf_rbtree_{add,remove,first} decls to bpf_experimental.h (Viktor Malik) [2178930]
- bpf: Special verifier handling for bpf_rbtree_{remove, first} (Viktor Malik) [2178930]
- bpf: Add callback validation to kfunc verifier logic (Viktor Malik) [2178930]
- bpf: Add support for bpf_rb_root and bpf_rb_node in kfunc args (Viktor Malik) [2178930]
- bpf: Add bpf_rbtree_{add,remove,first} kfuncs (Viktor Malik) [2178930]
- bpf: Add basic bpf_rb_{root,node} support (Viktor Malik) [2178930]
- bpf: Migrate release_on_unlock logic to non-owning ref semantics (Viktor Malik) [2178930]
- bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25 (Viktor Malik) [2178930]
- bpf: allow to disable bpf prog memory accounting (Viktor Malik) [2178930]
- bpf: allow to disable bpf map memory accounting (Viktor Malik) [2178930]
- bpf: use bpf_map_kvcalloc in bpf_local_storage (Viktor Malik) [2178930]
- mm: memcontrol: add new kernel parameter cgroup.memory=nobpf (Viktor Malik) [2178930]
- libbpf: Fix alen calculation in libbpf_nla_dump_errormsg() (Viktor Malik) [2178930]
- selftests/bpf: Attach to fopen()/fclose() in attach_probe (Viktor Malik) [2178930]
- selftests/bpf: Attach to fopen()/fclose() in uprobe_autoattach (Viktor Malik) [2178930]
- selftests/bpf: Forward SAN_CFLAGS and SAN_LDFLAGS to runqslower and libbpf (Viktor Malik) [2178930]
- selftests/bpf: Split SAN_CFLAGS and SAN_LDFLAGS (Viktor Malik) [2178930]
- tools: runqslower: Add EXTRA_CFLAGS and EXTRA_LDFLAGS support (Viktor Malik) [2178930]
- selftests/bpf: Quote host tools (Viktor Malik) [2178930]
- samples/bpf: Add openat2() enter/exit tracepoint to syscall_tp sample (Viktor Malik) [2178930]
- libbpf: Add sample_period to creation options (Viktor Malik) [2178930]
- bpf, docs: Add note about type convention (Viktor Malik) [2178930]
- bpf/docs: Update design QA to be consistent with kfunc lifecycle docs (Viktor Malik) [2178930]
- bpf, docs: Use consistent names for the same field (Viktor Malik) [2178930]
- libbpf: Correctly set the kernel code version in Debian kernel. (Viktor Malik) [2178930]
- bpf: fix typo in header for bpf_perf_prog_read_value (Viktor Malik) [2178930]
- bpf/docs: Document kfunc lifecycle / stability expectations (Viktor Malik) [2178930]
- bpftool: profile online CPUs instead of possible (Viktor Malik) [2178930]
- selftests/bpf: Use semicolon instead of comma in test_verifier.c (Viktor Malik) [2178930]
- tools/bpf: Use tab instead of white spaces to sync bpf.h (Viktor Malik) [2178930]
- bpf: Drop always true do_idr_lock parameter to bpf_map_free_id (Viktor Malik) [2178930]
- docs/bpf: Add description of register liveness tracking algorithm (Viktor Malik) [2178930]
- selftests/bpf: Add testcase for static kfunc with unused arg (Viktor Malik) [2178930]
- bpf: Add __bpf_kfunc tag to all kfuncs (Viktor Malik) [2178930]
- bpf: Document usage of the new __bpf_kfunc macro (Viktor Malik) [2178930]
- bpf: Add __bpf_kfunc tag for marking kernel functions as kfuncs (Viktor Malik) [2178930]
- selftests/bpf: Trim DENYLIST.s390x (Viktor Malik) [2178930]
- selftests/bpf: Remove duplicate include header in xdp_hw_metadata (Felix Maurer) [2178930]
- selftests/bpf: Don't refill on completion in xdp_metadata (Felix Maurer) [2178930]
- selftests/bpf: xdp_hw_metadata use strncpy for ifname (Felix Maurer) [2178930]
- selftests/bpf: xdp_hw_metadata correct status value in error(3) (Felix Maurer) [2178930]
- selftests/bpf: xdp_hw_metadata cleanup cause segfault (Felix Maurer) [2178930]
- selftests/bpf: xdp_hw_metadata clear metadata when -EOPNOTSUPP (Felix Maurer) [2178930]
- selftests/bpf: Fix unmap bug in prog_tests/xdp_metadata.c (Felix Maurer) [2178930]
- selftests/bpf: Properly enable hwtstamp in xdp_hw_metadata (Felix Maurer) [2178930]
- selftests/bpf: Simple program to dump XDP RX metadata (Felix Maurer) [2178930]
- xsk: Add cb area to struct xdp_buff_xsk (Felix Maurer) [2178930]
- selftests/bpf: Verify xdp_metadata xdp->af_xdp path (Felix Maurer) [2178930]
- veth: Support RX XDP metadata (Felix Maurer) [2178930]
- veth: Introduce veth_xdp_buff wrapper for xdp_buff (Felix Maurer) [2178930]
- bpf: Support consuming XDP HW metadata from fext programs (Felix Maurer) [2178930]
- bpf: XDP metadata RX kfuncs (Felix Maurer) [2178930]
- selftests/bpf: Update expected test_offload.py messages (Felix Maurer) [2178930]
- bpf: Introduce device-bound XDP programs (Felix Maurer) [2178930]
- bpf: Reshuffle some parts of bpf/offload.c (Felix Maurer) [2178930]
- bpf: Move offload initialization into late_initcall (Felix Maurer) [2178930]
- bpf: Rename bpf_{prog,map}_is_dev_bound to is_offloaded (Felix Maurer) [2178930]
- bpf: Document XDP RX metadata (Felix Maurer) [2178930]
- xdp: document xdp_do_flush() before napi_complete_done() (Felix Maurer) [2178930]
- selftests/bpf: add ipip6 and ip6ip decap to test_tc_tunnel (Felix Maurer) [2178930]
- bpf: Add ipip6 and ip6ip decap support for bpf_skb_adjust_room() (Felix Maurer) [2178930]
- selftests/xsk: automatically switch XDP programs (Felix Maurer) [2178930]
- selftests/xsk: automatically restore packet stream (Felix Maurer) [2178930]
- selftests/xsk: merge dual and single thread dispatchers (Felix Maurer) [2178930]
- selftests/xsk: add test when some packets are XDP_DROPed (Felix Maurer) [2178930]
- selftests/xsk: get rid of built-in XDP program (Felix Maurer) [2178930]
- selftests/xsk: remove unnecessary code in control path (Felix Maurer) [2178930]
- selftests/xsk: load and attach XDP program only once per mode (Felix Maurer) [2178930]
- selftests/xsk: remove namespaces (Felix Maurer) [2178930]
- selftests/xsk: replace asm acquire/release implementations (Felix Maurer) [2178930]
- selftests/xsk: add debug option for creating netdevs (Felix Maurer) [2178930]
- selftests/xsk: remove unused variable outstanding_tx (Felix Maurer) [2178930]
- selftests/xsk: print correct error codes when exiting (Felix Maurer) [2178930]
- selftests/xsk: submit correct number of frames in populate_fill_ring (Felix Maurer) [2178930]
- selftests/xsk: do not close unused file descriptors (Felix Maurer) [2178930]
- selftests/xsk: print correct payload for packet dump (Felix Maurer) [2178930]
- selftests/bpf: Add BPF_F_NO_TUNNEL_KEY test (Felix Maurer) [2178930]
- bpf: Add flag BPF_F_NO_TUNNEL_KEY to bpf_skb_set_tunnel_key() (Felix Maurer) [2178930]
- selftests/bpf: Fix s390x vmlinux path (Viktor Malik) [2178930]
- s390/bpf: Implement bpf_jit_supports_kfunc_call() (Viktor Malik) [2178930]
- s390/bpf: Implement bpf_jit_supports_subprog_tailcalls() (Viktor Malik) [2178930]
- s390/bpf: Implement arch_prepare_bpf_trampoline() (Viktor Malik) [2178930]
- s390: raise minimum supported machine generation to z10 (Viktor Malik) [2178930]
- s390/bpf: encode register within extable entry (Viktor Malik) [2178930]
- s390/bpf: Implement bpf_arch_text_poke() (Viktor Malik) [2178930]
- s390/bpf: Add expoline to tail calls (Viktor Malik) [2178930]
- s390/bpf: Fix a typo in a comment (Viktor Malik) [2178930]
- s390: introduce text_poke_sync() (Viktor Malik) [2178930]
- s390/barrier: factor out bcr_serialize() (Viktor Malik) [2178930]
- bpf: btf: Add BTF_FMODEL_SIGNED_ARG flag (Viktor Malik) [2178930]
- bpf: iterators: Split iterators.lskel.h into little- and big- endian versions (Viktor Malik) [2178930]
- libbpf: Fix BPF_PROBE_READ{_STR}_INTO() on s390x (Viktor Malik) [2178930]
- libbpf: Fix unbounded memory access in bpf_usdt_arg() (Viktor Malik) [2178930]
- libbpf: Simplify barrier_var() (Viktor Malik) [2178930]
- selftests/bpf: Fix profiler on s390x (Viktor Malik) [2178930]
- selftests/bpf: Fix xdp_synproxy/tc on s390x (Viktor Malik) [2178930]
- selftests/bpf: Fix vmlinux test on s390x (Viktor Malik) [2178930]
- selftests/bpf: Fix test_xdp_adjust_tail_grow2 on s390x (Viktor Malik) [2178930]
- selftests/bpf: Fix test_lsm on s390x (Viktor Malik) [2178930]
- selftests/bpf: Add a sign-extension test for kfuncs (Viktor Malik) [2178930]
- selftests/bpf: Increase SIZEOF_BPF_LOCAL_STORAGE_ELEM on s390x (Viktor Malik) [2178930]
- selftests/bpf: Check stack_mprotect() return value (Viktor Malik) [2178930]
- selftests/bpf: Fix cgrp_local_storage on s390x (Viktor Malik) [2178930]
- selftests/bpf: Fix xdp_do_redirect on s390x (Viktor Malik) [2178930]
- selftests/bpf: Fix verify_pkcs7_sig on s390x (Viktor Malik) [2178930]
- selftests/bpf: Fix decap_sanity_ns cleanup (Viktor Malik) [2178930]
- selftests/bpf: Set errno when urand_spawn() fails (Viktor Malik) [2178930]
- selftests/bpf: Fix kfree_skb on s390x (Viktor Malik) [2178930]
- selftests/bpf: Fix symlink creation error (Viktor Malik) [2178930]
- selftests/bpf: Fix liburandom_read.so linker error (Viktor Malik) [2178930]
- selftests/bpf: Query BPF_MAX_TRAMP_LINKS using BTF (Viktor Malik) [2178930]
- bpf: Change BPF_MAX_TRAMP_LINKS to enum (Viktor Malik) [2178930]
- bpf: Use ARG_CONST_SIZE_OR_ZERO for 3rd argument of bpf_tcp_raw_gen_syncookie_ipv{4,6}() (Viktor Malik) [2178930]
- Documentation: bpf: correct spelling (Viktor Malik) [2178930]
- bpf: Build-time assert that cpumask offset is zero (Viktor Malik) [2178930]
- selftest/bpf: Make crashes more debuggable in test_progs (Viktor Malik) [2178930]
- libbpf: Add documentation to map pinning API functions (Viktor Malik) [2178930]
- libbpf: Fix malformed documentation formatting (Viktor Malik) [2178930]
- bpf/selftests: Verify struct_ops prog sleepable behavior (Viktor Malik) [2178930]
- bpf: Pass const struct bpf_prog * to .check_member (Viktor Malik) [2178930]
- libbpf: Support sleepable struct_ops.s section (Viktor Malik) [2178930]
- bpf: Allow BPF_PROG_TYPE_STRUCT_OPS programs to be sleepable (Viktor Malik) [2178930]
- bpf/docs: Document the nocast aliasing behavior of ___init (Viktor Malik) [2178930]
- bpf/docs: Document how nested trusted fields may be defined (Viktor Malik) [2178930]
- bpf/docs: Document cpumask kfuncs in a new file (Viktor Malik) [2178930]
- selftests/bpf: Add selftest suite for cpumask kfuncs (Viktor Malik) [2178930]
- selftests/bpf: Add nested trust selftests suite (Viktor Malik) [2178930]
- bpf: Enable cpumasks to be queried and used as kptrs (Viktor Malik) [2178930]
- bpf: Disallow NULLable pointers for trusted kfuncs (Viktor Malik) [2178930]
- selftests/bpf: Fix vmtest static compilation error (Viktor Malik) [2178930]
- bpf: Allow trusted args to walk struct when checking BTF IDs (Viktor Malik) [2178930]
- bpf: Enable annotating trusted nested pointers (Viktor Malik) [2178930]
- bpf, docs: Fix modulo zero, division by zero, overflow, and underflow (Viktor Malik) [2178930]
- libbpf: Clean up now not needed __PT_PARM{1-6}_SYSCALL_REG defaults (Viktor Malik) [2178930]
- selftests/bpf: Add 6-argument syscall tracing test (Viktor Malik) [2178930]
- libbpf: Define powerpc syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Define arm64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Define arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Define s390x syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Define i386 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Define x86-64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Improve syscall tracing support in bpf_tracing.h (Viktor Malik) [2178930]
- selftests/bpf: Validate arch-specific argument registers limits (Viktor Malik) [2178930]
- libbpf: Add BPF_UPROBE and BPF_URETPROBE macro aliases (Viktor Malik) [2178930]
- libbpf: Complete powerpc spec in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Fix arm and arm64 specs in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Add 6th argument support for x86-64 in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Add support for fetching up to 8 arguments in kprobes (Viktor Malik) [2178930]
- selftests/bpf: Add dynptr helper tests (Viktor Malik) [2178930]
- selftests/bpf: Add dynptr partial slot overwrite tests (Viktor Malik) [2178930]
- selftests/bpf: Add dynptr var_off tests (Viktor Malik) [2178930]
- selftests/bpf: Add dynptr pruning tests (Viktor Malik) [2178930]
- selftests/bpf: convenience macro for use with 'asm volatile' blocks (Viktor Malik) [2178930]
- bpf: Avoid recomputing spi in process_dynptr_func (Viktor Malik) [2178930]
- bpf: Combine dynptr_get_spi and is_spi_bounds_valid (Viktor Malik) [2178930]
- bpf: Allow reinitializing unreferenced dynptr stack slots (Viktor Malik) [2178930]
- bpf: Invalidate slices on destruction of dynptrs on stack (Viktor Malik) [2178930]
- bpf: Fix partial dynptr stack slot reads/writes (Viktor Malik) [2178930]
- bpf: Fix missing var_off check for ARG_PTR_TO_DYNPTR (Viktor Malik) [2178930]
- bpf: Fix state pruning for STACK_DYNPTR stack slots (Viktor Malik) [2178930]
- bpf: Change modules resolving for kprobe multi link (Viktor Malik) [2178930]
- selftests/bpf: Add serial_test_kprobe_multi_bench_attach_kernel/module tests (Viktor Malik) [2178930]
- bpf/selftests: Add verifier tests for loading sleepable programs (Viktor Malik) [2178930]
- bpf: Do not allow to load sleepable BPF_TRACE_RAW_TP program (Viktor Malik) [2178930]
- samples/bpf: change _kern suffix to .bpf with BPF test programs (Viktor Malik) [2178930]
- samples/bpf: use vmlinux.h instead of implicit headers in BPF test program (Viktor Malik) [2178930]
- samples/bpf: replace BPF programs header with net_shared.h (Viktor Malik) [2178930]
- samples/bpf: split common macros to net_shared.h (Viktor Malik) [2178930]
- samples/bpf: replace legacy map with the BTF-defined map (Viktor Malik) [2178930]
- samples/bpf: replace broken overhead microbenchmark with fib_table_lookup (Viktor Malik) [2178930]
- samples/bpf: fix broken cgroup socket testing (Viktor Malik) [2178930]
- samples/bpf: fix broken lightweight tunnel testing (Viktor Malik) [2178930]
- samples/bpf: refactor BPF functionality testing scripts (Viktor Malik) [2178930]
- samples/bpf: ensure ipv6 is enabled before running tests (Viktor Malik) [2178930]
- selftests/bpf: Fix missing space error (Viktor Malik) [2178930]
- libbpf: Replace '.' with '_' in legacy kprobe event name (Viktor Malik) [2178930]
- bpftool: Always disable stack protection for BPF objects (Viktor Malik) [2178930]
- bpftool: fix output for skipping kernel config check (Viktor Malik) [2178930]
- bpf: btf: limit logging of ignored BTF mismatches (Viktor Malik) [2178930]
- bpf, x86: Simplify the parsing logic of structure parameters (Viktor Malik) [2178930]
- bpf: Replace 0-length arrays with flexible arrays (Viktor Malik) [2178930]
- bpftool: Add missing quotes to libbpf bootstrap submake vars (Viktor Malik) [2178930]
- bpf: Remove the unnecessary insn buffer comparison (Viktor Malik) [2178930]
- libbpf: Poison strlcpy() (Viktor Malik) [2178930]
- libbpf: Return -ENODATA for missing btf section (Viktor Malik) [2178930]
- libbpf: Restore errno after pr_warn. (Viktor Malik) [2178930]
- libbpf: Added the description of some API functions (Viktor Malik) [2178930]
- libbpf: Fix invalid return address register in s390 (Viktor Malik) [2178930]
- samples/bpf: Use BPF_KSYSCALL macro in syscall tracing programs (Viktor Malik) [2178930]
- samples/bpf: Fix tracex2 by using BPF_KSYSCALL macro (Viktor Malik) [2178930]
- samples/bpf: Change _kern suffix to .bpf with syscall tracing program (Viktor Malik) [2178930]
- samples/bpf: Use vmlinux.h instead of implicit headers in syscall tracing program (Viktor Malik) [2178930]
- samples/bpf: Use kyscall instead of kprobe in syscall tracing program (Viktor Malik) [2178930]
- bpf: rename list_head -> graph_root in field info types (Viktor Malik) [2178930]
- libbpf: fix errno is overwritten after being closed. (Viktor Malik) [2178930]
- bpf: fix regs_exact() logic in regsafe() to remap IDs correctly (Viktor Malik) [2178930]
- bpf: perform byte-by-byte comparison only when necessary in regsafe() (Viktor Malik) [2178930]
- bpf: reject non-exact register type matches in regsafe() (Viktor Malik) [2178930]
- bpf: generalize MAYBE_NULL vs non-MAYBE_NULL rule (Viktor Malik) [2178930]
- bpf: reorganize struct bpf_reg_state fields (Viktor Malik) [2178930]
- bpf: teach refsafe() to take into account ID remapping (Viktor Malik) [2178930]
- bpf: Remove unused field initialization in bpf's ctl_table (Viktor Malik) [2178930]
- selftests/bpf: Add jit probe_mem corner case tests to s390x denylist (Viktor Malik) [2178930]
- libbpf: start v1.2 development cycle (Viktor Malik) [2178930]
- selftests/bpf: Add verifier test exercising jit PROBE_MEM logic (Viktor Malik) [2178930]
- bpf, x86: Improve PROBE_MEM runtime load check (Viktor Malik) [2178930]
- bpf: Reduce smap->elem_size (Viktor Malik) [2178930]
- bpf: makefiles: Do not generate empty vmlinux.h (Viktor Malik) [2178930]
- libbpf: Show error info about missing ".BTF" section (Viktor Malik) [2178930]
- libbpf: Fix build warning on ref_ctr_off for 32-bit architectures (Viktor Malik) [2178930]
- docs: BPF_MAP_TYPE_SOCK[MAP|HASH] (Viktor Malik) [2178930]
- samples/bpf: fix uninitialized warning with test_current_task_under_cgroup (Viktor Malik) [2178930]
- samples/bpf: replace meaningless counter with tracex4 (Viktor Malik) [2178930]
- samples/bpf: remove unused function with test_lru_dist (Viktor Malik) [2178930]
- bpf: Remove trace_printk_lock (Viktor Malik) [2178930]
- bpf: Do cleanup in bpf_bprintf_cleanup only when needed (Viktor Malik) [2178930]
- bpf: Add struct for bin_args arg in bpf_bprintf_prepare (Viktor Malik) [2178930]
- tools/resolve_btfids: Use pkg-config to locate libelf (Viktor Malik) [2178930]
- libbpf: Fix btf_dump's packed struct determination (Viktor Malik) [2178930]
- selftests/bpf: Add few corner cases to test padding handling of btf_dump (Viktor Malik) [2178930]
- libbpf: Fix BTF-to-C converter's padding logic (Viktor Malik) [2178930]
- libbpf: Fix btf__align_of() by taking into account field offsets (Viktor Malik) [2178930]
- selftests/bpf: Add non-standardly sized enum tests for btf_dump (Viktor Malik) [2178930]
- libbpf: Handle non-standardly sized enums better in BTF-to-C dumper (Viktor Malik) [2178930]
- libbpf: Optimized return value in libbpf_strerror when errno is libbpf errno (Viktor Malik) [2178930]
- mm/memcg: Free percpu stats memory of dying memcg's (Waiman Long) [2176388]
- ASoC: Fix warning related to 'sound-name-prefix' binding (Mark Salter) [2186348]
- arm64: tegra: Bump CBB ranges property on Tegra194 and Tegra234 (Mark Salter) [2186348]
- dt-bindings: phy: tegra-xusb: Add support for Tegra234 (Mark Salter) [2186348]
- dt-bindings: phy: tegra-xusb: Convert to json-schema (Mark Salter) [2186348]
- dt-bindings: tegra: Allow #{address,size}-cells = <2> (Mark Salter) [2186348]
- arm64: tegra: Drop I2C iommus and dma-coherent properties (Mark Salter) [2186348]
- arm64: tegra: Mark host1x as dma-coherent on Tegra194/234 (Mark Salter) [2186348]
- arm64: tegra: Populate the XUDC node for Tegra234 (Mark Salter) [2186348]
- arm64: tegra: Add dma-coherent property for Tegra194 XUDC (Mark Salter) [2186348]
- arm64: tegra: Populate Jetson AGX Orin EEPROMs (Mark Salter) [2186348]
- arm64: tegra: Populate address/size cells for Tegra234 I2C (Mark Salter) [2186348]
- arm64: tegra: Enable XUSB host function on Jetson AGX Orin (Mark Salter) [2186348]
- arm64: tegra: Sort nodes by unit-address, then alphabetically (Mark Salter) [2186348]
- arm64: tegra: Bump #address-cells and #size-cells (Mark Salter) [2186348]
- arm64: tegra: Sort includes (Mark Salter) [2186348]
- arm64: tegra: Fix duplicate regulator on Jetson TX1 (Mark Salter) [2186348]
- arm64: tegra: Fix typo in gpio-ranges property (Mark Salter) [2186348]
- dt-bindings: usb: tegra-xudc: Add Tegra234 XUDC support (Mark Salter) [2186348]
- dt-bindings: usb: tegra-xudc: Add dma-coherent for Tegra194 (Mark Salter) [2186348]
- dt-bindings: usb: Add NVIDIA Tegra234 XUSB host controller binding (Mark Salter) [2186348]
- dt-bindings: usb: tegra-xusb: Remove path references (Mark Salter) [2186348]
- dt-bindings: dmaengine: Add dma-channel-mask to Tegra GPCDMA (Mark Salter) [2186348]
- arm64: tegra: Remove unneeded clock-names for Tegra132 PWM (Mark Salter) [2186348]
- arm64: tegra: Fix up compatible string for SDMMC1 on Tegra234 (Mark Salter) [2186348]
- arm64: tegra: Remove unused reset-names for QSPI (Mark Salter) [2186348]
- arm64: tegra: Fixup pinmux node names (Mark Salter) [2186348]
- arm64: tegra: Remove reset-names for QSPI (Mark Salter) [2186348]
- arm64: tegra: Use correct compatible string for Tegra234 HDA (Mark Salter) [2186348]
- arm64: tegra: Use correct compatible string for Tegra194 HDA (Mark Salter) [2186348]
- arm64: tegra: Use vbus-gpios property (Mark Salter) [2186348]
- arm64: tegra: Restructure Tegra210 PMC pinmux nodes (Mark Salter) [2186348]
- arm64: tegra: Update cache properties (Mark Salter) [2186348]
- arm64: tegra: Remove 'enable-active-low' (Mark Salter) [2186348]
- arm64: tegra: Add dma-channel-mask in GPCDMA node (Mark Salter) [2186348]
- arm64: tegra: Fix non-prefetchable aperture of PCIe C3 controller (Mark Salter) [2186348]
- arm64: tegra: Add missing compatible string to Ethernet USB device (Mark Salter) [2186348]
- arm64: tegra: Separate AON pinmux from main pinmux on Tegra194 (Mark Salter) [2186348]
- arm64: tegra: Add ECAM aperture info for all the PCIe controllers (Mark Salter) [2186348]
- arm64: tegra: Remove clock-names from PWM nodes (Mark Salter) [2186348]
- arm64: tegra: Enable GTE nodes (Mark Salter) [2186348]
- arm64: tegra: Update console for Jetson Xavier and Orin (Mark Salter) [2186348]
- arm64: tegra: Enable PWM users on Jetson AGX Orin (Mark Salter) [2186348]
- arm64: tegra: Add missing whitespace (Mark Salter) [2186348]
- arm64: tegra: Sort nodes by unit-address (Mark Salter) [2186348]
- arm64: tegra: Add Tegra234 SDMMC1 device tree node (Mark Salter) [2186348]
- arm64: tegra: Add SBSA UART for Tegra234 (Mark Salter) [2186348]
- arm64: tegra: Remove unused property for I2C (Mark Salter) [2186348]
- arm64: tegra: Fix Prefetchable aperture ranges of Tegra234 PCIe controllers (Mark Salter) [2186348]
- arm64: tegra: Add NVDEC on Tegra234 (Mark Salter) [2186348]
- arm64: tegra: Fix ranges for host1x nodes (Mark Salter) [2186348]
- dt-bindings: usb: tegra-xusb: Convert to json-schema (Mark Salter) [2186348]
- dt-bindings: pinctrl: tegra194: Separate instances (Mark Salter) [2186348]
- dt-bindings: pinctrl: tegra: Convert to json-schema (Mark Salter) [2186348]
- dt-bindings: PCI: tegra234: Add ECAM support (Mark Salter) [2186348]
- dt-bindings: Add bindings for Tegra234 NVDEC (Mark Salter) [2186348]
- spi: dt-bindings: nvidia,tegra210-quad-peripheral-props: correct additional properties (Mark Salter) [2186348]
- spi: dt-bindings: split peripheral prods (Mark Salter) [2186348]
- dt-bindings: arm: nvidia,tegra20-pmc: Move fixed string property names under 'properties' (Mark Salter) [2186348]
- dt-bindings: host1x: Fix bracketing in example (Mark Salter) [2186348]
- dt-bindings: Add bindings for Tegra234 Host1x and VIC (Mark Salter) [2186348]
- dt-bindings: host1x: Add iommu-map property (Mark Salter) [2186348]
- dt-bindings: display: tegra: Convert to json-schema (Mark Salter) [2186348]
- dt-bindings: tegra-ccplex-cluster: Remove status from required properties (Mark Salter) [2186348]
- dt-bindings: timer: Add Tegra186 & Tegra234 Timer (Mark Salter) [2186348]
- dt-bindings: arm: tegra: Add NVIDIA Tegra194 AXI2APB binding (Mark Salter) [2186348]
- dt-bindings: arm: tegra: Add NVIDIA Tegra194 CBB 1.0 binding (Mark Salter) [2186348]
- spi: dt-bindings: Add compatible for Tegra241 QSPI (Mark Salter) [2186348]
- arm64: tegra: add node for tegra234 cpufreq (Mark Salter) [2186348]
- dt-bindings: clock: drop useless consumer example (Mark Salter) [2186348]
- dt-bindings: Improve phandle-array schemas (Mark Salter) [2186348]
- spi: Fix Tegra QSPI example (Mark Salter) [2186348]
- dt-bindings: timer: Tegra: Convert text bindings to yaml (Mark Salter) [2186348]
- spi: Add Tegra234 QUAD SPI compatible (Mark Salter) [2186348]
- dt-bindings: memory: Document Tegra210 EMC table (Mark Salter) [2186348]
- dt-bindings: i2c: tegra: Convert to json-schema (Mark Salter) [2186348]
- dt-bindings: i2c: tegra-bpmp: Convert to json-schema (Mark Salter) [2186348]
- ASoC: tegra-audio-rt5677: Correct example (Mark Salter) [2186348]
- dt-bindings: host1x: Document Memory Client resets of Host1x, GR2D and GR3D (Mark Salter) [2186348]
- dt-bindings: host1x: Document OPP and power domain properties (Mark Salter) [2186348]
- dt-bindings: thermal: tegra186-bpmp: Convert to json-schema (Mark Salter) [2186348]
- dt-bindings: Add YAML bindings for NVENC and NVJPG (Mark Salter) [2186348]
- ASoC: nvidia,tegra-audio: Convert multiple txt bindings to yaml (Mark Salter) [2186348]
- ASoC: dt-bindings: tegra: Document interconnects property (Mark Salter) [2186348]
- dt-bindings: Add YAML bindings for NVDEC (Mark Salter) [2186348]
- ASoC: Use schema reference for sound-name-prefix (Mark Salter) [2186348]
- nouveau: fix client work fence deletion race (Mika Penttilä) [2160457]
- drm/mgag200: Fix gamma lut not initialized. (Mika Penttilä) [2160457]
- accel: Link to compute accelerator subsystem intro (Mika Penttilä) [2160457]
- gpu: host1x: Fix memory leak of device names (Mika Penttilä) [2160457]
- gpu: host1x: Fix potential double free if IOMMU is disabled (Mika Penttilä) [2160457]
- drm/dsc: fix drm_edp_dsc_sink_output_bpp() DPCD high byte usage (Mika Penttilä) [2160457]
- drm/i915/dg2: Drop one PCI ID (Mika Penttilä) [2160457]
- drm/amd/display: Fix hang when skipping modeset (Mika Penttilä) [2160457]
- drm/amd/display: Lowering min Z8 residency time (Mika Penttilä) [2160457]
- drm/amd/display: Update minimum stutter residency for DCN314 Z8 (Mika Penttilä) [2160457]
- drm/amd/display: Add minimum Z8 residency debug option (Mika Penttilä) [2160457]
- drm/i915: disable sampler indirect state in bindless heap (Mika Penttilä) [2160457]
- drm/i915/mtl: Add Wa_14017856879 (Mika Penttilä) [2160457]
- drm/i915/mtl: Add workarounds Wa_14017066071 and Wa_14017654203 (Mika Penttilä) [2160457]
- drm/i915: Add _PICK_EVEN_2RANGES() (Mika Penttilä) [2160457]
- drm/amd/display: hpd rx irq not working with eDP interface (Mika Penttilä) [2160457]
- drm/amd/display: merge dc_link.h into dc.h and dc_types.h (Mika Penttilä) [2160457]
- drm/amd/pm: avoid potential UBSAN issue on legacy asics (Mika Penttilä) [2160457]
- drm/amdgpu: disable sdma ecc irq only when sdma RAS is enabled in suspend (Mika Penttilä) [2160457]
- drm/amdgpu: drop gfx_v11_0_cp_ecc_error_irq_funcs (Mika Penttilä) [2160457]
- drm/amd/pm: parse pp_handle under appropriate conditions (Mika Penttilä) [2160457]
- drm/amd/display: Enforce 60us prefetch for 200Mhz DCFCLK modes (Mika Penttilä) [2160457]
- drm/amdgpu: Fix vram recover doesn't work after whole GPU reset (v2) (Mika Penttilä) [2160457]
- drm/amdgpu: change gfx 11.0.4 external_id range (Mika Penttilä) [2160457]
- drm/amdgpu/jpeg: Remove harvest checking for JPEG3 (Mika Penttilä) [2160457]
- drm/amdgpu/gfx: disable gfx9 cp_ecc_error_irq only when enabling legacy gfx ras (Mika Penttilä) [2160457]
- drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v11_0_hw_fini (Mika Penttilä) [2160457]
- drm/amdgpu: fix an amdgpu_irq_put() issue in gmc_v9_0_hw_fini() (Mika Penttilä) [2160457]
- drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v10_0_hw_fini (Mika Penttilä) [2160457]
- drm/amd/display: Change default Z8 watermark values (Mika Penttilä) [2160457]
- drm/amdgpu: drop redundant sched job cleanup when cs is aborted (Mika Penttilä) [2160457]
- drm/amd/display: fix flickering caused by S/G mode (Mika Penttilä) [2160457]
- drm/amd/display: fix access hdcp_workqueue assert (Mika Penttilä) [2160457]
- drm/amd/display: filter out invalid bits in pipe_fuses (Mika Penttilä) [2160457]
- drm/amd/display: Fix 4to1 MPC black screen with DPP RCO (Mika Penttilä) [2160457]
- drm/amd/display: Add NULL plane_state check for cursor disable logic (Mika Penttilä) [2160457]
- drm/panel: otm8009a: Set backlight parent to panel device (Mika Penttilä) [2160457]
- drm/i915/dsi: Use unconditional msleep() instead of intel_dsi_msleep() (Mika Penttilä) [2160457]
- drm/i915: Check pipe source size when using skl+ scalers (Mika Penttilä) [2160457]
- drm/i915/color: Fix typo for Plane CSC indexes (Mika Penttilä) [2160457]
- drm/bridge: lt8912b: Fix DSI Video Mode (Mika Penttilä) [2160457]
- drm/amdgpu: add a missing lock for AMDGPU_SCHED (Mika Penttilä) [2160457]
- drm/i915/mtl: Add the missing CPU transcoder mask in intel_device_info (Mika Penttilä) [2160457]
- drm/i915/guc: Actually return an error if GuC version range check fails (Mika Penttilä) [2160457]
- drm/i915/guc: More debug print updates - UC firmware (Mika Penttilä) [2160457]
- drm/amd/display: Update bounding box values for DCN321 (Mika Penttilä) [2160457]
- drm/amd/display: Do not clear GPINT register when releasing DMUB from reset (Mika Penttilä) [2160457]
- drm/amd/display: Reset OUTBOX0 r/w pointer on DMUB reset (Mika Penttilä) [2160457]
- drm/amd/display: Fixes for dcn32_clk_mgr implementation (Mika Penttilä) [2160457]
- drm/amd/display: Return error code on DSC atomic check failure (Mika Penttilä) [2160457]
- drm/amd/display: Add missing WA and MCLK validation (Mika Penttilä) [2160457]
- drm/panel: novatek-nt35950: Only unregister DSI1 if it exists (Mika Penttilä) [2160457]
- drm/panel: novatek-nt35950: Improve error handling (Mika Penttilä) [2160457]
- drm/i915: Fix memory leaks in i915 selftests (Mika Penttilä) [2160457]
- drm/i915: Make intel_get_crtc_new_encoder() less oopsy (Mika Penttilä) [2160457]
- drm/amd/display: Fix potential null dereference (Mika Penttilä) [2160457]
- drm/ttm/pool: Fix ttm_pool_alloc error path (Mika Penttilä) [2160457]
- drm/i915/pxp: limit drm-errors or warning on firmware API failures (Mika Penttilä) [2160457]
- drm/i915/pxp: Invalidate all PXP fw sessions during teardown (Mika Penttilä) [2160457]
- drm/amd/display/dc/dce60/Makefile: Fix previous attempt to silence known override-init warnings (Mika Penttilä) [2160457]
- drm/bridge: adv7533: Fix adv7533_mode_valid for adv7533 and adv7535 (Mika Penttilä) [2160457]
- drm/probe-helper: Cancel previous job before starting new one (Mika Penttilä) [2160457]
- drm/vgem: add missing mutex_destroy (Mika Penttilä) [2160457]
- drm/amd/pm: re-enable the gfx imu when smu resume (Mika Penttilä) [2160457]
- drm/vmwgfx: Fix Legacy Display Unit atomic drm support (Mika Penttilä) [2160457]
- drm/fb-helper: set x/yres_virtual in drm_fb_helper_check_var (Mika Penttilä) [2160457]
- drm/nouveau: fix data overrun (Mika Penttilä) [2160457]
- Add new config defaults (Mika Penttilä) [2160457]
- Add needed modules to the internal list. (Mika Penttilä) [2160457]
- Partial revert of "drm/tegra: Add Tegra234 support to NVDEC driver" (Mika Penttilä) [2160457]
- Merge tegra DRM and host1x DRM changes from upstream v6.0.8..v6.3 (Mika Penttilä) [2160457]
- kunit: drm: make DRM buddy test compatible with other pages sizes (Mika Penttilä) [2160457]
- kunit: rename base KUNIT_ASSERTION macro to _KUNIT_FAILED (Mika Penttilä) [2160457]
- kunit: Introduce KUNIT_EXPECT_MEMEQ and KUNIT_EXPECT_MEMNEQ macros (Mika Penttilä) [2160457]
- Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457]
- Revert "drm: tda99x: Don't advertise non-existent capture support" (Mika Penttilä) [2160457]
- Add missing #include <linux/module.h> for compile on s390x (Mika Penttilä) [2160457]
- Partial revert of "mm: replace vma->vm_flags direct modifications with modifier calls" (Mika Penttilä) [2160457]
- overflow: Introduce castable_to_type() (Mika Penttilä) [2160457]
- Partial revert of "driver core: make struct bus_type.uevent() take a const *" (Mika Penttilä) [2160457]
- Merge DRM changes from upstream v6.2..v6.3 (Mika Penttilä) [2160457]
- Partial revert of get_random_u32_ changes (Mika Penttilä) [2160457]
- Replace timer_shutdown_sync() with del_timer_sync() (Mika Penttilä) [2160457]
- Revert "overflow: Introduce overflows_type() and castable_to_type()" (Mika Penttilä) [2160457]
- Merge DRM changes from upstream v6.1..v6.2 (Mika Penttilä) [2160457]
- Declare DECLARE_DYNDBG_CLASSMAP macro to enable compile after DRM backport (Mika Penttilä) [2160457]
- Revert "drm/ast: Fix drm_fb_memcpy() on rhel9" (Mika Penttilä) [2160457]
- Revert "i915: use the VMA iterator" (Mika Penttilä) [2160457]
- Revert "drm/i915/userptr: restore probe_range behaviour" (Mika Penttilä) [2160457]
- Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457]
- Fix dynamic_dname() signature change build break due to DRM backport. (Mika Penttilä) [2160457]
- Merge DRM changes from upstream v6.0.8..v6.1 (Mika Penttilä) [2160457]
- mm/khugepaged: fix collapse_pte_mapped_thp() to allow anon_vma (Nico Pache) [2168372]
- mm/khugepaged: invoke MMU notifiers in shmem/file collapse paths (Nico Pache) [2168372]
- mm/khugepaged: fix GUP-fast interaction by sending IPI (Nico Pache) [2168372]
- mm/khugepaged: take the right locks for page table retraction (Nico Pache) [2168372]
- mm: hugetlb: fix UAF in hugetlb_handle_userfault (Nico Pache) [2168372]
- mm/damon/core: avoid holes in newly set monitoring target ranges (Nico Pache) [2168372]
- mm/damon/core: iterate the regions list from current point in damon_set_regions() (Nico Pache) [2168372]
- mm/damon/core-test: test damon_set_regions (Nico Pache) [2168372]
- selftests/vm: update hugetlb madvise (Nico Pache) [2168372]
- ipc/shm: call underlying open/close vm_ops (Nico Pache) [2168372]
- mm/userfaultfd: don't consider uffd-wp bit of writable migration entries (Nico Pache) [2168372]
- mm/debug_vm_pgtable: replace pte_mkhuge() with arch_make_huge_pte() (Nico Pache) [2168372]
- mm/migrate: drop pte_mkhuge() in remove_migration_pte() (Nico Pache) [2168372]
- mm/zswap: try to avoid worst-case scenario on same element pages (Nico Pache) [2168372]
- mm: cma: make kobj_type structure constant (Nico Pache) [2168372]
- mm: slub: make kobj_type structure constant (Nico Pache) [2168372]
- mm: page_alloc: skip regions with hugetlbfs pages when allocating 1G pages (Nico Pache) [2168372]
- mm/page_alloc: fix potential deadlock on zonelist_update_seq seqlock (Nico Pache) [2168372]
- writeback, cgroup: fix null-ptr-deref write in bdi_split_work_to_wbs (Nico Pache) [2168372]
- mm/khugepaged: check again on anon uffd-wp during isolation (Nico Pache) [2168372]
- mm/userfaultfd: fix uffd-wp handling for THP migration entries (Nico Pache) [2168372]
- mm/swap: fix swap_info_struct race between swapoff and get_swap_pages() (Nico Pache) [2168372]
- mm/hugetlb: fix uffd wr-protection for CoW optimization path (Nico Pache) [2168372]
- mm: kfence: fix handling discontiguous page (Nico Pache) [2168372]
- mm: kfence: fix PG_slab and memcg_data clearing (Nico Pache) [2168372]
- kfence: avoid passing -g for test (Nico Pache) [2168372]
- mm: kfence: fix using kfence_metadata without initialization in show_object() (Nico Pache) [2168372]
- Revert "kasan: drop skip_kasan_poison variable in free_pages_prepare" (Nico Pache) [2168372]
- mm, vmalloc: fix high order __GFP_NOFAIL allocations (Nico Pache) [2168372]
- mm/userfaultfd: propagate uffd-wp bit when PTE-mapping the huge zeropage (Nico Pache) [2168372]
- mm, compaction: finish pageblocks on complete migration failure (Nico Pache) [2168372]
- mm, compaction: finish scanning the current pageblock if requested (Nico Pache) [2168372]
- mm, compaction: check if a page has been captured before draining PCP pages (Nico Pache) [2168372]
- mm, compaction: rename compact_control->rescan to finish_pageblock (Nico Pache) [2168372]
- migrate: hugetlb: check for hugetlb shared PMD in node migration (Nico Pache) [2168372]
- mm: hugetlb: proc: check for hugetlb shared PMD in /proc/PID/smaps (Nico Pache) [2168372]
- Fix page corruption caused by racy check in __free_pages (Nico Pache) [2168372]
- mm, mremap: fix mremap() expanding vma with addr inside vma (Nico Pache) [2168372]
- mm: add cond_resched() in swapin_walk_pmd_entry() (Nico Pache) [2168372]
- mm/fadvise: use LLONG_MAX instead of -1 for eof (Nico Pache) [2168372]
- filemap: skip write and wait if end offset precedes start (Nico Pache) [2168372]
- mm, compaction: fix fast_isolate_around() to stay within boundaries (Nico Pache) [2168372]
- mm: rmap: rename page_not_mapped() to folio_not_mapped() (Nico Pache) [2168372]
- mm: vmscan: make rotations a secondary factor in balancing anon vs file (Nico Pache) [2168372]
- mm: Make failslab writable again (Nico Pache) [2168372]
- mm/slub: remove dead code for debug caches on deactivate_slab() (Nico Pache) [2168372]
- mm/gup: fix gup_pud_range() for dax (Nico Pache) [2168372]
- memcg: Fix possible use-after-free in memcg_write_event_control() (Nico Pache) [2168372]
- mm/cgroup/reclaim: fix dirty pages throttling on cgroup v1 (Nico Pache) [2168372]
- swapfile: fix soft lockup in scan_swap_map_slots (Nico Pache) [2168372]
- mm: correctly charge compressed memory to its memcg (Nico Pache) [2168372]
- mm: vmscan: fix extreme overreclaim and swap floods (Nico Pache) [2168372]
- mm/shmem: use page_mapping() to detect page cache for uffd continue (Nico Pache) [2168372]
- mm/memremap.c: map FS_DAX device memory as decrypted (Nico Pache) [2168372]
- mm,madvise,hugetlb: fix unexpected data loss with MADV_DONTNEED on hugetlbfs (Nico Pache) [2168372]
- mm/page_alloc: fix incorrect PGFREE and PGALLOC for high-order page (Nico Pache) [2168372]
- mm: use update_mmu_tlb() on the second thread (Nico Pache) [2168372]
- hugetlb: clean up code checking for fault/truncation races (Nico Pache) [2168372]
- hugetlb: use new vma_lock for pmd sharing synchronization (Nico Pache) [2168372]
- hugetlb: create hugetlb_unmap_file_folio to unmap single file folio (Nico Pache) [2168372]
- hugetlbfs: fix off-by-one error in hugetlb_vmdelete_list() (Nico Pache) [2168372]
- hugetlb: add vma based lock for pmd sharing (Nico Pache) [2168372]
- hugetlb: rename vma_shareable() and refactor code (Nico Pache) [2168372]
- hugetlb: create remove_inode_single_folio to remove single file folio (Nico Pache) [2168372]
- hugetlb: rename remove_huge_page to hugetlb_delete_from_page_cache (Nico Pache) [2168372]
- hugetlbfs: revert use i_mmap_rwsem for more pmd sharing synchronization (Nico Pache) [2168372]
- mm: hugetlb: eliminate memory-less nodes handling (Nico Pache) [2168372]
- mm: hugetlb: simplify per-node sysfs creation and removal (Nico Pache) [2168372]
- mm: delete unused MMF_OOM_VICTIM flag (Nico Pache) [2168372]
- mm: drop oom code from exit_mmap (Nico Pache) [2168372]
- mm: migrate: fix return value if all subpages of THPs are migrated successfully (Nico Pache) [2168372]
- mm/mempolicy: fix memory leak in set_mempolicy_home_node system call (Nico Pache) [2168372]
- arm64: mm: hugetlb: Disable HUGETLB_PAGE_OPTIMIZE_VMEMMAP (Nico Pache) [2168372]
- mm: rmap: use the correct parameter name for DEFINE_PAGE_VMA_WALK (Nico Pache) [2168372]
- tmpfs: fix data loss from failed fallocate (Nico Pache) [2168372]
- mm/damon/dbgfs: check if rm_contexts input is for a real context (Nico Pache) [2168372]
- hugetlbfs: revert use i_mmap_rwsem to address page fault/truncate race (Nico Pache) [2168372]
- hugetlb: remove meaningless BUG_ON(huge_pte_none()) (Nico Pache) [2168372]
- mm/filemap: make folio_put_wait_locked static (Nico Pache) [2168372]
- mm: use nth_page instead of mem_map_offset mem_map_next (Nico Pache) [2168372]
- Docs/admin-guide/mm/damon/usage: note DAMON debugfs interface deprecation plan (Nico Pache) [2168372]
- Docs/admin-guide/mm/damon/start: mention the dependency as sysfs instead of debugfs (Nico Pache) [2168372]
- mm/damon/Kconfig: notify debugfs deprecation plan (Nico Pache) [2168372]
- Docs/admin-guide/mm/damon: rename the title of the document (Nico Pache) [2168372]
- selftest/damon: add a test for duplicate context dirs creation (Nico Pache) [2168372]
- mm: fixup documentation regarding pte_numa() and PROT_NUMA (Nico Pache) [2168372]
- mm/gup: use gup_can_follow_protnone() also in GUP-fast (Nico Pache) [2168372]
- mm/gup: replace FOLL_NUMA by gup_can_follow_protnone() (Nico Pache) [2168372]
- mm: fix the handling Non-LRU pages returned by follow_page (Nico Pache) [2168372]
- mm/page_io: count submission time as thrashing delay for delayacct (Nico Pache) [2168372]
- delayacct: support re-entrance detection of thrashing accounting (Nico Pache) [2168372]
- migrate_pages(): fix failure counting for retry (Nico Pache) [2168372]
- migrate_pages(): fix failure counting for THP splitting (Nico Pache) [2168372]
- migrate_pages(): fix failure counting for THP on -ENOSYS (Nico Pache) [2168372]
- migrate_pages(): fix failure counting for THP subpages retrying (Nico Pache) [2168372]
- migrate_pages(): fix THP failure counting for -ENOMEM (Nico Pache) [2168372]
- migrate_pages(): remove unnecessary list_safe_reset_next() (Nico Pache) [2168372]
- migrate: fix syscall move_pages() return value for failure (Nico Pache) [2168372]
- filemap: make the accounting of thrashing more consistent (Nico Pache) [2168372]
- mm: fix PageAnonExclusive clearing racing with concurrent RCU GUP-fast (Nico Pache) [2168372]
- mm/damon: replace pmd_huge() with pmd_trans_huge() for THP (Nico Pache) [2168372]
- mm/damon: validate if the pmd entry is present before accessing (Nico Pache) [2168372]
- mm/hugetlb: make detecting shared pte more reliable (Nico Pache) [2168372]
- mm/hugetlb: fix sysfs group leak in hugetlb_unregister_node() (Nico Pache) [2168372]
- mm: hugetlb_vmemmap: add missing smp_wmb() before set_pte_at() (Nico Pache) [2168372]
- mm/hugetlb: fix missing call to restore_reserve_on_error() (Nico Pache) [2168372]
- mm/hugetlb: fix WARN_ON(!kobj) in sysfs_create_group() (Nico Pache) [2168372]
- mm/hugetlb: fix incorrect update of max_huge_pages (Nico Pache) [2168372]
- Documentation/mm: modify page_referenced to folio_referenced (Nico Pache) [2168372]
- redhat/configs: enable CONFIG_MANA_INFINIBAND for RHEL (Kamal Heib) [2189296]
- RDMA/mana_ib: Fix a bug when the PF indicates more entries for registering memory on first packet (Kamal Heib) [2189296]
- RDMA/mana_ib: Prevent array underflow in mana_ib_create_qp_raw() (Kamal Heib) [2189296]
- RDMA/mana: Remove redefinition of basic u64 type (Kamal Heib) [2189296]
- RDMA/mana_ib: Add a driver for Microsoft Azure Network Adapter (Kamal Heib) [2189296]
- net: mana: Define data structures for allocating doorbell page from GDMA (Kamal Heib) [2189296]
- redhat: include the information about builtin symbols into kernel-uki-virt package too (Vitaly Kuznetsov) [2184476]
Resolves: rhbz#2160457, rhbz#2168372, rhbz#2176388, rhbz#2178930, rhbz#2182619, rhbz#2184476, rhbz#2186348, rhbz#2189296

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-28 08:07:08 +02:00
Jan Stancek
8be08aebd9 kernel-5.14.0-332.el9
* Mon Jun 26 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-332.el9]
- backport rtla hwnoise (John Kacur) [2174948]
- rtla: Add .gitignore file (John Kacur) [2174948]
- Documentation/rtla: Add hwnoise man page (John Kacur) [2174948]
- rtla: Add hwnoise tool (John Kacur) [2174948]
- HID: amd_sfh: Change dev_err to dev_dbg for additional debug info (Desnes Nunes) [2139485]
- redhat: configs: enable amd-pstate-ut driver (David Arcari) [2215032]
- cpufreq: amd-pstate: fix spdxcheck warnings for amd-pstate-ut.c (David Arcari) [2215032]
- cpufreq: amd-pstate: modify type in argument 2 for filp_open (David Arcari) [2215032]
- cpufreq: amd-pstate: Add test module for amd-pstate driver (David Arcari) [2215032]
- perf script: Skip aggregation for stat events (Michael Petlan) [2177183]
- perf lock contention: Fix compiler builtin detection (Michael Petlan) [2177183]
- perf/core: Fix perf_sample_data not properly initialized for different swevents in perf_tp_event() (Michael Petlan) [2177183]
- perf test: Fix wrong size expectation for 'Setup struct perf_event_attr' (Michael Petlan) [2177183]
- perf symbols: Fix unaligned access in get_x86_64_plt_disp() (Michael Petlan) [2177183]
- perf symbols: Fix use-after-free in get_plt_got_name() (Michael Petlan) [2177183]
- perf/x86: Fix missing sample size update on AMD BRS (Michael Petlan) [2177183]
- perf test: Fix "PMU event table sanity" for NO_JEVENTS=1 (Michael Petlan) [2177183]
- tools headers UAPI: Sync linux/perf_event.h with the kernel sources (Michael Petlan) [2177183]
- perf test: Avoid counting commas in json linter (Michael Petlan) [2177183]
- perf tests stat+csv_output: Switch CSV separator to @ (Michael Petlan) [2177183]
- perf inject: Fix --buildid-all not to eat up MMAP2 (Michael Petlan) [2177183]
- perf test: Fix offcpu test prev_state check (Michael Petlan) [2177183]
- perf vendor events power10: Add JSON metric events to present CPI stall cycles in powerpc (Michael Petlan) [2177183]
- perf intel-pt: Synthesize cycle events (Michael Petlan) [2177183]
- perf record: Fix segfault with --overwrite and --max-size (Michael Petlan) [2177183]
- perf tools: Fix perf tool build error in util/pfm.c (Michael Petlan) [2177183]
- perf tools: Fix auto-complete on aarch64 (Michael Petlan) [2177183]
- perf lock contention: Support old rw_semaphore type (Michael Petlan) [2177183]
- perf lock contention: Add -o/--lock-owner option (Michael Petlan) [2177183]
- perf lock contention: Fix to save callstack for the default modified (Michael Petlan) [2177183]
- perf test bpf: Skip test if kernel-debuginfo is not present (Michael Petlan) [2177183]
- perf probe: Update the exit error codes in function try_to_find_probe_trace_event (Michael Petlan) [2177183]
- perf script: Fix missing Retire Latency fields option documentation (Michael Petlan) [2177183]
- perf event x86: Add retire_lat when synthesizing PERF_SAMPLE_WEIGHT_STRUCT (Michael Petlan) [2177183]
- perf test x86: Support the retire_lat (Retire Latency) sample_type check (Michael Petlan) [2177183]
- perf test bpf: Check for libtraceevent support (Michael Petlan) [2177183]
- perf script: Support Retire Latency (Michael Petlan) [2177183]
- perf report: Support Retire Latency (Michael Petlan) [2177183]
- perf lock contention: Support filters for different aggregation (Michael Petlan) [2177183]
- perf lock contention: Use lock_stat_find{,new} (Michael Petlan) [2177183]
- perf lock contention: Factor out lock_contention_get_name() (Michael Petlan) [2177183]
- perf arm-spe: Add raw decoding for SPEv1.2 previous branch address (Michael Petlan) [2177183]
- perf jevents: Run metric_test.py at compile-time (Michael Petlan) [2177183]
- tools build: Add test echo-cmd (Michael Petlan) [2177183]
- perf pmu-events: Fix testing with JEVENTS_ARCH=all (Michael Petlan) [2177183]
- perf jevents: Add model list option (Michael Petlan) [2177183]
- perf jevents: Generate metrics and events as separate tables (Michael Petlan) [2177183]
- perf pmu-events: Introduce pmu_metrics_table (Michael Petlan) [2177183]
- perf jevents: Combine table prefix and suffix writing (Michael Petlan) [2177183]
- perf stat: Remove evsel metric_name/expr (Michael Petlan) [2177183]
- perf pmu-events: Remove now unused event and metric variables (Michael Petlan) [2177183]
- perf pmu-events: Separate the metrics from events for no jevents (Michael Petlan) [2177183]
- perf pmu-events: Add separate metric from pmu_event (Michael Petlan) [2177183]
- perf jevents: Rewrite metrics in the same file with each other (Michael Petlan) [2177183]
- perf jevents metric: Add ability to rewrite metrics in terms of others (Michael Petlan) [2177183]
- perf jevents metric: Correct Function equality (Michael Petlan) [2177183]
- perf session: Show branch speculation info in raw dump (Michael Petlan) [2177183]
- perf script: Show branch speculation info (Michael Petlan) [2177183]
- perf test: Add more test cases for perf lock contention (Michael Petlan) [2177183]
- perf bench syscall: Add execve syscall benchmark (Michael Petlan) [2177183]
- perf bench syscall: Add getpgid syscall benchmark (Michael Petlan) [2177183]
- perf bench syscall: Introduce bench_syscall_common() (Michael Petlan) [2177183]
- perf test: Replace legacy `...` with $(...) (Michael Petlan) [2177183]
- tools x86: Keep list sorted by number in unistd_{32,64}.h (Michael Petlan) [2177183]
- perf test: Replace 'grep | wc -l' with 'grep -c' (Michael Petlan) [2177183]
- perf lock contention: Add -S/--callstack-filter option (Michael Petlan) [2177183]
- perf script: Add 'cgroup' field for output (Michael Petlan) [2177183]
- perf tools docs: Use canonical ftrace path (Michael Petlan) [2177183]
- perf arm-spe: Only warn once for each unsupported address packet (Michael Petlan) [2177183]
- perf symbols: Symbol lookup with kcore can fail if multiple segments match stext (Michael Petlan) [2177183]
- perf probe: Fix usage when libtraceevent is missing (Michael Petlan) [2177183]
- perf symbols: Get symbols for .plt.got for x86-64 (Michael Petlan) [2177183]
- perf symbols: Start adding support for .plt.got for x86 (Michael Petlan) [2177183]
- perf symbols: Allow for static executables with .plt (Michael Petlan) [2177183]
- perf symbols: Allow for .plt without header (Michael Petlan) [2177183]
- perf symbols: Add support for IFUNC symbols for x86_64 (Michael Petlan) [2177183]
- perf symbols: Record whether a symbol is an alias for an IFUNC symbol (Michael Petlan) [2177183]
- perf symbols: Sort plt relocations for x86 (Michael Petlan) [2177183]
- perf symbols: Add support for x86 .plt.sec (Michael Petlan) [2177183]
- perf symbols: Correct plt entry sizes for x86 (Michael Petlan) [2177183]
- perf tests shell: Fix check for libtracevent support (Michael Petlan) [2177183]
- perf tests shell: Add check for perf data file in record+probe_libc_inet_pton test (Michael Petlan) [2177183]
- perf test: Add pipe mode test to the Intel PT test suite (Michael Petlan) [2177183]
- perf session: Avoid calling lseek(2) for pipe (Michael Petlan) [2177183]
- perf intel-pt: Do not try to queue auxtrace data on pipe (Michael Petlan) [2177183]
- perf inject: Use perf_data__read() for auxtrace (Michael Petlan) [2177183]
- perf cs-etm: Update decoder code for OpenCSD version 1.4 (Michael Petlan) [2177183]
- perf test: Fix DWARF unwind test by adding non-inline to expected function in a backtrace (Michael Petlan) [2177183]
- perf buildid: Avoid copy of uninitialized memory (Michael Petlan) [2177183]
- perf mem/c2c: Document that SPE is used for mem and c2c on ARM (Michael Petlan) [2177183]
- perf cs-etm: Improve missing sink warning message (Michael Petlan) [2177183]
- perf test buildid: Fix shell string substitutions (Michael Petlan) [2177183]
- perf: Various spelling fixes (Michael Petlan) [2177183]
- perf test: Switch basic bpf filtering test to use syscall tracepoint (Michael Petlan) [2177183]
- perf cs-etm: Ensure that Coresight timestamps don't go backwards (Michael Petlan) [2177183]
- perf cs_etm: Set the time field in the synthetic samples (Michael Petlan) [2177183]
- perf cs_etm: Record ts_source in AUXTRACE_INFO for ETMv4 and ETE (Michael Petlan) [2177183]
- perf cs_etm: Keep separate symbols for ETMv4 and ETE parameters (Michael Petlan) [2177183]
- perf pmu: Add function to check if a pmu file exists (Michael Petlan) [2177183]
- perf pmu: Remove remaining duplication of bus/event_source/devices/... (Michael Petlan) [2177183]
- perf pmu: Use perf_pmu__open_file() and perf_pmu__scan_file() (Michael Petlan) [2177183]
- perf pmu: Remove duplication around EVENT_SOURCE_DEVICE_PATH (Michael Petlan) [2177183]
- perf tools: Fix foolproof typo (Michael Petlan) [2177183]
- perf symbols: Check SHT_RELA and SHT_REL type earlier (Michael Petlan) [2177183]
- perf symbols: Combine handling for SHT_RELA and SHT_REL (Michael Petlan) [2177183]
- perf symbols: Allow for .plt entries with no symbol (Michael Petlan) [2177183]
- perf symbols: Add symbol for .plt header (Michael Petlan) [2177183]
- perf symbols: Do not check ss->dynsym twice (Michael Petlan) [2177183]
- perf symbols: Slightly simplify 'err' usage in dso__synthesize_plt_symbols() (Michael Petlan) [2177183]
- perf symbols: Add dso__find_symbol_nocache() (Michael Petlan) [2177183]
- perf symbols: Check plt_entry_size is not zero (Michael Petlan) [2177183]
- perf symbols: Factor out get_plt_sizes() (Michael Petlan) [2177183]
- perf test: Add Symbols test (Michael Petlan) [2177183]
- perf test workload thloop: Make count increments atomic (Michael Petlan) [2177183]
- perf debug: Increase libtraceevent logging when verbose (Michael Petlan) [2177183]
- perf trace: Reduce #ifdefs for TEP_FIELD_IS_RELATIVE (Michael Petlan) [2177183]
- perf llvm: Fix inadvertent file creation (Michael Petlan) [2177183]
- perf vendor events intel: Add Emerald Rapids (Michael Petlan) [2177183]
- perf docs: Fix a typo in 'perf probe' man page: l20th -> 120th (Michael Petlan) [2177183]
- perf vendor events arm64: Add instruction mix metrics for neoverse-n2-v2 (Michael Petlan) [2177183]
- perf vendor events arm64: Add PE utilization metrics for neoverse-n2-v2 (Michael Petlan) [2177183]
- perf vendor events arm64: Add branch metrics for neoverse-n2-v2 (Michael Petlan) [2177183]
- perf vendor events arm64: Add cache metrics for neoverse-n2-v2 (Michael Petlan) [2177183]
- perf vendor events arm64: Add TLB metrics for neoverse-n2-v2 (Michael Petlan) [2177183]
- perf vendor events arm64: Add topdown L1 metrics for neoverse-n2-v2 (Michael Petlan) [2177183]
- perf vendor events arm64: Add common topdown L1 metrics (Michael Petlan) [2177183]
- perf jevent: Add general metrics support (Michael Petlan) [2177183]
- perf pmu: Add #slots literal support for arm64 (Michael Petlan) [2177183]
- perf script flamegraph: Avoid d3-flame-graph package dependency (Michael Petlan) [2177183]
- perf/core: Fix the same task check in perf_event_set_output (Michael Petlan) [2177183]
- perf: Optimize perf_pmu_migrate_context() (Michael Petlan) [2177183]
- perf/x86/amd/core: Always clear status for idx (Michael Petlan) [2177183]
- perf: fix perf_event_context->time (Michael Petlan) [2177183]
- perf/core: Fix perf_output_begin parameter is incorrectly invoked in perf_event_bpf_output (Michael Petlan) [2177183]
- perf/x86/intel: Expose EPT-friendly PEBS for SPR and future models (Michael Petlan) [2177183]
- powerpc/hv-24x7: Fix pvr check when setting interface version (Michael Petlan) [2177183]
- kernel: events: Export perf_report_aux_output_id() (Michael Petlan) [2177183]
- perf: arm_spe: Add support for SPEv1.2 inverted event filtering (Michael Petlan) [2177183]
- arm64/sysreg: Convert SPE registers to automatic generation (Michael Petlan) [2177183]
- perf: arm_spe: Drop BIT() and use FIELD_GET/PREP accessors (Michael Petlan) [2177183]
- arm64: Drop SYS_ from SPE register defines (Michael Petlan) [2177183]
- perf: arm_spe: Print the version of SPE detected (Michael Petlan) [2177183]
- perf: Add perf_event_attr::config3 (Michael Petlan) [2177183]
- perf/x86/intel/uncore: Add Meteor Lake support (Michael Petlan) [2177183]
- x86/perf/zhaoxin: Add stepping check for ZXC (Michael Petlan) [2177183]
- perf/core: Call perf_prepare_sample() before running BPF (Michael Petlan) [2177183]
- perf/core: Introduce perf_prepare_header() (Michael Petlan) [2177183]
- perf/core: Do not pass header for sample ID init (Michael Petlan) [2177183]
- perf/core: Set data->sample_flags in perf_prepare_sample() (Michael Petlan) [2177183]
- perf/core: Add perf_sample_save_brstack() helper (Michael Petlan) [2177183]
- perf/core: Add perf_sample_save_raw_data() helper (Michael Petlan) [2177183]
- perf/core: Add perf_sample_save_callchain() helper (Michael Petlan) [2177183]
- perf/core: Save the dynamic parts of sample data size (Michael Petlan) [2177183]
- perf/core: Change the layout of perf_sample_data (Michael Petlan) [2177183]
- perf/x86/intel: Support Architectural PerfMon Extension leaf (Michael Petlan) [2177183]
- x86/cpufeatures: Add Architectural PerfMon Extension bit (Michael Petlan) [2177183]
- x86/cpufeatures: Put the AMX macros in the word 18 block (Michael Petlan) [2177183]
- perf/x86: Support Retire Latency (Michael Petlan) [2177183]
- perf/x86: Add Meteor Lake support (Michael Petlan) [2177183]
- perf: Add PMU_FORMAT_ATTR_SHOW (Michael Petlan) [2177183]
- perf/x86/lbr: Simplify the exposure check for the LBR_INFO registers (Michael Petlan) [2177183]
- locking/rwbase: Mitigate indefinite writer starvation (Eder Zulian) [2037670]
- hwmon: (k10temp) Add PCI ID for family 19, model 78h (David Arcari) [2214986]
- hwmon: k10temp: constify pointers to hwmon_channel_info (David Arcari) [2214986]
- hwmon: constify pointers to hwmon_channel_info (David Arcari) [2214986]
- hwmon: (k10temp) Check range scale when CUR_TEMP register is read-write (David Arcari) [2214986]
- hwmon: (k10temp): Add support for new family 17h and 19h models (David Arcari) [2214986]
- spi: spi-geni-qcom: Do not do DMA map/unmap inside driver, use framework instead (Adrien Thierry) [RHEL-625]
- soc: qcom: geni-se: Add interfaces geni_se_tx_init_dma() and geni_se_rx_init_dma() (Adrien Thierry) [RHEL-625]
- spi: spi-geni-qcom: Select FIFO mode for chip select (Adrien Thierry) [RHEL-625]
- spi: spi-geni-qcom: Correct CS_TOGGLE bit in SPI_TRANS_CFG (Adrien Thierry) [RHEL-625]
- spi: spi-qcom-qspi: Support pinctrl sleep states (Adrien Thierry) [RHEL-625]
- spi: qcom-qspi: Convert to platform remove callback returning void (Adrien Thierry) [RHEL-625]
- spi: geni-qcom: Convert to platform remove callback returning void (Adrien Thierry) [RHEL-625]
- soc: qcom: geni-se: Move qcom-geni-se.h to linux/soc/qcom/geni-se.h (Adrien Thierry) [RHEL-625]
- spi: spi-geni-qcom: Add support for SE DMA mode (Adrien Thierry) [RHEL-625]
- scsi: scsi_transport_fc: Add an additional flag to fc_host_fpin_rcv() (Ewan D. Milne) [1992566]
Resolves: rhbz#1992566, rhbz#2037670, rhbz#2139485, rhbz#2174948, rhbz#2177183, rhbz#2214986, rhbz#2215032, RHEL-625

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-26 12:52:15 +02:00
Jan Stancek
26da50572a kernel-5.14.0-327.el9
* Tue Jun 13 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-327.el9]
- perf: Fix check before add_event_to_groups() in perf_group_detach() (Michael Petlan) [2192660] {CVE-2023-2235}
- thermal: intel: int340x: processor_thermal: Fix additional deadlock (Eric Auger) [2183350]
- thermal: intel: int340x: processor_thermal: Fix deadlock (Eric Auger) [2183350]
- thermal: gov_step_wise: Adjust code logic to match comment (Eric Auger) [2183350]
- thermal: gov_step_wise: Delete obsolete comment (Eric Auger) [2183350]
- thermal/drivers/cpuidle_cooling: Delete unmatched comments (Eric Auger) [2183350]
- thermal: cpuidle_cooling: Adjust includes to remove of_device.h (Eric Auger) [2183350]
- thermal/core: Alloc-copy-free the thermal zone parameters structure (Eric Auger) [2183350]
- thermal/of: Unexport unused OF functions (Eric Auger) [2183350]
- thermal/core: Remove thermal_bind_params structure (Eric Auger) [2183350]
- thermal/drivers/tegra-bpmp: Handle offline zones (Eric Auger) [2183350]
- thermal: core: Clean up thermal_list_lock locking (Eric Auger) [2183350]
- thermal/core: Relocate the traces definition in thermal directory (Eric Auger) [2183350]
- thermal/drivers/imx: Use the thermal framework for the trip point (Eric Auger) [2183350]
- thermal/drivers/imx: Remove get_trip_temp ops (Eric Auger) [2183350]
- thermal: Use of_property_present() for testing DT property presence (Eric Auger) [2183350]
- thermal: core: Restore behavior regarding invalid trip points (Eric Auger) [2183350]
- thermal/drivers/tegra: Remove unneeded lock when setting a trip point (Eric Auger) [2183350]
- thermal/hwmon: Do not set no_hwmon before calling thermal_add_hwmon_sysfs() (Eric Auger) [2183350]
- thermal: Remove debug or error messages in get_temp() ops (Eric Auger) [2183350]
- thermal/core: Show a debug message when get_temp() fails (Eric Auger) [2183350]
- thermal/core: Add a thermal zone 'devdata' accessor (Eric Auger) [2183350]
- thermal: core: Use sysfs_emit_at() instead of scnprintf() (Eric Auger) [2183350]
- thermal/core: Potential buffer overflow in thermal_build_list_of_policies() (Eric Auger) [2183350]
- thermal: Fail object registration if thermal class is not registered (Eric Auger) [2183350]
- thermal/core: Move the thermal trip code to a dedicated file (Eric Auger) [2183350]
- thermal/core: Remove unneeded ida_destroy() (Eric Auger) [2183350]
- thermal/core: Fix unregistering netlink at thermal init time (Eric Auger) [2183350]
- thermal: core: Use device_unregister() instead of device_del/put() (Eric Auger) [2183350]
- thermal: core: Move cdev cleanup to thermal_release() (Eric Auger) [2183350]
- thermal/drivers/imx_sc_thermal: Add iMX8QM sensors (Eric Auger) [2183350]
- thermal/drivers/imx_sc_thermal: Fix the loop condition (Eric Auger) [2183350]
- thermal/drivers/tegra: Fix set_trip_temp() deadlock (Eric Auger) [2183350]
- thermal/drivers/tegra: Fix crash when getting critical temp (Eric Auger) [2183350]
- thermal/of: Remove of_thermal_get_crit_temp() (Eric Auger) [2183350]
- thermal/of: Remove of_thermal_set_trip_hyst() (Eric Auger) [2183350]
- thermal/of: Remove of_thermal_is_trip_valid() (Eric Auger) [2183350]
- thermal/drivers/qcom/temp-alarm: Fix inaccurate warning for gen2 (Eric Auger) [2183350]
- thermal/of: Remove of_thermal_get_ntrips() (Eric Auger) [2183350]
- thermal/of: Remove unused functions (Eric Auger) [2183350]
- thermal/drivers/hisi: Use generic thermal_zone_get_trip() function (Eric Auger) [2183350]
- thermal/drivers/tegra: Use generic thermal_zone_get_trip() function (Eric Auger) [2183350]
- thermal/of: Use generic thermal_zone_get_trip() function (Eric Auger) [2183350]
- thermal/core/governors: Use thermal_zone_get_trip() instead of ops functions (Eric Auger) [2183350]
- thermal/core: Add a generic thermal_zone_set_trip() function (Eric Auger) [2183350]
- thermal/sysfs: Always expose hysteresis attributes (Eric Auger) [2183350]
- thermal/core: Add a generic thermal_zone_get_trip() function (Eric Auger) [2183350]
- thermal/drivers/imx_sc_thermal: Drop empty platform remove function (Eric Auger) [2183350]
- thermal/core/power allocator: Remove a useless include (Eric Auger) [2183350]
- thermal/of: Fix memory leak on thermal_of_zone_register() failure (Eric Auger) [2183350]
- thermal/core: Protect thermal device operations against thermal device removal (Eric Auger) [2183350]
- thermal/core: Remove thermal_zone_set_trips() (Eric Auger) [2183350]
- thermal/core: Protect sysfs accesses to thermal operations with thermal zone mutex (Eric Auger) [2183350]
- thermal/core: Protect hwmon accesses to thermal operations with thermal zone mutex (Eric Auger) [2183350]
- thermal/core: Introduce locked version of thermal_zone_device_update (Eric Auger) [2183350]
- thermal/core: Move parameter validation from __thermal_zone_get_temp to thermal_zone_get_temp (Eric Auger) [2183350]
- thermal/core: Ensure that thermal device is registered in thermal_zone_get_temp (Eric Auger) [2183350]
- thermal/core: Delete device under thermal device zone lock (Eric Auger) [2183350]
- thermal/core: Destroy thermal zone device mutex in release function (Eric Auger) [2183350]
- thermal/core: Add a check before calling set_trip_temp() (Eric Auger) [2183350]
- thermal/core: Drop valid pointer check for type (Eric Auger) [2183350]
- thermal/of: Remove the thermal_zone_of_get_sensor_id() function (Eric Auger) [2183350]
- thermal/drivers/imx_sc: Rely on the platform data to get the resource id (Eric Auger) [2183350]
- thermal: core: Increase maximum number of trip points (Eric Auger) [2183350]
- thermal: cpufreq_cooling: Check the policy first in cpufreq_cooling_register() (Eric Auger) [2183350]
- thermal: move from strlcpy() with unused retval to strscpy() (Eric Auger) [2183350]
- thermal: gov_user_space: Do not lock thermal zone mutex (Eric Auger) [2183350]
- thermal/core: Fix lockdep_assert() warning (Eric Auger) [2183350]
- thermal/core: Move the mutex inside the thermal_zone_device_update() function (Eric Auger) [2183350]
- thermal/core: Move the thermal zone lock out of the governors (Eric Auger) [2183350]
- thermal/governors: Group the thermal zone lock inside the throttle function (Eric Auger) [2183350]
- thermal/core: Rework the monitoring a bit (Eric Auger) [2183350]
- thermal/core: Rearm the monitoring only one time (Eric Auger) [2183350]
- thermal/of: Remove old OF code (Eric Auger) [2183350]
- thermal/core: Move set_trip_temp ops to the sysfs code (Eric Auger) [2183350]
- hwmon/drivers/core: Switch to new of thermal API (Eric Auger) [2183350]
- ata/drivers/ahci_imx: Switch to new of thermal API (Eric Auger) [2183350]
- thermal/drivers/broadcom: Switch to new of API (Eric Auger) [2183350]
- thermal/drivers/qoriq: Switch to new of API (Eric Auger) [2183350]
- thermal/drivers/maxim: Switch to new of API (Eric Auger) [2183350]
- thermal/drivers/hisilicon: Switch to new of API (Eric Auger) [2183350]
- thermal/drivers/imx: Switch to new of API (Eric Auger) [2183350]
- thermal/drivers/tegra: Switch to new of API (Eric Auger) [2183350]
- thermal/of: Make new code and old code co-exist (Eric Auger) [2183350]
- thermal/of: Fix free after use in thermal_of_unregister() (Eric Auger) [2183350]
- thermal/of: Return -ENODEV instead of -EINVAL if registration fails (Eric Auger) [2183350]
- thermal/of: Fix error code in of_thermal_zone_find() (Eric Auger) [2183350]
- thermal/of: Rework the thermal device tree initialization (Eric Auger) [2183350]
- dt-bindings: thermal: Fix missing required property (Eric Auger) [2183350]
- dt-bindings: thermal: Fix definition of cooling-maps contribution property (Eric Auger) [2183350]
- dt-bindings: thermal: Make trips node optional (Eric Auger) [2183350]
- kernel.spec: Fix UKI naming to comply with BLS (Philipp Rudo) [2187671]
- redhat/configs: Add CONFIG_RTC_DRV_NCT3018Y option (Gavin Shan) [2183349]
- rtc: jz4740: Make sure clock provider gets removed (Gavin Shan) [2183349]
- rtc: k3: handle errors while enabling wake irq (Gavin Shan) [2183349]
- rtc: pm8xxx: add support for nvmem offset (Gavin Shan) [2183349]
- dt-bindings: rtc: qcom-pm8xxx: add nvmem-cell offset (Gavin Shan) [2183349]
- rtc: abx80x: Add nvmem support (Gavin Shan) [2183349]
- rtc: rx6110: Remove unused of_gpio,h (Gavin Shan) [2183349]
- rtc: efi: Avoid spamming the log on RTC read failure (Gavin Shan) [2183349]
- rtc: isl12022: sort header inclusion alphabetically (Gavin Shan) [2183349]
- rtc: isl12022: Join string literals back (Gavin Shan) [2183349]
- rtc: isl12022: Drop unneeded OF guards and of_match_ptr() (Gavin Shan) [2183349]
- rtc: isl12022: Explicitly use __le16 type for ISL12022_REG_TEMP_L (Gavin Shan) [2183349]
- rtc: isl12022: Get rid of unneeded private struct isl12022 (Gavin Shan) [2183349]
- rtc: pcf85363: add support for the quartz-load-femtofarads property (Gavin Shan) [2183349]
- dt-bindings: rtc: nxp,pcf8563: move pcf85263/pcf85363 to a dedicated binding (Gavin Shan) [2183349]
- rtc: allow rtc_read_alarm without read_alarm callback (Gavin Shan) [2183349]
- rtc: rv3032: add ACPI support (Gavin Shan) [2183349]
- rtc: rv3028: add ACPI support (Gavin Shan) [2183349]
- rtc: jz4740: Register clock provider for the CLK32K pin (Gavin Shan) [2183349]
- rtc: jz4740: Use dev_err_probe() (Gavin Shan) [2183349]
- rtc: jz4740: Use readl_poll_timeout (Gavin Shan) [2183349]
- dt-bindings: rtc: Add #clock-cells property (Gavin Shan) [2183349]
- dt-bindings: rtc: moxart: use proper names for gpio properties (Gavin Shan) [2183349]
- rtc: moxart: switch to using gpiod API (Gavin Shan) [2183349]
- rtc: pm8xxx: drop error messages (Gavin Shan) [2183349]
- rtc: pm8xxx: clean up local declarations (Gavin Shan) [2183349]
- rtc: pm8xxx: refactor read_time() (Gavin Shan) [2183349]
- rtc: pm8xxx: use u32 for timestamps (Gavin Shan) [2183349]
- rtc: pm8xxx: clean up comments (Gavin Shan) [2183349]
- rtc: pm8xxx: rename alarm irq variable (Gavin Shan) [2183349]
- rtc: pm8xxx: rename struct device pointer (Gavin Shan) [2183349]
- rtc: pm8xxx: clean up time and alarm debugging (Gavin Shan) [2183349]
- rtc: pm8xxx: use unaligned le32 helpers (Gavin Shan) [2183349]
- rtc: pm8xxx: drop unused register defines (Gavin Shan) [2183349]
- rtc: pm8xxx: return IRQ_NONE on errors (Gavin Shan) [2183349]
- rtc: pm8xxx: drop bogus locking (Gavin Shan) [2183349]
- rtc: pm8xxx: use regmap_update_bits() (Gavin Shan) [2183349]
- rtc: pm8xxx: drop spmi error messages (Gavin Shan) [2183349]
- rtc: pm8xxx: fix set-alarm race (Gavin Shan) [2183349]
- dt-bindings: rtc: Convert Amlogic Meson vrtc controller binding (Gavin Shan) [2183349]
- rtc: rv8803: invalidate date/time if alarm time is invalid (Gavin Shan) [2183349]
- include/linux/bcd.h: provide bcd_is_valid() helper (Gavin Shan) [2183349]
- rtc: remove v3020 driver (Gavin Shan) [2183349]
- rtc: max8907: Drop unused i2c include (Gavin Shan) [2183349]
- rtc: rx8010: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: rv8803: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: rv3032: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: rv3029c2: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: pcf8563: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: pcf85363: use IRQ flags obtained fromfwnode (Gavin Shan) [2183349]
- rtc: pcf8523: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: pcf85063: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: pcf2123: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: m41t80: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: hym8563: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: ab-eoz9: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- dt-bindings: rtc: pcf2127: remove pca/pcf2129 from trivial RTC devices list (Gavin Shan) [2183349]
- rtc: brcmstb-waketimer: allow use as non-wake alarm (Gavin Shan) [2183349]
- dt-bindings: rtc: brcm,brcmstb-waketimer: add alarm interrupt (Gavin Shan) [2183349]
- rtc: sun6i: Always export the internal oscillator (Gavin Shan) [2183349]
- dt-bindings: rtc: Move rv3028 from trivial-rtc.yaml into own schema file (Gavin Shan) [2183349]
- dt-bindings: rtc: Add Loongson LS2X RTC support (Gavin Shan) [2183349]
- rtc: brcmstb-waketimer: rename irq to wake_irq (Gavin Shan) [2183349]
- rtc: brcmstb-waketimer: compensate for lack of wktmr disable (Gavin Shan) [2183349]
- rtc: brcmstb-waketimer: non-functional code changes (Gavin Shan) [2183349]
- rtc: brcmstb-waketimer: introduce WKTMR_ALARM_EVENT flag (Gavin Shan) [2183349]
- rtc: sunplus: fix format string for printing resource (Gavin Shan) [2183349]
- dt-bindings: rtc: qcom-pm8xxx: allow 'wakeup-source' property (Gavin Shan) [2183349]
- rtc: ds1742: use devm_platform_get_and_ioremap_resource() (Gavin Shan) [2183349]
- rtc: mxc_v2: Add missing clk_disable_unprepare() (Gavin Shan) [2183349]
- rtc: rs5c313: correct some spelling mistakes (Gavin Shan) [2183349]
- rtc: at91rm9200: Fix syntax errors in comments (Gavin Shan) [2183349]
- rtc: remove duplicated words in comments (Gavin Shan) [2183349]
- rtc: rv3028: Use IRQ flags obtained from device tree if available (Gavin Shan) [2183349]
- rtc: ds1307: use sysfs_emit() to instead of scnprintf() (Gavin Shan) [2183349]
- rtc: isl12026: drop obsolete dependency on COMPILE_TEST (Gavin Shan) [2183349]
- dt-bindings: rtc: m41t80: Convert text schema to YAML one (Gavin Shan) [2183349]
- rtc: pcf85063: fix pcf85063_clkout_control (Gavin Shan) [2183349]
- rtc: rx6110: fix warning with !OF (Gavin Shan) [2183349]
- rtc: rk808: reduce 'struct rk808' usage (Gavin Shan) [2183349]
- rtc: msc313: Fix function prototype mismatch in msc313_rtc_probe() (Gavin Shan) [2183349]
- dt-bindings: rtc: convert rtc-meson.txt to dt-schema (Gavin Shan) [2183349]
- rtc: pic32: Move devm_rtc_allocate_device earlier in pic32_rtc_probe() (Gavin Shan) [2183349]
- rtc: st-lpc: Add missing clk_disable_unprepare in st_rtc_probe() (Gavin Shan) [2183349]
- rtc: pcf85063: Fix reading alarm (Gavin Shan) [2183349]
- rtc: pcf8523: fix for stop bit (Gavin Shan) [2183349]
- rtc: efi: Add wakeup support (Gavin Shan) [2183349]
- rtc: pcf8563: clear RTC_FEATURE_ALARM if no irq (Gavin Shan) [2183349]
- rtc: snvs: Allow a time difference on clock register read (Gavin Shan) [2183349]
- rtc: cmos: Disable ACPI RTC event on removal (Gavin Shan) [2183349]
- rtc: cmos: Rename ACPI-related functions (Gavin Shan) [2183349]
- rtc: cmos: Eliminate forward declarations of some functions (Gavin Shan) [2183349]
- rtc: cmos: Call rtc_wake_setup() from cmos_do_probe() (Gavin Shan) [2183349]
- rtc: cmos: Call cmos_wake_setup() from cmos_do_probe() (Gavin Shan) [2183349]
- rtc: class: Fix potential memleak in devm_rtc_allocate_device() (Gavin Shan) [2183349]
- rtc: ds1347: fix value written to century register (Gavin Shan) [2183349]
- rtc: Include <linux/kstrtox.h> when appropriate (Gavin Shan) [2183349]
- rtc: isl12022: add support for temperature sensor (Gavin Shan) [2183349]
- rtc: s35390a: Remove the unneeded result variable (Gavin Shan) [2183349]
- dt-bindings: rtc: convert hym8563 bindings to json-schema (Gavin Shan) [2183349]
- rtc: fsl-ftm-alarm: Use module_platform_driver replace device_initcall (Gavin Shan) [2183349]
- rtc: remove davinci rtc driver (Gavin Shan) [2183349]
- rtc: s3c: Switch to use dev_err_probe() helper (Gavin Shan) [2183349]
- rtc: ds1302: remove unnecessary spi_set_drvdata() (Gavin Shan) [2183349]
- rtc: cros-ec: Limit RTC alarm range if needed (Gavin Shan) [2183349]
- rtc: pm8xxx: drop unused pm8018 compatible (Gavin Shan) [2183349]
- dt-bindings: rtc: qcom-pm8xxx: document qcom,pm8921-rtc as fallback of qcom,pm8018-rtc (Gavin Shan) [2183349]
- rtc: rzn1: Check return value in rzn1_rtc_probe (Gavin Shan) [2183349]
- rtc: rx8025: Convert to .probe_new() (Gavin Shan) [2183349]
- rtc: rv8803: Convert to .probe_new() (Gavin Shan) [2183349]
- rtc: rs5c372: Convert to .probe_new() (Gavin Shan) [2183349]
- rtc: pcf2127: Convert to .probe_new() (Gavin Shan) [2183349]
- rtc: nct3018y: Convert to .probe_new() (Gavin Shan) [2183349]
- rtc: m41t80: Convert to .probe_new() (Gavin Shan) [2183349]
- rtc: isl1208: Convert to .probe_new() (Gavin Shan) [2183349]
- rtc: abx80x: Convert to .probe_new() (Gavin Shan) [2183349]
- rtc: cmos: fix build on non-ACPI platforms (Gavin Shan) [2183349]
- rtc: cmos: Fix wake alarm breakage (Gavin Shan) [2183349]
- rtc: rv3028: Fix codestyle errors (Gavin Shan) [2183349]
- rtc: cmos: Fix event handler registration ordering issue (Gavin Shan) [2183349]
- rtc: k3: Use devm_clk_get_enabled() helper (Gavin Shan) [2183349]
- rtc: jz4740: Use devm_clk_get_enabled() helper (Gavin Shan) [2183349]
- rtc: mpfs: Use devm_clk_get_enabled() helper (Gavin Shan) [2183349]
- rtc: ds1685: Fix spelling of function name in comment block (Gavin Shan) [2183349]
- rtc: isl12022: switch to using regmap API (Gavin Shan) [2183349]
- rtc: isl12022: drop redundant write to HR register (Gavin Shan) [2183349]
- rtc: isl12022: use dev_set_drvdata() instead of i2c_set_clientdata() (Gavin Shan) [2183349]
- rtc: isl12022: use %%ptR (Gavin Shan) [2183349]
- rtc: isl12022: simplify some expressions (Gavin Shan) [2183349]
- rtc: isl12022: drop a dev_info() (Gavin Shan) [2183349]
- rtc: isl12022: specify range_min and range_max (Gavin Shan) [2183349]
- rtc: isl12022: stop using deprecated devm_rtc_device_register() (Gavin Shan) [2183349]
- rtc: stmp3xxx: Add failure handling for stmp3xxx_wdt_register() (Gavin Shan) [2183349]
- rtc: mxc: Use devm_clk_get_enabled() helper (Gavin Shan) [2183349]
- rtc: gamecube: Always reset HW_SRNPROT after read (Gavin Shan) [2183349]
- rtc: k3: detect SoC to determine erratum fix (Gavin Shan) [2183349]
- rtc: k3: wait until the unlock field is not zero (Gavin Shan) [2183349]
- rtc: mpfs: Remove printing of stray CR (Gavin Shan) [2183349]
- x86/rtc: Rename mach_set_rtc_mmss() to mach_set_cmos_time() (Gavin Shan) [2183349]
- x86/rtc: Rewrite & simplify mach_get_cmos_time() by deleting duplicated functionality (Gavin Shan) [2183349]
- rtc: spear: set range max (Gavin Shan) [2183349]
- rtc: rtc-cmos: Do not check ACPI_FADT_LOW_POWER_S0 (Gavin Shan) [2183349]
- rtc: zynqmp: initialize fract_tick (Gavin Shan) [2183349]
- rtc: Add NCT3018Y real time clock driver (Gavin Shan) [2183349]
- dt-bindings: rtc: nuvoton: add NCT3018Y Real Time Clock (Gavin Shan) [2183349]
- dt-bindings: rtc: nxp,pcf85063: Convert to DT schema (Gavin Shan) [2183349]
- dt-bindings: rtc: microcrystal,rv3032: Add missing type to 'trickle-voltage-millivolt' (Gavin Shan) [2183349]
- rtc: rx8025: fix 12/24 hour mode detection on RX-8035 (Gavin Shan) [2183349]
- rtc: cros-ec: Only warn once in .remove() about notifier_chain problems (Gavin Shan) [2183349]
- rtc: vr41xx: remove driver (Gavin Shan) [2183349]
- rtc: mpfs: remove 'pending' variable from mpfs_rtc_wakeup_irq_handler() (Gavin Shan) [2183349]
- rtc: zynqmp: Add calibration set and get support (Gavin Shan) [2183349]
- rtc: zynqmp: Updated calibration value (Gavin Shan) [2183349]
- dt-bindings: rtc: zynqmp: Add clock information (Gavin Shan) [2183349]
- rtc: sun6i: add support for R329 RTC (Gavin Shan) [2183349]
- dt-bindings: rtc: Add EM Microelectronic EM3027 bindings (Gavin Shan) [2183349]
- dt-bindings: rtc: ds1307: Convert to json-schema (Gavin Shan) [2183349]
- dt-bindings: rtc: Add fsl,scu-rtc yaml file (Gavin Shan) [2183349]
- rtc: Introduce ti-k3-rtc (Gavin Shan) [2183349]
- dt-bindings: rtc: Add TI K3 RTC description (Gavin Shan) [2183349]
- dt-bindings: rtc: qcom-pm8xxx-rtc: Update the maintainers section (Gavin Shan) [2183349]
- rtc: Add driver for Microchip PolarFire SoC (Gavin Shan) [2183349]
- rtc: isl1208: do not advertise update interrupt feature if no interrupt specified (Gavin Shan) [2183349]
- dt-bindings: rtc: mediatek: add mt6358 and mt6366 compatible (Gavin Shan) [2183349]
- rtc: mc146818-lib: reduce RTC_UIP polling period (Gavin Shan) [2183349]
- rtc: rzn1: Fix a variable type (Gavin Shan) [2183349]
- rtc: rzn1: Fix error code in probe (Gavin Shan) [2183349]
- rtc: rzn1: Avoid mixing variables (Gavin Shan) [2183349]
- rtc: ftrtc010: Fix error handling in ftrtc010_rtc_probe (Gavin Shan) [2183349]
- rtc: mt6397: check return value after calling platform_get_resource() (Gavin Shan) [2183349]
- rtc: rzn1: fix platform_no_drv_owner.cocci warning (Gavin Shan) [2183349]
- rtc: gamecube: Add missing iounmap in gamecube_rtc_read_offset_from_sram (Gavin Shan) [2183349]
- rtc: meson: Fix email address in MODULE_AUTHOR (Gavin Shan) [2183349]
- rtc: simplify the return expression of rx8025_set_offset() (Gavin Shan) [2183349]
- dt-binding: pcf85063: Add an entry for pca85073a (Gavin Shan) [2183349]
- rtc: rzn1: Add oscillator offset support (Gavin Shan) [2183349]
- rtc: rzn1: Add alarm support (Gavin Shan) [2183349]
- rtc: rzn1: Add new RTC driver (Gavin Shan) [2183349]
- dt-bindings: rtc: rzn1: Describe the RZN1 RTC (Gavin Shan) [2183349]
- rtc: sun6i: Add NVMEM provider (Gavin Shan) [2183349]
- Revert "clk: sunxi-ng: sun6i-rtc: Add support for H6" (Gavin Shan) [2183349]
- dt-bindings: Drop empty and unreferenced binding .txt files (Gavin Shan) [2183349]
- dt-bindings: rtc: add refclk to mpfs-rtc (Gavin Shan) [2183349]
- clk: sunxi-ng: sun6i-rtc: Mark rtc-32k as critical (Gavin Shan) [2183349]
- clocksource/drivers: Add a goldfish-timer clocksource (Gavin Shan) [2183349]
- rtc: goldfish: Use gf_ioread32()/gf_iowrite32() (Gavin Shan) [2183349]
- tty: goldfish: Introduce gf_ioread32()/gf_iowrite32() (Gavin Shan) [2183349]
- clk: sunxi-ng: fix not NULL terminated coccicheck error (Gavin Shan) [2183349]
- rtc: gamecube: Fix refcount leak in gamecube_rtc_read_offset_from_sram (Gavin Shan) [2183349]
- rtc: pm8xxx: Return -ENODEV if set_time disallowed (Gavin Shan) [2183349]
- rtc: pm8xxx: Attach wake irq to device (Gavin Shan) [2183349]
- rtc: hym8563: switch to RTC_FEATURE_UPDATE_INTERRUPT (Gavin Shan) [2183349]
- rtc: hym8563: let the core handle the alarm resolution (Gavin Shan) [2183349]
- rtc: hym8563: switch to devm_rtc_allocate_device (Gavin Shan) [2183349]
- rtc: spear: fix spear_rtc_read_time (Gavin Shan) [2183349]
- rtc: spear: drop uie_unsupported (Gavin Shan) [2183349]
- rtc: spear: set range (Gavin Shan) [2183349]
- rtc: spear: switch to devm_rtc_allocate_device (Gavin Shan) [2183349]
- rtc: mpc5121: switch to RTC_FEATURE_UPDATE_INTERRUPT (Gavin Shan) [2183349]
- rtc: mpc5121: let the core handle the alarm resolution (Gavin Shan) [2183349]
- clk: sunxi-ng: sun6i-rtc: Add support for H6 (Gavin Shan) [2183349]
- clk: sunxi-ng: Add support for the sun6i RTC clocks (Gavin Shan) [2183349]
- clk: sunxi-ng: mux: Allow muxes to have keys (Gavin Shan) [2183349]
- rtc: wm8350: Handle error for wm8350_register_irq (Gavin Shan) [2183349]
- rtc: sun6i: Add Allwinner H616 support (Gavin Shan) [2183349]
- rtc: sun6i: Add support for broken-down alarm registers (Gavin Shan) [2183349]
- rtc: sun6i: Add support for linear day storage (Gavin Shan) [2183349]
- rtc: sun6i: Fix time overflow handling (Gavin Shan) [2183349]
- rtc: pl031: fix rtc features null pointer dereference (Gavin Shan) [2183349]
- rtc: mc146818-lib: fix locking in mc146818_set_time (Gavin Shan) [2183349]
- dt-bindings: rtc: add bindings for microchip mpfs rtc (Gavin Shan) [2183349]
- dt-bindings: rtc: at91: Add SAMA7G5 compatible strings list (Gavin Shan) [2183349]
- dt-bindings: rtc: convert at91sam9 bindings to json-schema (Gavin Shan) [2183349]
- rtc: max77686: Add MAX77714 support (Gavin Shan) [2183349]
- rtc: max77686: Remove unused code to read in 12-hour mode (Gavin Shan) [2183349]
- rtc: max77686: Rename day-of-month defines (Gavin Shan) [2183349]
- rtc: max77686: Convert comments to kernel-doc format (Gavin Shan) [2183349]
- mfd: max77686: Correct tab-based alignment of register addresses (Gavin Shan) [2183349]
- rtc: sun6i: Enable the bus clock when provided (Gavin Shan) [2183349]
- dt-bindings: rtc: sun6i: Add H616, R329, and D1 support (Gavin Shan) [2183349]
- dt-bindings: rtc: sun6i: Clean up repetition (Gavin Shan) [2183349]
- dt-bindings: rtc: st,stm32-rtc: Make each example a separate entry (Gavin Shan) [2183349]
- rtc: sunplus: fix return value in sp_rtc_probe() (Gavin Shan) [2183349]
- rtc: cmos: Evaluate century appropriate (Gavin Shan) [2183349]
- rtc: gamecube: Fix an IS_ERR() vs NULL check (Gavin Shan) [2183349]
- dt-bindings: rtc: qcom-pm8xxx-rtc: update register numbers (Gavin Shan) [2183349]
- rtc: pxa: fix null pointer dereference (Gavin Shan) [2183349]
- rtc: ftrtc010: Use platform_get_irq() to get the interrupt (Gavin Shan) [2183349]
- dt-bindings: rtc: Add Sunplus RTC json-schema (Gavin Shan) [2183349]
- rtc: Add driver for RTC in Sunplus SP7021 (Gavin Shan) [2183349]
- rtc: rs5c372: fix incorrect oscillation value on r2221tl (Gavin Shan) [2183349]
- rtc: rs5c372: add offset correction support (Gavin Shan) [2183349]
- rtc: cmos: avoid UIP when writing alarm time (Gavin Shan) [2183349]
- rtc: cmos: avoid UIP when reading alarm time (Gavin Shan) [2183349]
- rtc: mc146818-lib: refactor mc146818_does_rtc_work (Gavin Shan) [2183349]
- rtc: mc146818-lib: refactor mc146818_get_time (Gavin Shan) [2183349]
- rtc: gamecube: Report low battery as invalid data (Gavin Shan) [2183349]
- rtc: gamecube: Add a RTC driver for the GameCube, Wii and Wii U (Gavin Shan) [2183349]
- dt-bindings: rtc: Convert Broadcom STB waketimer to YAML (Gavin Shan) [2183349]
- dt/bindings: rtc: rx8900: Add an entry for RX8804 (Gavin Shan) [2183349]
- rtc: da9063: add as wakeup source (Gavin Shan) [2183349]
- rtc: da9063: switch to RTC_FEATURE_UPDATE_INTERRUPT (Gavin Shan) [2183349]
- rtc: rs5c372: Add RTC_VL_READ, RTC_VL_CLR ioctls (Gavin Shan) [2183349]
- rtc: rx8025: use .set_offset/.read_offset (Gavin Shan) [2183349]
- rtc: rx8025: use rtc_add_group (Gavin Shan) [2183349]
- rtc: rx8025: clear RTC_FEATURE_ALARM when alarm are not supported (Gavin Shan) [2183349]
- rtc: rx8025: set range (Gavin Shan) [2183349]
- rtc: rx8025: switch to devm_rtc_allocate_device (Gavin Shan) [2183349]
- rtc: ab8500: let the core handle the alarm resolution (Gavin Shan) [2183349]
- rtc: ab-eoz9: support UIE when available (Gavin Shan) [2183349]
- rtc: ab-eoz9: use RTC_FEATURE_UPDATE_INTERRUPT (Gavin Shan) [2183349]
- rtc: rv3032: let the core handle the alarm resolution (Gavin Shan) [2183349]
- rtc: s35390a: let the core handle the alarm resolution (Gavin Shan) [2183349]
- rtc: s3c: Add time range (Gavin Shan) [2183349]
- rtc: s3c: Extract read/write IO into separate functions (Gavin Shan) [2183349]
- rtc: s3c: Remove usage of devm_rtc_device_register() (Gavin Shan) [2183349]
- rtc: tps80031: Remove driver (Gavin Shan) [2183349]
- rtc: sun6i: Allow probing without an early clock provider (Gavin Shan) [2183349]
- MAINTAINERS: update faraday,ftrtc010.yaml reference (Gavin Shan) [2183349]
- rtc: rv3032: allow setting BSM (Gavin Shan) [2183349]
- rtc: rv3028: add BSM support (Gavin Shan) [2183349]
- rtc: s3c: remove HAVE_S3C_RTC in favor of direct dependencies (Gavin Shan) [2183349]
- rtc: rv3032: fix error handling in rv3032_clkout_set_rate() (Gavin Shan) [2183349]
- rtc: m41t80: return NULL rather than a plain 0 integer (Gavin Shan) [2183349]
- rtc: msc313: Fix unintentional sign extension issues with left shift of a u16 (Gavin Shan) [2183349]
- rtc: msc313: fix missing include (Gavin Shan) [2183349]
- rtc: Add support for the MSTAR MSC313 RTC (Gavin Shan) [2183349]
- dt-bindings: rtc: Add Mstar MSC313e RTC devicetree bindings documentation (Gavin Shan) [2183349]
- rtc: rx6110: simplify getting the adapter of a client (Gavin Shan) [2183349]
- rtc: s5m: drop unneeded MODULE_ALIAS (Gavin Shan) [2183349]
- rtc: omap: drop unneeded MODULE_ALIAS (Gavin Shan) [2183349]
- rtc: ds1302: Add SPI ID table (Gavin Shan) [2183349]
- rtc: cmos: Disable irq around direct invocation of cmos_interrupt() (Gavin Shan) [2183349]
- rtc: rx8010: select REGMAP_I2C (Gavin Shan) [2183349]
- dt-bindings: rtc: add Epson RX-8025 and RX-8035 (Gavin Shan) [2183349]
- rtc: rx8025: implement RX-8035 support (Gavin Shan) [2183349]
- rtc: cmos: remove stale REVISIT comments (Gavin Shan) [2183349]
- rtc: tps65910: Correct driver module alias (Gavin Shan) [2183349]
- rtc: s5m: set range (Gavin Shan) [2183349]
- rtc: s5m: enable wakeup only when available (Gavin Shan) [2183349]
- rtc: s5m: signal the core when alarm are not available (Gavin Shan) [2183349]
- rtc: s5m: switch to devm_rtc_allocate_device (Gavin Shan) [2183349]
- netfilter: conntrack: fix possible bug_on with enable_hooks=1 (Florian Westphal) [2193079]
- vsock: avoid to close connected socket after the timeout (Stefano Garzarella) [2209707]
- vsock/loopback: don't disable irqs for queue access (Stefano Garzarella) [2209707]
- vsock/test: update expected return values (Stefano Garzarella) [2209707]
- vsock: return errors other than -ENOMEM to socket (Stefano Garzarella) [2209707]
- vsock/vmci: convert VMCI error code to -ENOMEM on receive (Stefano Garzarella) [2209707]
- vsock/vmci: convert VMCI error code to -ENOMEM on send (Stefano Garzarella) [2209707]
- virtio/vsock: fix leaks due to missing skb owner (Stefano Garzarella) [2209707]
- test/vsock: new skbuff appending test (Stefano Garzarella) [2209707]
- virtio/vsock: WARN_ONCE() for invalid state of socket (Stefano Garzarella) [2209707]
- virtio/vsock: fix header length on skb merging (Stefano Garzarella) [2209707]
- testing/vsock: add vsock_perf to gitignore (Stefano Garzarella) [2209707]
- virtio/vsock: check argument to avoid no effect call (Stefano Garzarella) [2209707]
- virtio/vsock: allocate multiple skbuffs on tx (Stefano Garzarella) [2209707]
- vsock/loopback: use only sk_buff_head.lock to protect the packet queue (Stefano Garzarella) [2209707]
- virtio/vsock: check transport before skb allocation (Stefano Garzarella) [2209707]
- test/vsock: copy to user failure test (Stefano Garzarella) [2209707]
- virtio/vsock: don't drop skbuff on copy failure (Stefano Garzarella) [2209707]
- virtio/vsock: remove redundant 'skb_pull()' call (Stefano Garzarella) [2209707]
- virtio/vsock: don't use skbuff state to account credit (Stefano Garzarella) [2209707]
- vhost: remove unused paramete (Stefano Garzarella) [2209707]
- virtio/vsock: replace virtio_vsock_pkt with sk_buff (Stefano Garzarella) [2209707]
- test/vsock: vsock_perf utility (Stefano Garzarella) [2209707]
- test/vsock: add big message test (Stefano Garzarella) [2209707]
- test/vsock: rework message bounds test (Stefano Garzarella) [2209707]
- vsock: return errors other than -ENOMEM to socket (Stefano Garzarella) [2209707]
- config: wifi: enable RTL8852 card (Íñigo Huguet) [2100568 2127040 2208968]
- u64_stat: Remove the obsolete fetch_irq() variants. (Ivan Vecera) [2193170]
- net: Remove the obsolte u64_stats_fetch_*_irq() users (net). (Ivan Vecera) [2193170]
- net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Ivan Vecera) [2193170]
- net: ifb: support ethtools stats (Ivan Vecera) [2193170]
- spi: Remove the obsolte u64_stats_fetch_*_irq() users. (Ivan Vecera) [2193170]
- bpf: Remove the obsolte u64_stats_fetch_*_irq() users. (Ivan Vecera) [2193170]
- net: hns3: split function hns3_nic_get_stats64() (Ivan Vecera) [2193170]
- team: adopt u64_stats_t (Ivan Vecera) [2193170]
- drop_monitor: adopt u64_stats_t (Ivan Vecera) [2193170]
- net: adopt u64_stats_t in struct pcpu_sw_netstats (Ivan Vecera) [2193170]
- wireguard: receive: use dev_sw_netstats_rx_add() (Ivan Vecera) [2193170]
- ip6_tunnel: use dev_sw_netstats_rx_add() (Ivan Vecera) [2193170]
- sit: use dev_sw_netstats_rx_add() (Ivan Vecera) [2193170]
- ipvlan: adopt u64_stats_t (Ivan Vecera) [2193170]
- vlan: adopt u64_stats_t (Ivan Vecera) [2193170]
- KVM: s390: pv: fix asynchronous teardown for small VMs (Thomas Huth) [2203390]
- KVM: s390: fix race in gmap_make_secure() (Thomas Huth) [2203390]
- KVM: selftests: Compile s390 tests with -march=z10 (Thomas Huth) [2183983]
Resolves: rhbz#2100568, rhbz#2127040, rhbz#2183349, rhbz#2183350, rhbz#2183983, rhbz#2187671, rhbz#2192660, rhbz#2193079, rhbz#2193170, rhbz#2203390, rhbz#2208968, rhbz#2209707

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-13 14:21:47 +02:00
Jan Stancek
00babb245d kernel-5.14.0-322.el9
* Thu Jun 01 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-322.el9]
- ACPI: video: Remove acpi_backlight=video quirk for Lenovo ThinkPad W530 (Mark Langsdorf) [2176554]
- ACPI: processor: thermal: Update CPU cooling devices on cpufreq policy changes (Mark Langsdorf) [2176554]
- thermal: core: Drop excessive lockdep_assert_held() calls (Mark Langsdorf) [2176554]
- power: supply: remove faulty cooling logic (Mark Langsdorf) [2176554]
- thermal: core: call put_device() only after device_register() fails (Mark Langsdorf) [2176554]
- thermal: core: fix some possible name leaks in error paths (Mark Langsdorf) [2176554]
- thermal/core: fix error code in __thermal_cooling_device_register() (Mark Langsdorf) [2176554]
- thermal: core: Introduce thermal_cooling_device_update() (Mark Langsdorf) [2176554]
- thermal: core: Introduce thermal_cooling_device_present() (Mark Langsdorf) [2176554]
- thermal: sysfs: Reuse cdev->max_state (Mark Langsdorf) [2176554]
- thermal: Validate new state in cur_state_store() (Mark Langsdorf) [2176554]
- ACPI: video: Improve Chromebook checks (Mark Langsdorf) [2176554]
- ACPI: video: Fix missing native backlight on Chromebooks (Mark Langsdorf) [2176554]
- ACPI: video: Refactor acpi_video_get_backlight_type() a bit (Mark Langsdorf) [2176554]
- ACPI: video: Remove code to unregister acpi_video backlight when a native backlight registers (Mark Langsdorf) [2176554]
- ACPI: video: Drop backlight_device_get_by_type() call from acpi_video_get_backlight_type() (Mark Langsdorf) [2176554]
- ACPI: video: Add acpi_backlight=video quirk for Lenovo ThinkPad W530 (Mark Langsdorf) [2176554]
- ACPI: video: Add acpi_backlight=video quirk for Apple iMac14,1 and iMac14,2 (Mark Langsdorf) [2176554]
- ACPI: video: Make acpi_backlight=video work independent from GPU driver (Mark Langsdorf) [2176554]
- ACPI: video: Add auto_detect arg to __acpi_video_get_backlight_type() (Mark Langsdorf) [2176554]
- ACPI: processor: Reorder acpi_processor_driver_init() (Mark Langsdorf) [2176554]
- ACPI: bus: Rework system-level device notification handling (Mark Langsdorf) [2176554]
- ACPI: resource: Add Medion S17413 to IRQ override quirk (Mark Langsdorf) [2176554]
- ACPI: video: Add backlight=native DMI quirk for Acer Aspire 3830TG (Mark Langsdorf) [2176554]
- ACPI: x86: Add skip i2c clients quirk for Lenovo Yoga Book X90 (Mark Langsdorf) [2176554]
- ACPI: x86: Add skip i2c clients quirk for Acer Iconia One 7 B1-750 (Mark Langsdorf) [2176554]
- ACPI: x86: Introduce an acpi_quirk_skip_gpio_event_handlers() helper (Mark Langsdorf) [2176554]
- ACPI: video: Add backlight=native DMI quirk for Dell Vostro 15 3535 (Mark Langsdorf) [2176554]
- ACPICA: Add utcksum.o to the acpidump Makefile (Mark Langsdorf) [2176554]
- Documentation: ACPI: Prune DSDT override documentation from index (Mark Langsdorf) [2176554]
- ACPI: x86: utils: Add Cezanne to the list for forcing StorageD3Enable (Mark Langsdorf) [2176554]
- ACPI: x86: Drop quirk for HP Elitebook (Mark Langsdorf) [2176554]
- ACPI: resource: Skip IRQ override on Asus Expertbook B2402FBA (Mark Langsdorf) [2176554]
- ACPI: make kobj_type structures constant (Mark Langsdorf) [2176554]
- ACPICA: Fix typo in CDAT DSMAS struct definition (Mark Langsdorf) [2176554]
- ACPI: resource: Do IRQ override on all TongFang GMxRGxx (Mark Langsdorf) [2176554]
- ACPI: resource: Add IRQ overrides for MAINGEAR Vector Pro 2 models (Mark Langsdorf) [2176554]
- ACPI: CPPC: Fix some kernel-doc comments (Mark Langsdorf) [2176554]
- ACPI: CPPC: Add AMD pstate energy performance preference cppc control (Mark Langsdorf) [2176554]
- ACPI: PMIC: Add comments with DSDT power opregion field names (Mark Langsdorf) [2176554]
- ACPI: battery: Increase maximum string length (Mark Langsdorf) [2176554]
- ACPI: battery: Fix buffer overread if not NUL-terminated (Mark Langsdorf) [2176554]
- ACPI: APEI: EINJ: Limit error type to 32-bit width (Mark Langsdorf) [2176554]
- ACPI: NFIT: fix a potential deadlock during NFIT teardown (Mark Langsdorf) [2176554]
- ACPI: Don't build ACPICA with '-Os' (Mark Langsdorf) [2176554]
- acpi: Fix suspend with Xen PV (Mark Langsdorf) [2176554]
- ACPI: battery: Fix missing NUL-termination with large strings (Mark Langsdorf) [2176554]
- ACPI: PRM: Check whether EFI runtime is available (Mark Langsdorf) [2176554]
- ACPI: PNP: Introduce list of known non-PNP devices (Mark Langsdorf) [2176554]
- acpi_idle: Remove tracing (Mark Langsdorf) [2176554]
- ACPI: Fix selecting wrong ACPI fwnode for the iGPU on some Dell laptops (Mark Langsdorf) [2176554]
- ACPICA: nsrepair: handle cases without a return value correctly (Mark Langsdorf) [2176554]
- ACPI: resource: Skip IRQ override on Asus Expertbook B2402CBA (Mark Langsdorf) [2176554]
- ACPI: Silence missing prototype warnings (Mark Langsdorf) [2176554]
- ACPI: processor: perflib: Avoid updating frequency QoS unnecessarily (Mark Langsdorf) [2176554]
- ACPI: processor: perflib: Use the "no limit" frequency QoS (Mark Langsdorf) [2176554]
- ACPI: processor: idle: Drop unnecessary (void *) conversion (Mark Langsdorf) [2176554]
- ACPICA: Constify pathname argument for acpi_get_handle() (Mark Langsdorf) [2176554]
- ACPICA: Drop port I/O validation for some regions (Mark Langsdorf) [2176554]
- ACPI: PMIC: Add pmic_i2c_address to BYT Crystal Cove support (Mark Langsdorf) [2176554]
- ACPI: tables: Add support for NBFT (Mark Langsdorf) [2176554]
- ACPI: resource: Add Asus ExpertBook B2502 to Asus quirks (Mark Langsdorf) [2176554]
- ACPI: resource: do IRQ override on Lenovo 14ALC7 (Mark Langsdorf) [2176554]
- ACPI: resource: do IRQ override on XMG Core 15 (Mark Langsdorf) [2176554]
- ACPI: EC: Fix ECDT probe ordering issues (Mark Langsdorf) [2176554]
- ACPI: EC: Fix EC address space handler unregistration (Mark Langsdorf) [2176554]
- ACPICA: Allow address_space_handler Install and _REG execution as 2 separate steps (Mark Langsdorf) [2176554]
- ACPICA: include/acpi/acpixf.h: Fix indentation (Mark Langsdorf) [2176554]
- ACPI: x86: Add skip i2c clients quirk for Medion Lifetab S10346 (Mark Langsdorf) [2176554]
- ACPI: APEI: EINJ: Refactor available_error_type_show() (Mark Langsdorf) [2176554]
- ACPI: APEI: EINJ: Fix formatting errors (Mark Langsdorf) [2176554]
- ACPI: processor: perflib: Adjust acpi_processor_notify_smm() return value (Mark Langsdorf) [2176554]
- ACPI: processor: perflib: Rearrange acpi_processor_notify_smm() (Mark Langsdorf) [2176554]
- ACPI: processor: perflib: Rearrange unregistration routine (Mark Langsdorf) [2176554]
- ACPI: processor: perflib: Drop redundant parentheses (Mark Langsdorf) [2176554]
- ACPI: processor: perflib: Adjust white space (Mark Langsdorf) [2176554]
- ACPI: processor: idle: Drop unnecessary statements and parens (Mark Langsdorf) [2176554]
- ACPI: thermal: Adjust critical.flags.valid check (Mark Langsdorf) [2176554]
- ACPI: fan: Convert to use sysfs_emit_at() API (Mark Langsdorf) [2176554]
- cxl/acpi: Set ACPI's CXL _OSC to indicate RCD mode support (Mark Langsdorf) [2176554]
- ACPICA: Fix use-after-free in acpi_ut_copy_ipackage_to_ipackage() (Mark Langsdorf) [2176554]
- ACPI: battery: Call power_supply_changed() when adding hooks (Mark Langsdorf) [2176554]
- ACPI: use sysfs_emit() instead of scnprintf() (Mark Langsdorf) [2176554]
- ACPI: x86: Add skip i2c clients quirk for Lenovo Yoga Tab 3 Pro (YT3-X90F) (Mark Langsdorf) [2176554]
- ACPI: APEI: Remove a useless include (Mark Langsdorf) [2176554]
- ACPI: processor: Silence missing prototype warnings (Mark Langsdorf) [2176554]
- ACPI: processor_idle: Silence missing prototype warnings (Mark Langsdorf) [2176554]
- ACPI: APEI: Silence missing prototype warnings (Mark Langsdorf) [2176554]
- ACPI: fan: Bail out if extract package failed (Mark Langsdorf) [2176554]
- ACPI: pfr_update: use ACPI_FREE() to free acpi_object (Mark Langsdorf) [2176554]
- ACPI: pfr_telemetry: use ACPI_FREE() to free acpi_object (Mark Langsdorf) [2176554]
- ACPI: PCC: Setup PCC Opregion handler only if platform interrupt is available (Mark Langsdorf) [2176554]
- ACPI: bus: Fix the _OSC capability check for FFH OpRegion (Mark Langsdorf) [2176554]
- ACPI: HMAT: Fix initiator registration for single-initiator systems (Mark Langsdorf) [2176554]
- ACPI: HMAT: remove unnecessary variable initialization (Mark Langsdorf) [2176554]
- ACPI: APMT: Fix kerneldoc and indentation (Mark Langsdorf) [2176554]
- redhat: enable the generic FFH operation handler (Mark Langsdorf) [2176554]
- ACPI: Implement a generic FFH Opregion handler (Mark Langsdorf) [2176554]
- ACPI: Enable FPDT on arm64 (Mark Langsdorf) [2176554]
- ACPI: PM: Print full name path while adding power resource (Mark Langsdorf) [2176554]
- ACPI: sysfs: use sysfs_emit() to instead of scnprintf() (Mark Langsdorf) [2176554]
- ACPI: irq: Fix some kernel-doc issues (Mark Langsdorf) [2176554]
- ACPI: tables: Fix the stale comments for acpi_locate_initial_tables() (Mark Langsdorf) [2176554]
- ACPI: HMAT: use hotplug_memory_notifier() directly (Mark Langsdorf) [2176554]
- ACPICA: Fix error code path in acpi_ds_call_control_method() (Mark Langsdorf) [2176554]
- ACPICA: Update version to 20221020 (Mark Langsdorf) [2176554]
- ACPI/IORT: Update SMMUv3 DeviceID support (Mark Langsdorf) [2176554]
- ACPI: ARM Performance Monitoring Unit Table (APMT) initial support (Mark Langsdorf) [2176554]
- ACPI: scan: substitute empty_zero_page with helper ZERO_PAGE(0) (Mark Langsdorf) [2176554]
- ACPI: sysfs: Use kstrtobool() instead of strtobool() (Mark Langsdorf) [2176554]
- ACPI: EC: Add quirk for the HP Pavilion Gaming 15-cx0041ur (Mark Langsdorf) [2176554]
- ACPI: processor: throttling: remove variable count (Mark Langsdorf) [2176554]
- ACPI: processor: idle: Check acpi_fetch_acpi_dev() return value (Mark Langsdorf) [2176554]
- ACPI: APEI: Drop unsetting driver data on remove (Mark Langsdorf) [2176554]
- ACPI: x86: Add another system to quirk list for forcing StorageD3Enable (Mark Langsdorf) [2176554]
- ACPICA: Finish support for the CDAT table (Mark Langsdorf) [2176554]
- ACPICA: Improve warning message for "invalid ACPI name" (Mark Langsdorf) [2176554]
- ACPICA: IORT: Update for revision E.e (Mark Langsdorf) [2176554]
- ACPICA: Add support for FFH Opregion special context data (Mark Langsdorf) [2176554]
- ACPICA: Add a couple of new UUIDs to the known UUID list (Mark Langsdorf) [2176554]
- ACPICA: iASL: Add CCEL table to both compiler/disassembler (Mark Langsdorf) [2176554]
- ACPICA: Do not touch VGA memory when EBDA < 1ki_b (Mark Langsdorf) [2176554]
- ACPICA: Check that EBDA pointer is in valid memory (Mark Langsdorf) [2176554]
- ACPICA: Make acpi_ex_load_op() match upstream (Mark Langsdorf) [2176554]
- ACPI: scan: Add LATT2021 to acpi_ignore_dep_ids[] (Mark Langsdorf) [2176554]
- ACPI: resource: Skip IRQ override on Asus Vivobook S5602ZA (Mark Langsdorf) [2176554]
- ACPI: PCC: Fix unintentional integer overflow (Mark Langsdorf) [2176554]
- apei/ghes: Use xchg_release() for updating new cache slot instead of cmpxchg() (Mark Langsdorf) [2176554]
- EDAC/ghes: Make ghes_edac a proper module (Mark Langsdorf) [2176554]
- EDAC/ghes: Prepare to make ghes_edac a proper module (Mark Langsdorf) [2176554]
- EDAC/ghes: Add a notifier for reporting memory errors (Mark Langsdorf) [2176554]
- ACPI: scan: Fix DMA range assignment (Mark Langsdorf) [2176554]
- ACPI: PCI: Fix device reference counting in acpi_get_pci_dev() (Mark Langsdorf) [2176554]
- ACPI: resource: note more about IRQ override (Mark Langsdorf) [2176554]
- ACPI: resource: do IRQ override on LENOVO IdeaPad (Mark Langsdorf) [2176554]
- ACPI: extlog: Handle multiple records (Mark Langsdorf) [2176554]
- ACPI: APEI: Fix integer overflow in ghes_estatus_pool_init() (Mark Langsdorf) [2176554]
- ACPI: thermal: Drop some redundant code (Mark Langsdorf) [2176554]
- ACPI: thermal: Drop redundant parens from expressions (Mark Langsdorf) [2176554]
- ACPI: thermal: Use white space more consistently (Mark Langsdorf) [2176554]
- ACPI: APEI: do not add task_work to kernel thread to avoid memory leak (Mark Langsdorf) [2176554]
- ACPI: PM: Take wake IRQ into consideration when entering suspend-to-idle (Mark Langsdorf) [2176554]
- ACPI: resources: Add wake_capable parameter to acpi_dev_irq_flags (Mark Langsdorf) [2176554]
- ACPI: LPSS: Deduplicate skipping device in acpi_lpss_create_device() (Mark Langsdorf) [2176554]
- ACPI: LPSS: Replace loop with first entry retrieval (Mark Langsdorf) [2176554]
- ACPI: Kconfig: Drop link to https://01.org/linux-acpi (Mark Langsdorf) [2176554]
- ACPI: docs: Drop useless DSDT override documentation (Mark Langsdorf) [2176554]
- ACPI: DPTF: Drop stale link from Kconfig help (Mark Langsdorf) [2176554]
- ACPI: bus: Add iterator for dependent devices (Mark Langsdorf) [2176554]
- ACPI: scan: Add acpi_dev_get_next_consumer_dev() (Mark Langsdorf) [2176554]
- ACPI: resource: Add ASUS model S5402ZA to quirks (Mark Langsdorf) [2176554]
- ACPI: AMBA: Add ARM DMA-330 controller to the supported list (Mark Langsdorf) [2176554]
- ACPI: APEI: Remove unneeded result variables (Mark Langsdorf) [2176554]
- ACPI: fan: Reorder symbols to get rid of a few forward declarations (Mark Langsdorf) [2176554]
- ACPI: scan: Support multiple DMA windows with different offsets (Mark Langsdorf) [2176554]
- ACPI: AC: Remove the leftover struct acpi_ac_bl (Mark Langsdorf) [2176554]
- ACPI: x86: Add a quirk for Dell Inspiron 14 2-in-1 for StorageD3Enable (Mark Langsdorf) [2176554]
- ACPI: PCI: Rework acpi_get_pci_dev() (Mark Langsdorf) [2176554]
- ACPI: PCC: Fix Tx acknowledge in the PCC address space handler (Mark Langsdorf) [2176554]
- ACPI: PCC: replace wait_for_completion() (Mark Langsdorf) [2176554]
- ACPI: PCC: Release resources on address space setup failure path (Mark Langsdorf) [2176554]
- ACPI: HMAT: Drop unused dev_fmt() and redundant 'HMAT' prefix (Mark Langsdorf) [2176554]
- ACPI: x86: Refactor _UID handling to use acpi_dev_uid_to_integer() (Mark Langsdorf) [2176554]
- ACPI: LPSS: Refactor _UID handling to use acpi_dev_uid_to_integer() (Mark Langsdorf) [2176554]
- ACPI: utils: Add acpi_dev_uid_to_integer() helper to get _UID as integer (Mark Langsdorf) [2176554]
- ACPI: resource: Skip IRQ override on Asus Vivobook K3402ZA/K3502ZA (Mark Langsdorf) [2176554]
- ACPI: LPSS: Use the helper acpi_dev_get_memory_resources() (Mark Langsdorf) [2176554]
- ACPI: APD: Use the helper acpi_dev_get_memory_resources() (Mark Langsdorf) [2176554]
- ACPI: resource: Add helper function acpi_dev_get_memory_resources() (Mark Langsdorf) [2176554]
- ACPI: resource: Filter out the non memory resources in is_memory() (Mark Langsdorf) [2176554]
- ACPI: tables: FPDT: Don't call acpi_os_map_memory() on invalid phys address (Mark Langsdorf) [2176554]
- ACPI: property: Silence missing-declarations warning in apple.c (Mark Langsdorf) [2176554]
- ACPI: platform: Use PLATFORM_DEVID_NONE in acpi_create_platform_device() (Mark Langsdorf) [2176554]
- ACPI: platform: Sort forbidden_id_list[] in ascending order (Mark Langsdorf) [2176554]
- ACPI: platform: Use sizeof(*pointer) instead of sizeof(type) (Mark Langsdorf) [2176554]
- ACPI: platform: Remove redundant print on -ENOMEM (Mark Langsdorf) [2176554]
- ACPI: platform: Get rid of redundant 'else' (Mark Langsdorf) [2176554]
- ACPI: property: Use acpi_dev_parent() (Mark Langsdorf) [2176554]
- ACPI: bus: Refactor ACPI matching functions for better readability (Mark Langsdorf) [2176554]
- ACPI: bus: Drop kernel doc annotation from acpi_bus_notify() (Mark Langsdorf) [2176554]
- ACPI: EC: Drop unneeded result variable from ec_write() (Mark Langsdorf) [2176554]
- ACPI: APEI: Add BERT error log footer (Mark Langsdorf) [2176554]
- ACPI: Drop redundant acpi_dev_parent() header (Mark Langsdorf) [2176554]
- ACPI: PM: Fix NULL argument handling in acpi_device_get/set_power() (Mark Langsdorf) [2176554]
- ACPI: bus: Remove the unneeded result variable (Mark Langsdorf) [2176554]
- ACPI: Drop parent field from struct acpi_device (Mark Langsdorf) [2176554]
- ACPI: bus: Drop unused list heads from struct acpi_device (Mark Langsdorf) [2176554]
- hisi_lpc: Use acpi_dev_for_each_child() (Mark Langsdorf) [2176554]
- soundwire: Use acpi_dev_for_each_child() (Mark Langsdorf) [2176554]
- platform/x86/thinkpad_acpi: Use acpi_dev_for_each_child() (Mark Langsdorf) [2176554]
- platform/x86: Replace acpi_bus_get_device() (Mark Langsdorf) [2176554]
- thunderbolt: ACPI: Replace tb_acpi_find_port() with acpi_find_child_by_adr() (Mark Langsdorf) [2176554]
- USB: ACPI: Replace usb_acpi_find_port() with acpi_find_child_by_adr() (Mark Langsdorf) [2176554]
- mfd: core: Use acpi_dev_for_each_child() (Mark Langsdorf) [2176554]
- ACPI: move from strlcpy() with unused retval to strscpy() (Mark Langsdorf) [2176554]
- ACPI: PM: Fix acpi_dev_state_d0() kerneldoc (Mark Langsdorf) [2176554]
- ACPI: scan: Eliminate __acpi_device_add() (Mark Langsdorf) [2176554]
- ACPI: scan: Rearrange initialization of ACPI device objects (Mark Langsdorf) [2176554]
- ACPI: scan: Rename acpi_bus_get_parent() and rearrange it (Mark Langsdorf) [2176554]
- ACPI: Rename acpi_bus_get/put_acpi_device() (Mark Langsdorf) [2176554]
- HID: intel-ish-hid: ipc: Fix potential use-after-free in work function (Tony Camuso) [2182396]
- HID: stop drivers from selecting CONFIG_HID (Tony Camuso) [2182396]
- HID: Put CONFIG_I2C_HID in common/generic (Tony Camuso) [2182396]
- HID: intel_ish-hid: Add check for ishtp_dma_tx_map (Tony Camuso) [2182396]
- HID: intel-ish-hid: ishtp: remove variable rb_count (Tony Camuso) [2182396]
- bluetooth: Perform careful capability checks in hci_sock_ioctl() (Ricardo Robaina) [2196341] {CVE-2023-2002}
- nvme: do not let the user delete a ctrl before a complete initialization (Maurizio Lombardi) [2186772]
- scsi: core: Decrease scsi_device's iorequest_cnt if dispatch failed (Ming Lei) [2203125]
- scsi: Revert "scsi: core: Do not increase scsi_device's iorequest_cnt if dispatch failed" (Ming Lei) [2203125]
- netfilter: nf_tables: deactivate anonymous set from preparation phase (Florian Westphal) [2196135] {CVE-2023-32233}
- clk: Fix pointer casting to prevent oops in devm_clk_release() (Michal Schmidt) [2189285]
- clk: Provide new devm_clk helpers for prepared and enabled clocks (Michal Schmidt) [2189285]
- clk: generalize devm_clk_get() a bit (Michal Schmidt) [2189285]
- clk: Improve documentation for devm_clk_get() and its optional variant (Michal Schmidt) [2189285]
- net: openvswitch: fix race on port output (Antoine Tenart) [2190207]
- net: openvswitch: reduce cpu_used_mask memory (Antoine Tenart) [2190207]
- net: openvswitch: fix possible memory leak in ovs_meter_cmd_set() (Antoine Tenart) [2190207]
- net: openvswitch: fix flow memory leak in ovs_flow_cmd_new (Antoine Tenart) [2190207]
- module: Don't wait for GOING modules (Mark Langsdorf) [2028238]
Resolves: rhbz#2028238, rhbz#2176554, rhbz#2182396, rhbz#2186772, rhbz#2189285, rhbz#2190207, rhbz#2196135, rhbz#2196341, rhbz#2203125

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-01 07:39:10 +02:00
Jan Stancek
6e58cbb003 kernel-5.14.0-320.el9
* Tue May 30 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-320.el9]
- redhat/configs: add missing Qualcomm USB PHY configs (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p-ride: enable USB nodes (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: add USB nodes (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: pmic: add the sdam_0 node (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: pmic: remove the PON modes (Adrien Thierry) [2208304]
- phy: qcom-qmp: Add SA8775P USB3 UNI phy (Adrien Thierry) [2208304]
- phy: qualcomm: phy-qcom-qmp-ufs: add definitions for sa8775p (Adrien Thierry) [2208304]
- pinctrl: qcom: spmi-gpio: add support for pmm8654au-gpio (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: drop sdm845_qhp_pcie_rx_tbl (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: sc8180x PCIe PHY has 2 lanes (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p-ride: add PMIC regulators (Adrien Thierry) [2208304]
- regulator: qcom-rpmh: add support for pmm8654au regulators (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p-ride: set gpio-line-names for PMIC GPIOs (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: add PMIC GPIO controller nodes (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: pmic: add thermal zones (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: pmic: add support for the pmm8654 RESIN input (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: pmic: add the power key (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: add the Power On device node (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: add support for the on-board PMICs (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: add the spmi node (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: add the pdc node (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: sort soc nodes by reg property (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: pad reg properties to 8 digits (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add SM7150 support (Adrien Thierry) [2208304]
- phy: qcom-qmp: Add support for SDX65 QMP PCIe PHY (Adrien Thierry) [2208304]
- phy: qcom-qmp-combo: use qmp_combo_offsets_v3 instead of _v6 (Adrien Thierry) [2208304]
- phy: qcom-qmp-combo: fix v3 offsets table (Adrien Thierry) [2208304]
- phy: qualcomm: phy-qcom-ipq806x-sata: Convert to platform remove callback returning void (Adrien Thierry) [2208304]
- phy: qualcomm: phy-qcom-eusb2-repeater: Convert to platform remove callback returning void (Adrien Thierry) [2208304]
- phy: qualcomm: phy-qcom-apq8064-sata: Convert to platform remove callback returning void (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: Add RC init sequence for SDX55 (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: Split out EP related init sequence for SDX55 (Adrien Thierry) [2208304]
- phy: qcom: phy-qcom-snps-eusb2: Add support for eUSB2 repeater (Adrien Thierry) [2208304]
- phy: qcom: Add QCOM SNPS eUSB2 repeater driver (Adrien Thierry) [2208304]
- phy: qcom: snps-eusb2: Add missing headers (Adrien Thierry) [2208304]
- phy: qcom-qmp-combo: Add support for SM8550 (Adrien Thierry) [2208304]
- phy: qcom-qmp: Add v6 DP register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: pcs-usb: Add v6 register offsets (Adrien Thierry) [2208304]
- phy: qcom: Add QCOM SNPS eUSB2 driver (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: Add support for SM8550 g3x2 and g4x2 PCIEs (Adrien Thierry) [2208304]
- phy: qcom-qmp: qserdes-lane-shared: Add v6 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: qserdes-txrx: Add v6.20 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: pcs-pcie: Add v6.20 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: pcs-pcie: Add v6 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: pcs: Add v6.20 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: pcs: Add v6 register offsets (Adrien Thierry) [2208304]
- phy: qcom: com-qmp-combo: add SM8350 & SM8450 support (Adrien Thierry) [2208304]
- phy: qcom-qmp: Introduce Kconfig symbols for discrete drivers (Adrien Thierry) [2208304]
- phy: qcom-qmp-combo: Add config for SM6350 (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add SM8550 support (Adrien Thierry) [2208304]
- phy: qcom-qmp: pcs-ufs: Add v6 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: qserdes-txrx-ufs: Add v6 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: qserdes-txrx: Add v6 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: qserdes-com: Add v6 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp-usb: fix the regs layout table for sdx65 uniphy PHY (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: fix the regs layout table for sm8450 gen3x1 PHY (Adrien Thierry) [2208304]
- phy: qcom-qmp-combo: rework regs layout arrays (Adrien Thierry) [2208304]
- phy: qcom-qmp-combo: remove QPHY_PCS_LFPS_RXTERM_IRQ_STATUS reg (Adrien Thierry) [2208304]
- phy: qualcomm: qmp-ufs: rename qmp_ufs_offsets_v5 to qmp_ufs_offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add HS G4 mode support to SC8280XP SoC (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add HS G4 mode support to SM8450 SoC (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add HS G4 mode support to SM8350 SoC (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Avoid setting HS G3 specific registers (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add HS G4 mode support to SM8250 SoC (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add HS G4 mode support to SM8150 SoC (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Move HS Rate B register setting to tbls_hs_b (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add support for configuring PHY in HS G4 mode (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add support for configuring PHY in HS Series B mode (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Move register settings to qmp_phy_cfg_tbls struct (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Rename MSM8996 PHY definitions (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Remove _tbl suffix from qmp_phy_init_tbl definitions (Adrien Thierry) [2208304]
- phy: qcom-qmp: Add SM6125 UFS PHY support (Adrien Thierry) [2208304]
- phy: Revert "phy: qualcomm: usb28nm: Add MDM9607 init sequence" (Adrien Thierry) [2208304]
- phy: qcom-qmp-usb: Add Qualcomm SM6115 / SM4250 USB3 PHY support (Adrien Thierry) [2208304]
- phy: qcom-qmp-usb: Fix QSERDES_V3_RX_UCDR_PI_CONTROLS init val (Adrien Thierry) [2208304]
- phy: qcom-qmp: move type-specific headers to particular driver (Adrien Thierry) [2208304]
- phy: qcom-qmp-usb: fix regs layout arrays (Adrien Thierry) [2208304]
- phy: qcom-qmp-usb: rework regs layout arrays (Adrien Thierry) [2208304]
- phy: qcom-qmp-usb: remove QPHY_PCS_MISC_TYPEC_CTRL reg (Adrien Thierry) [2208304]
- phy: qcom-qmp-usb: remove QPHY_PCS_LFPS_RXTERM_IRQ_STATUS reg (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: rename regs layout arrays (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: rework regs layout arrays (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: split UFS-specific v2 PCS registers to a separate header (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie-msm8996: rework regs layout arrays (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: rename regs layout arrays (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: rework regs layout arrays (Adrien Thierry) [2208304]
- phy: qcom-qmp: remove duplicate v5_5nm register definitions (Adrien Thierry) [2208304]
- phy: qcom-qmp: fix typo in QSERDES_COM_CMN_RSVD5 value (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: provide symbol clocks (Adrien Thierry) [2208304]
- phy: qualcomm: pcie2: register as clock provider (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: add support for sm8350 platform (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: rename the sm8450 gen3 PHY config tables (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: split sm8450 gen3 PHY config tables (Adrien Thierry) [2208304]
- clk: fixed-rate: add devm_clk_hw_register_fixed_rate (Adrien Thierry) [2208304]
- clk: asm9260: use parent index to link the reference clock (Adrien Thierry) [2208304]
- netfilter: nf_dup_netdev: add and use recursion counter (Eric Garver) [1724795]
- netfilter: nf_dup_netdev: do not push mac header a second time (Eric Garver) [1724795]
- netfilter: egress: silence egress hook lockdep splats (Eric Garver) [1724795]
- netfilter: nft_fwd_netdev: Support egress hook (Eric Garver) [1724795]
- netfilter: nft_meta: add NFT_META_IFTYPE (Eric Garver) [1724795]
- selftests/bpf: Do not use sign-file as testcase (Alex Gladkov) [2184616]
- x86/kprobes: Fix kprobes instruction boudary check with CONFIG_RETHUNK (Oleg Nesterov) [2190456]
- kexec: remove unnecessary arch_kexec_kernel_image_load() (Baoquan He) [RHEL-517]
- x86/kexec: remove unnecessary arch_kexec_kernel_image_load() (Baoquan He) [RHEL-517]
- netlabel: fix out-of-bounds memory accesses (Juraj Marcin) [2181134]
- lsm: Clarify documentation of vm_enough_memory hook (Juraj Marcin) [2181134]
- lsm,fs: fix vfs_getxattr_alloc() return type and caller error paths (Juraj Marcin) [2181134]
- LSM: Better reporting of actual LSMs at boot (Juraj Marcin) [2181134]
- selinux: remove the sidtab context conversion indirect calls (Juraj Marcin) [2181134]
- audit: Fix some kernel-doc warnings (Juraj Marcin) [2181134]
- lsm: remove obsoleted comments for security hooks (Juraj Marcin) [2181134]
- selinux: enable use of both GFP_KERNEL and GFP_ATOMIC in convert_context() (Juraj Marcin) [2181134]
- selinux: remove the unneeded result variable (Juraj Marcin) [2181134]
- ->getprocattr(): attribute name is const char *, TYVM... (Juraj Marcin) [2181134]
- selinux: declare read-only parameters const (Juraj Marcin) [2181134]
- selinux: use int arrays for boolean values (Juraj Marcin) [2181134]
- selinux: remove an unneeded variable in sel_make_class_dir_entries() (Juraj Marcin) [2181134]
- security: pass down mount idmapping to setattr hook (Juraj Marcin) [2181134]
- selinux: selinux_add_opt() callers free memory (Juraj Marcin) [2181134]
- selinux: Add boundary check in put_entry() (Juraj Marcin) [2181134]
- selinux: fix memleak in security_read_state_kernel() (Juraj Marcin) [2181134]
- selinux: fix typos in comments (Juraj Marcin) [2181134]
- selinux: drop unnecessary NULL check (Juraj Marcin) [2181134]
- selinux: add __randomize_layout to selinux_audit_data (Juraj Marcin) [2181134]
- selinux: free contexts previously transferred in selinux_add_opt() (Juraj Marcin) [2181134 2187402]
- security: declare member holding string literal const (Juraj Marcin) [2181134]
- selinux: fix indentation level of mls_ops block (Juraj Marcin) [2181134]
- selinux: include necessary headers in headers (Juraj Marcin) [2181134]
- selinux: avoid extra semicolon (Juraj Marcin) [2181134]
- selinux: update parameter documentation (Juraj Marcin) [2181134]
- selinux: resolve checkpatch errors (Juraj Marcin) [2181134]
- security: don't treat structure as an array of struct hlist_head (Juraj Marcin) [2181134]
- selinux: Remove redundant assignments (Juraj Marcin) [2181134]
- selinux: fix bad cleanup on error in hashtab_duplicate() (Juraj Marcin) [2181134]
- tipc: check the bearer min mtu properly when setting it by netlink (Xin Long) [2185140]
- tipc: do not update mtu if msg_max is too small in mtu negotiation (Xin Long) [2185140]
- tipc: add tipc_bearer_min_mtu to calculate min mtu (Xin Long) [2185140]
Resolves: rhbz#1724795, rhbz#2181134, rhbz#2184616, rhbz#2185140, rhbz#2187402, rhbz#2190456, rhbz#2208304, RHEL-517

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-30 07:14:47 +02:00
Jan Stancek
a482a1db84 kernel-5.14.0-318.el9
* Tue May 23 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-318.el9]
- vmxnet3: use gro callback when UPT is enabled (Izabela Bakollari) [2181854]
- config: wifi: disable new unsupported configuration options (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: Don't mark channelmap stack variable read-only in ath9k_mci_update_wlan_channels() (Jose Ignacio Tornos Martinez) [2178526]
- net: qrtr: Fix an uninit variable access bug in qrtr_tx_resume() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: ignore key disable commands (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: reduce the MHI timeout to 20s (Jose Ignacio Tornos Martinez) [2178526]
- net: qrtr: Do not do DEL_SERVER broadcast after DEL_CLIENT (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: fix fw used for offload check for mt7922 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: Fix use-after-free in fw features query. (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Fix SDIO suspend/resume regression (Jose Ignacio Tornos Martinez) [2178526]
- net: qrtr: Fix a refcount bug in qrtr_recvmsg() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix invalid drv_sta_pre_rcu_remove calls for non-uploaded sta (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix flow dissection for forwarded packets (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix mesh forwarding (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix receiving mesh packets in forwarding=0 networks (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix the size calculation of ieee80211_ie_len_eht_cap() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix potential null pointer dereference (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: drop bogus static keywords in A-MSDU rx (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix mesh path discovery based on unicast packets (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix qos on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Serialize ieee80211_handle_wake_tx_queue() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mwifiex: mark OF related data as maybe unused (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: do not check WED status for non-mmio devices (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add back 160MHz channel width support for MT7915 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: do not run mt76_unregister_device() on unregistered hw (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Partial revert "wifi: cfg80211: Fix use after free for wext" (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: fix MLO connection ownership (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: check basic rates validity (Jose Ignacio Tornos Martinez) [2178526]
- wifi: nl80211: fix puncturing bitmap policy (Jose Ignacio Tornos Martinez) [2178526]
- wifi: nl80211: fix NULL-ptr deref in offchan check (Jose Ignacio Tornos Martinez) [2178526]
- wifi: wext: warn about usage only once (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: usb: fix use-after-free in mt76u_free_rx_queue (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: allow system suspend to survive ath11k (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: add LEDS_CLASS dependency (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: remove unused iwl_dbgfs_is_match() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fix AP mode authentication transmission failed (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: use RTW_FLAG_POWERON flag to prevent to power on/off twice (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: p2p: Introduce generic flexible array frame member (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add documentation for amsdu_mesh_control (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: remove gfp parameter from cfg80211_obss_color_collision_notify description (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: always initialize link_sta with sta (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: pass 'sta' to ieee80211_rx_data_set_sta() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Set SSID if it is not already set (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: move H2C of del_pkt_offload before polling FW status ready (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: use readable return 0 in rtw89_mac_cfg_ppdu_status() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: usb: drop now unnecessary URB size check (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: usb: send Zero length packets if necessary (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: usb: Set qsel correctly (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix off-by-one link setting (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Fix for Rx fragmented action frames (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: avoid u32_encode_bits() warning (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Don't translate MLD addresses for multicast (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: call reg_notifier for self managed wiphy from driver hint (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: get rid of gfp in cfg80211_bss_color_notify (Jose Ignacio Tornos Martinez) [2178526]
- wifi: nl80211: Allow authentication frames and set keys on NAN interface (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix non-MLO station association (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Allow NSS change only up to capability (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add a workaround for receiving non-standard mesh A-MSDU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix receiving A-MSDU frames on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: remove mesh forwarding congestion check (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: factor out bridge tunnel / RFC1042 header check (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: move A-MSDU check in ieee80211_data_to_8023_exthdr (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: make rate u32 in sta_set_rate_info_rx() (Jose Ignacio Tornos Martinez) [2178526]
- rfkill: Use sysfs_emit() to instead of sprintf() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Allow action frames to be transmitted with link BSS in MLD (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: include puncturing bitmap in channel switch events (Jose Ignacio Tornos Martinez) [2178526]
- wifi: nl80211: validate and configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: move puncturing bitmap validation from mac80211 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: nl80211: return error message for malformed chandef (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211_hwsim: Rename pid to portid to avoid confusion (Jose Ignacio Tornos Martinez) [2178526]
- wifi: nl80211: add MLO_LINK_ID to CMD_STOP_AP event (Jose Ignacio Tornos Martinez) [2178526]
- wifi: nl80211: emit CMD_START_AP on multicast group when an AP is started (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: mlme: handle EHT channel puncturing (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Extend cfg80211_update_owe_info_event() for MLD AP (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Extend cfg80211_new_sta() for MLD AP (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: move color collision detection report in a delayed work (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Fix use after free for wext (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Authentication offload to user space for MLO connection in STA mode (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: trace: remove MAC_PR_{FMT,ARG} (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: fix txdw7 assignment of TX DESC v3 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Add LED control code for RTL8723AU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Add LED control code for RTL8192EU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Add LED control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Register the LED and make it blink (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: phy: set TX power according to RF path number by chip (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: correct register mask name of TX power offset (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: use passed channel in set_tx_shape_dfir() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852be: enable CLKREQ of PCI capability (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: try to use NORMAL_CE type firmware first (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: don't support LPS-PG mode after firmware 0.29.26.0 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: reset IDMEM mode to default value (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add use of pkt_list offload to debug entry (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: refine packet offload flow (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fix potential wrong mapping for pkt-offload (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: disallow enter PS mode after create TDLS link (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: refine MCC C2H debug logs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fix parsing offset for MCC C2H (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: deal with RXI300 error (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: debug: avoid invalid access on RTW89_DBG_SEL_MAC_30 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: mac: add function to get TSF (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: split out generic part of rtw89_mac_port_tsf_sync() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: correct unit for port offset and refine macro (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.0 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Fix test fail when coexist with raspberryPI A2DP idle (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Correct A2DP exist variable source (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Set Bluetooth background scan PTA request priority (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Refine coexistence log (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Force to update TDMA parameter when radio state change (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Clear Bluetooth HW PTA counter when radio state change (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Update Wi-Fi external control TDMA parameters/tables (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: change cfg80211_set_channel() name and signature (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mwifiex: fix loop iterator in mwifiex_update_ampdu_txwinsize() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mwifiex: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mwifiex: Replace one-element arrays with flexible-array members (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mwifiex: Support firmware hotfix version in GET_HW_SPEC responses (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mwifiex: Support SD8978 chipset (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mwifiex: Add missing compatible string for SD8787 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: pcie: Perform correct BCM4364 firmware selection (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: pcie: Add IDs/properties for BCM4377 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: pcie: Add IDs/properties for BCM4355 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Rename Cypress 89459 to BCM4355 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: mac: Use existing macros in rtw_pwr_seq_parser() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Move enum rtw_tx_queue_type mapping code to tx.{c,h} (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: pci: Change queue datatype to enum rtw_tx_queue_type (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: pci: Use enum type for rtw_hw_queue_mapping() and ac_to_hwq (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: support ww power config in dts node (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt76x0u: report firmware version through ethtool (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: complete wed reset support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add mt7915 wed reset callbacks (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: dma: reset wed queues in mt76_dma_rx_reset (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: dma: add reset to mt76_dma_wed_setup signature (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: add EHT beamforming support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: enable EHT support in firmware (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: add support for EHT rate report (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: add EHT capability init (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: rework capability init (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: add helpers for wtbl and interface limit (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: add variants support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: add EHT rate stats for ethtool (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: increase wcid size to 1088 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: add cmd id related to EHT support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: add helpers for EHT capability (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: add CMD_CBW_320MHZ (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: add EHT phy type (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: remove __mt76_mcu_restart macro (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: rely on mt76_connac_tx_complete_skb (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: rely on mt76_connac_txp_skb_unmap (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: rely on mt76_connac_txp_common structure (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: rely on mt76_connac2_mac_tx_rate_val (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: fix error code of return in mt7921_acpi_read (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: release rxwi in mt7915_wed_release_rx_buf (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: enable page_pool stats (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: switch to page_pool allocator (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix memory leak in mt7915_mmio_wed_init_rx_buf (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: fix rx filter incorrect by drv/fw inconsistent (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: add memory barrier to SDIO queue kick (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: reduce polling time in pmctrl (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: add flexible polling wait-interval support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix WED TxS reporting (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: wed: enable red per-band token drop (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: set sku initial value to zero (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921u: add support for Comfast CF-952AX (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: fix switch default case in mt7996_reverse_frag0_hdr_trans (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7615: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7603: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: dma: fix memory leak running mt76_dma_tx_cleanup (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: dma: free rx_head in mt76_dma_rx_cleanup (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: fix memory leak in mt7996_mcu_exit (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix memory leak in mt7915_mcu_exit (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: introduce mt76_queue_is_wed_rx utility routine (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: fix invalid remain_on_channel duration (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: remove BW160 and BW80+80 support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: fix POWER_CTRL command name typo (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: do not hardcode vht beamform cap (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: update register for CFEND_RATE (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: fix chainmask calculation in mt7996_set_antenna() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: add ack signal support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: fix channel switch fail in monitor mode (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add chip id condition in mt7915_check_eeprom() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add error message in mt7915_thermal_set_cur_throttle_state() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: rework mt7915_thermal_temp_store() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: rework mt7915_mcu_set_thermal_throttling (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: call mt7915_mcu_set_thermal_throttling() only after init_work (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: fix deadlock in mt7921_abort_roc (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: ep: Fix off by one in mhi_ep_process_cmd_ring() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: fix compilation errors in rfkill() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: Support STEP equalizer settings from BIOS. (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: bump FW API to 74 for AX devices (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: Reset rate index if rate is wrong (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: simplify by using SKB MAC header pointer (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: add sniffer meta data APIs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: rx: add sniffer support for EHT mode (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: always send nullfunc frames on MGMT queue (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: remove h from printk format specifier (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: improve tag handling in iwl_request_firmware (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mention the response structure in the kerneldoc (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: add minimal EHT rate reporting (Jose Ignacio Tornos Martinez) [2178526]
- net: qrtr: free memory on error path in radix_tree_insert() (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: ep: Change state_lock to mutex (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: ep: Save channel state locally during suspend and resume (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: ep: Move chan->lock to the start of processing queued ch ring (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: ep: Fix the debug message for MHI_PKT_TYPE_RESET_CHAN_CMD cmd (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: ep: Only send -ENOTCONN status if client driver is available (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: ep: Check if the channel is supported by the controller (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: ep: Power up/down MHI stack during MHI RESET (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: host: Update mhi driver description (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: Update Makefile to used Kconfig flags (Jose Ignacio Tornos Martinez) [2178526]
- wifi: wireless: deny wireless extensions on MLO-capable devices (Jose Ignacio Tornos Martinez) [2178526]
- wifi: wireless: warn on most wireless extension usage (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: drop extra 'e' from ieeee80211... name (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Deduplicate certificate loading (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add kernel-doc for EHT structure (Jose Ignacio Tornos Martinez) [2178526]
- mac80211: support minimal EHT rate reporting on RX (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Add HE MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Add VHT MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Use MLD address to indicate MLD STA disconnection (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Support 32 bytes KCK key in GTK rekey offload (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Fix extended KCK key length check in nl80211_set_rekey_data() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: remove support for static WEP (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: Add support to read EEPROM caldata (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: Add new qmi_bdf_type to handle caldata (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: Fix incorrect qmi_file_type enum values (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: dp_mon: Fix uninitialized warning related to the pktlog (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: dp_mon: Fix out of bounds clang warning (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: hal_rx: Use memset_startat() for clearing queue descriptors (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: Fix uninitilized variable clang warnings (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: wmi: delete PSOC_HOST_MAX_NUM_SS (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: hal: convert offset macros to functions (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: hal: add ab parameter to macros using it (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Fix memory leak in ath11k_peer_rx_frag_setup (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: fix ce memory mapping for ahb devices (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Remove the unused function ath10k_ce_shadow_src_ring_write_index_set() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: add channel 177 into 5 GHz channel list (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Add support to configure FTM responder role (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: Fix potential stack-out-of-bounds write in ath9k_wmi_rsp_callback() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: hif_usb: clean up skbs if ath9k_hif_usb_rx_stream() fails (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: htc_hst: free skb in ath9k_htc_rx_msg() if there is no callback function (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Dump the efuse only for untested devices (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Print the ROM version too (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Use non-atomic sta iterator in rtw_ra_mask_info_update() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Use rtw_iterate_vifs() for rtw_vif_watch_dog_iter() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Move register access from rtw_bf_assoc() outside the RCU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Use a longer retry limit of 48 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Report the RSSI to the firmware (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rt2x00: Remove useless else if (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt7601u: fix an integer underflow (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtlwifi: rtl8723ae: fix obvious spelling error tyep->type (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Fix memory leaks with RTL8723BU, RTL8192EU (Jose Ignacio Tornos Martinez) [2178526]
- Revert "wifi: mac80211: fix memory leak in ieee80211_if_add()" (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: dma: fix a regression in adding rx buffers (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: fill the missing configuration about queue empty checking (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fix assignation of TX BD RAM table (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: rfk: correct ADC clock settings (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: correct register definitions of digital CFO and spur elimination (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: set the correct mac_id for management frames (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fix null vif pointer when get management frame date rate (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Change Wi-Fi role info related logic to version separate (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Change RTL8852B use v1 TDMA policy (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Packet traffic arbitration hardware owner monitor (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: refactor debug log of slot list (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Change firmware steps report to version separate (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Change Wi-Fi Null data report to version separate (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Add version code for Wi-Fi firmware coexistence control (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Update WiFi role info H2C report (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: only read Bluetooth counter of report version 1 for RTL8852A (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Add v5 firmware control report (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Change firmware control report to version separate (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Add v4 version firmware cycle report (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Rename BTC firmware cycle report by feature version (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Remove le32 to CPU translator at firmware cycle report (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: Fix a typo in debug message (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: ensure CLM version is null-terminated to prevent stack-out-of-bounds (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rndis_wlan: Prevent buffer overflow in rndis_query_oid (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: fix regression for Broadcom PCIe wifi devices (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: avoid NULL-deref in survey dump for 2G only device (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: avoid handling disabled channels for survey dump (Jose Ignacio Tornos Martinez) [2178526]
- net: rfkill: gpio: add DT support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix double space in comment (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: debugfs: fix to work with multiple PCI devices (Jose Ignacio Tornos Martinez) [2178526]
- mac80211: Fix MLO address translation for multiple bss case (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: reset multiple BSSID options in stop_ap() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Fix iTXQ AMPDU fragmentation handling (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: sdata can be NULL during AMPDU start (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Proper mark iTXQs for resumption (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: Prefer DT board type over DMI board type (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: Use generic thermal_zone_get_trip() function (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: remove most hidden macro dependencies on ah (Jose Ignacio Tornos Martinez) [2178526]
- wifi: wl3501_cs: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: unmap dma buffer in brcmf_msgbuf_alloc_pktid() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: fix potential memory leak in brcmf_netdev_start_xmit() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Fix potential stack-out-of-bounds in brcmf_c_preinit_dcmds() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: wilc1000: add missing unregister_netdev() in wilc_netdev_ifc_init() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: wilc1000: fix potential memory leak in wilc_mac_xmit() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: fixing transmisison failure for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Add rate control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Support new chip RTL8188EU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Define masks for cck_agc_rpt bits (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Make rtl8xxxu_load_firmware take const char* (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Deduplicate the efuse dumping code (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: rfk: refine AGC tuning flow of DPK for irregular PA (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: refine 6 GHz scanning dwell time (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: update BSS color mapping register (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Change TDMA related logic to version separate (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: refactor _chk_btc_report() to extend more features (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Add v2 BT AFH report and related variable (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Update BTC firmware report bitmap definition (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Enable Bluetooth report when show debug info (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: use new introduction BTC version format (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: add BTC format version derived from firmware version (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtlwifi: Fix global-out-of-bounds bug in _rtl8812ae_phy_set_txpower_limit() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: rfk: recover RX DCK failure (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: rfk: correct DPK settings (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: rfk: correct DACK setting (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fw: adapt to new firmware format of security section (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: consider ER SU as a TX capability (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: don't call dev_kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Fix assignment to bit field priv->cck_agc_report_type (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Fix assignment to bit field priv->pi_enabled (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtlwifi: rtl8723be: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtlwifi: rtl8188ee: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtlwifi: rtl8821ae: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: get rid of wed rx_buf_ring page_frag_cache (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: resource leaks at mt7921_check_offload_capability() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: dma: rely on queue page_frag_cache for wed rx queues (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: handle possible mt76_rx_token_consume failures (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: dma: do not increment queue head if mt76_dma_add_buf fails (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7615: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: move leds struct in mt76_phy (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: move leds field in leds struct (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix unintended sign extension of mt7915_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: fix unintended sign extension of mt7996_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: add support to update fw capability with MTFG table (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt76x0: fix oob access in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: fix endianness warning in mt7996_mcu_sta_he_tlv (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: drop always true condition of __mt7996_reg_addr() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: drop always true condition of __mt7915_reg_addr() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: check the correctness of event data (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: split mcu chan_mib array up (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: fix integer handling issue of mt7996_rf_regval_set() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_rx_radar_detected() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_ie_countdown() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix mt7915_rate_txpower_get() resource leaks (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921s: fix slab-out-of-bounds access in sdio host (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: fix monitor mode bringup crash (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Fix scan request param frame size warning (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: Fix use-after-free in ath9k_hif_usb_disconnect() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: fixing IQK failures for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtlwifi: btcoexist: fix conditions branches that are never executed (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtlwifi: rtl8192se: remove redundant rtl_get_bbreg() call (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Add rtw8723du chipset support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Add rtw8822cu chipset support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Add rtw8822bu chipset support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Add rtw8821cu chipset support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Add common USB chip support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: iterate over vif/sta list non-atomically (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Drop coex mutex (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Drop h2c.lock (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Drop rf_lock (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Call rtw_fw_beacon_filter_config() with rtwdev->mutex held (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: print firmware type in info message (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add join info upon create interface (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fix unsuccessful interface_add flow (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: stop mac port function when stop_ap() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add mac TSF sync function (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: request full firmware only once if it's early requested (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: don't request partial firmware if SECURITY_LOADPIN_ENFORCE (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Fix error return code in brcmf_sdio_download_firmware() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Introduce rtl8xxxu_update_ra_report (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Fix the channel width reporting (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Add __packed to struct rtl8723bu_c2h (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: introduce BRCMFMAC exported symbols namespace (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: add vendor name in revinfo debugfs file (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: add support Broadcom BCA firmware api (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: add support for Cypress firmware api (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: add support for vendor-specific firmware api (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: add firmware vendor info in driver info (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: add function to unbind device to bus layer api (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: add ipq5018 device support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: add new hw ops for IPQ5018 to get rx dest ring hashmap (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: initialize hw_ops for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: update hal srng regs for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: remap ce register space for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: update ce configurations for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: update hw params for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: fw: use correct IML/ROM status register (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: dump: Update check for UMAC valid FW address (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: d3: add TKIP to the GTK iterator (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: clean up comments (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: Don't use deprecated register (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: pcie: Add reading and storing of crf and cdb id. (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: replace usage of found with dedicated list iterator variable (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: dump: Update check for valid FW address (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: don't access packet before checking len (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: modify new queue allocation command (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: nvm-parse: enable WiFi7 for Fm radio for now (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: add reset to rx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Fix race condition with struct htt_ppdu_stats_info (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: fix QCOM_SMEM dependency (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921e: add pci .shutdown() support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: mmio: fix naming convention (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: enable ack signal support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: rely on band_idx of mt76_phy (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable per bandwidth power limit support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: introduce mt7915_get_power_bound() (Jose Ignacio Tornos Martinez) [2178526]
- mt76: mt7915: Fix PCI device refcount leak in mt7915_pci_init_hif2() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: fix coverity overrun-call in mt76_get_txpower() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: add driver for MediaTek Wi-Fi 7 (802.11be) devices (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt76x0: remove dead code in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix band_idx usage (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable .sta_set_txpwr support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add basedband Txpower info into debugfs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add missing MODULE_PARM_DESC (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable WED RX stats (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable WED RX support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: introduce mt76_connac_mcu_sta_wed_update utility routine (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: add info parameter to rx_skb signature (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: add WED RX support to dma queue alloc (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: add WED RX support to mt76_dma_rx_fill (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: add WED RX support to mt76_dma_{add,get}_buf (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: introduce rxwi and rx token utility routines (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: introduce helper for mt7996 chipset (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: add more starec command tags (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: add more bss info command tags (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: introduce unified event table (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: add more unified command IDs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: rework fields for larger bandwidth support in sta_rec_bf (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: update struct sta_rec_phy (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: rework macros for unified command (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: introduce chanctx support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: introduce remain_on_channel support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: accept hw scan request at a time (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: drop ieee80211_[start, stop]_queues in driver (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: add unified ROC cmd/event support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: add chanctx parameter to mt76_connac_mcu_uni_add_bss signature (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: add mt76_connac_mcu_uni_set_chctx (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: do not run mt76u_status_worker if the device is not running (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: update nss calculation in txs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: don't claim 160MHz support with mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: Fix VHT beamforming capabilities with DBDC (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: Fix chainmask calculation on mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable coredump support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add full system reset into debugfs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable full system reset support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: rework mt7915_dma_reset() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: move aggr_stats array in mt76_phy (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7615: rely on mt7615_phy in mt7615_mac_reset_counters (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix reporting of TX AGGR histogram (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7615: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: fix bandwidth 80MHz link fail in 6GHz band (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add ack signal support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: improve accuracy of time_busy calculation (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable wed for mt7986-wmac chipset (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable wed for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: move wed init routines in mmio.c (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix mt7915_mac_set_timing() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: set correct antenna for radar detection on MT7915D (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add spatial extension index support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: introduce mt76_connac_spe_idx() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: rework testmode tx antenna setting (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: deal with special variant of mt7916 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: rework eeprom tx paths and streams init (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: reserve 8 bits for the index of rf registers (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix bounds checking for tx-free-done command (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: Remove unused inline function mt76_wcid_mask_test() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt76x02: simplify struct mt76x02_rate_power (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: move mt76_rate_power from core to mt76x02 driver code (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix and simplify unencrypted drop check for mesh (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add support for restricting netdev features per vif (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: update TIM for S1G specification changes (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: don't parse multi-BSSID in assoc resp (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: use bss_from_pub() instead of container_of() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: remove unnecessary synchronize_net() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Drop not needed check for NULL (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Fix not unregister reg_pdev when load_builtin_regdb_keys() fails (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: fix comparison of BSS frequencies (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Correct example of ieee80211_iface_limit (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix memory leak in ieee80211_if_add() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ieee80211: Do not open-code qos address offsets (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: link rtw89_vif and chanctx stuffs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fw: implement MCC related H2C (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: mac: process MCC related C2H (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: introduce helpers to wait/complete on condition (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: check if atomic before queuing c2h (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: rfk: rename rtw89_mcc_info to rtw89_rfk_mcc_info (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: 8821c: enable BT device recovery mechanism (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: turn off PoP function in monitor mode (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add HE radiotap for monitor mode (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: enable mac80211 virtual monitor interface (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Check the count value of channel spec to prevent out-of-bounds reads (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: driver for Qualcomm Wi-Fi 7 devices (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: add reset to tx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: add mtk_wed_rx_reset routine (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: update mtk_wed_stop (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: move MTK_WDMA_RESET_IDX_TX configuration in mtk_wdma_tx_reset (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: return status value in mtk_wdma_rx_reset (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: add wcid overwritten support for wed v1 (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: add configure wed wo support (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: rename tx_wdma array in rx_wdma (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: introduce wed wo support (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: introduce wed mcu support (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_wed: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_wed: add missing put_device() in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_wed: add axi bus support (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_wed: add wed support for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_wed: add mtk_wed_configure_irq and mtk_wed_dma_{enable/disable} (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_soc: fix off by one check of ARRAY_SIZE (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_soc: add check for allocation failure (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_soc/wed: fix sparse endian warnings (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_soc: fix return value check in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: fix parameter passing to iwl_mei_alive_notif() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: return error value in case PLDR sync failed (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: trigger PCI re-enumeration in case of PLDR sync (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: fix double free on tx path. (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: print OTP info after alive (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: nvm: Update EHT capabilities for GL device (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: nvm-parse: support A-MPDU in EHT 2.4 GHz (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: advertise 320 MHz in 6 GHz only conditionally (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: set HE PHY bandwidth according to band (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: support PPE Thresholds for EHT (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: add support for EHT 1K aggregation size (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: rs: add support for parsing max MCS per NSS/BW in 11be (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: support 320 MHz PHY configuration (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: Advertise EHT capabilities (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: fix race condition when doing H2C command (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Store WLAN firmware version in SMEM image table (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: avoid inaccessible IO operations during doing change_interface() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: switch BANDEDGE and TX_SHAPE based on OFDMA trigger frame (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: read CFO from FD or preamble CFO field of phy status ie_type 1 accordingly (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: correct TX power controlled by BT-coexistence (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Use struct_size() in code ralated to struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: replace one-element array with flexible-array member in struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Use struct_size() and array_size() in code ralated to struct brcmf_gscan_config (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Remove redundant argument offset (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Fix return value in ath10k_pci_init() (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: host: pci_generic: Add definition for some VIDs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: Fix some error handling path in rtw89_wow_enable() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Avoid clashing function prototypes (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Use u32_get_bits in *_identify_chip (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Use strscpy instead of sprintf (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Name some bits used in burst init (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Rename rtl8xxxu_8188f_channel_to_group (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Split up rtl8xxxu_identify_chip (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Move burst init to a function (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: wait for the mac to stop on suspend (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: iwlmei: report disconnection as temporary (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: use wait_event_timeout() return value (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: implement PLDR flow (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: Fix getting the lowest rate (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: support new key API (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: dbg: use bit of DRAM alloc ID to store failed allocs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: print an error instead of a warning on invalid rate (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: cfg: disable STBC for BL step A devices (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: dbg: add support for DBGC4 on BZ family and above (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: use old checksum for Bz A-step (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: fix potential NULL-ptr deref after clone (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: avoid blocking sap messages handling due to rtnl lock (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: fix tx DHCP packet for devices with new Tx API (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: don't send SAP commands if AMT is disabled (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: make sure ownership confirmed message is sent (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: send TKIP connection status to csme (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Use IEEE80211_SEQ_TO_SN() for seq_ctrl conversion (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: Remove unused variable mismatch (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: change debug mask of message of no TX resource (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Trigger sta disconnect on hardware restart (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: update D-MAC and C-MAC dump to diagnose SER (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: dump dispatch status via debug port (Jose Ignacio Tornos Martinez) [2178526]
- wifi: Use kstrtobool() instead of strtobool() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Avoiding Connection delay (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Fix for when connect request is not success (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: correctly remove all p2p vif (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: host: pci_generic: Add HP variant of T99W175 (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: host: pci_generic: add support for sc8280xp-crd SDX55 variant (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Use dev_* instead of pr_info (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Set IEEE80211_HW_SUPPORT_FAST_XMIT (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Recognise all possible chip cuts (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Fix the CCK RSSI calculation (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Add central frequency offset tracking (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Fix potential NULL pointer dereference in 'brcmf_c_preinit_dcmds()' (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Fix a typo "unknow" (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtlwifi: rtl8192ee: remove static variable stop_report_cnt (Jose Ignacio Tornos Martinez) [2178526]
- bcma: Fail probe if GPIO subdriver fails (Jose Ignacio Tornos Martinez) [2178526]
- bcma: Use the proper gpio include (Jose Ignacio Tornos Martinez) [2178526]
- bcma: gpio: Convert to immutable gpio irqchip (Jose Ignacio Tornos Martinez) [2178526]
- bcma: support SPROM rev 11 (Jose Ignacio Tornos Martinez) [2178526]
- bcma: Fix typo in comments (Jose Ignacio Tornos Martinez) [2178526]
- bcma: gpio: Switch to use fwnode instead of of_node (Jose Ignacio Tornos Martinez) [2178526]
- bcma: gpio: remove redundant re-assignment of chip->owner (Jose Ignacio Tornos Martinez) [2178526]
- bcma: cleanup comments (Jose Ignacio Tornos Martinez) [2178526]
- mtd: rawnand: brcmnand: Add platform data structure for BCMA (Jose Ignacio Tornos Martinez) [2178526]
- bcma: drop unneeded initialization value (Jose Ignacio Tornos Martinez) [2178526]
- bcma: Drop the unused parameter of bcma_scan_read32() (Jose Ignacio Tornos Martinez) [2178526]
- bcma: Fix memory leak for internally-handled cores (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Fix potential shift-out-of-bounds in brcmf_fw_alloc_request() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: synchronize ath11k_mac_he_gi_to_nl80211_he_gi()'s return type (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Fix qmi_msg_handler data structure initialization (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: remove variable sent (Jose Ignacio Tornos Martinez) [2178526]
- wifi: wilc1000: sdio: fix module autoloading (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: Fix spelling mistake KIP_RESOTRE -> KIP_RESTORE (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add WoWLAN pattern match support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add WoWLAN function support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add related H2C for WoWLAN mode (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add drop tx packet function (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add function to adjust and restore PLE quota (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: move enable_cpu/disable_cpu into fw_download (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: collect and send RF parameters to firmware for WoWLAN (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: check if sta's mac_id is valid under AP/TDLS (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add BW info for both TX and RX in phy_info (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: make table of RU mask constant (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: declare support bands with const (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fw: adapt to new firmware format of dynamic header (Jose Ignacio Tornos Martinez) [2178526]
- net: Remove the obsolte u64_stats_fetch_*_irq() users (net). (Jose Ignacio Tornos Martinez) [2178526]
- net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: host: pci_generic: Add a secondary AT port to Telit FN990 (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: host: Fix race between channel preparation and M0 event (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: host: Use mhi_soc_reset() API in place of register write (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: fix monitor vdev creation with firmware recovery (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Fix reads of uninitialized variables hw_ctrl_s1, sw_ctrl_s1 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add 8852be to Makefile and Kconfig (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: phy: add dummy C2H handler to avoid warning message (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops related to RF calibration (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: rfk: add DPK (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: rfk: add TSSI (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: rfk: add IQK (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: rfk: add RX DCK (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: rfk: add RCK (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: rfk: add DACK (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Delay the unmapping of the buffer (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Check return value of ath10k_get_arvif() in ath10k_wmi_event_tdls_peer() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Fix firmware crash on vdev delete race condition (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Send PME message during wakeup from D3cold (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: add support to configure channel dwell time (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: suppress add interface error (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add basic attributes of chip_info (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add functions to control BB to assist RF calibrations (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops to configure TX/RX path (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops to query PPDU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops related to BT coexistence (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops to get thermal (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add basic baseband chip_ops (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add power on/off functions (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops::set_channel_help (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Update module description (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Fix reading the vendor of combo chips (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Make some arrays const (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fix wrong bandwidth settings after scan (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: correct 6 GHz scan behavior (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops::set_channel (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: make generic functions to convert subband gain index (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add HFC quota arrays (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: set proper configuration before loading NCTL (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: parse PHY status only when PPDU is to_self (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: move chip_ops::btc_bt_aci_imp to a generic code (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: verify the expected usb_endpoints are present (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: hif_usb: Fix use-after-free in ath9k_hif_usb_reg_in_cb() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: Remove -Warray-bounds exception (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: hif_usb: fix memory leak of urbs in ath9k_hif_usb_dealloc_tx_urbs() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: realtek: remove duplicated wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: Make arrays prof_prio and channelmap static const (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Fix spelling mistake "chnange" -> "change" (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Drop support for TX push path (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add wake_tx_queue callback to drivers (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add internal handler for wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526]
- cfg80211: Update Transition Disable policy during port authorization (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: minstrel_ht: remove unused has_mrr member from struct minstrel_priv (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: remove support for AddBA with fragmentation (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: agg-rx: avoid band check (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: prohibit IEEE80211_HT_CAP_DELAY_BA with MLO (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: don't clear DTIM period after setting it (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: change AddBA deny error message (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: mlme: mark assoc link in output (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add RCU _check() link access variants (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix AddBA response addressing (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: set internal scan request BSSID (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: advertise TWT requester only with HW support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: use link_id in ieee80211_change_bss() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: nl80211: use link ID in NL80211_CMD_SET_BSS (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: transmit AddBA with MLD address (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: wme: use ap_addr instead of deflink BSSID (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Process association status for affiliated links (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Parse station profile from association response (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211/mac80211: Fix ML element common size validation (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211/mac80211: Fix ML element common size calculation (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ieee80211: Support validating ML station profile length (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: support reporting failed links (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: recalc station aggregate data during link switch (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: include link address in debugfs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add API to show the link STAs in debugfs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add pointer from link STA to STA (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add to dump TX FIFO 0/1 for 8852C (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: set pin MUX to enable BT firmware log (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: phy: ignore warning of bb gain cfg_type 4 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: update BB parameters to v28 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: rfk: correct miscoding delay of DPK (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: correct set of IQK backup registers (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: Fix AP interface delete issue (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: support station interface creation version 1, 2 and 3 (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: add creating station interface support (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: dump dongle memory when attaching failed (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: return error when getting invalid max_flowrings from dongle (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: add a timer to read console periodically in PCIE bus (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: Fix authentication latency caused by OBSS stats survey (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: fix CERT-P2P:5.1.10 failure (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: fix firmware trap while dumping obss stats (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: Add dump_survey cfg80211 ops for HostApd AutoChannelSelection (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Support new chip RTL8188FU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852be: add 8852BE PCI entry (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops to read phy cap (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops to read efuse (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops::set_txpwr (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: debug: txpwr_table considers sign (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: phy: make generic txpwr setting functions (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add tables for RFK (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add BB and RF tables (2 of 2) (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add BB and RF tables (1 of 2) (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Don't exit on wakeup failure (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: stop tx queues immediately upon firmware exit (Jose Ignacio Tornos Martinez) [2178526]
- treewide: use get_random_u32() when possible (Jose Ignacio Tornos Martinez) [2178526]
- treewide: use prandom_u32_max() when possible, part 1 (Jose Ignacio Tornos Martinez) [2178526]
- hwrng: core - let sleep be interrupted when unregistering hwrng (Jose Ignacio Tornos Martinez) [2178526]
- Revert part of "dt-bindings: usb: Add missing (unevaluated|additional)Properties on child nodes" (Desnes Nunes) [2190250]
- usb: core: add quirk for Alcor Link AK9563 smartcard reader (Desnes Nunes) [2190250]
- usb: typec: altmodes/displayport: Fix probe pin assign check (Desnes Nunes) [2190250]
- usb: typec: ucsi: Don't attempt to resume the ports before they exist (Desnes Nunes) [2190250]
- usb: dwc3: qcom: enable vbus override when in OTG dr-mode (Desnes Nunes) [2190250]
- USB: misc: iowarrior: fix up header size for USB_DEVICE_ID_CODEMERCS_IOW100 (Desnes Nunes) [2190250]
- usb: host: ehci-fsl: Fix module alias (Desnes Nunes) [2190250]
- usb: dwc3: fix extcon dependency (Desnes Nunes) [2190250]
- usb: core: hub: disable autosuspend for TI TUSB8041 (Desnes Nunes) [2190250]
- USB: fix misleading usb_set_intfdata() kernel doc (Desnes Nunes) [2190250]
- usb: typec: tcpm: Fix altmode re-registration causes sysfs create fail (Desnes Nunes) [2190250]
- usb: typec: altmodes/displayport: Use proper macro for pin assignment check (Desnes Nunes) [2190250]
- usb: typec: altmodes/displayport: Fix pin assignment calculation (Desnes Nunes) [2190250]
- usb: typec: altmodes/displayport: Add pin assignment helper (Desnes Nunes) [2190250]
- usb: misc: onboard_hub: Move 'attach' work to the driver (Desnes Nunes) [2190250]
- usb: misc: onboard_hub: Invert driver registration order (Desnes Nunes) [2190250]
- usb: ucsi: Ensure connector delayed work items are flushed (Desnes Nunes) [2190250]
- usb: chipidea: core: fix possible constant 0 if use IS_ERR(ci->role_switch) (Desnes Nunes) [2190250]
- xhci: Detect lpm incapable xHC USB3 roothub ports from ACPI tables (Desnes Nunes) [2190250]
- usb: acpi: add helper to check port lpm capability using acpi _DSM (Desnes Nunes) [2190250]
- xhci: Add a flag to disable USB3 lpm on a xhci root port level. (Desnes Nunes) [2190250]
- xhci: Add update_hub_device override for PCI xHCI hosts (Desnes Nunes) [2190250]
- xhci: Fix null pointer dereference when host dies (Desnes Nunes) [2190250]
- usb: xhci: Check endpoint is valid before dereferencing it (Desnes Nunes) [2190250]
- xhci-pci: set the dma max_seg_size (Desnes Nunes) [2190250]
- usb-storage: apply IGNORE_UAS only for HIKSEMI MD202 on RTL9210 (Desnes Nunes) [2190250]
- USB: serial: option: add Quectel EM05CN modem (Desnes Nunes) [2190250]
- USB: serial: option: add Quectel EM05CN (SG) modem (Desnes Nunes) [2190250]
- USB: serial: cp210x: add SCALANCE LPE-9000 device id (Desnes Nunes) [2190250]
- USB: serial: option: add Quectel EC200U modem (Desnes Nunes) [2190250]
- USB: serial: option: add Quectel EM05-G (RS) modem (Desnes Nunes) [2190250]
- USB: serial: option: add Quectel EM05-G (GR) modem (Desnes Nunes) [2190250]
- USB: serial: option: add Quectel EM05-G (CS) modem (Desnes Nunes) [2190250]
- thunderbolt: Disable XDomain lane 1 only in software connection manager (Desnes Nunes) [2190250]
- thunderbolt: Use correct function to calculate maximum USB3 link rate (Desnes Nunes) [2190250]
- thunderbolt: Do not call PM runtime functions in tb_retimer_scan() (Desnes Nunes) [2190250]
- usb: dwc3: gadget: Ignore End Transfer delay on teardown (Desnes Nunes) [2190250]
- usb: dwc3: xilinx: include linux/gpio/consumer.h (Desnes Nunes) [2190250]
- Revert "usb: ulpi: defer ulpi_register on ulpi_read_id timeout" (Desnes Nunes) [2190250]
- thunderbolt: Do not report errors if on-board retimers are found (Desnes Nunes) [2190250]
- treewide: Convert del_timer*() to timer_shutdown*() (Desnes Nunes) [2190250]
- nfc: pn533: Fix use-after-free bugs caused by pn532_cmd_timeout (Desnes Nunes) [2190250]
- nfc: pn533: Fix buggy cleanup order (Desnes Nunes) [2190250]
- mISDN: fix use-after-free bugs in l1oip timer handlers (Desnes Nunes) [2190250]
- atm: idt77252: fix use-after-free bugs caused by tst_timer (Desnes Nunes) [2190250]
- dt-bindings: usb: tegra-xusb: Remove path references (Desnes Nunes) [2190250]
- xen: make remove callback of xen driver void returned (Desnes Nunes) [2190250]
- xen/pcifront: Removed unnecessary __ref annotation (Desnes Nunes) [2190250]
- USB: core: export usb_cache_string() (Desnes Nunes) [2190250]
- usb: dwc2: power on/off phy for peripheral mode in dual-role mode (Desnes Nunes) [2190250]
- usb: dwc2: disable lpm feature on Rockchip SoCs (Desnes Nunes) [2190250]
- usb: dwc3: core: defer probe on ulpi_read_id timeout (Desnes Nunes) [2190250]
- usb: ulpi: defer ulpi_register on ulpi_read_id timeout (Desnes Nunes) [2190250]
- usb: typec: tipd: Set mode of operation for USB Type-C connector (Desnes Nunes) [2190250]
- usb: dwc3: qcom: Fix memory leak in dwc3_qcom_interconnect_init (Desnes Nunes) [2190250]
- usb: typec: wusb3801: fix fwnode refcount leak in wusb3801_probe() (Desnes Nunes) [2190250]
- usb: storage: Add check for kcalloc (Desnes Nunes) [2190250]
- USB: sisusbvga: use module_usb_driver() (Desnes Nunes) [2190250]
- USB: sisusbvga: rename sisusb.c to sisusbvga.c (Desnes Nunes) [2190250]
- USB: sisusbvga: remove console support (Desnes Nunes) [2190250]
- media: Switch to use dev_err_probe() helper (Desnes Nunes) [2190250]
- media: usb: pwc-uncompress: Use flex array destination for memcpy() (Desnes Nunes) [2190250]
- firmware_loader: fix up to_fw_sysfs() to preserve const (Desnes Nunes) [2190250]
- usb.h: take advantage of container_of_const() (Desnes Nunes) [2190250]
- device.h: move kobj_to_dev() to use container_of_const() (Desnes Nunes) [2190250]
- driver core: allow kobj_to_dev() to take a const pointer (Desnes Nunes) [2190250]
- container_of: remove container_of_safe() (Desnes Nunes) [2190250]
- net: thunderbolt: Use bitwise types in the struct thunderbolt_ip_frame_header (Desnes Nunes) [2190250]
- net: thunderbolt: Switch from __maybe_unused to pm_sleep_ptr() etc (Desnes Nunes) [2190250]
- USB: serial: f81534: fix division by zero on line-speed change (Desnes Nunes) [2190250]
- xhci: remove unused stream_id parameter from xhci_handle_halted_endpoint() (Desnes Nunes) [2190250]
- xhci: Prevent infinite loop in transaction errors recovery for streams (Desnes Nunes) [2190250]
- xhci: disable U3 suspended ports in S4 hibernate poweroff_late stage (Desnes Nunes) [2190250]
- xhci: export two xhci_hub functions for xhci-pci module usage (Desnes Nunes) [2190250]
- xhci: Apply XHCI_RESET_TO_DEFAULT quirk to ADL-N (Desnes Nunes) [2190250]
- xhci: print warning when HCE was set (Desnes Nunes) [2190250]
- Revert "xhci: Convert to use list_count()" (Desnes Nunes) [2190250]
- USB: serial: cp210x: add support for B0 hangup (Desnes Nunes) [2190250]
- usb: dwc3: Fix race between dwc3_set_mode and __dwc3_set_mode (Desnes Nunes) [2190250]
- xhci: Convert to use list_count() (Desnes Nunes) [2190250]
- usb: typec: Add partner PD object wrapper (Desnes Nunes) [2190250]
- usb: xhci-mtk: fix leakage of shared hcd when fail to set wakeup irq (Desnes Nunes) [2190250]
- usb: add usb_set_intfdata() documentation (Desnes Nunes) [2190250]
- usb: host: fix a typo in ehci.h (Desnes Nunes) [2190250]
- usb: dwc3: pci: Update PCIe device ID for USB3 controller on CPU sub-system for Raptor Lake (Desnes Nunes) [2190250]
- usb: core: hcd: Fix return value check in usb_hcd_setup_local_mem() (Desnes Nunes) [2190250]
- usb: typec: ucsi: Resume in separate work (Desnes Nunes) [2190250]
- usb: roles: fix of node refcount leak in usb_role_switch_is_parent() (Desnes Nunes) [2190250]
- USB: serial: cp210x: add Kamstrup RF sniffer PIDs (Desnes Nunes) [2190250]
- net: thunderbolt: Use kmap_local_page() instead of kmap_atomic() (Desnes Nunes) [2190250]
- media: dvb-usb: az6027: fix null-ptr-deref in az6027_i2c_xfer() (Desnes Nunes) [2190250]
- media: dvb-usb: fix memory leak in dvb_usb_adapter_init() (Desnes Nunes) [2190250]
- media: dvb-core: Enhance shared multi-frontend support (Desnes Nunes) [2190250]
- media: dvb-frontends: a8293: fix LNB powerup failure in PCTV 461e (Desnes Nunes) [2190250]
- USB: serial: option: add Quectel EM05-G modem (Desnes Nunes) [2190250]
- media: vb2/au0828: move the v4l_vb2q_enable_media_source to the au0828 driver (Desnes Nunes) [2190250]
- media: videobuf2: set q->streaming later (Desnes Nunes) [2190250]
- media: vb2: add (un)prepare_streaming queue ops (Desnes Nunes) [2190250]
- USB: gadget: Fix use-after-free during usb config switch (Desnes Nunes) [2190250]
- usb: dwc3: improve the config dependency of USB_DWC3_XILINX (Desnes Nunes) [2190250]
- usb: typec: tipd: Move tps6598x_disconnect error path to its own label (Desnes Nunes) [2190250]
- usb: typec: tipd: Fix typec_unregister_port error paths (Desnes Nunes) [2190250]
- usb: typec: tipd: Fix spurious fwnode_handle_put in error path (Desnes Nunes) [2190250]
- usb: typec: tipd: Cleanup resources if devm_tps6598_psy_register fails (Desnes Nunes) [2190250]
- usb: host: xhci-mtk: omit shared hcd if either root hub has no ports (Desnes Nunes) [2190250]
- usb: typec: tcpci: fix of node refcount leak in tcpci_register_port() (Desnes Nunes) [2190250]
- dt-bindings: usb: dwc3: Add SM8550 compatible (Desnes Nunes) [2190250]
- usb: typec: Check for ops->exit instead of ops->enter in altmode_exit (Desnes Nunes) [2190250]
- usb: ftdi-elan: remove variable l (Desnes Nunes) [2190250]
- Documentation: USB: correct possessive "its" usage (Desnes Nunes) [2190250]
- dt-bindings: usb: tegra-xusb: Convert to json-schema (Desnes Nunes) [2190250]
- hwrng: core - treat default_quality as a maximum and default to 1024 (Desnes Nunes) [2190250]
- usb: Check !irq instead of irq == NO_IRQ (Desnes Nunes) [2190250]
- tools: usb: ffs-aio-example: Fix build error with aarch64-*-gnu-gcc toolchain(s) (Desnes Nunes) [2190250]
- usb: chipidea: core: wrap ci_handle_power_lost() with CONFIG_PM_SLEEP (Desnes Nunes) [2190250]
- usb: chipidea: ci_hdrc_imx: Fix a typo ("regualator") (Desnes Nunes) [2190250]
- usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250]
- usb: ehci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250]
- usb: phy: generic: Add wakeup capability (Desnes Nunes) [2190250]
- dt-bindings: usb: usb-nop-xceiv: add wakeup-source property (Desnes Nunes) [2190250]
- dt-bindings: usb: usb-drd: Describe default dual-role mode (Desnes Nunes) [2190250]
- usb: core: stop USB enumeration if too many retries (Desnes Nunes) [2190250]
- usb: dwc3: gadget: Reduce TRB IOC settings (Desnes Nunes) [2190250]
- usb: phy: phy-gpio-vbus-usb: Add device tree probing (Desnes Nunes) [2190250]
- thunderbolt: Add wake on connect/disconnect on USB4 ports (Desnes Nunes) [2190250]
- usb: gadget: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250]
- usb: core: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250]
- USB: core: Change configuration warnings to notices (Desnes Nunes) [2190250]
- thunderbolt: ACPI: Use the helper fwnode_find_reference() (Desnes Nunes) [2190250]
- usb: chipidea: usbmisc: add power lost check for imx7ulp (Desnes Nunes) [2190250]
- usb: chipidea: usbmisc: add power lost check for imx7d (Desnes Nunes) [2190250]
- usb: chipidea: usbmisc: add power lost check for imx6sx (Desnes Nunes) [2190250]
- usb: chipidea: usbmisc: group usbmisc operations for PM (Desnes Nunes) [2190250]
- usb: chipidea: udc: add suspend/resume support for device controller (Desnes Nunes) [2190250]
- usb: chipidea: host: add suspend/resume support for host controller (Desnes Nunes) [2190250]
- usb: chipidea: core: handle suspend/resume for each role (Desnes Nunes) [2190250]
- usb: chipidea: core: add controller resume support when controller is powered off (Desnes Nunes) [2190250]
- usb: chipidea: core: handle usb role switch in a common way (Desnes Nunes) [2190250]
- usb: phy: generic: make vcc regulator optional (Desnes Nunes) [2190250]
- thunderbolt: Remove redundant assignment to variable len (Desnes Nunes) [2190250]
- thunderbolt: Use str_enabled_disabled() helper (Desnes Nunes) [2190250]
- device property: Constify parameter in device_dma_supported() and device_get_dma_attr() (Desnes Nunes) [2190250]
- device property: Constify device child node APIs (Desnes Nunes) [2190250]
- device property: Constify parameter in fwnode_graph_is_endpoint() (Desnes Nunes) [2190250]
- usb: typec: retimer: Use device type for matching (Desnes Nunes) [2190250]
- device property: Constify fwnode connection match APIs (Desnes Nunes) [2190250]
- device property: Allow const parameter to dev_fwnode() (Desnes Nunes) [2190250]
- device property: Add const qualifier to device_get_match_data() parameter (Desnes Nunes) [2190250]
- usb: dwc2: platform: Improve error reporting for problems during .remove() (Desnes Nunes) [2190250]
- dt-bindings: usb: dwc2: Add some missing Lantiq variants (Desnes Nunes) [2190250]
- USB: host: Kconfig: Fix spelling mistake "firwmare" -> "firmware" (Desnes Nunes) [2190250]
- usb: ftdi-elan: remove variable err_count (Desnes Nunes) [2190250]
- USB: allow some usb functions to take a const pointer. (Desnes Nunes) [2190250]
- kernel.spec: add bonding selftest (Hangbin Liu) [2179216]
- selftests: bonding: add arp validate test (Hangbin Liu) [2179216]
- selftests: bonding: re-format bond option tests (Hangbin Liu) [2179216]
- bonding: fix ns validation on backup slaves (Hangbin Liu) [2179216]
- net: Kconfig: enable IPV6 SEG6 (Hangbin Liu) [2186375]
- net: ipv6: unexport __init-annotated seg6_hmac_init() (Hangbin Liu) [2186375]
- selftests: seg6: add selftest for PSP flavor in SRv6 End behavior (Hangbin Liu) [2186375]
- selftests: seg6: add selftest for NEXT-C-SID flavor in SRv6 End behavior (Hangbin Liu) [2186375]
- selftests: seg6: add selftest for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375]
- selftests: seg6: add selftest for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375]
- kselftests/net: add missed SRv6 tests (Hangbin Liu) [2186375]
- seg6: add PSP flavor support for SRv6 End behavior (Hangbin Liu) [2186375]
- seg6: factor out End lookup nexthop processing to a dedicated function (Hangbin Liu) [2186375]
- seg6: add NEXT-C-SID support for SRv6 End behavior (Hangbin Liu) [2186375]
- seg6: add netlink_ext_ack support in parsing SRv6 behavior attributes (Hangbin Liu) [2186375]
- net: seg6: initialize induction variable to first valid array index (Hangbin Liu) [2186375]
- seg6: add support for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375]
- seg6: add support for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375]
- seg6: bpf: fix skb checksum in bpf_push_seg6_encap() (Hangbin Liu) [2186375]
- seg6: fix skb checksum in SRv6 End.B6 and End.B6.Encaps behaviors (Hangbin Liu) [2186375]
- seg6: fix skb checksum evaluation in SRH encapsulation/insertion (Hangbin Liu) [2186375]
- net: ipv6: unexport __init-annotated seg6_hmac_net_init() (Hangbin Liu) [2186375]
- udp6: Use Segment Routing Header for dest address if present (Hangbin Liu) [2186375]
- icmp: ICMPV6: Examine invoking packet for Segment Route Headers. (Hangbin Liu) [2186375]
- seg6: export get_srh() for ICMP handling (Hangbin Liu) [2186375]
- seg6: fix the iif in the IPv6 socket control block (Hangbin Liu) [2186375]
- net:ipv6:Remove unneeded semicolon (Hangbin Liu) [2186375]
- net: ipv6: fix use after free of struct seg6_pernet_data (Hangbin Liu) [2186375]
- net: ipv6: check return value of rhashtable_init (Hangbin Liu) [2186375]
- seg6_iptunnel: Remove redundant initialization of variable err (Hangbin Liu) [2186375]
- ipv6: seg6: remove duplicated include (Hangbin Liu) [2186375]
- ipv6: remove duplicated 'net/lwtunnel.h' include (Hangbin Liu) [2186375]
- netfilter: add netfilter hooks to SRv6 data plane (Hangbin Liu) [2186375]
- HID: asus: use spinlock to safely schedule workers (Ricardo Robaina) [2186283] {CVE-2023-1079}
- HID: asus: use spinlock to protect concurrent accesses (Ricardo Robaina) [2186283] {CVE-2023-1079}
- NFS: set varaiable nfs_netfs_debug_id storage-class-specifier to static (Dave Wysochanski) [2129854]
- NFS: Remove fscache specific trace points and NFS_INO_FSCACHE bit (Dave Wysochanski) [2129854]
- NFS: Remove all NFSIOS_FSCACHE counters due to conversion to netfs API (Dave Wysochanski) [2129854]
- NFS: Convert buffered read paths to use netfs when fscache is enabled (Dave Wysochanski) [2129854]
- NFS: Configure support for netfs when NFS fscache is configured (Dave Wysochanski) [2129854]
- NFS: Rename readpage_async_filler to nfs_read_add_folio (Dave Wysochanski) [2129854]
- netfs: Further cleanups after struct netfs_inode wrapper introduced (Dave Wysochanski) [2129854]
- i2c: xgene-slimpro: Fix out-of-bounds bug in xgene_slimpro_i2c_xfer() (Tony Camuso) [2188409] {CVE-2023-2194}
- netlink: provide an ability to set default extack message (Petr Oros) [2193453]
- mm/memcg: Allow OOM eventfd notifications under PREEMPT_RT (Valentin Schneider) [2178712]
Resolves: rhbz#2129854, rhbz#2178526, rhbz#2178712, rhbz#2179216, rhbz#2181854, rhbz#2186283, rhbz#2186375, rhbz#2188409, rhbz#2190250, rhbz#2193453

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-23 21:08:35 +02:00
Jan Stancek
7e19aae985 kernel-5.14.0-315.el9
* Wed May 17 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-315.el9]
- watchdog: wdat_wdt: Avoid unimplemented get_timeleft (David Arcari) [2189867]
- watchdog: wdat_wdt: Set the min and max timeout values properly (David Arcari) [2189867]
- watchdog: wdat_wdt: Remove #ifdef guards for PM related functions (David Arcari) [2189867]
- watchdog: wdat_wdt: Stop watchdog when uninstalling module (David Arcari) [2189867]
- watchdog: wdat_wdt: Stop watchdog when rebooting the system (David Arcari) [2189867]
- watchdog: wdat_wdt: Using the existing function to check parameter timeout (David Arcari) [2189867]
- watchdog: imx2_wdg: suspend watchdog in WAIT mode (Steve Best) [2192546]
- watchdog: imx2_wdg: Alow ping on suspend (Steve Best) [2192546]
- watchdog: imx2_wdg: notify wdog core to stop ping worker on suspend (Steve Best) [2192546]
- watchdog: introduce watchdog_dev_suspend/resume (Steve Best) [2192546]
- scsi: iscsi_tcp: Check that sock is valid before iscsi_set_param() (Chris Leech) [2192404]
- scsi: iscsi_tcp: Fix UAF during login when accessing the shost ipaddress (Chris Leech) [2192404]
- scsi: iscsi_tcp: Fix UAF during logout when accessing the shost ipaddress (Chris Leech) [2192404]
- scsi: iscsi: Fix multiple iSCSI session unbind events sent to userspace (Chris Leech) [2192404]
- scsi: iscsi: Rename iscsi_set_param() to iscsi_if_set_param() (Chris Leech) [2192404]
- scsi: iscsi: tracing: Use the new __vstring() helper (Chris Leech) [2192404]
- md/raid5: remove unused working_disks variable (Nigel Croxon) [2162219]
- md/raid10: don't call bio_start_io_acct twice for bio which experienced read error (Nigel Croxon) [2162219]
- md/raid10: fix memleak of md thread (Nigel Croxon) [2162219]
- md/raid10: fix memleak for 'conf->bio_split' (Nigel Croxon) [2162219]
- md/raid10: fix leak of 'r10bio->remaining' for recovery (Nigel Croxon) [2162219]
- md/raid10: don't BUG_ON() in raise_barrier() (Nigel Croxon) [2162219]
- md: fix soft lockup in status_resync (Nigel Croxon) [2162219]
- md: add error_handlers for raid0 and linear (Nigel Croxon) [2162219]
- md: Use optimal I/O size for last bitmap page (Nigel Croxon) [2162219]
- md: Fix types in sb writer (Nigel Croxon) [2162219]
- md: Move sb writer loop to its own function (Nigel Croxon) [2162219]
- md/raid10: Fix typo in comment (replacment -> replacement) (Nigel Croxon) [2162219]
- md: make kobj_type structures constant (Nigel Croxon) [2162219]
- md/raid10: fix null-ptr-deref in raid10_sync_request (Nigel Croxon) [2162219]
- md/raid10: fix task hung in raid10d (Nigel Croxon) [2162219]
- md: simplify sysctl registration (Nigel Croxon) [2162219]
- md: fix regression for null-ptr-deference in __md_stop() (Nigel Croxon) [2162219]
- md: avoid signed overflow in slot_store() (Nigel Croxon) [2162219]
- md: Free resources in __md_stop (Nigel Croxon) [2162219]
- md: account io_acct_set usage with active_io (Nigel Croxon) [2122229 2162219]
- md: use MD_RESYNC_* whenever possible (Nigel Croxon) [2162219]
- md: Free writes_pending in md_stop (Nigel Croxon) [2162219]
- md: Change active_io to percpu (Nigel Croxon) [2162219]
- md: Factor out is_md_suspended helper (Nigel Croxon) [2162219]
- md: don't update recovery_cp when curr_resync is ACTIVE (Nigel Croxon) [2162219]
- md: fix incorrect declaration about claim_rdev in md_import_device (Nigel Croxon) [2162219]
- md: fold unbind_rdev_from_array into md_kick_rdev_from_array (Nigel Croxon) [2162219]
- md: mark md_kick_rdev_from_array static (Nigel Croxon) [2162219]
- md: remove lock_bdev / unlock_bdev (Nigel Croxon) [2162219]
- bonding: Fix memory leak when changing bond type to Ethernet (Hangbin Liu) [2189406]
- selftests: bonding: add tests for ether type changes (Hangbin Liu) [2189406]
- bonding: restore bond's IFF_SLAVE flag if a non-eth dev enslave fails (Hangbin Liu) [2189406]
- bonding: restore IFF_MASTER/SLAVE flags on bond enslave ether type change (Hangbin Liu) [2189406]
- drivers/net/bonding/bond_3ad: return when there's no aggregator (Hangbin Liu) [2189406]
- net: add IFF_NO_ADDRCONF and use it in bonding to prevent ipv6 addrconf (Hangbin Liu) [2189406]
- net/core: Allow live renaming when an interface is up (Hangbin Liu) [2189406]
- bonding: 3ad: Add support for 800G speed (Hangbin Liu) [2189406]
- thermal/drivers/imx: Use generic thermal_zone_get_trip() function (Steve Best) [2185130]
- kernel.spec: skip kernel meta package when building without up (Jan Stancek) [2190323]
- redhat: configs: enable CONFIG_DELL_WMI_PRIVACY (Foggy Liu) [2186163]
- RHEL-only: Enable CONFIG_IO_URING (Jeff Moyer) [2068237 2170014]
- RHEL: io_uring: mark tech preview (Jeff Moyer) [2068237]
- RHEL: add a boot parameter to enable io_uring (Jeff Moyer) [2068237]
- io_uring: fix size calculation when registering buf ring (Jeff Moyer) [2068237]
- Revert "io_uring/rsrc: disallow multi-source reg buffers" (Jeff Moyer) [2068237]
- net: reclaim skb->scm_io_uring bit (Jeff Moyer) [2068237]
- io_uring: complete request via task work in case of DEFER_TASKRUN (Jeff Moyer) [2068237]
- io_uring: fix memory leak when removing provided buffers (Jeff Moyer) [2068237]
- io_uring: fix return value when removing provided buffers (Jeff Moyer) [2068237]
- io_uring: fix poll/netmsg alloc caches (Jeff Moyer) [2068237]
- io_uring/rsrc: fix rogue rsrc node grabbing (Jeff Moyer) [2068237]
- io_uring/poll: clear single/double poll flags on poll arming (Jeff Moyer) [2068237]
- io_uring/rsrc: fix null-ptr-deref in io_file_bitmap_get() (Jeff Moyer) [2068237]
- io_uring/net: avoid sending -ECONNABORTED on repeated connection requests (Jeff Moyer) [2068237]
- block/io_uring: pass in issue_flags for uring_cmd task_work handling (Jeff Moyer) [2068237]
- io_uring/msg_ring: let target know allocated index (Jeff Moyer) [2068237]
- io_uring/sqpoll: Do not set PF_NO_SETAFFINITY on sqpoll threads (Jeff Moyer) [2068237]
- io_uring/io-wq: stop setting PF_NO_SETAFFINITY on io-wq workers (Jeff Moyer) [2068237]
- io_uring/uring_cmd: ensure that device supports IOPOLL (Jeff Moyer) [2068237]
- io_uring: fix fget leak when fs don't support nowait buffered read (Jeff Moyer) [2068237]
- io_uring/poll: allow some retries for poll triggering spuriously (Jeff Moyer) [2068237]
- io_uring: remove MSG_NOSIGNAL from recvmsg (Jeff Moyer) [2068237]
- io_uring/rsrc: disallow multi-source reg buffers (Jeff Moyer) [2068237]
- io_uring,audit: don't log IORING_OP_MADVISE (Jeff Moyer) [2068237]
- io_uring: mark task TASK_RUNNING before handling resume/task work (Jeff Moyer) [2068237]
- io_uring: add reschedule point to handle_tw_list() (Jeff Moyer) [2068237]
- io_uring: add a conditional reschedule to the IOPOLL cancelation loop (Jeff Moyer) [2068237]
- io_uring: use user visible tail in io_uring_poll() (Jeff Moyer) [2068237]
- io_uring: handle TIF_NOTIFY_RESUME when checking for task_work (Jeff Moyer) [2068237]
- io_uring: Replace 0-length array with flexible array (Jeff Moyer) [2068237]
- io_uring: always prep_async for drain requests (Jeff Moyer) [2068237]
- io_uring/net: cache provided buffer group value for multishot receives (Jeff Moyer) [2068237]
- io_uring/poll: don't reissue in case of poll race on multishot request (Jeff Moyer) [2068237]
- io_uring/msg_ring: fix remote queue to disabled ring (Jeff Moyer) [2068237]
- io_uring/msg_ring: fix flagging remote execution (Jeff Moyer) [2068237]
- io_uring/msg_ring: fix missing lock on overflow for IOPOLL (Jeff Moyer) [2068237]
- io_uring/msg_ring: move double lock/unlock helpers higher up (Jeff Moyer) [2068237]
- io_uring: lock overflowing for IOPOLL (Jeff Moyer) [2068237]
- io_uring/poll: attempt request issue after racy poll wakeup (Jeff Moyer) [2068237]
- io_uring/fdinfo: include locked hash table in fdinfo output (Jeff Moyer) [2068237]
- io_uring/poll: add hash if ready poll request can't complete inline (Jeff Moyer) [2068237]
- io_uring/io-wq: only free worker if it was allocated for creation (Jeff Moyer) [2068237]
- io_uring: fix CQ waiting timeout handling (Jeff Moyer) [2068237]
- io_uring: move 'poll_multi_queue' bool in io_ring_ctx (Jeff Moyer) [2068237]
- io_uring: lockdep annotate CQ locking (Jeff Moyer) [2068237]
- io_uring: pin context while queueing deferred tw (Jeff Moyer) [2068237]
- io_uring/io-wq: free worker if task_work creation is canceled (Jeff Moyer) [2068237]
- uapi:io_uring.h: allow linux/time_types.h to be skipped (Jeff Moyer) [2068237]
- io_uring: check for valid register opcode earlier (Jeff Moyer) [2068237]
- io_uring/cancel: re-grab ctx mutex after finishing wait (Jeff Moyer) [2068237]
- io_uring: finish waiting before flushing overflow entries (Jeff Moyer) [2068237]
- io_uring/net: fix cleanup after recycle (Jeff Moyer) [2068237]
- io_uring/net: ensure compat import handlers clear free_iov (Jeff Moyer) [2068237]
- io_uring: include task_work run after scheduling in wait for events (Jeff Moyer) [2068237]
- io_uring: don't use TIF_NOTIFY_SIGNAL to test for availability of task_work (Jeff Moyer) [2068237]
- io_uring: fix overflow handling regression (Jeff Moyer) [2068237]
- io_uring: ease timeout flush locking requirements (Jeff Moyer) [2068237]
- io_uring: revise completion_lock locking (Jeff Moyer) [2068237]
- io_uring: protect cq_timeouts with timeout_lock (Jeff Moyer) [2068237]
- io_uring/rw: enable bio caches for IRQ rw (Jeff Moyer) [2068237]
- io_uring/msg_ring: flag target ring as having task_work, if needed (Jeff Moyer) [2068237]
- io_uring: skip spinlocking for ->task_complete (Jeff Moyer) [2068237]
- io_uring: do msg_ring in target task via tw (Jeff Moyer) [2068237]
- io_uring: extract a io_msg_install_complete helper (Jeff Moyer) [2068237]
- io_uring: get rid of double locking (Jeff Moyer) [2068237]
- io_uring: never run tw and fallback in parallel (Jeff Moyer) [2068237]
- io_uring: use tw for putting rsrc (Jeff Moyer) [2068237]
- io_uring: force multishot CQEs into task context (Jeff Moyer) [2068237]
- io_uring: complete all requests in task context (Jeff Moyer) [2068237]
- io_uring: don't check overflow flush failures (Jeff Moyer) [2068237]
- io_uring: skip overflow CQE posting for dying ring (Jeff Moyer) [2068237]
- io_uring: improve io_double_lock_ctx fail handling (Jeff Moyer) [2068237]
- io_uring: dont remove file from msg_ring reqs (Jeff Moyer) [2068237]
- io_uring: reshuffle issue_flags (Jeff Moyer) [2068237]
- io_uring: don't reinstall quiesce node for each tw (Jeff Moyer) [2068237]
- io_uring: improve rsrc quiesce refs checks (Jeff Moyer) [2068237]
- io_uring: don't raw spin unlock to match cq_lock (Jeff Moyer) [2068237]
- io_uring: combine poll tw handlers (Jeff Moyer) [2068237]
- io_uring: improve poll warning handling (Jeff Moyer) [2068237]
- io_uring: remove ctx variable in io_poll_check_events (Jeff Moyer) [2068237]
- io_uring: carve io_poll_check_events fast path (Jeff Moyer) [2068237]
- io_uring: kill io_poll_issue's PF_EXITING check (Jeff Moyer) [2068237]
- io_uring: keep unlock_post inlined in hot path (Jeff Moyer) [2068237]
- io_uring: don't use complete_post in kbuf (Jeff Moyer) [2068237]
- io_uring: spelling fix (Jeff Moyer) [2068237]
- io_uring: remove io_req_complete_post_tw (Jeff Moyer) [2068237]
- io_uring: allow multishot polled reqs to defer completion (Jeff Moyer) [2068237]
- io_uring: remove overflow param from io_post_aux_cqe (Jeff Moyer) [2068237]
- io_uring: add lockdep assertion in io_fill_cqe_aux (Jeff Moyer) [2068237]
- io_uring: make io_fill_cqe_aux static (Jeff Moyer) [2068237]
- io_uring: add io_aux_cqe which allows deferred completion (Jeff Moyer) [2068237]
- io_uring: allow defer completion for aux posted cqes (Jeff Moyer) [2068237]
- io_uring: defer all io_req_complete_failed (Jeff Moyer) [2068237]
- io_uring: always lock in io_apoll_task_func (Jeff Moyer) [2068237]
- io_uring: remove iopoll spinlock (Jeff Moyer) [2068237]
- io_uring: iopoll protect complete_post (Jeff Moyer) [2068237]
- io_uring: inline __io_req_complete_put() (Jeff Moyer) [2068237]
- io_uring: remove io_req_tw_post_queue (Jeff Moyer) [2068237]
- io_uring: use io_req_task_complete() in timeout (Jeff Moyer) [2068237]
- io_uring: hold locks for io_req_complete_failed (Jeff Moyer) [2068237]
- io_uring: add completion locking for iopoll (Jeff Moyer) [2068237]
- io_uring: kill io_cqring_ev_posted() and __io_cq_unlock_post() (Jeff Moyer) [2068237]
- Revert "io_uring: disallow self-propelled ring polling" (Jeff Moyer) [2068237]
- io_uring: pass in EPOLL_URING_WAKE for eventfd signaling and wakeups (Jeff Moyer) [2068237]
- eventfd: provide a eventfd_signal_mask() helper (Jeff Moyer) [2068237]
- eventpoll: add EPOLL_URING_WAKE poll wakeup flag (Jeff Moyer) [2068237]
- io_uring: inline __io_req_complete_post() (Jeff Moyer) [2068237]
- io_uring: split tw fallback into a function (Jeff Moyer) [2068237]
- io_uring: inline io_req_task_work_add() (Jeff Moyer) [2068237]
- io_uring: update outdated comment of callbacks (Jeff Moyer) [2068237]
- io_uring/poll: remove outdated comments of caching (Jeff Moyer) [2068237]
- io_uring: allow multishot recv CQEs to overflow (Jeff Moyer) [2068237]
- io_uring: revert "io_uring fix multishot accept ordering" (Jeff Moyer) [2068237]
- io_uring: do not always force run task_work in io_uring_register (Jeff Moyer) [2068237]
- io_uring: fix two assignments in if conditions (Jeff Moyer) [2068237]
- io_uring/net: move mm accounting to a slower path (Jeff Moyer) [2068237]
- io_uring: move zc reporting from the hot path (Jeff Moyer) [2068237]
- io_uring/net: inline io_notif_flush() (Jeff Moyer) [2068237]
- io_uring/net: rename io_uring_tx_zerocopy_callback (Jeff Moyer) [2068237]
- io_uring/net: preset notif tw handler (Jeff Moyer) [2068237]
- io_uring/net: remove extra notif rsrc setup (Jeff Moyer) [2068237]
- io_uring: move kbuf put out of generic tw complete (Jeff Moyer) [2068237]
- io_uring/net: introduce IORING_SEND_ZC_REPORT_USAGE flag (Jeff Moyer) [2068237]
- net: shrink struct ubuf_info (Jeff Moyer) [2068237]
- vhost/net: use struct ubuf_info_msgzc (Jeff Moyer) [2068237]
- xen/netback: use struct ubuf_info_msgzc (Jeff Moyer) [2068237]
- net: introduce struct ubuf_info_msgzc (Jeff Moyer) [2068237]
- io_uring: Fix a null-ptr-deref in io_tctx_exit_cb() (Jeff Moyer) [2068237]
- io_uring: clear TIF_NOTIFY_SIGNAL if set and task_work not available (Jeff Moyer) [2068237]
- io_uring/poll: fix poll_refs race with cancelation (Jeff Moyer) [2068237]
- io_uring/filetable: fix file reference underflow (Jeff Moyer) [2068237]
- io_uring: make poll refs more robust (Jeff Moyer) [2068237]
- io_uring: cmpxchg for poll arm refs release (Jeff Moyer) [2068237]
- io_uring: disallow self-propelled ring polling (Jeff Moyer) [2068237]
- io_uring: fix multishot recv request leaks (Jeff Moyer) [2068237]
- io_uring: fix multishot accept request leaks (Jeff Moyer) [2068237]
- io_uring: fix tw losing poll events (Jeff Moyer) [2068237]
- io_uring: update res mask in io_poll_check_events (Jeff Moyer) [2068237]
- io_uring/poll: lockdep annote io_poll_req_insert_locked (Jeff Moyer) [2068237]
- io_uring/poll: fix double poll req->flags races (Jeff Moyer) [2068237]
- io_uring: check for rollover of buffer ID when providing buffers (Jeff Moyer) [2068237]
- io_uring: calculate CQEs from the user visible value (Jeff Moyer) [2068237]
- io_uring: fix typo in io_uring.h comment (Jeff Moyer) [2068237]
- io_uring: unlock if __io_run_local_work locked inside (Jeff Moyer) [2068237]
- io_uring: use io_run_local_work_locked helper (Jeff Moyer) [2068237]
- io_uring/net: fail zc sendmsg when unsupported by socket (Jeff Moyer) [2068237]
- io_uring/net: fail zc send when unsupported by socket (Jeff Moyer) [2068237]
- selftests/net: don't tests batched TCP io_uring zc (Jeff Moyer) [2068237]
- net: also flag accepted sockets supporting msghdr originated zerocopy (Jeff Moyer) [2068237]
- net/ulp: remove SOCK_SUPPORT_ZC from tls sockets (Jeff Moyer) [2068237]
- net: remove SOCK_SUPPORT_ZC from sockmap (Jeff Moyer) [2068237]
- udp: advertise ipv6 udp support for msghdr::ubuf_info (Jeff Moyer) [2068237]
- net: flag sockets supporting msghdr originated zerocopy (Jeff Moyer) [2068237]
- io-wq: Fix memory leak in worker creation (Jeff Moyer) [2068237]
- io_uring/msg_ring: Fix NULL pointer dereference in io_msg_send_fd() (Jeff Moyer) [2068237]
- io_uring/rw: remove leftover debug statement (Jeff Moyer) [2068237]
- io_uring: don't iopoll from io_ring_ctx_wait_and_kill() (Jeff Moyer) [2068237]
- io_uring: reuse io_alloc_req() (Jeff Moyer) [2068237]
- io_uring: kill hot path fixed file bitmap debug checks (Jeff Moyer) [2068237]
- io_uring: remove FFS_SCM (Jeff Moyer) [2068237]
- io_uring/rw: ensure kiocb_end_write() is always called (Jeff Moyer) [2068237]
- io_uring: fix fdinfo sqe offsets calculation (Jeff Moyer) [2068237]
- io_uring: local variable rw shadows outer variable in io_write (Jeff Moyer) [2068237]
- io_uring/opdef: remove 'audit_skip' from SENDMSG_ZC (Jeff Moyer) [2068237]
- io_uring: optimise locking for local tw with submit_wait (Jeff Moyer) [2068237]
- io_uring: remove redundant memory barrier in io_req_local_work_add (Jeff Moyer) [2068237]
- io_uring/net: handle -EINPROGRESS correct for IORING_OP_CONNECT (Jeff Moyer) [2068237]
- io_uring: remove notif leftovers (Jeff Moyer) [2068237]
- io_uring: correct pinned_vm accounting (Jeff Moyer) [2068237]
- io_uring/af_unix: defer registered files gc to io_uring release (Jeff Moyer) [2068237]
- io_uring: limit registration w/ SINGLE_ISSUER (Jeff Moyer) [2068237]
- io_uring: remove io_register_submitter (Jeff Moyer) [2068237]
- io_uring: simplify __io_uring_add_tctx_node (Jeff Moyer) [2068237]
- io_uring: Add missing inline to io_uring_cmd_import_fixed() dummy (Jeff Moyer) [2068237]
- nvme: wire up fixed buffer support for nvme passthrough (Jeff Moyer) [2068237]
- nvme: pass ubuffer as an integer (Jeff Moyer) [2068237]
- nvme: refactor nvme_alloc_request (Jeff Moyer) [2068237]
- nvme: refactor nvme_add_user_metadata (Jeff Moyer) [2068237]
- scsi: Use blk_rq_map_user_io helper (Jeff Moyer) [2068237]
- io_uring: introduce fixed buffer support for io_uring_cmd (Jeff Moyer) [2068237]
- io_uring: add io_uring_cmd_import_fixed (Jeff Moyer) [2068237]
- nvme: enable batched completions of passthrough IO (Jeff Moyer) [2068237]
- nvme: split out metadata vs non metadata end_io uring_cmd completions (Jeff Moyer) [2068237]
- io_uring/net: fix notif cqe reordering (Jeff Moyer) [2068237]
- io_uring/net: don't update msg_name if not provided (Jeff Moyer) [2068237]
- io_uring: don't gate task_work run on TIF_NOTIFY_SIGNAL (Jeff Moyer) [2068237]
- io_uring/rw: defer fsnotify calls to task context (Jeff Moyer) [2068237]
- io_uring/net: fix fast_iov assignment in io_setup_async_msg() (Jeff Moyer) [2068237]
- io_uring/net: fix non-zc send with address (Jeff Moyer) [2068237]
- io_uring/net: don't skip notifs for failed requests (Jeff Moyer) [2068237]
- selftests/net: enable io_uring sendzc testing (Jeff Moyer) [2068237]
- io_uring/rw: don't lose short results on io_setup_async_rw() (Jeff Moyer) [2068237]
- io_uring/rw: fix unexpected link breakage (Jeff Moyer) [2068237]
- io_uring/net: fix cleanup double free free_iov init (Jeff Moyer) [2068237]
- io_uring: fix CQE reordering (Jeff Moyer) [2068237]
- io_uring/net: fix UAF in io_sendrecv_fail() (Jeff Moyer) [2068237]
- selftest/net: adjust io_uring sendzc notif handling (Jeff Moyer) [2068237]
- io_uring: ensure local task_work marks task as running (Jeff Moyer) [2068237]
- io_uring/net: zerocopy sendmsg (Jeff Moyer) [2068237]
- io_uring/net: combine fail handlers (Jeff Moyer) [2068237]
- io_uring/net: rename io_sendzc() (Jeff Moyer) [2068237]
- io_uring/net: support non-zerocopy sendto (Jeff Moyer) [2068237]
- io_uring/net: refactor io_setup_async_addr (Jeff Moyer) [2068237]
- io_uring/net: don't lose partial send_zc on fail (Jeff Moyer) [2068237]
- io_uring/net: don't lose partial send/recv on fail (Jeff Moyer) [2068237]
- io_uring/rw: don't lose partial IO result on fail (Jeff Moyer) [2068237]
- io_uring: add custom opcode hooks on fail (Jeff Moyer) [2068237]
- io_uring/fdinfo: fix sqe dumping for IORING_SETUP_SQE128 (Jeff Moyer) [2068237]
- io_uring/fdinfo: get rid of unnecessary is_cqe32 variable (Jeff Moyer) [2068237]
- io_uring: remove unused return from io_disarm_next (Jeff Moyer) [2068237]
- io_uring: add fast path for io_run_local_work() (Jeff Moyer) [2068237]
- io_uring/iopoll: unify tw breaking logic (Jeff Moyer) [2068237]
- io_uring/iopoll: fix unexpected returns (Jeff Moyer) [2068237]
- io_uring: disallow defer-tw run w/ no submitters (Jeff Moyer) [2068237]
- io_uring: further limit non-owner defer-tw cq waiting (Jeff Moyer) [2068237]
- io_uring/net: use io_sr_msg for sendzc (Jeff Moyer) [2068237]
- io_uring/net: refactor io_sr_msg types (Jeff Moyer) [2068237]
- io_uring/net: add non-bvec sg chunking callback (Jeff Moyer) [2068237]
- io_uring/net: io_async_msghdr caches for sendzc (Jeff Moyer) [2068237]
- io_uring/net: use async caches for async prep (Jeff Moyer) [2068237]
- io_uring/net: reshuffle error handling (Jeff Moyer) [2068237]
- io_uring: use io_cq_lock consistently (Jeff Moyer) [2068237]
- io_uring: kill an outdated comment (Jeff Moyer) [2068237]
- io_uring: allow buffer recycling in READV (Jeff Moyer) [2068237]
- fs: add batch and poll flags to the uring_cmd_iopoll() handler (Jeff Moyer) [2068237]
- io_uring: ensure iopoll runs local task work as well (Jeff Moyer) [2068237]
- io_uring: add local task_work run helper that is entered locked (Jeff Moyer) [2068237]
- io_uring: cleanly separate request types for iopoll (Jeff Moyer) [2068237]
- nvme: wire up async polling for io passthrough commands (Jeff Moyer) [2068237]
- block: export blk_rq_is_poll (Jeff Moyer) [2068237]
- io_uring: add iopoll infrastructure for io_uring_cmd (Jeff Moyer) [2068237]
- fs: add file_operations->uring_cmd_iopoll (Jeff Moyer) [2068237]
- io_uring: trace local task work run (Jeff Moyer) [2068237]
- io_uring: signal registered eventfd to process deferred task work (Jeff Moyer) [2068237]
- io_uring: move io_eventfd_put (Jeff Moyer) [2068237]
- io_uring: add IORING_SETUP_DEFER_TASKRUN (Jeff Moyer) [2068237]
- io_uring: do not run task work at the start of io_uring_enter (Jeff Moyer) [2068237]
- io_uring: introduce io_has_work (Jeff Moyer) [2068237]
- io_uring: remove unnecessary variable (Jeff Moyer) [2068237]
- __io_setxattr(): constify path (Jeff Moyer) [2068237]
- nvme/host: Use the enum req_op and blk_opf_t types (Jeff Moyer) [2068237]
- nvme: enable uring-passthrough for admin commands (Jeff Moyer) [2068237]
- nvme: helper for uring-passthrough checks (Jeff Moyer) [2068237]
- net: fix kdoc on __dev_queue_xmit() (Jeff Moyer) [2068237]
- tcp: add missing tcp_skb_can_collapse() test in tcp_shift_skb_data() (Jeff Moyer) [2068237]
- io_uring/poll: disable level triggered poll (Jeff Moyer) [2068237]
- io_uring: register single issuer task at creation (Jeff Moyer) [2068237]
- io_uring: ensure that cached task references are always put on exit (Jeff Moyer) [2068237]
- io_uring/opdef: rename SENDZC_NOTIF to SEND_ZC (Jeff Moyer) [2068237]
- io_uring/net: fix zc fixed buf lifetime (Jeff Moyer) [2068237]
- io_uring/msg_ring: check file type before putting (Jeff Moyer) [2068237]
- io_uring/rw: fix error'ed retry return values (Jeff Moyer) [2068237]
- io_uring/rw: fix short rw error handling (Jeff Moyer) [2068237]
- io_uring/net: copy addr for zc on POLL_FIRST (Jeff Moyer) [2068237]
- io_uring: recycle kbuf recycle on tw requeue (Jeff Moyer) [2068237]
- io_uring/kbuf: fix not advancing READV kbuf ring (Jeff Moyer) [2068237]
- io_uring/notif: Remove the unused function io_notif_complete() (Jeff Moyer) [2068237]
- selftests/net: return back io_uring zc send tests (Jeff Moyer) [2068237]
- io_uring/net: simplify zerocopy send user API (Jeff Moyer) [2068237]
- io_uring/notif: remove notif registration (Jeff Moyer) [2068237]
- Revert "io_uring: rename IORING_OP_FILES_UPDATE" (Jeff Moyer) [2068237]
- Revert "io_uring: add zc notification flush requests" (Jeff Moyer) [2068237]
- selftests/net: temporarily disable io_uring zc test (Jeff Moyer) [2068237]
- io_uring/net: fix overexcessive retries (Jeff Moyer) [2068237]
- lsm,io_uring: add LSM hooks for the new uring_cmd file op (Jeff Moyer) [2068237]
- io_uring/net: save address for sendzc async execution (Jeff Moyer) [2068237]
- io_uring: conditional ->async_data allocation (Jeff Moyer) [2068237]
- io_uring/notif: order notif vs send CQEs (Jeff Moyer) [2068237]
- io_uring/net: fix indentation (Jeff Moyer) [2068237]
- io_uring/net: fix zc send link failing (Jeff Moyer) [2068237]
- io_uring/net: fix must_hold annotation (Jeff Moyer) [2068237]
- io_uring: fix submission-failure handling for uring-cmd (Jeff Moyer) [2068237]
- io_uring: fix off-by-one in sync cancelation file check (Jeff Moyer) [2068237]
- io_uring: uapi: Add `extern "C"` in io_uring.h for liburing (Jeff Moyer) [2068237]
- MAINTAINERS: Add `include/linux/io_uring_types.h` (Jeff Moyer) [2068237]
- io_uring/net: use right helpers for async_data (Jeff Moyer) [2068237]
- io_uring/notif: raise limit on notification slots (Jeff Moyer) [2068237]
- io_uring/net: improve zc addr import error handling (Jeff Moyer) [2068237]
- io_uring/net: use right helpers for async recycle (Jeff Moyer) [2068237]
- io_uring: add missing BUILD_BUG_ON() checks for new io_uring_sqe fields (Jeff Moyer) [2068237]
- io_uring: make io_kiocb_to_cmd() typesafe (Jeff Moyer) [2068237]
- io_uring: consistently make use of io_notif_to_data() (Jeff Moyer) [2068237]
- io_uring: fix error handling for io_uring_cmd (Jeff Moyer) [2068237]
- io_uring: fix io_recvmsg_prep_multishot sparse warnings (Jeff Moyer) [2068237]
- io_uring/net: send retry for zerocopy (Jeff Moyer) [2068237]
- io_uring: mem-account pbuf buckets (Jeff Moyer) [2068237]
- audit, io_uring, io-wq: Fix memory leak in io_sq_thread() and io_wqe_worker() (Jeff Moyer) [2068237]
- io_uring: pass correct parameters to io_req_set_res (Jeff Moyer) [2068237]
- io_uring: notification completion optimisation (Jeff Moyer) [2068237]
- io_uring: export req alloc from core (Jeff Moyer) [2068237]
- io_uring/net: use unsigned for flags (Jeff Moyer) [2068237]
- io_uring/net: make page accounting more consistent (Jeff Moyer) [2068237]
- io_uring/net: checks errors of zc mem accounting (Jeff Moyer) [2068237]
- io_uring/net: improve io_get_notif_slot types (Jeff Moyer) [2068237]
- selftests/io_uring: test zerocopy send (Jeff Moyer) [2068237]
- io_uring: enable managed frags with register buffers (Jeff Moyer) [2068237]
- io_uring: add zc notification flush requests (Jeff Moyer) [2068237]
- io_uring: rename IORING_OP_FILES_UPDATE (Jeff Moyer) [2068237]
- io_uring: flush notifiers after sendzc (Jeff Moyer) [2068237]
- io_uring: sendzc with fixed buffers (Jeff Moyer) [2068237]
- io_uring: allow to pass addr into sendzc (Jeff Moyer) [2068237]
- io_uring: account locked pages for non-fixed zc (Jeff Moyer) [2068237]
- io_uring: wire send zc request type (Jeff Moyer) [2068237]
- io_uring: add notification slot registration (Jeff Moyer) [2068237]
- io_uring: add rsrc referencing for notifiers (Jeff Moyer) [2068237]
- io_uring: complete notifiers in tw (Jeff Moyer) [2068237]
- io_uring: cache struct io_notif (Jeff Moyer) [2068237]
- io_uring: add zc notification infrastructure (Jeff Moyer) [2068237]
- io_uring: export io_put_task() (Jeff Moyer) [2068237]
- io_uring: initialise msghdr::msg_ubuf (Jeff Moyer) [2068237]
- net: fix uninitialised msghdr->sg_from_iter (Jeff Moyer) [2068237]
- tcp: support externally provided ubufs (Jeff Moyer) [2068237]
- tcp: take care of mixed splice()/sendmsg(MSG_ZEROCOPY) case (Jeff Moyer) [2068237]
- tcp: fix mem under-charging with zerocopy sendmsg() (Jeff Moyer) [2068237]
- ipv6/udp: support externally provided ubufs (Jeff Moyer) [2068237]
- ipv4/udp: support externally provided ubufs (Jeff Moyer) [2068237]
- net: introduce managed frags infrastructure (Jeff Moyer) [2068237]
- net: Allow custom iter handler in msghdr (Jeff Moyer) [2068237]
- skbuff: carry external ubuf_info in msghdr (Jeff Moyer) [2068237]
- skbuff: add SKBFL_DONT_ORPHAN flag (Jeff Moyer) [2068237]
- net: avoid double accounting for pure zerocopy skbs (Jeff Moyer) [2068237]
- tcp: rename sk_wmem_free_skb (Jeff Moyer) [2068237]
- skbuff: don't mix ubuf_info from different sources (Jeff Moyer) [2068237]
- ipv6: avoid partial copy for zc (Jeff Moyer) [2068237]
- ipv4: avoid partial copy for zc (Jeff Moyer) [2068237]
- ipv6: refactor ip6_finish_output2() (Jeff Moyer) [2068237]
- ipv6: help __ip6_finish_output() inlining (Jeff Moyer) [2068237]
- net: inline dev_queue_xmit() (Jeff Moyer) [2068237]
- net: inline skb_zerocopy_iter_dgram (Jeff Moyer) [2068237]
- net: inline sock_alloc_send_skb (Jeff Moyer) [2068237]
- xfs: Add async buffered write support (Jeff Moyer) [2068237]
- xfs: Specify lockmode when calling xfs_ilock_for_iomap() (Jeff Moyer) [2068237]
- xfs: Use generic_file_open() (Jeff Moyer) [2068237]
- xfs: convert inode lock flags to unsigned. (Jeff Moyer) [2068237]
- io_uring: Add tracepoint for short writes (Jeff Moyer) [2068237]
- io_uring: fix issue with io_write() not always undoing sb_start_write() (Jeff Moyer) [2068237]
- io_uring: Add support for async buffered writes (Jeff Moyer) [2068237]
- fs: Add async write file modification handling. (Jeff Moyer) [2068237]
- fs: Split off inode_needs_update_time and __file_update_time (Jeff Moyer) [2068237]
- fs: __file_remove_privs(): restore call to inode_has_no_xattr() (Jeff Moyer) [2068237]
- fs: add __remove_file_privs() with flags parameter (Jeff Moyer) [2068237]
- fs: add a FMODE_BUF_WASYNC flags for f_mode (Jeff Moyer) [2068237]
- iomap: Return -EAGAIN from iomap_write_iter() (Jeff Moyer) [2068237]
- iomap: Add async buffered write support (Jeff Moyer) [2068237]
- iomap: Add flags parameter to iomap_page_create() (Jeff Moyer) [2068237]
- io_uring: ensure REQ_F_ISREG is set async offload (Jeff Moyer) [2068237]
- net: fix compat pointer in get_compat_msghdr() (Jeff Moyer) [2068237]
- io_uring: fix types in io_recvmsg_multishot_overflow (Jeff Moyer) [2068237]
- io_uring: support multishot in recvmsg (Jeff Moyer) [2068237]
- net: copy from user before calling __get_compat_msghdr (Jeff Moyer) [2068237]
- net: copy from user before calling __copy_msghdr (Jeff Moyer) [2068237]
- io_uring: Don't require reinitable percpu_ref (Jeff Moyer) [2068237]
- io_uring: Use atomic_long_try_cmpxchg in __io_account_mem (Jeff Moyer) [2068237]
- io_uring: support 0 length iov in buffer select in compat (Jeff Moyer) [2068237]
- io_uring: fix multishot ending when not polled (Jeff Moyer) [2068237]
- io_uring: add netmsg cache (Jeff Moyer) [2068237]
- io_uring: impose max limit on apoll cache (Jeff Moyer) [2068237]
- io_uring: add abstraction around apoll cache (Jeff Moyer) [2068237]
- io_uring: move apoll cache to poll.c (Jeff Moyer) [2068237]
- io_uring: consolidate hash_locked io-wq handling (Jeff Moyer) [2068237]
- io_uring: clear REQ_F_HASH_LOCKED on hash removal (Jeff Moyer) [2068237]
- io_uring: don't race double poll setting REQ_F_ASYNC_DATA (Jeff Moyer) [2068237]
- io_uring: don't miss setting REQ_F_DOUBLE_POLL (Jeff Moyer) [2068237]
- io_uring: disable multishot recvmsg (Jeff Moyer) [2068237]
- io_uring: only trace one of complete or overflow (Jeff Moyer) [2068237]
- io_uring: fix io_uring_cqe_overflow trace format (Jeff Moyer) [2068237]
- io_uring: multishot recv (Jeff Moyer) [2068237]
- io_uring: fix multishot accept ordering (Jeff Moyer) [2068237]
- io_uring: fix multishot poll on overflow (Jeff Moyer) [2068237]
- io_uring: add allow_overflow to io_post_aux_cqe (Jeff Moyer) [2068237]
- io_uring: add IOU_STOP_MULTISHOT return code (Jeff Moyer) [2068237]
- io_uring: clean up io_poll_check_events return values (Jeff Moyer) [2068237]
- io_uring: recycle buffers on error (Jeff Moyer) [2068237]
- io_uring: allow iov_len = 0 for recvmsg and buffer select (Jeff Moyer) [2068237]
- io_uring: restore bgid in io_put_kbuf (Jeff Moyer) [2068237]
- io_uring: allow 0 length for buffer select (Jeff Moyer) [2068237]
- io_uring: let to set a range for file slot allocation (Jeff Moyer) [2068237]
- io_uring: add support for passing fixed file descriptors (Jeff Moyer) [2068237]
- io_uring: split out fixed file installation and removal (Jeff Moyer) [2068237]
- io_uring: replace zero-length array with flexible-array member (Jeff Moyer) [2068237]
- io_uring: remove ctx->refs pinning on enter (Jeff Moyer) [2068237]
- io_uring: don't check file ops of registered rings (Jeff Moyer) [2068237]
- io_uring: remove extra TIF_NOTIFY_SIGNAL check (Jeff Moyer) [2068237]
- io_uring: fuse fallback_node and normal tw node (Jeff Moyer) [2068237]
- io_uring: improve io_fail_links() (Jeff Moyer) [2068237]
- io_uring: move POLLFREE handling to separate function (Jeff Moyer) [2068237]
- io_uring: kbuf: inline io_kbuf_recycle_ring() (Jeff Moyer) [2068237]
- io_uring: optimise submission side poll_refs (Jeff Moyer) [2068237]
- io_uring: refactor poll arm error handling (Jeff Moyer) [2068237]
- io_uring: change arm poll return values (Jeff Moyer) [2068237]
- io_uring: add a helper for apoll alloc (Jeff Moyer) [2068237]
- io_uring: remove events caching atavisms (Jeff Moyer) [2068237]
- io_uring: clean poll ->private flagging (Jeff Moyer) [2068237]
- io_uring: add sync cancelation API through io_uring_register() (Jeff Moyer) [2068237]
- io_uring: add IORING_ASYNC_CANCEL_FD_FIXED cancel flag (Jeff Moyer) [2068237]
- io_uring: have cancelation API accept io_uring_task directly (Jeff Moyer) [2068237]
- io_uring: kbuf: kill __io_kbuf_recycle() (Jeff Moyer) [2068237]
- io_uring: trace task_work_run (Jeff Moyer) [2068237]
- io_uring: add trace event for running task work (Jeff Moyer) [2068237]
- io_uring: batch task_work (Jeff Moyer) [2068237]
- io_uring: introduce llist helpers (Jeff Moyer) [2068237]
- io_uring: lockless task list (Jeff Moyer) [2068237]
- io_uring: remove __io_req_task_work_add (Jeff Moyer) [2068237]
- io_uring: remove priority tw list optimisation (Jeff Moyer) [2068237]
- io_uring: dedup io_run_task_work (Jeff Moyer) [2068237]
- io_uring: move list helpers to a separate file (Jeff Moyer) [2068237]
- io_uring: improve io_run_task_work() (Jeff Moyer) [2068237]
- io_uring: optimize io_uring_task layout (Jeff Moyer) [2068237]
- io_uring: add a warn_once for poll_find (Jeff Moyer) [2068237]
- io_uring: consistent naming for inline completion (Jeff Moyer) [2068237]
- io_uring: move io_import_fixed() (Jeff Moyer) [2068237]
- io_uring: opcode independent fixed buf import (Jeff Moyer) [2068237]
- io_uring: add io_commit_cqring_flush() (Jeff Moyer) [2068237]
- io_uring: introduce locking helpers for CQE posting (Jeff Moyer) [2068237]
- io_uring: hide eventfd assumptions in eventfd paths (Jeff Moyer) [2068237]
- io_uring: fix io_poll_remove_all clang warnings (Jeff Moyer) [2068237]
- io_uring: improve task exit timeout cancellations (Jeff Moyer) [2068237]
- io_uring: fix multi ctx cancellation (Jeff Moyer) [2068237]
- io_uring: remove ->flush_cqes optimisation (Jeff Moyer) [2068237]
- io_uring: move io_eventfd_signal() (Jeff Moyer) [2068237]
- io_uring: reshuffle io_uring/io_uring.h (Jeff Moyer) [2068237]
- io_uring: remove extra io_commit_cqring() (Jeff Moyer) [2068237]
- io_uring: move a few private types to local headers (Jeff Moyer) [2068237]
- io_uring: clean up tracing events (Jeff Moyer) [2068237]
- io_uring: make io_uring_types.h public (Jeff Moyer) [2068237]
- io_uring: kill extra io_uring_types.h includes (Jeff Moyer) [2068237]
- io_uring: change ->cqe_cached invariant for CQE32 (Jeff Moyer) [2068237]
- io_uring: deduplicate io_get_cqe() calls (Jeff Moyer) [2068237]
- io_uring: deduplicate __io_fill_cqe_req tracing (Jeff Moyer) [2068237]
- io_uring: introduce io_req_cqe_overflow() (Jeff Moyer) [2068237]
- io_uring: don't inline __io_get_cqe() (Jeff Moyer) [2068237]
- io_uring: don't expose io_fill_cqe_aux() (Jeff Moyer) [2068237]
- io_uring: kbuf: add comments for some tricky code (Jeff Moyer) [2068237]
- io_uring: mutex locked poll hashing (Jeff Moyer) [2068237]
- io_uring: propagate locking state to poll cancel (Jeff Moyer) [2068237]
- io_uring: introduce a struct for hash table (Jeff Moyer) [2068237]
- io_uring: pass hash table into poll_find (Jeff Moyer) [2068237]
- io_uring: add IORING_SETUP_SINGLE_ISSUER (Jeff Moyer) [2068237]
- io_uring: use state completion infra for poll reqs (Jeff Moyer) [2068237]
- io_uring: clean up io_ring_ctx_alloc (Jeff Moyer) [2068237]
- io_uring: limit the number of cancellation buckets (Jeff Moyer) [2068237]
- io_uring: clean up io_try_cancel (Jeff Moyer) [2068237]
- io_uring: pass poll_find lock back (Jeff Moyer) [2068237]
- io_uring: switch cancel_hash to use per entry spinlock (Jeff Moyer) [2068237]
- io_uring: poll: remove unnecessary req->ref set (Jeff Moyer) [2068237]
- io_uring: don't inline io_put_kbuf (Jeff Moyer) [2068237]
- io_uring: refactor io_req_task_complete() (Jeff Moyer) [2068237]
- io_uring: kill REQ_F_COMPLETE_INLINE (Jeff Moyer) [2068237]
- io_uring: rw: delegate sync completions to core io_uring (Jeff Moyer) [2068237]
- io_uring: remove unused IO_REQ_CACHE_SIZE defined (Jeff Moyer) [2068237]
- io_uring: don't set REQ_F_COMPLETE_INLINE in tw (Jeff Moyer) [2068237]
- io_uring: remove check_cq checking from hot paths (Jeff Moyer) [2068237]
- io_uring: never defer-complete multi-apoll (Jeff Moyer) [2068237]
- io_uring: inline ->registered_rings (Jeff Moyer) [2068237]
- io_uring: explain io_wq_work::cancel_seq placement (Jeff Moyer) [2068237]
- io_uring: move small helpers to headers (Jeff Moyer) [2068237]
- io_uring: refactor ctx slow data placement (Jeff Moyer) [2068237]
- io_uring: better caching for ctx timeout fields (Jeff Moyer) [2068237]
- io_uring: move defer_list to slow data (Jeff Moyer) [2068237]
- io_uring: make reg buf init consistent (Jeff Moyer) [2068237]
- io_uring: deprecate epoll_ctl support (Jeff Moyer) [2068237]
- io_uring: add support for level triggered poll (Jeff Moyer) [2068237]
- io_uring: move opcode table to opdef.c (Jeff Moyer) [2068237]
- io_uring: move read/write related opcodes to its own file (Jeff Moyer) [2068237]
- io_uring: move remaining file table manipulation to filetable.c (Jeff Moyer) [2068237]
- io_uring: move rsrc related data, core, and commands (Jeff Moyer) [2068237]
- io_uring: split provided buffers handling into its own file (Jeff Moyer) [2068237]
- io_uring: move cancelation into its own file (Jeff Moyer) [2068237]
- io_uring: move poll handling into its own file (Jeff Moyer) [2068237]
- io_uring: add opcode name to io_op_defs (Jeff Moyer) [2068237]
- io_uring: include and forward-declaration sanitation (Jeff Moyer) [2068237]
- io_uring: move io_uring_task (tctx) helpers into its own file (Jeff Moyer) [2068237]
- io_uring: move fdinfo helpers to its own file (Jeff Moyer) [2068237]
- io_uring: use io_is_uring_fops() consistently (Jeff Moyer) [2068237]
- io_uring: move SQPOLL related handling into its own file (Jeff Moyer) [2068237]
- io_uring: move timeout opcodes and handling into its own file (Jeff Moyer) [2068237]
- io_uring: move our reference counting into a header (Jeff Moyer) [2068237]
- io_uring: move msg_ring into its own file (Jeff Moyer) [2068237]
- io_uring: split network related opcodes into its own file (Jeff Moyer) [2068237]
- io_uring: move statx handling to its own file (Jeff Moyer) [2068237]
- io_uring: move epoll handler to its own file (Jeff Moyer) [2068237]
- io_uring: add a dummy -EOPNOTSUPP prep handler (Jeff Moyer) [2068237]
- io_uring: move uring_cmd handling to its own file (Jeff Moyer) [2068237]
- io_uring: split out open/close operations (Jeff Moyer) [2068237]
- io_uring: separate out file table handling code (Jeff Moyer) [2068237]
- io_uring: split out fadvise/madvise operations (Jeff Moyer) [2068237]
- io_uring: split out fs related sync/fallocate functions (Jeff Moyer) [2068237]
- io_uring: split out splice related operations (Jeff Moyer) [2068237]
- io_uring: split out filesystem related operations (Jeff Moyer) [2068237]
- io_uring: move nop into its own file (Jeff Moyer) [2068237]
- io_uring: move xattr related opcodes to its own file (Jeff Moyer) [2068237]
- io_uring: handle completions in the core (Jeff Moyer) [2068237]
- io_uring: set completion results upfront (Jeff Moyer) [2068237]
- io_uring: add io_uring_types.h (Jeff Moyer) [2068237]
- io_uring: define a request type cleanup handler (Jeff Moyer) [2068237]
- io_uring: unify struct io_symlink and io_hardlink (Jeff Moyer) [2068237]
- io_uring: convert iouring_cmd to io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert xattr to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert rsrc_update to io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert msg and nop to io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert splice to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert epoll to io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert file system request types to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert madvise/fadvise to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert open/close path to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert timeout path to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert cancel path to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert the sync and fallocate paths to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert net related opcodes to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: remove recvmsg knowledge from io_arm_poll_handler() (Jeff Moyer) [2068237]
- io_uring: convert poll_update path to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert poll path to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert read/write path to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: add generic command payload type to struct io_kiocb (Jeff Moyer) [2068237]
- io_uring: move req async preparation into opcode handler (Jeff Moyer) [2068237]
- io_uring: move to separate directory (Jeff Moyer) [2068237]
- io_uring: define a 'prep' and 'issue' handler for each opcode (Jeff Moyer) [2068237]
- fs: export rw_verify_area() (Jeff Moyer) [2068237]
- thermal/drivers/imx8mm: Add hwmon support (Steve Best) [2185128]
- thermal/drivers/imx: Add support for loading calibration data from OCOTP (Steve Best) [2185128]
- thermal/drivers/imx8mm_thermal: Validate temperature range (Steve Best) [2185128]
- thermal/drivers/imx8mm_thermal: Use GENMASK() when appropriate (Steve Best) [2185128]
- tools/power turbostat: update turbostat.8 (David Arcari) [2185900]
- tools/power turbostat: version 2023.03.17 (David Arcari) [2185900]
- tools/power turbostat: fix decoding of HWP_STATUS (David Arcari) [2185900]
- tools/power turbostat: Introduce support for EMR (David Arcari) [2185900]
- tools/power turbostat: remove stray newlines from warn/warnx strings (David Arcari) [2185900]
- tools/power turbostat: Fix /dev/cpu_dma_latency warnings (David Arcari) [2185900]
- tools/power turbostat: Provide better debug messages for failed capabilities accesses (David Arcari) [2185900]
- tools/power turbostat: update dump of SECONDARY_TURBO_RATIO_LIMIT (David Arcari) [2185900]
- tools/power turbostat: version 2022.10.04 (David Arcari) [2185900]
- tools/power turbostat: Use standard Energy Unit for SPR Dram RAPL domain (David Arcari) [2185900]
- tools/power turbostat: Do not dump TRL if turbo is not supported (David Arcari) [2185900]
- cpufreq: intel_pstate: remove MODULE_LICENSE in non-modules (David Arcari) [2185879]
- cpufreq: intel_pstate: Adjust balance_performance EPP for Sapphire Rapids (David Arcari) [2185879]
- cpufreq: intel_pstate: Drop ACPI _PSS states table patching (David Arcari) [2185879]
- cpufreq: intel_pstate: Allow EPP 0x80 setting by the firmware (David Arcari) [2185879]
- cpufreq: intel_pstate: Add Tigerlake support in no-HWP mode (David Arcari) [2185879]
- i2c: imx-lpi2c: check only for enabled interrupt flags (Steve Best) [2184917]
- i2c: imx-lpi2c: clean rx/tx buffers upon new message (Steve Best) [2184917]
- i2c: imx-lpi2c: use bulk clk API (Steve Best) [2184917]
- i2c: move drivers from strlcpy to strscpy (Steve Best) [2184917]
- i2c: brcmstb: Use dev_name() for adapter name (Steve Best) [2184917]
Resolves: rhbz#2068237, rhbz#2122229, rhbz#2162219, rhbz#2170014, rhbz#2184917, rhbz#2185128, rhbz#2185130, rhbz#2185879, rhbz#2185900, rhbz#2186163, rhbz#2189406, rhbz#2189867, rhbz#2190323, rhbz#2192404, rhbz#2192546

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-17 12:11:09 +02:00
Jan Stancek
1857c4b46e kernel-5.14.0-314.el9
* Tue May 16 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-314.el9]
- arm64: fix rodata=full again (Rafael Aquini) [2186559]
- arm64: fix rodata=full (Rafael Aquini) [2186559]
- arm64/mm: fold check for KFENCE into can_set_direct_map() (Rafael Aquini) [2186559]
- lib/kstrtox.c: add "false"/"true" support to kstrtobool() (Rafael Aquini) [2186559]
- lib/nodemask: optimize node_random for nodemask with single NUMA node (Rafael Aquini) [2186559]
- memory tier: release the new_memtier in find_create_memory_tier() (Rafael Aquini) [2186559]
- memory tier, sysfs: rename attribute "nodes" to "nodelist" (Rafael Aquini) [2186559]
- mm/demotion: fix NULL vs IS_ERR checking in memory_tier_init (Rafael Aquini) [2186559]
- mm/demotion: expose memory tier details via sysfs (Rafael Aquini) [2186559]
- mm/demotion: update node_is_toptier to work with memory tiers (Rafael Aquini) [2186559]
- mm/demotion: demote pages according to allocation fallback order (Rafael Aquini) [2186559]
- mm/demotion: drop memtier from memtype (Rafael Aquini) [2186559]
- mm/demotion: add pg_data_t member to track node memory tier details (Rafael Aquini) [2186559]
- mm/demotion: build demotion targets based on explicit memory tiers (Rafael Aquini) [2186559]
- mm/demotion/dax/kmem: set node's abstract distance to MEMTIER_DEFAULT_DAX_ADISTANCE (Rafael Aquini) [2186559]
- mm/demotion: add hotplug callbacks to handle new numa node onlined (Rafael Aquini) [2186559]
- mm/demotion: move memory demotion related code (Rafael Aquini) [2186559]
- mm/demotion: add support for explicit memory tiers (Rafael Aquini) [2186559]
- PCI/PM: Extend D3hot delay for NVIDIA HDA controllers (Alex Williamson) [2178956]
- powerpc/vdso: Fix incorrect CFI in gettimeofday.S (Mamatha Inamdar) [2203363]
- powerpc/vdso: Move cvdso_call macro into gettimeofday.S (Mamatha Inamdar) [2203363]
- powerpc/vdso: Remove cvdso_call_time macro (Mamatha Inamdar) [2203363]
- powerpc/vdso: Merge vdso64 and vdso32 into a single directory (Mamatha Inamdar) [2203363]
- powerpc/vdso: Rework VDSO32 makefile to add a prefix to object files (Mamatha Inamdar) [2203363]
- powerpc/vdso: augment VDSO32 functions to support 64 bits build (Mamatha Inamdar) [2203363]
- sched/fair: Sanitize vruntime of entity being migrated (Phil Auld) [RHEL-282]
- sched/core: Merge cpumask_andnot()+for_each_cpu() into for_each_cpu_andnot() (Phil Auld) [RHEL-282]
- cpumask: Introduce for_each_cpu_andnot() (Phil Auld) [RHEL-282]
- lib/find_bit: Introduce find_next_andnot_bit() (Phil Auld) [RHEL-282]
- sched/core: Fix a missed update of user_cpus_ptr (Phil Auld) [RHEL-282]
- sched/fair: sanitize vruntime of entity being placed (Phil Auld) [RHEL-282]
- sched/core: Fix NULL pointer access fault in sched_setaffinity() with non-SMP configs (Phil Auld) [RHEL-282]
- sched/fair: Limit sched slice duration (Phil Auld) [RHEL-282]
- cpufreq, sched/util: Optimize operations with single CPU capacity lookup (Phil Auld) [RHEL-282]
- sched/core: Reorganize ttwu_do_wakeup() and ttwu_do_activate() (Phil Auld) [RHEL-282]
- sched/core: Micro-optimize ttwu_runnable() (Phil Auld) [RHEL-282]
- sched/topology: Add __init for sched_init_domains() (Phil Auld) [RHEL-282]
- sched/numa: Stop an exhastive search if an idle core is found (Phil Auld) [RHEL-282]
- sched: Make const-safe (Phil Auld) [RHEL-282]
- sched: Async unthrottling for cfs bandwidth (Phil Auld) [RHEL-282]
- sched/topology: Add __init for init_defrootdomain (Phil Auld) [RHEL-282]
- sched/fair: use try_cmpxchg in task_numa_work (Phil Auld) [RHEL-282]
- sched: Clear ttwu_pending after enqueue_task() (Phil Auld) [RHEL-282]
- sched/fair: Move call to list_last_entry() in detach_tasks (Phil Auld) [RHEL-282]
- sched/fair: Cleanup loop_max and loop_break (Phil Auld) [RHEL-282]
- sched/fair: Make sure to try to detach at least one movable task (Phil Auld) [RHEL-282]
- sched: Add TASK_ANY for wait_task_inactive() (Phil Auld) [RHEL-282]
- sched: Rename task_running() to task_on_cpu() (Phil Auld) [RHEL-282]
- sched/fair: Cleanup for SIS_PROP (Phil Auld) [RHEL-282]
- sched/fair: Default to false in test_idle_cores() (Phil Auld) [RHEL-282]
- sched/fair: Remove useless check in select_idle_core() (Phil Auld) [RHEL-282]
- sched/fair: Avoid double search on same cpu (Phil Auld) [RHEL-282]
- sched/fair: Remove redundant check in select_idle_smt() (Phil Auld) [RHEL-282]
- sched: Add update_current_exec_runtime helper (Phil Auld) [RHEL-282]
- sched/fair: Don't init util/runnable_avg for !fair task (Phil Auld) [RHEL-282]
- sched/fair: Move task sched_avg attach to enqueue_task_fair() (Phil Auld) [RHEL-282]
- sched/fair: Allow changing cgroup of new forked task (Phil Auld) [RHEL-282]
- sched/fair: Fix another detach on unattached task corner case (Phil Auld) [RHEL-282]
- sched/fair: Combine detach into dequeue when migrating task (Phil Auld) [RHEL-282]
- sched/fair: Update comments in enqueue/dequeue_entity() (Phil Auld) [RHEL-282]
- sched/fair: Reset sched_avg last_update_time before set_task_rq() (Phil Auld) [RHEL-282]
- sched/fair: Remove redundant cpu_cgrp_subsys->fork() (Phil Auld) [RHEL-282]
- sched/fair: Maintain task se depth in set_task_rq() (Phil Auld) [RHEL-282]
- sched/all: Change all BUG_ON() instances in the scheduler to WARN_ON_ONCE() (Phil Auld) [RHEL-282]
- sched/core: Remove superfluous semicolon (Phil Auld) [RHEL-282]
- sched/fair: Make per-cpu cpumasks static (Phil Auld) [RHEL-282]
- sched/fair: Remove unused parameter idle of _nohz_idle_balance() (Phil Auld) [RHEL-282]
- sched/debug: Print each field value left-aligned in sched_show_task() (Phil Auld) [RHEL-282]
- sched: Snapshot thread flags (Phil Auld) [RHEL-282]
- scsi: zfcp: Trace when request remove fails after qdio send fails (Tobias Huschle) [2160098]
- scsi: zfcp: Change the type of all fsf request id fields and variables to u64 (Tobias Huschle) [2160098]
- scsi: zfcp: Make the type for accessing request hashtable buckets size_t (Tobias Huschle) [2160098]
- scsi: zfcp: Drop redundant "the" in the comments (Tobias Huschle) [2160098]
- perf c2c: Add report option to show false sharing in adjacent cachelines (Michael Petlan) [2193189]
- RDMA: Mark Soft-RoCE driver as tech-preview (Kamal Heib) [2022578]
- redhat/configs: enable RDMA_RXE for RHEL (Kamal Heib) [2022578]
- RDMA/rxe: Prevent faulty rkey generation (Kamal Heib) [2022578]
- RDMA/rxe: Fix inaccurate constants in rxe_type_info (Kamal Heib) [2022578]
- RDMA/rxe: Fix compile warnings on 32-bit (Kamal Heib) [2022578]
- RDMA/rxe: Enable RDMA FLUSH capability for rxe device (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Implement flush completion (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Implement flush execution in responder side (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Implement RC RDMA FLUSH service in requester side (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Extend rxe packet format to support flush (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Allow registering persistent flag for pmem MR only (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Extend rxe user ABI to support flush (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Fix incorrect responder length checking (Kamal Heib) [2022578]
- RDMA/rxe: Fix oops with zero length reads (Kamal Heib) [2022578]
- RDMA/rxe: Enable atomic write capability for rxe device (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Implement atomic write completion (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Make responder support atomic write on RC service (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Make requester support atomic write on RC service (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Extend rxe packet format to support atomic write (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Extend rxe user ABI to support atomic write (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Fix NULL-ptr-deref in rxe_qp_do_cleanup() when socket create failed (Kamal Heib) [2022578]
- RDMA/rxe: Do not NULL deref on debugging failure path (Kamal Heib) [2022578]
- RDMA/rxe: Fix mr->map double free (Kamal Heib) [2022578]
- RDMA/rxe: Remove reliable datagram support (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_mmap.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_icrc.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_task.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_av.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_verbs.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_srq.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_resp.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_req.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_qp.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_net.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_mw.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_mr.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_cq.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_comp.c (Kamal Heib) [2022578]
- RDMA/rxe: Add ibdev_dbg macros for rxe (Kamal Heib) [2022578]
- RDMA/rxe: Implement packet length validation on responder (Kamal Heib) [2022578]
- RDMA/rxe: cleanup some error handling in rxe_verbs.c (Kamal Heib) [2022578]
- RDMA/rxe: Remove the duplicate assignment of mr->map_shift (Kamal Heib) [2022578]
- RDMA/rxe: Make sure requested access is a subset of {mr,mw}->access (Kamal Heib) [2022578]
- RDMA/rxe: Rename task->state_lock to task->lock (Kamal Heib) [2022578]
- RDMA/rxe: Make rxe_do_task static (Kamal Heib) [2022578]
- RDMA/rxe: Split rxe_run_task() into two subroutines (Kamal Heib) [2022578]
- RDMA/rxe: Removed unused name from rxe_task struct (Kamal Heib) [2022578]
- RDMA/rxe: Remove init of task locks from rxe_qp.c (Kamal Heib) [2022578]
- RDMA/rxe: Remove redundant header files (Kamal Heib) [2022578]
- RDMA/rxe: Fix mr leak in RESPST_ERR_RNR (Kamal Heib) [2022578]
- RDMA/rxe: Remove unnecessary mr testing (Kamal Heib) [2022578]
- RDMA/rxe: Handle remote errors in the midst of a Read reply sequence (Kamal Heib) [2022578]
- RDMA/rxe: Make responder handle RDMA Read failures (Kamal Heib) [2022578]
- RDMA/rxe: Remove the member 'type' of struct rxe_mr (Kamal Heib) [2022578]
- RDMA/rxe: Remove error/warning messages from packet receiver path (Kamal Heib) [2022578]
- RDMA/rxe: Remove redundant num_sge fields (Kamal Heib) [2022578]
- RDMA/rxe: Fix resize_finish() in rxe_queue.c (Kamal Heib) [2022578]
- RDMA/rxe: Set pd early in mr alloc routines (Kamal Heib) [2022578]
- RDMA/rxe: Add send_common_ack() helper (Kamal Heib) [2022578]
- RDMA/rxe: Use members of generic struct in rxe_mr (Kamal Heib) [2022578]
- RDMA/rxe: convert pr_warn to pr_debug (Kamal Heib) [2022578]
- RDMA/rxe: use %%u to print u32 variables (Kamal Heib) [2022578]
- RDMA/rxe: Delete error messages triggered by incoming Read requests (Kamal Heib) [2022578]
- RDMA/rxe: Remove the unused variable obj (Kamal Heib) [2022578]
- RDMA/rxe: Fix the error caused by qp->sk (Kamal Heib) [2022578]
- RDMA/rxe: Fix "kernel NULL pointer dereference" error (Kamal Heib) [2022578]
- RDMA/rxe: Remove an unused member from struct rxe_mr (Kamal Heib) [2022578]
- RDMA/rxe: Fix error unwind in rxe_create_qp() (Kamal Heib) [2022578]
- RDMA/rxe: Split qp state for requester and completer (Kamal Heib) [2022578]
- RDMA/rxe: Generate error completion for error requester QP state (Kamal Heib) [2022578]
- RDMA/rxe: Update wqe_index for each wqe error completion (Kamal Heib) [2022578]
- Revert "RDMA/rxe: Create duplicate mapping tables for FMRs" (Kamal Heib) [2022578]
- RDMA/rxe: Replace __rxe_do_task by rxe_run_task (Kamal Heib) [2022578]
- RDMA/rxe: Limit the number of calls to each tasklet (Kamal Heib) [2022578]
- RDMA/rxe: Make the tasklet exits the same (Kamal Heib) [2022578]
- RDMA/rxe: Fix rnr retry behavior (Kamal Heib) [2022578]
- RDMA/rxe: Add rxe_is_fenced() subroutine (Kamal Heib) [2022578]
- RDMA/rxe: For invalidate compare according to set keys in mr (Kamal Heib) [2022578]
- RDMA/rxe: Fix mw bind to allow any consumer key portion (Kamal Heib) [2022578]
- RDMA/rxe: Fix spelling mistake in error print (Kamal Heib) [2022578]
- RDMA/rxe: Remove unused qp parameter (Kamal Heib) [2022578]
- RDMA/rxe: Remove unused mask parameter (Kamal Heib) [2022578]
- RDMA/rxe: Rename rxe_atomic_reply to atomic_reply (Kamal Heib) [2022578]
- RDMA/rxe: Add common rxe_prepare_res() (Kamal Heib) [2022578]
- RDMA/rxe: Fix BUG: KASAN: null-ptr-deref in rxe_qp_do_cleanup (Kamal Heib) [2022578]
- IB: Fix spelling of 'writable' (Kamal Heib) [2022578]
- RDMA/rxe: Remove unnecessary include statement (Kamal Heib) [2022578]
- RDMA/rxe: Replace include statement (Kamal Heib) [2022578]
- RDMA/rxe: Convert pr_warn/err to pr_debug in pyverbs (Kamal Heib) [2022578]
- RDMA/rxe: Fix deadlock in rxe_do_local_ops() (Kamal Heib) [2022578]
- RDMA/rxe: Merge normal and retry atomic flows (Kamal Heib) [2022578]
- RDMA/rxe: Move atomic original value to res (Kamal Heib) [2022578]
- RDMA/rxe: Move atomic responder res to atomic_reply (Kamal Heib) [2022578]
- RDMA/rxe: Add a responder state for atomic reply (Kamal Heib) [2022578]
- RDMA/rxe: Move code to rxe_prepare_atomic_res() (Kamal Heib) [2022578]
- RDMA/rxe: Convert read side locking to rcu (Kamal Heib) [2022578]
- RDMA/rxe: Stop lookup of partially built objects (Kamal Heib) [2022578]
- RDMA/rxe: Remove useless pkt parameters (Kamal Heib) [2022578]
- RDMA/rxe: fix xa_alloc_cycle() error return value check again (Kamal Heib) [2022578]
- RDMA/rxe: Fix an error handling path in rxe_get_mcg() (Kamal Heib) [2022578]
- RDMA/rxe: Enforce IBA C11-17 (Kamal Heib) [2022578]
- RDMA/rxe: Move mw cleanup code to rxe_mw_cleanup() (Kamal Heib) [2022578]
- RDMA/rxe: Move mr cleanup code to rxe_mr_cleanup() (Kamal Heib) [2022578]
- RDMA/rxe: Move qp cleanup code to rxe_qp_do_cleanup() (Kamal Heib) [2022578]
- RDMA/rxe: Check rxe_get() return value (Kamal Heib) [2022578]
- RDMA/rxe: Add rxe_srq_cleanup() (Kamal Heib) [2022578]
- RDMA/rxe: Remove IB_SRQ_INIT_MASK (Kamal Heib) [2022578]
- RDMA/rxe: Skip adjusting remote addr for write in retry operation (Kamal Heib) [2022578]
- RDMA/rxe: Optimize the mr pool struct (Kamal Heib) [2022578]
- RDMA/rxe: Change mcg_lock to a _bh lock (Kamal Heib) [2022578]
- RDMA/rxe: Do not call dev_mc_add/del() under a spinlock (Kamal Heib) [2022578]
- RDMA/rxe: Replace paylen by payload (Kamal Heib) [2022578]
- RDMA/rxe: Remove useless parameters for update_state() (Kamal Heib) [2022578]
- RDMA/rxe: Recheck the MR in when generating a READ reply (Kamal Heib) [2022578]
- RDMA/rxe: Fix "Replace mr by rkey in responder resources" (Kamal Heib) [2022578]
- RDMA/rxe: Generate a completion for unsupported/invalid opcode (Kamal Heib) [2022578]
- RDMA/rxe: Remove reliable datagram support (Kamal Heib) [2022578]
- RDMA/rxe: Remove support for SMI QPs from rdma_rxe (Kamal Heib) [2022578]
- RDMA/rxe: Remove mc_grp_pool from struct rxe_dev (Kamal Heib) [2022578]
- RDMA/rxe: Remove type 2A memory window capability (Kamal Heib) [2022578]
- RDMA/rxe: Use standard names for ref counting (Kamal Heib) [2022578]
- RDMA/rxe: Replace red-black trees by xarrays (Kamal Heib) [2022578]
- RDMA/rxe: Shorten pool names in rxe_pool.c (Kamal Heib) [2022578]
- RDMA/rxe: Move max_elem into rxe_type_info (Kamal Heib) [2022578]
- RDMA/rxe: Replace obj by elem in declaration (Kamal Heib) [2022578]
- RDMA/rxe: Delete _locked() APIs for pool objects (Kamal Heib) [2022578]
- RDMA/rxe: Reverse the sense of RXE_POOL_NO_ALLOC (Kamal Heib) [2022578]
- RDMA/rxe: Replace mr by rkey in responder resources (Kamal Heib) [2022578]
- RDMA/rxe: Fix ref error in rxe_av.c (Kamal Heib) [2022578]
- RDMA/rxe: Remove useless argument for update_state() (Kamal Heib) [2022578]
- RDMA/rxe: Change variable and function argument to proper type (Kamal Heib) [2022578]
- RDMA/rxe: Cleanup rxe_mcast.c (Kamal Heib) [2022578]
- RDMA/rxe: Collect cleanup mca code in a subroutine (Kamal Heib) [2022578]
- RDMA/rxe: Collect mca init code in a subroutine (Kamal Heib) [2022578]
- RDMA/rxe: Warn if mcast memory is not freed (Kamal Heib) [2022578]
- RDMA/rxe: Remove mcg from rxe pools (Kamal Heib) [2022578]
- RDMA/rxe: Remove key'ed object support (Kamal Heib) [2022578]
- RDMA/rxe: Replace pool key by rxe->mcg_tree (Kamal Heib) [2022578]
- RDMA/rxe: Replace int num_qp by atomic_t qp_num (Kamal Heib) [2022578]
- RDMA/rxe: Replace grp by mcg, mce by mca (Kamal Heib) [2022578]
- RDMA/rxe: Use kzmalloc/kfree for mca (Kamal Heib) [2022578]
- RDMA/rxe: Move mcg_lock to rxe (Kamal Heib) [2022578]
- RDMA/rxe: Revert changes from irqsave to bh locks (Kamal Heib) [2022578]
- RDMA/rxe: Check the last packet by RXE_END_MASK (Kamal Heib) [2022578]
- RDMA/rxe: Remove qp->grp_lock and qp->grp_list (Kamal Heib) [2022578]
- RDMA/rxe: Remove rxe_drop_all_macst_groups (Kamal Heib) [2022578]
- RDMA/rxe: Enforce IBA o10-2.2.3 (Kamal Heib) [2022578]
- RDMA/rxe: Rename rxe_mc_grp and rxe_mc_elem (Kamal Heib) [2022578]
- RDMA/rxe: Move rxe_mcast_attach/detach to rxe_mcast.c (Kamal Heib) [2022578]
- RDMA/rxe: Move rxe_mcast_add/delete to rxe_mcast.c (Kamal Heib) [2022578]
- RDMA/rxe: Delete useless module.h include (Kamal Heib) [2022578]
- RDMA/rxe: Use the standard method to produce udp source port (Kamal Heib) [2022578]
- RDMA/rxe: Delete deprecated module parameters interface (Kamal Heib) [2022578]
- RDMA/rxe: Fix indentations and operators sytle (Kamal Heib) [2022578]
- RDMA/rxe: Fix a typo in opcode name (Kamal Heib) [2022578]
- RDMA/rxe: Remove the unused xmit_errors member (Kamal Heib) [2022578]
- RDMA/rxe: Remove redundant err variable (Kamal Heib) [2022578]
- RDMA/rxe: Prevent double freeing rxe_map_set() (Kamal Heib) [2022578]
- RDMA/rxe: Remove the unnecessary variable (Kamal Heib) [2022578]
- RDMA: Fix use-after-free in rxe_queue_cleanup (Kamal Heib) [2022578]
- RDMA/rxe: Remove some #defines from rxe_pool.h (Kamal Heib) [2022578]
- RDMA/rxe: Remove #include "rxe_loc.h" from rxe_pool.c (Kamal Heib) [2022578]
- RDMA/rxe: Save object pointer in pool element (Kamal Heib) [2022578]
- RDMA/rxe: Copy setup parameters into rxe_pool (Kamal Heib) [2022578]
- RDMA/rxe: Cleanup rxe_pool_entry (Kamal Heib) [2022578]
- RDMA/rxe: Replace irqsave locks with bh locks (Kamal Heib) [2022578]
- RDMA/rxe: Make rxe_type_info static const (Kamal Heib) [2022578]
- RDMA/rxe: Use 'bitmap_zalloc()' when applicable (Kamal Heib) [2022578]
- RDMA/rxe: Save a few bytes from struct rxe_pool (Kamal Heib) [2022578]
- treewide: Replace open-coded flex arrays in unions (Kamal Heib) [2022578]
- RDMA/rxe: Convert kernel UD post send to use ah_num (Kamal Heib) [2022578]
- RDMA/rxe: Lookup kernel AH from ah index in UD WQEs (Kamal Heib) [2022578]
- RDMA/rxe: Replace ah->pd by ah->ibah.pd (Kamal Heib) [2022578]
- RDMA/rxe: Create AH index and return to user space (Kamal Heib) [2022578]
- RDMA/rxe: Change AH objects to indexed (Kamal Heib) [2022578]
- RDMA/rxe: Move AV from rxe_send_wqe to rxe_send_wr (Kamal Heib) [2022578]
- RDMA/rxe: Remove duplicate settings (Kamal Heib) [2022578]
- RDMA/rxe: Set partial attributes when completion status != IBV_WC_SUCCESS (Kamal Heib) [2022578]
- RDMA/rxe: Change the is_user member of struct rxe_cq to bool (Kamal Heib) [2022578]
- RDMA/rxe: Remove the is_user members of struct rxe_sq/rxe_rq/rxe_srq (Kamal Heib) [2022578]
- RDMA/rxe: Bump up default maximum values used via uverbs (Kamal Heib) [2022578]
- RDMA/rxe: Remove unused WR_READ_WRITE_OR_SEND_MASK (Kamal Heib) [2022578]
- RDMA/rxe: Add MASK suffix for RXE_READ_OR_ATOMIC and RXE_WRITE_OR_SEND (Kamal Heib) [2022578]
- RDMA/rxe: Add new RXE_READ_OR_WRITE_MASK (Kamal Heib) [2022578]
- RDMA/rxe: Only allow invalidate for appropriate MRs (Kamal Heib) [2022578]
- RDMA/rxe: Create duplicate mapping tables for FMRs (Kamal Heib) [2022578]
- RDMA/rxe: Separate HW and SW l/rkeys (Kamal Heib) [2022578]
- RDMA/rxe: Cleanup MR status and type enums (Kamal Heib) [2022578]
- RDMA/rxe: Add memory barriers to kernel queues (Kamal Heib) [2022578]
- RDMA/rxe: remove the unnecessary variable (Kamal Heib) [2022578]
- RDMA/rxe: remove the redundant variable (Kamal Heib) [2022578]
- RDMA/rxe: Fix wrong port_cap_flags (Kamal Heib) [2022578]
- RDMA/rxe: Fix types in rxe_icrc.c (Kamal Heib) [2022578]
- RDMA/rxe: Add kernel-doc comments to rxe_icrc.c (Kamal Heib) [2022578]
- RDMA/rxe: Move crc32 init code to rxe_icrc.c (Kamal Heib) [2022578]
- RDMA/rxe: Fixup rxe_icrc_hdr (Kamal Heib) [2022578]
- RDMA/rxe: Move rxe_crc32 to a subroutine (Kamal Heib) [2022578]
- RDMA/rxe: Move ICRC generation to a subroutine (Kamal Heib) [2022578]
- RDMA/rxe: Fixup rxe_send and rxe_loopback (Kamal Heib) [2022578]
- RDMA/rxe: Move rxe_xmit_packet to a subroutine (Kamal Heib) [2022578]
- RDMA/rxe: Move ICRC checking to a subroutine (Kamal Heib) [2022578]
- RDMA/rxe: Remove the repeated 'mr->umem = umem' (Kamal Heib) [2022578]
- redhat/configs: Enable Marvell Cn10k DDR PMU driver (Bharat Bhushan) [2042241]
- perf: MARVELL_CN10K_DDR_PMU should depend on ARCH_THUNDER (Bharat Bhushan) [2042241]
- perf/marvell: Add ACPI support to DDR uncore driver (Bharat Bhushan) [2042241]
- perf/marvell: Fix !CONFIG_OF build for CN10K DDR PMU driver (Bharat Bhushan) [2042241]
- perf/marvell: cn10k DDR perf event core ownership (Bharat Bhushan) [2042241]
- perf/marvell: cn10k DDR perfmon event overflow handling (Bharat Bhushan) [2042241]
- perf/marvell: CN10k DDR performance monitor support (Bharat Bhushan) [2042241]
- dt-bindings: perf: marvell: cn10k ddr performance monitor (Bharat Bhushan) [2042241]
- xfrm: add extack to xfrm_set_spdinfo (Sabrina Dubroca) [2130609]
- xfrm: add extack to xfrm_alloc_userspi (Sabrina Dubroca) [2130609]
- xfrm: add extack to xfrm_do_migrate (Sabrina Dubroca) [2130609]
- xfrm: add extack to xfrm_new_ae and xfrm_replay_verify_len (Sabrina Dubroca) [2130609]
- xfrm: add extack to xfrm_del_sa (Sabrina Dubroca) [2130609]
- xfrm: add extack to xfrm_add_sa_expire (Sabrina Dubroca) [2130609]
- xfrm: a few coding style clean ups (Sabrina Dubroca) [2130609]
- xfrm: mip6: add extack to mip6_destopt_init_state, mip6_rthdr_init_state (Sabrina Dubroca) [2130609]
- xfrm: ipcomp: add extack to ipcomp{4,6}_init_state (Sabrina Dubroca) [2130609]
- xfrm: tunnel: add extack to ipip_init_state, xfrm6_tunnel_init_state (Sabrina Dubroca) [2130609]
- xfrm: esp: add extack to esp_init_state, esp6_init_state (Sabrina Dubroca) [2130609]
- xfrm: ah: add extack to ah_init_state, ah6_init_state (Sabrina Dubroca) [2130609]
- xfrm: pass extack down to xfrm_type ->init_state (Sabrina Dubroca) [2130609]
- xfrm: add extack support to xfrm_init_replay (Sabrina Dubroca) [2130609]
- xfrm: add extack to __xfrm_init_state (Sabrina Dubroca) [2130609]
- xfrm: add extack to attach_* (Sabrina Dubroca) [2130609]
- xfrm: add extack support to xfrm_dev_state_add (Sabrina Dubroca) [2130609]
- xfrm: add extack to verify_one_alg, verify_auth_trunc, verify_aead (Sabrina Dubroca) [2130609]
- xfrm: add extack to verify_replay (Sabrina Dubroca) [2130609]
- xfrm: add extack support to verify_newsa_info (Sabrina Dubroca) [2130609]
- xfrm: add extack to verify_sec_ctx_len (Sabrina Dubroca) [2130609]
- xfrm: add extack to validate_tmpl (Sabrina Dubroca) [2130609]
- xfrm: add extack to verify_policy_type (Sabrina Dubroca) [2130609]
- xfrm: add extack to verify_policy_dir (Sabrina Dubroca) [2130609]
- xfrm: add extack support to verify_newpolicy_info (Sabrina Dubroca) [2130609]
- xfrm: propagate extack to all netlink doit handlers (Sabrina Dubroca) [2130609]
- net: ipv4: fix clang -Wformat warnings (Sabrina Dubroca) [2130609]
- xfrm: rate limit SA mapping change message to user space (Sabrina Dubroca) [2130609]
- xfrm: Check if_id in xfrm_migrate (Sabrina Dubroca) [2130609]
- xfrm: fix rcu lock in xfrm_notify_userpolicy() (Sabrina Dubroca) [2130609]
- xfrm: policy: fix metadata dst->dev xmit null pointer dereference (Sabrina Dubroca) [2130609]
- xfrm: rework default policy structure (Sabrina Dubroca) [2130609]
- xfrm: fix dflt policy check when there is no policy configured (Sabrina Dubroca) [2130609]
- xfrm: notify default policy on update (Sabrina Dubroca) [2130609]
- xfrm: make user policy API complete (Sabrina Dubroca) [2130609]
- include/uapi/linux/xfrm.h: Fix XFRM_MSG_MAPPING ABI breakage (Sabrina Dubroca) [2130609]
- net: xfrm: fix shift-out-of-bounds in xfrm_get_default (Sabrina Dubroca) [2130609]
- net: xfrm: fix shift-out-of-bounce (Sabrina Dubroca) [2130609]
- xfrm: Add possibility to set the default to block if we have no policy (Sabrina Dubroca) [2130609]
- remoteproc: imx_rproc: Enable attach recovery for i.MX8QM/QXP (Steve Best) [2184610]
- remoteproc: imx_rproc: Request mbox channel later (Steve Best) [2184610]
- remoteproc: imx_rproc: Support i.MX8QM (Steve Best) [2184610]
- remoteproc: imx_rproc: Support kicking Mcore from Linux for i.MX8QXP (Steve Best) [2184610]
- remoteproc: imx_rproc: Support attaching to i.MX8QXP M4 (Steve Best) [2184610]
- remoteproc: imx_dsp_rproc: Add mutex protection for workqueue (Steve Best) [2184615]
- remoteproc: imx_dsp_rproc: fix argument 2 of rproc_mem_entry_init (Steve Best) [2184615]
- remoteproc: imx_dsp_rproc: Make rsc_table optional (Steve Best) [2184615]
- remoteproc: imx_dsp_rproc: use common rproc_elf_load_segments (Steve Best) [2184615]
- tap: tap_open(): correctly initialize socket uid (Paolo Abeni) [2188560]
- tun: tun_chr_open(): correctly initialize socket uid (Paolo Abeni) [2188560]
- net: fix __dev_kfree_skb_any() vs drop monitor (Paolo Abeni) [2188560]
- net: add sock_init_data_uid() (Paolo Abeni) [2188560]
- dccp/tcp: Avoid negative sk_forward_alloc by ipv6_pinfo.pktoptions. (Paolo Abeni) [2188560]
- txhash: fix sk->sk_txrehash default (Paolo Abeni) [2188560]
- net: fix NULL pointer in skb_segment_list (Paolo Abeni) [2188560]
- skb: Do mix page pool and page referenced frags in GRO (Paolo Abeni) [2188560]
- soreuseport: Fix socket selection for SO_INCOMING_CPU. (Paolo Abeni) [2188560]
- net-memcg: avoid stalls when under memory pressure (Paolo Abeni) [2188560]
- tcp: tcp_check_req() can be called from process context (Paolo Abeni) [2188561]
- tcp: fix rate_app_limited to default to 1 (Paolo Abeni) [2188561]
- dccp/tcp: Reset saddr on failure after inet6?_hash_connect(). (Paolo Abeni) [2188561]
- tcp: prohibit TCP_REPAIR_OPTIONS if data was already sent (Paolo Abeni) [2188561]
- tcp: fix indefinite deferral of RTO with SACK reneging (Paolo Abeni) [2188561]
- tcp: fix a signed-integer-overflow bug in tcp_add_backlog() (Paolo Abeni) [2188561]
- tcp: minor optimization in tcp_add_backlog() (Paolo Abeni) [2188561]
- tcp: annotate data-race around challenge_timestamp (Paolo Abeni) [2188561]
- sfc: ef10: don't overwrite offload features at NIC reset (Íñigo Huguet) [2166253]
- sfc: correctly advertise tunneled IPv6 segmentation (Íñigo Huguet) [2166253]
- drivers/perf: fsl_imx8_ddr_perf: Remove set-but-not-used variable (Steve Best) [2184607]
- drivers/perf: Directly use ida_alloc()/free() (Steve Best) [2184607]
- perf/imx_ddr: Fix undefined behavior due to shift overflowing the constant (Steve Best) [2184607]
Resolves: rhbz#2022578, rhbz#2042241, rhbz#2114774, rhbz#2130609, rhbz#2160098, rhbz#2166253, rhbz#2178956, rhbz#2184607, rhbz#2184610, rhbz#2184615, rhbz#2186559, rhbz#2188560, rhbz#2188561, rhbz#2193189, rhbz#2203363, RHEL-282

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-16 15:52:30 +02:00
Jan Stancek
1c428c4512 kernel-5.14.0-313.el9
* Mon May 15 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-313.el9]
- livepatch: Move the result-invariant calculation out of the loop (Julia Denham) [RHEL-257]
- livepatch: add sysfs entry "patched" for each klp_object (Julia Denham) [RHEL-257]
- selftests/livepatch: add sysfs test (Julia Denham) [RHEL-257]
- selftests/livepatch: normalize sysctl error message (Julia Denham) [RHEL-257]
- livepatch: Add a missing newline character in klp_module_coming() (Julia Denham) [RHEL-257]
- livepatch: fix race between fork and KLP transition (Julia Denham) [RHEL-257]
- entry/kvm: Exit to user mode when TIF_NOTIFY_SIGNAL is set (Julia Denham) [RHEL-257]
- net/iucv: Fix size of interrupt data (Tobias Huschle) [2192506]
- SUNRPC: Fix server-side fault injection documentation (Scott Mayhew) [2183621]
- SUNRPC: Avoid relying on crypto API to derive CBC-CTS output IV (Scott Mayhew) [2183621]
- SUNRPC: Fix failures of checksum Kunit tests (Scott Mayhew) [2183621]
- sunrpc: Fix RFC6803 encryption test (Scott Mayhew) [2183621]
- SUNRPC: Properly terminate test case arrays (Scott Mayhew) [2183621]
- SUNRPC: Let Kunit tests run with some enctypes compiled out (Scott Mayhew) [2183621]
- SUNRPC: Add encryption self-tests (Scott Mayhew) [2183621]
- SUNRPC: Add RFC 8009 encryption KUnit tests (Scott Mayhew) [2183621]
- SUNRPC: Add RFC 8009 checksum KUnit tests (Scott Mayhew) [2183621]
- SUNRPC: Add KDF-HMAC-SHA2 Kunit tests (Scott Mayhew) [2183621]
- SUNRPC: Add encryption KUnit tests for the RFC 6803 encryption types (Scott Mayhew) [2183621]
- SUNRPC: Add checksum KUnit tests for the RFC 6803 encryption types (Scott Mayhew) [2183621]
- SUNRPC: Add KDF KUnit tests for the RFC 6803 encryption types (Scott Mayhew) [2183621]
- SUNRPC: Add Kunit tests for RFC 3962-defined encryption/decryption (Scott Mayhew) [2183621]
- SUNRPC: Add KUnit tests RFC 3961 Key Derivation (Scott Mayhew) [2183621]
- SUNRPC: Export get_gss_krb5_enctype() (Scott Mayhew) [2183621]
- SUNRPC: Add KUnit tests for rpcsec_krb5.ko (Scott Mayhew) [2183621]
- kunit: add macro to allow conditionally exposing static symbols to tests (Scott Mayhew) [2183621]
- redhat: add gss_krb5_test to mod-internal.list (Scott Mayhew) [2183621]
- redhat/configs: add CONFIG_RPCSEC_GSS_KRB5_KUNIT_TEST (Scott Mayhew) [2183621]
- NFSD: callback request does not use correct credential for AUTH_SYS (Scott Mayhew) [2183621]
- sunrpc: only free unix grouplist after RCU settles (Scott Mayhew) [2183621]
- nfsd: call op_release, even when op_func returns an error (Scott Mayhew) [2183621]
- NFSD: Avoid calling OPDESC() with ops->opnum == OP_ILLEGAL (Scott Mayhew) [2183621]
- SUNRPC: fix shutdown of NFS TCP client socket (Scott Mayhew) [2183621]
- lockd: set file_lock start and end when decoding nlm4 testargs (Scott Mayhew) [2183621]
- NFS: Fix /proc/PID/io read_bytes for buffered reads (Scott Mayhew) [2183621]
- NFSD: Protect against filesystem freezing (Scott Mayhew) [2183621]
- NFSD: Clean up nfsd_symlink() (Scott Mayhew) [2183621]
- SUNRPC: Fix occasional warning when destroying gss_krb5_enctypes (Scott Mayhew) [2183621]
- SUNRPC: Remove ->xpo_secure_port() (Scott Mayhew) [2183621]
- SUNRPC: Clean up the svc_xprt_flags() macro (Scott Mayhew) [2183621]
- SUNRPC: Fix whitespace damage in svcauth_unix.c (Scott Mayhew) [2183621]
- SUNRPC: Replace pool stats with per-CPU variables (Scott Mayhew) [2183621]
- SUNRPC: Use per-CPU counters to tally server RPC counts (Scott Mayhew) [2183621]
- SUNRPC: Go back to using gsd->body_start (Scott Mayhew) [2183621]
- SUNRPC: Set rq_accept_statp inside ->accept methods (Scott Mayhew) [2183621]
- SUNRPC: Refactor RPC server dispatch method (Scott Mayhew) [2183621]
- SUNRPC: Remove no-longer-used helper functions (Scott Mayhew) [2183621]
- SUNRPC: Final clean-up of svc_process_common() (Scott Mayhew) [2183621]
- SUNRPC: Convert RPC Reply header encoding to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Hoist init_encode out of svc_authenticate() (Scott Mayhew) [2183621]
- SUNRPC: Use xdr_stream for encoding GSS reply verifiers (Scott Mayhew) [2183621]
- SUNRPC: Use xdr_stream to encode replies in server-side GSS upcall helpers (Scott Mayhew) [2183621]
- SUNRPC: Convert unwrap data paths to use xdr_stream for replies (Scott Mayhew) [2183621]
- SUNRPC: Use xdr_stream to encode Reply verifier in svcauth_tls_accept() (Scott Mayhew) [2183621]
- SUNRPC: Use xdr_stream to encode Reply verifier in svcauth_unix_accept() (Scott Mayhew) [2183621]
- SUNRPC: Use xdr_stream to encode Reply verifier in svcauth_null_accept() (Scott Mayhew) [2183621]
- SUNRPC: Move svcxdr_init_encode() into ->accept methods (Scott Mayhew) [2183621]
- SUNRPC: Push svcxdr_init_encode() into svc_process_common() (Scott Mayhew) [2183621]
- SUNRPC: Add XDR encoding helper for opaque_auth (Scott Mayhew) [2183621]
- SUNRPC: Remove the rpc_stat variable in svc_process_common() (Scott Mayhew) [2183621]
- SUNRPC: Check rq_auth_stat when preparing to wrap a response (Scott Mayhew) [2183621]
- SUNRPC: Convert svcauth_gss_wrap_priv() to use xdr_stream() (Scott Mayhew) [2183621]
- SUNRPC: Add @head and @tail variables in svcauth_gss_wrap_priv() (Scott Mayhew) [2183621]
- SUNRPC: Record gss_wrap() errors in svcauth_gss_wrap_priv() (Scott Mayhew) [2183621]
- SUNRPC: Rename automatic variables in svcauth_gss_wrap_resp_priv() (Scott Mayhew) [2183621]
- SUNRPC: Convert svcauth_gss_wrap_integ() to use xdr_stream() (Scott Mayhew) [2183621]
- SUNRPC: Replace checksum construction in svcauth_gss_wrap_integ() (Scott Mayhew) [2183621]
- SUNRPC: Record gss_get_mic() errors in svcauth_gss_wrap_integ() (Scott Mayhew) [2183621]
- SUNRPC: Rename automatic variables in svcauth_gss_wrap_resp_integ() (Scott Mayhew) [2183621]
- SUNRPC: Clean up svcauth_gss_release() (Scott Mayhew) [2183621]
- SUNRPC: Hoist svcxdr_init_decode() into svc_process() (Scott Mayhew) [2183621]
- SUNRPC: Remove svc_process_common's argv parameter (Scott Mayhew) [2183621]
- SUNRPC: Decode most of RPC header with xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Eliminate unneeded variable (Scott Mayhew) [2183621]
- SUNRPC: Re-order construction of the first reply fields (Scott Mayhew) [2183621]
- SUNRPC: Hoist init_decode out of svc_authenticate() (Scott Mayhew) [2183621]
- SUNRPC: Convert the svcauth_gss_accept() pre-amble to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Clean up svcauth_gss_accept's NULL procedure check (Scott Mayhew) [2183621]
- SUNRPC: Convert gss_verify_header() to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Convert unwrap_priv_data() to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Rename automatic variables in unwrap_priv_data() (Scott Mayhew) [2183621]
- SUNRPC: Convert unwrap_integ_data() to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Rename automatic variables in unwrap_integ_data() (Scott Mayhew) [2183621]
- SUNRPC: Replace read_u32_from_xdr_buf() with existing XDR helper (Scott Mayhew) [2183621]
- SUNRPC: Convert server-side GSS upcall helpers to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Remove gss_read_verf() (Scott Mayhew) [2183621]
- SUNRPC: Remove gss_read_common_verf() (Scott Mayhew) [2183621]
- SUNRPC: Hoist common verifier decoding code into svcauth_gss_proc_init() (Scott Mayhew) [2183621]
- SUNRPC: Move the server-side GSS upcall to a noinline function (Scott Mayhew) [2183621]
- SUNRPC: Convert svcauth_tls_accept() to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Convert svcauth_unix_accept() to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Convert svcauth_null_accept() to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Add an XDR decoding helper for struct opaque_auth (Scott Mayhew) [2183621]
- SUNRPC: Move svcxdr_init_decode() into ->accept methods (Scott Mayhew) [2183621]
- SUNRPC: Push svcxdr_init_decode() into svc_process_common() (Scott Mayhew) [2183621]
- NFS: nfs_encode_fh: Remove S_AUTOMOUNT check (Scott Mayhew) [2183621]
- fs: namei: Allow follow_down() to uncover auto mounts (Scott Mayhew) [2183621]
- NFSD: Teach nfsd_mountpoint() auto mounts (Scott Mayhew) [2183621]
- fs/nfs: Replace kmap_atomic() with kmap_local_page() in dir.c (Scott Mayhew) [2183621]
- pNFS/filelayout: treat GETDEVICEINFO errors as layout failure (Scott Mayhew) [2183621]
- NFS: fix disabling of swap (Scott Mayhew) [2183621]
- SUNRPC: make kobj_type structures constant (Scott Mayhew) [2183621]
- nfs4trace: fix state manager flag printing (Scott Mayhew) [2183621]
- NFS: Remove unnecessary check in nfs_read_folio() (Scott Mayhew) [2183621]
- NFS: Improve tracing of nfs_wb_folio() (Scott Mayhew) [2183621]
- NFS: Enable tracing of nfs_invalidate_folio() and nfs_launder_folio() (Scott Mayhew) [2183621]
- NFS: fix up nfs_release_folio() to try to release the page (Scott Mayhew) [2183621]
- NFS: Clean up O_DIRECT request allocation (Scott Mayhew) [2183621]
- NFS: Fix up nfs_vm_page_mkwrite() for folios (Scott Mayhew) [2183621]
- NFS: Convert nfs_write_begin/end to use folios (Scott Mayhew) [2183621]
- NFS: Remove unused function nfs_wb_page() (Scott Mayhew) [2183621]
- NFS: Convert buffered writes to use folios (Scott Mayhew) [2183621]
- NFS: Convert the function nfs_wb_page() to use folios (Scott Mayhew) [2183621]
- NFS: Convert buffered reads to use folios (Scott Mayhew) [2183621]
- NFS: Add a helper nfs_wb_folio() (Scott Mayhew) [2183621]
- NFS: Convert the remaining pagelist helper functions to support folios (Scott Mayhew) [2183621]
- NFS: Add a helper to convert a struct nfs_page into an inode (Scott Mayhew) [2183621]
- NFS: Fix nfs_coalesce_size() to work with folios (Scott Mayhew) [2183621]
- NFS: Support folios in nfs_generic_pgio() (Scott Mayhew) [2183621]
- NFS: Add basic functionality for tracking folios in struct nfs_page (Scott Mayhew) [2183621]
- NFS: Fix for xfstests generic/208 (Scott Mayhew) [2183621]
- XArray: Add calls to might_alloc() (Scott Mayhew) [2183621]
- nfsd: remove fetch_iversion export operation (Scott Mayhew) [2183621]
- nfsd: use the getattr operation to fetch i_version (Scott Mayhew) [2183621]
- nfsd: move nfsd4_change_attribute to nfsfh.c (Scott Mayhew) [2183621]
- ceph: report the inode version in getattr if requested (Scott Mayhew) [2183621]
- nfs: report the inode version in getattr if requested (Scott Mayhew) [2183621]
- vfs: plumb i_version handling into struct kstat (Scott Mayhew) [2183621]
- fs: clarify when the i_version counter must be updated (Scott Mayhew) [2183621]
- fs: uninline inode_query_iversion (Scott Mayhew) [2183621]
- fs: uninline inode_maybe_inc_iversion() (Scott Mayhew) [2183621]
- iversion: use atomic64_try_cmpxchg) (Scott Mayhew) [2183621]
- NFSD: replace delayed_work with work_struct for nfsd_client_shrinker (Scott Mayhew) [2183621]
- NFSD: Use set_bit(RQ_DROPME) (Scott Mayhew) [2183621]
- NFS: Fix up a sparse warning (Scott Mayhew) [2183621]
- NFSD: Avoid clashing function prototypes (Scott Mayhew) [2183621]
- SUNRPC: Fix crasher in unwrap_integ_data() (Scott Mayhew) [2183621]
- SUNRPC: Make the svc_authenticate tracepoint conditional (Scott Mayhew) [2183621]
- NFSD: Use only RQ_DROPME to signal the need to drop a reply (Scott Mayhew) [2183621]
- SUNRPC: Clean up xdr_write_pages() (Scott Mayhew) [2183621]
- NFSD: add CB_RECALL_ANY tracepoints (Scott Mayhew) [2183621]
- NFSD: add delegation reaper to react to low memory condition (Scott Mayhew) [2183621]
- NFSD: add support for sending CB_RECALL_ANY (Scott Mayhew) [2183621]
- trace: Relocate event helper files (Scott Mayhew) [2183621]
- lockd: fix file selection in nlmsvc_cancel_blocked (Scott Mayhew) [2183621]
- lockd: ensure we use the correct file descriptor when unlocking (Scott Mayhew) [2183621]
- lockd: set missing fl_flags field when retrieving args (Scott Mayhew) [2183621]
- NFSD: Use struct_size() helper in alloc_session() (Scott Mayhew) [2183621]
- sunrpc: svc: Remove an unused static function svc_ungetu32() (Scott Mayhew) [2183621]
- fs: nfs: sysfs: use sysfs_emit() to instead of scnprintf() (Scott Mayhew) [2183621]
- NFS: use sysfs_emit() to instead of scnprintf() (Scott Mayhew) [2183621]
- NFSv4.2: Fix up READ_PLUS alignment (Scott Mayhew) [2183621]
- NFSv4.2: Set the correct size scratch buffer for decoding READ_PLUS (Scott Mayhew) [2183621]
- NFS: avoid spurious warning of lost lock that is being unlocked. (Scott Mayhew) [2183621]
- nfs: fix possible null-ptr-deref when parsing param (Scott Mayhew) [2183621]
- NFSv4: check FMODE_EXEC from open context mode in nfs4_opendata_access() (Scott Mayhew) [2183621]
- NFS: make sure open context mode have FMODE_EXEC when file open for exec (Scott Mayhew) [2183621]
- NFS4.x/pnfs: Fix up logging of layout stateids (Scott Mayhew) [2183621]
- NFSD: Trace stateids returned via DELEGRETURN (Scott Mayhew) [2183621]
- nfsd: allow disabling NFSv2 at compile time (Scott Mayhew) [2183621]
- redhat/configs: add CONFIG_NFSD_V2 (Scott Mayhew) [2183621]
- nfsd: move nfserrno() to vfs.c (Scott Mayhew) [2183621]
- nfsd: ignore requests to disable unsupported versions (Scott Mayhew) [2183621]
- SUNRPC: Remove unused svc_rqst::rq_lock field (Scott Mayhew) [2183621]
- NFSD: Remove redundant assignment to variable host_err (Scott Mayhew) [2183621]
- NFSD: Simplify READ_PLUS (Scott Mayhew) [2183621]
- NFS: Fix a race in nfs_call_unlink() (Scott Mayhew) [2183621]
- NFSD: Fix trace_nfsd_fh_verify_err() crasher (Scott Mayhew) [2183621]
- SUNRPC: Fix crasher in gss_unwrap_resp_integ() (Scott Mayhew) [2183621]
- NFS: Avoid memcpy() run-time warning for struct sockaddr overflows (Scott Mayhew) [2183621]
- nfs: Remove redundant null checks before kfree (Scott Mayhew) [2183621]
- nfsd: ensure we always call fh_verify_error tracepoint (Scott Mayhew) [2183621]
- NFSv4/flexfiles: Cancel I/O if the layout is recalled or revoked (Scott Mayhew) [2183621]
- SUNRPC: Add API to force the client to disconnect (Scott Mayhew) [2183621]
- SUNRPC: Add a helper to allow pNFS drivers to selectively cancel RPC calls (Scott Mayhew) [2183621]
- SUNRPC: Fix races with rpc_killall_tasks() (Scott Mayhew) [2183621]
- xprtrdma: Fix uninitialized variable (Scott Mayhew) [2183621]
- xprtrdma: Prevent memory allocations from driving a reclaim (Scott Mayhew) [2183621]
- xprtrdma: Memory allocation should be allowed to fail during connect (Scott Mayhew) [2183621]
- xprtrdma: MR-related memory allocation should be allowed to fail (Scott Mayhew) [2183621]
- xprtrdma: Clean up synopsis of rpcrdma_regbuf_alloc() (Scott Mayhew) [2183621]
- xprtrdma: Clean up synopsis of rpcrdma_req_create() (Scott Mayhew) [2183621]
- svcrdma: Clean up RPCRDMA_DEF_GFP (Scott Mayhew) [2183621]
- SUNRPC: Replace the use of the xprtiod WQ in rpcrdma (Scott Mayhew) [2183621]
- NFSv4.2: Add a tracepoint for listxattr (Scott Mayhew) [2183621]
- NFSv4.2: Add tracepoints for getxattr, setxattr, and removexattr (Scott Mayhew) [2183621]
- NFSv4.2: Move TRACE_DEFINE_ENUM(NFS4_CONTENT_*) under CONFIG_NFS_V4_2 (Scott Mayhew) [2183621]
- NFSv4.2: Add special handling for LISTXATTR receiving NFS4ERR_NOXATTR (Scott Mayhew) [2183621]
- nfs: remove nfs_wait_atomic_killable() and nfs_write_prepare() declaration (Scott Mayhew) [2183621]
- NFSv4: remove nfs4_renewd_prepare_shutdown() declaration (Scott Mayhew) [2183621]
- fs/nfs/pnfs_nfs.c: fix spelling typo and syntax error in comment (Scott Mayhew) [2183621]
- NFS: move from strlcpy with unused retval to strscpy (Scott Mayhew) [2183621]
- SUNRPC: move from strlcpy with unused retval to strscpy (Scott Mayhew) [2183621]
- NFS: clean up a needless assignment in nfs_file_write() (Scott Mayhew) [2183621]
- nfs: remove unnecessary (void*) conversions. (Scott Mayhew) [2183621]
- SUNRPC: use max_t() to simplify open code (Scott Mayhew) [2183621]
- NFSv4: Directly use ida_alloc()/free() (Scott Mayhew) [2183621]
- SUNRPC: Directly use ida_alloc()/free() (Scott Mayhew) [2183621]
- nfsd: extra checks when freeing delegation stateids (Scott Mayhew) [2183621]
- nfsd: make nfsd4_run_cb a bool return function (Scott Mayhew) [2183621]
- nfsd: fix comments about spinlock handling with delegations (Scott Mayhew) [2183621]
- nfsd: only fill out return pointer on success in nfsd4_lookup_stateid (Scott Mayhew) [2183621]
- nfsd: use DEFINE_SHOW_ATTRIBUTE to define client_info_fops (Scott Mayhew) [2183621]
- nfsd: use DEFINE_PROC_SHOW_ATTRIBUTE to define nfsd_proc_ops (Scott Mayhew) [2183621]
- NFSD: Pack struct nfsd4_compoundres (Scott Mayhew) [2183621]
- NFSD: Clean up nfs4svc_encode_compoundres() (Scott Mayhew) [2183621]
- SUNRPC: Fix typo in xdr_buf_subsegment's kdoc comment (Scott Mayhew) [2183621]
- NFSD: Clean up WRITE arg decoders (Scott Mayhew) [2183621]
- NFSD: Use xdr_inline_decode() to decode NFSv3 symlinks (Scott Mayhew) [2183621]
- NFSD: Refactor common code out of dirlist helpers (Scott Mayhew) [2183621]
- SUNRPC: Clarify comment that documents svc_max_payload() (Scott Mayhew) [2183621]
- NFSD: Reduce amount of struct nfsd4_compoundargs that needs clearing (Scott Mayhew) [2183621]
- SUNRPC: Parametrize how much of argsize should be zeroed (Scott Mayhew) [2183621]
- SUNRPC: Optimize svc_process() (Scott Mayhew) [2183621]
- NFSD: Return nfserr_serverfault if splice_ok but buf->pages have data (Scott Mayhew) [2183621]
- NFSD: Make nfsd4_remove() wait before returning NFS4ERR_DELAY (Scott Mayhew) [2183621]
- NFSD: Make nfsd4_rename() wait before returning NFS4ERR_DELAY (Scott Mayhew) [2183621]
- NFSD: Make nfsd4_setattr() wait before returning NFS4ERR_DELAY (Scott Mayhew) [2183621]
- NFSD: Refactor nfsd_setattr() (Scott Mayhew) [2183621]
- NFSD: Add a mechanism to wait for a DELEGRETURN (Scott Mayhew) [2183621]
- NFSD: Add tracepoints to report NFSv4 callback completions (Scott Mayhew) [2183621]
- NFSD: Trace NFSv4 COMPOUND tags (Scott Mayhew) [2183621]
- NFSD: Replace dprintk() call site in fh_verify() (Scott Mayhew) [2183621]
- nfsd: remove nfsd4_prepare_cb_recall() declaration (Scott Mayhew) [2183621]
- nfsd: clean up mounted_on_fileid handling (Scott Mayhew) [2183621]
- NFSD: drop fname and flen args from nfsd_create_locked() (Scott Mayhew) [2183621]
- nfsd: Propagate some error code returned by memdup_user() (Scott Mayhew) [2183621]
- nfsd: Avoid some useless tests (Scott Mayhew) [2183621]
- NFSD: remove redundant variable status (Scott Mayhew) [2183621]
- lockd: move from strlcpy with unused retval to strscpy (Scott Mayhew) [2183621]
- NFSD: move from strlcpy with unused retval to strscpy (Scott Mayhew) [2183621]
- _nfs42_proc_copy(): use ->f_mapping instead of file_inode()->i_mapping (Scott Mayhew) [2183621]
- nfs_finish_open(): don't open-code file_inode() (Scott Mayhew) [2183621]
- NFS: Cleanup to remove unused flag NFS_CONTEXT_RESEND_WRITES (Scott Mayhew) [2183621]
- NFS: Remove a bogus flag setting in pnfs_write_done_resend_to_mds (Scott Mayhew) [2183621]
- NFS: Fix missing unlock in nfs_unlink() (Scott Mayhew) [2183621]
- NFS: Improve readpage/writepage tracing (Scott Mayhew) [2183621]
- NFS: Improve O_DIRECT tracing (Scott Mayhew) [2183621]
- NFS: Improve write error tracing (Scott Mayhew) [2183621]
- NFS: don't unhash dentry during unlink/rename (Scott Mayhew) [2183621]
- lockd: detect and reject lock arguments that overflow (Scott Mayhew) [2183621]
- NFSv4/pnfs: Fix a use-after-free bug in open (Scott Mayhew) [2183621]
- NFS: nfs_async_write_reschedule_io must not recurse into the writeback code (Scott Mayhew) [2183621]
- SUNRPC: Expand the svc_alloc_arg_err tracepoint (Scott Mayhew) [2183621]
- SUNRPC: Remove xdr_align_data() and xdr_expand_hole() (Scott Mayhew) [2183621]
- NFS: Replace the READ_PLUS decoding code (Scott Mayhew) [2183621]
- SUNRPC: Add a function for zeroing out a portion of an xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Add a function for directly setting the xdr page len (Scott Mayhew) [2183621]
- SUNRPC: Introduce xdr_stream_move_subsegment() (Scott Mayhew) [2183621]
- NFS: Replace fs_context-related dprintk() call sites with tracepoints (Scott Mayhew) [2183621]
- SUNRPC: Replace dprintk() call site in xs_data_ready (Scott Mayhew) [2183621]
- SUNRPC: Fail faster on bad verifier (Scott Mayhew) [2183621]
- SUNRPC: Shrink size of struct rpc_task (Scott Mayhew) [2183621]
- nfs: fix port value parsing (Scott Mayhew) [2183621]
- nfs: Replace kmap() with kmap_local_page() (Scott Mayhew) [2183621]
- NFS: remove redundant code in nfs_file_write() (Scott Mayhew) [2183621]
- nfs/blocklayout: refactor block device opening (Scott Mayhew) [2183621]
- NFS: Fix case insensitive renames (Scott Mayhew) [2183621]
- pNFS/files: Handle RDMA connection errors correctly (Scott Mayhew) [2183621]
- SUNRPC: Fix an RPC/RDMA performance regression (Scott Mayhew) [2183621]
- nfs: Leave pages in the pagecache if readpage failed (Scott Mayhew) [2183621]
- SUNRPC: Clean up xdr_commit_encode() (Scott Mayhew) [2183621]
- SUNRPC: Optimize xdr_reserve_space() (Scott Mayhew) [2183621]
- xprtrdma: treat all calls not a bcall when bc_serv is NULL (Scott Mayhew) [2183621]
- m->mnt_root->d_inode->i_sb is a weird way to spell m->mnt_sb... (Scott Mayhew) [2183621]
- SUNRPC: Remove svc_rqst::rq_xprt_hlen (Scott Mayhew) [2183621]
- SUNRPC: Remove dead code in svc_tcp_release_rqst() (Scott Mayhew) [2183621]
- SUNRPC: Make cache_req::thread_wait an unsigned long (Scott Mayhew) [2183621]
- SUNRPC: Cache deferral injection (Scott Mayhew) [2183621]
- SUNRPC: Clean up svc_deferred_class trace events (Scott Mayhew) [2183621]
- NFS: Improve warning message when locks are lost. (Scott Mayhew) [2183621]
- NFSv4.1: Enable access to the NFSv4.1 'dacl' and 'sacl' attributes (Scott Mayhew) [2183621]
- NFSv4: Add encoders/decoders for the NFSv4.1 dacl and sacl attributes (Scott Mayhew) [2183621]
- NFSv4: Specify the type of ACL to cache (Scott Mayhew) [2183621]
- NFSv4: Don't hold the layoutget locks across multiple RPC calls (Scott Mayhew) [2183621]
- pNFS/files: Fall back to I/O through the MDS on non-fatal layout errors (Scott Mayhew) [2183621]
- nfs: rename nfs_direct_IO and use as ->swap_rw (Scott Mayhew) [2183621]
- um: Remove duplicated include in syscalls_64.c (Prarit Bhargava) [2164042]
- x86/traps: Mark do_int3() NOKPROBE_SYMBOL (Prarit Bhargava) [2164042]
- x86/ptrace: Fix xfpregs_set()'s incorrect xmm clearing (Prarit Bhargava) [2164042]
- xen/x2apic: Fix inconsistent indenting (Prarit Bhargava) [2164042]
- xen/x86: detect support for extended destination ID (Prarit Bhargava) [2164042]
- xen/x86: obtain full video frame buffer address for Dom0 also under EFI (Prarit Bhargava) [2164042]
- x86/Xen: streamline (and fix) PV CPU enumeration (Prarit Bhargava) [2164042]
- x86/MCE/AMD: Allow thresholding interface updates after init (Prarit Bhargava) [2164042]
- kcov: fix generic Kconfig dependencies if ARCH_WANTS_NO_INSTR (Prarit Bhargava) [2164042]
- Replace for_each_*_bit_from() with for_each_*_bit() where appropriate (Prarit Bhargava) [2164042]
- mm/mempolicy: wire up syscall set_mempolicy_home_node (Prarit Bhargava) [2164042]
- x86/kbuild: Enable CONFIG_KALLSYMS_ALL=y in the defconfigs (Prarit Bhargava) [2164042]
- x86, sched: Fix undefined reference to init_freq_invariance_cppc() build error (Prarit Bhargava) [2164042]
- xen/x86: obtain upper 32 bits of video frame buffer address for Dom0 (Prarit Bhargava) [2164042]
- crypto: x86/aesni - don't require alignment of data (Prarit Bhargava) [2164042]
- x86/purgatory: Remove -nostdlib compiler flag (Prarit Bhargava) [2164042]
- x86/vdso: Remove -nostdlib compiler flag (Prarit Bhargava) [2164042]
- x86/defconfig: Enable CONFIG_LOCALVERSION_AUTO=y in the defconfig (Prarit Bhargava) [2164042]
- x86/mce/inject: Avoid out-of-bounds write when setting flags (Prarit Bhargava) [2164042]
- crypto: x86/curve25519 - use in/out register constraints more precisely (Prarit Bhargava) [2164042]
- x86/boot/compressed: Move CLANG_FLAGS to beginning of KBUILD_CFLAGS (Prarit Bhargava) [2164042]
- um: remove set_fs (Prarit Bhargava) [2164042]
- um, x86: bury crypto_tfm_ctx_offset (Prarit Bhargava) [2164042]
- uml/i386: missing include in barrier.h (Prarit Bhargava) [2164042]
- um: stop polluting the namespace with registers.h contents (Prarit Bhargava) [2164042]
- um: move amd64 variant of mmap(2) to arch/x86/um/syscalls_64.c (Prarit Bhargava) [2164042]
- uml: trim unused junk from arch/x86/um/sys_call_table_*.c (Prarit Bhargava) [2164042]
- um: registers: Rename function names to avoid conflicts and build problems (Prarit Bhargava) [2164042]
- uml: x86: add FORCE to user_constants.h (Prarit Bhargava) [2164042]
- x86/mce: Mark mce_start() noinstr (Prarit Bhargava) [2164042]
- x86/mce: Move the tainting outside of the noinstr region (Prarit Bhargava) [2164042]
- x86/mce: Mark mce_read_aux() noinstr (Prarit Bhargava) [2164042]
- x86/mce: Mark mce_end() noinstr (Prarit Bhargava) [2164042]
- x86/mce: Mark mce_panic() noinstr (Prarit Bhargava) [2164042]
- x86/mce: Allow instrumentation during task work queueing (Prarit Bhargava) [2164042]
- x86/mce: Remove noinstr annotation from mce_setup() (Prarit Bhargava) [2164042]
- x86/mce: Use mce_rdmsrl() in severity checking code (Prarit Bhargava) [2164042]
- x86/mce: Remove function-local cpus variables (Prarit Bhargava) [2164042]
- x86/mmx_32: Remove X86_USE_3DNOW (Prarit Bhargava) [2164042]
- x86/boot/string: Add missing function prototypes (Prarit Bhargava) [2164042]
- x86/fpu: Remove duplicate copy_fpstate_to_sigframe() prototype (Prarit Bhargava) [2164042]
- x86/uaccess: Move variable into switch case statement (Prarit Bhargava) [2164042]
- x86/barriers, kcsan: Use generic instrumentation for non-smp barriers (Prarit Bhargava) [2164042]
- x86/mce/inject: Set the valid bit in MCA_STATUS before error injection (Prarit Bhargava) [2164042]
- x86/mce/inject: Check if a bank is populated before injecting (Prarit Bhargava) [2164042]
- x86/csum: Rewrite/optimize csum_partial() (Prarit Bhargava) [2164042]
- x86/mm: Flush global TLB when switching to trampoline page-table (Prarit Bhargava) [2164042]
- x86/mm: Add missing <asm/cpufeatures.h> dependency to <asm/page_64.h> (Prarit Bhargava) [2164042]
- x86/ce4100: Replace "ti,pcf8575" by "nxp,pcf8575" (Prarit Bhargava) [2164042]
- x86/cpu: Don't write CSTAR MSR on Intel CPUs (Prarit Bhargava) [2164042]
- x86/fpu: Correct AVX512 state tracking (Prarit Bhargava) [2164042]
- x86/amd_nb, EDAC/amd64: Move DF Indirect Read to AMD64 EDAC (Prarit Bhargava) [2164042]
- x86/MCE/AMD, EDAC/amd64: Move address translation to AMD64 EDAC (Prarit Bhargava) [2164042]
- powerpc/pseries: reset the RCU watchdogs after a LPM (Mamatha Inamdar) [2192569]
- sched/debug: Put sched/domains files under the verbose flag (Phil Auld) [2053117]
- soc: imx: imx8m-blk-ctrl: reordering the fields (Steve Best) [2182875]
- soc: imx8m: Support building imx8m soc driver as module (Steve Best) [2182875]
- soc: imx8m: Add MODULE_LICENSE (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Add MODULE_LICENSE (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Use dev_pm_domain_attach_by_name (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: Add MODULE_LICENSE (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: Fix typo of imx8m_blk_ctrl_of_match (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: Use dev_pm_domain_attach_by_name (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Scan subnodes and bind drivers to them (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: set HDMI LCDIF panic read hurry level (Steve Best) [2182875]
- soc: imx8m: Fix incorrect check for of_clk_get_by_name() (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: set LCDIF panic read hurry level (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: don't set power device name (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: expose high performance PLL clock (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: add instance specific probe function (Steve Best) [2182875]
- soc: imx: add Kconfig symbols for blk-ctrl drivers (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: enable global pixclk with HDMI_TX_PHY PD (Steve Best) [2182875]
- soc: imx8m: Enable OCOTP clock before reading the register (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Defer probe if 'bus' genpd is not yet ready (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Use genpd_xlate_onecell (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: handle PCIe PHY resets (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: add i.MX8MP VPU blk ctrl (Steve Best) [2182875]
- dt-bindings: power: imx8mp-power: add HDMI HDCP/HRV (Steve Best) [2182875]
- dt-bindings: soc: imx: add i.MX8MP vpu blk ctrl (Steve Best) [2182875]
- dt-bindings: soc: imx: add interconnect property for i.MX8MM vpu blk ctrl (Steve Best) [2182875]
- dt-bindings: soc: imx: add interconnect property for i.MX8MM vpu blk ctrl (Steve Best) [2182875]
- dt-bindings: soc: imx: drop minItems for i.MX8MM vpu blk ctrl (Steve Best) [2182875]
- dt-bindings: soc: add binding for i.MX8MM VPU blk-ctrl (Steve Best) [2182875]
- soc: imx: add i.MX8MP HDMI blk ctrl HDCP/HRV_MWR (Steve Best) [2182875]
- soc: imx: add icc paths for i.MX8MP hsio/hdmi blk ctrl (Steve Best) [2182875]
- soc: imx: add icc paths for i.MX8MP media blk ctrl (Steve Best) [2182875]
- interconnect: add device managed bulk API (Steve Best) [2182875]
- interconnect: Add stubs for the bulk API (Steve Best) [2182875]
- Revert "soc: imx: imx8m-blk-ctrl: set power device name" (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Make error prints useful (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: fix display clock for LCDIF2 power domain (Steve Best) [2182875]
- soc: imx: fix semicolon.cocci warnings (Steve Best) [2182875]
- soc: imx: add i.MX8MP HDMI blk-ctrl (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Add i.MX8MP media blk-ctrl (Steve Best) [2182875]
- soc: imx: add i.MX8MP HSIO blk-ctrl (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: set power device name (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Fix IMX8MN_DISPBLK_PD_ISI hang (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: add i.MX8MQ VPU blk-ctrl (Steve Best) [2182875]
- soc: imx: Remove Layerscape check (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: add i.MX8MN DISP blk-ctrl (Steve Best) [2182875]
- soc: imx: Register SoC device only on i.MX boards (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Fix imx8mm mipi reset (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: off by one in imx8m_blk_ctrl_xlate() (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: add DISP blk-ctrl (Steve Best) [2182875]
- soc: imx: add i.MX8M blk-ctrl driver (Steve Best) [2182875]
- imx: soc: Select REGMAP_MMIO (Steve Best) [2182875]
- soc: qcom: socinfo: add support for SA8775P (Adrien Thierry) [2193174]
- dt-bindings: arm: qcom: add the SoC ID for SA8775P (Adrien Thierry) [2193174]
- soc: qcom: smem: update max processor count (Adrien Thierry) [2193174]
- pwm: imx27: Simplify using devm_pwmchip_add() (Steve Best) [2184318]
- sched/rt: Fix bad task migration for rt tasks (Valentin Schneider) [2182900]
- cgroup/cpuset: Make cpuset_attach_task() skip subpartitions CPUs for top_cpuset (Waiman Long) [2182238]
- cgroup/cpuset: Add cpuset_can_fork() and cpuset_cancel_fork() methods (Waiman Long) [2182238]
- cgroup/cpuset: Make cpuset_fork() handle CLONE_INTO_CGROUP properly (Waiman Long) [2182238]
- cgroup/cpuset: Wake up cpuset_attach_wq tasks in cpuset_cancel_attach() (Waiman Long) [2182238]
- cgroup/cpuset: Fix partition root's cpuset.cpus update bug (Waiman Long) [2182238]
- cpuset: Call set_cpus_allowed_ptr() with appropriate mask for task (Waiman Long) [2182238]
- cgroup/cpuset: Don't filter offline CPUs in cpuset_cpus_allowed() for top cpuset tasks (Waiman Long) [2182238]
- cgroup/cpuset: Fix wrong check in update_parent_subparts_cpumask() (Waiman Long) [2182238]
- cgroup/cpuset: fix a few kernel-doc warnings & coding style (Waiman Long) [2182238]
- cgroup/cpuset: no need to explicitly init a global static variable (Waiman Long) [2182238]
- cgroup/cpuset: Improve cpuset_css_alloc() description (Waiman Long) [2182238]
- cgroup/cpuset: use hotplug_memory_notifier() directly (Waiman Long) [2182238]
- cgroup: Export cgroup_mutex (Waiman Long) [2182238]
- sched/core: Adjusting the order of scanning CPU (Phil Auld) [RHEL-310]
- sched/fair: Remove capacity inversion detection (Phil Auld) [RHEL-310]
- sched/fair: unlink misfit task from cpu overutilized (Phil Auld) [RHEL-310]
- sched/fair: Fixes for capacity inversion detection (Phil Auld) [RHEL-310]
- sched/uclamp: Fix a uninitialized variable warnings (Phil Auld) [RHEL-310]
- sched: Introduce struct balance_callback to avoid CFI mismatches (Phil Auld) [RHEL-310]
- sched/fair: Check if prev_cpu has highest spare cap in feec() (Phil Auld) [RHEL-310]
- sched/fair: Consider capacity inversion in util_fits_cpu() (Phil Auld) [RHEL-310]
- sched/fair: Detect capacity inversion (Phil Auld) [RHEL-310]
- sched/uclamp: Cater for uclamp in find_energy_efficient_cpu()'s early exit condition (Phil Auld) [RHEL-310]
- sched/uclamp: Make cpu_overutilized() use util_fits_cpu() (Phil Auld) [RHEL-310]
- sched/uclamp: Make asym_fits_capacity() use util_fits_cpu() (Phil Auld) [RHEL-310]
- sched/uclamp: Make select_idle_capacity() use util_fits_cpu() (Phil Auld) [RHEL-310]
- sched/uclamp: Fix fits_capacity() check in feec() (Phil Auld) [RHEL-310]
- sched/uclamp: Make task_fits_capacity() use util_fits_cpu() (Phil Auld) [RHEL-310]
- sched/uclamp: Fix relationship between uclamp and migration margin (Phil Auld) [RHEL-310]
Resolves: rhbz#2053117, rhbz#2164042, rhbz#2182238, rhbz#2182875, rhbz#2182900, rhbz#2183621, rhbz#2184318, rhbz#2192506, rhbz#2192569, rhbz#2193174, RHEL-257, RHEL-310

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-15 09:58:48 +02:00
Jan Stancek
39c97ef2af kernel-5.14.0-312.el9
* Thu May 11 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-312.el9]
- s390/qeth: Convert sprintf/snprintf to scnprintf (Tobias Huschle) [2160097]
- s390/qeth: Convert sysfs sprintf to sysfs_emit (Tobias Huschle) [2160097]
- s390/qeth: Use constant for IP address buffers (Tobias Huschle) [2160097]
- s390/ctcm: cleanup indenting (Tobias Huschle) [2160097]
- s390/iucv: sort out physical vs virtual pointers usage (Tobias Huschle) [2160097]
- net/af_iucv: fix kernel doc comments (Tobias Huschle) [2160097]
- net/iucv: fix kernel doc comments (Tobias Huschle) [2160097]
- net/iucv: get rid of register asm usage (Tobias Huschle) [2160097]
- net/af_iucv: remove wrappers around iucv (de-)registration (Tobias Huschle) [2160097]
- net/af_iucv: clean up a try_then_request_module() (Tobias Huschle) [2160097]
- net/af_iucv: support drop monitoring (Tobias Huschle) [2160097]
- s390/ipl: add loadparm parameter to eckd ipl/reipl data (Tobias Huschle) [2160041]
- s390/ipl: add DEFINE_GENERIC_LOADPARM() (Tobias Huschle) [2160041]
- s390/ipl: use octal values instead of S_* macros (Tobias Huschle) [2160041]
- s390/ipl: add eckd support (Tobias Huschle) [2160041]
- redhat/configs: add CONFIG_TRACE_MMIO_ACCESS (Adrien Thierry) [2196244]
- asm-generic/io: Add _RET_IP_ to MMIO trace for more accurate debug info (Adrien Thierry) [2196244]
- drm/meson: Fix overflow implicit truncation warnings (Adrien Thierry) [2196244]
- lib: Add register read/write tracing support (Adrien Thierry) [2196244]
- asm-generic/io: Add logging support for MMIO accessors (Adrien Thierry) [2196244]
- arm64: io: Use asm-generic high level MMIO accessors (Adrien Thierry) [2196244]
- coresight: etm4x: Use asm-generic IO memory barriers (Adrien Thierry) [2196244]
- irqchip/tegra: Fix overflow implicit truncation warnings (Adrien Thierry) [2196244]
- kernel.h: split out instruction pointer accessors (Adrien Thierry) [2196244]
- kernel.h: drop unneeded <linux/kernel.h> inclusion from other headers (Adrien Thierry) [2196244]
- sched/psi: Fix use-after-free in ep_remove_wait_queue() (Phil Auld) [RHEL-311]
- sched/psi: Use task->psi_flags to clear in CPU migration (Phil Auld) [RHEL-311]
- sched/psi: Stop relying on timer_pending() for poll_work rescheduling (Phil Auld) [RHEL-311]
- sched/psi: Fix avgs_work re-arm in psi_avgs_work() (Phil Auld) [RHEL-311]
- sched/psi: Fix possible missing or delayed pending event (Phil Auld) [RHEL-311]
- sched/psi: Cache parent psi_group to speed up group iteration (Phil Auld) [RHEL-311]
- sched/psi: Consolidate cgroup_psi() (Phil Auld) [RHEL-311]
- sched/psi: Add PSI_IRQ to track IRQ/SOFTIRQ pressure (Phil Auld) [RHEL-311]
- sched/psi: Remove NR_ONCPU task accounting (Phil Auld) [RHEL-311]
- sched/psi: Optimize task switch inside shared cgroups again (Phil Auld) [RHEL-311]
- sched/psi: Move private helpers to sched/stats.h (Phil Auld) [RHEL-311]
- sched/psi: Save percpu memory when !psi_cgroups_enabled (Phil Auld) [RHEL-311]
- sched/psi: Don't create cgroup PSI files when psi_disabled (Phil Auld) [RHEL-311]
- sched/psi: Fix periodic aggregation shut off (Phil Auld) [RHEL-311]
- headers/prep: Fix header to build standalone: <linux/psi.h> (Phil Auld) [RHEL-311]
- wait: add wake_up_pollfree() (Phil Auld) [RHEL-311]
- psi: Fix PSI_MEM_FULL state when tasks are in memstall and doing reclaim (Phil Auld) [RHEL-311]
- selftests: bonding: add bonding prio option test (Hangbin Liu) [2092194]
- bonding: fix lockdep splat in bond_miimon_commit() (Hangbin Liu) [2092194]
- bonding: do failover when high prio link up (Hangbin Liu) [2092194]
- bonding: add missed __rcu annotation for curr_active_slave (Hangbin Liu) [2092194]
- Bonding: add per-port priority for failover re-selection (Hangbin Liu) [2092194]
- bonding: add slave_dev field for bond_opt_value (Hangbin Liu) [2092194]
- s390/vfio-ap: fix memory leak in vfio_ap device driver (Alex Williamson) [2188088]
- docs: vfio: fix header path (Alex Williamson) [2188088]
- docs: vfio: Update vfio.rst per latest interfaces (Alex Williamson) [2188088]
- vfio: Update the kdoc for vfio_device_ops (Alex Williamson) [2188088]
- vfio: no need to pass kvm pointer during device open (Alex Williamson) [2188088]
- vfio: revert "iommu driver notify callback" (Alex Williamson) [2188088]
- vfio/type1: revert "implement notify callback" (Alex Williamson) [2188088]
- vfio/type1: revert "block on invalid vaddr" (Alex Williamson) [2188088]
- vfio/type1: restore locked_vm (Alex Williamson) [2188088]
- vfio/type1: track locked_vm per dma (Alex Williamson) [2188088]
- vfio/type1: prevent underflow of locked_vm via exec() (Alex Williamson) [2188088]
- vfio/type1: exclude mdevs from VFIO_UPDATE_VADDR (Alex Williamson) [2188088]
- samples: fix the prompt about SAMPLE_VFIO_MDEV_MBOCHS (Alex Williamson) [2188088]
- vfio/mdev: Use sysfs_emit() to instead of sprintf() (Alex Williamson) [2188088]
- vfio-mdev: add back CONFIG_VFIO dependency (Alex Williamson) [2188088]
- vfio/platform: Use GFP_KERNEL_ACCOUNT for userspace persistent allocations (Alex Williamson) [2188088]
- vfio/fsl-mc: Use GFP_KERNEL_ACCOUNT for userspace persistent allocations (Alex Williamson) [2188088]
- vfio: Use GFP_KERNEL_ACCOUNT for userspace persistent allocations (Alex Williamson) [2188088]
- vfio-mdev: remove an non-existing driver from vfio-mediated-device (Alex Williamson) [2188088]
- vfio-mdev: move the mtty usage documentation (Alex Williamson) [2188088]
- vfio-mdev: turn VFIO_MDEV into a selectable symbol (Alex Williamson) [2188088]
- s390/Kconfig: fix indentation (Alex Williamson) [2188088]
- vfio-mdev: allow building the samples into the kernel (Alex Williamson) [2188088]
- vfio: platform: No need to check res again (Alex Williamson) [2188088]
- MAINTAINERS: step down as vfio reviewer (Alex Williamson) [2188088]
- vfio-mdev/mdpy-fb: Do not set struct fb_info.apertures (Alex Williamson) [2188088]
- Documentation: s390: correct spelling (Alex Williamson) [2188088]
- s390: vfio-ap: tighten the NIB validity check (Alex Williamson) [2188088]
- s390/vfio_ap: increase max wait time for reset verification (Alex Williamson) [2188088]
- s390/vfio_ap: fix handling of error response codes (Alex Williamson) [2188088]
- s390/vfio_ap: verify ZAPQ completion after return of response code zero (Alex Williamson) [2188088]
- s390/vfio_ap: use TAPQ to verify reset in progress completes (Alex Williamson) [2188088]
- s390/vfio_ap: check TAPQ response code when waiting for queue reset (Alex Williamson) [2188088]
- s390/vfio-ap: verify reset complete in separate function (Alex Williamson) [2188088]
- s390/vfio-ap: fix an error handling path in vfio_ap_mdev_probe_queue() (Alex Williamson) [2188088]
- vfio/ccw: remove old IDA format restrictions (Alex Williamson) [2187660 2188088]
- vfio/ccw: don't group contiguous pages on 2K IDAWs (Alex Williamson) [2187660 2188088]
- vfio/ccw: handle a guest Format-1 IDAL (Alex Williamson) [2187660 2188088]
- vfio/ccw: allocate/populate the guest idal (Alex Williamson) [2187660 2188088]
- vfio/ccw: calculate number of IDAWs regardless of format (Alex Williamson) [2187660 2188088]
- vfio/ccw: read only one Format-1 IDAW (Alex Williamson) [2187660 2188088]
- vfio/ccw: refactor the idaw counter (Alex Williamson) [2187660 2188088]
- vfio/ccw: populate page_array struct inline (Alex Williamson) [2187660 2188088]
- vfio/ccw: pass page count to page_array struct (Alex Williamson) [2187660 2188088]
- vfio/ccw: remove unnecessary malloc alignment (Alex Williamson) [2187660 2188088]
- vfio/ccw: simplify CCW chain fetch routines (Alex Williamson) [2187660 2188088]
- vfio/ccw: replace copy_from_iova with vfio_dma_rw (Alex Williamson) [2187660 2188088]
- vfio/ccw: move where IDA flag is set in ORB (Alex Williamson) [2187660 2188088]
- vfio/ccw: allow non-zero storage keys (Alex Williamson) [2187660 2188088]
- vfio/ccw: simplify the cp_get_orb interface (Alex Williamson) [2187660 2188088]
- vfio/ccw: cleanup some of the mdev commentary (Alex Williamson) [2187660 2188088]
- bpf: Fix struct_meta lookup for bpf_obj_free_fields kfunc call (Jerome Marchand) [2177177]
- selftests: add prepare_system.sh (Jiri Benc) [2177177]
- xfrm: lwtunnel: squelch kernel warning in case XFRM encap type is not available (Jiri Benc) [2177177]
- selftests/bpf: Cover listener cloning with progs attached to sockmap (Jiri Benc) [2177177]
- selftests/bpf: Pass BPF skeleton to sockmap_listen ops tests (Jiri Benc) [2177177]
- bpf, sockmap: Check for any of tcp_bpf_prots when cloning a listener (Jiri Benc) [2177177]
- bpf, sockmap: Don't let sock_map_{close,destroy,unhash} call itself (Jiri Benc) [2177177]
- net: skb: remove old comments about frag_size for build_skb() (Jiri Benc) [2177177]
- selftests/bpf: Test bpf_skb_adjust_room on CHECKSUM_PARTIAL (Jiri Benc) [2177177]
- skbuff: Introduce slab_build_skb() (Jiri Benc) [2177177]
- net: xsk: Don't include <linux/rculist.h> (Jiri Benc) [2177177]
- selftests/bpf: Allow building bpf tests with CONFIG_XFRM_INTERFACE=[m|n] (Jiri Benc) [2177177]
- selftests/bpf: add xfrm_info tests (Jiri Benc) [2177177]
- tools: add IFLA_XFRM_COLLECT_METADATA to uapi/linux/if_link.h (Jiri Benc) [2177177]
- xfrm: interface: Add unstable helpers for setting/getting XFRM metadata from TC-BPF (Jiri Benc) [2177177]
- xfrm: interface: rename xfrm_interface.c to xfrm_interface_core.c (Jiri Benc) [2177177]
- xfrm: lwtunnel: add lwtunnel support for xfrm interfaces in collect_md mode (Jiri Benc) [2177177]
- xfrm: interface: support collect metadata mode (Jiri Benc) [2177177]
- net: allow storing xfrm interface metadata in metadata_dst (Jiri Benc) [2177177]
- Revert "veth: Avoid drop packets when xdp_redirect performs" (Jiri Benc) [2177177]
- Revert "bpf: veth driver panics when xdp prog attached before veth_open" (Jiri Benc) [2177177]
- bpf: veth driver panics when xdp prog attached before veth_open (Jiri Benc) [2177177]
- veth: Avoid drop packets when xdp_redirect performs (Jiri Benc) [2177177]
- Delete duplicate words from kernel docs (Jerome Marchand) [2177177]
- Remove duplicate words inside documentation (Jerome Marchand) [2177177]
- bpf: fix precision propagation verbose logging (Jerome Marchand) [2177177]
- selftests/bpf: Fix out-of-srctree build (Jerome Marchand) [2177177]
- bpf: Fix the kernel crash caused by bpf_setsockopt(). (Jerome Marchand) [2177177]
- selftests/bpf: Use __failure macro in task kfunc testsuite (Jerome Marchand) [2177177]
- bpf: Add missing btf_put to register_btf_id_dtor_kfuncs (Jerome Marchand) [2177177]
- selftests/bpf: Verify copy_register_state() preserves parent/live fields (Jerome Marchand) [2177177]
- bpf: Fix to preserve reg parent/live fields when copying range info (Jerome Marchand) [2177177]
- bpf: Fix a possible task gone issue with bpf_send_signal[_thread]() helpers (Jerome Marchand) [2177177]
- bpf: Fix pointer-leak due to insufficient speculative store bypass mitigation (Jerome Marchand) [2177177]
- bpf: hash map, avoid deadlock with suitable hash mask (Jerome Marchand) [2177177]
- bpf_doc: Fix build error with older python versions (Jerome Marchand) [2177177]
- libbpf: Fix map creation flags sanitization (Jerome Marchand) [2177177]
- bpf: remove the do_idr_lock parameter from bpf_prog_free_id() (Jerome Marchand) [2177177]
- bpf: restore the ebpf program ID for BPF_AUDIT_UNLOAD and PERF_BPF_EVENT_PROG_UNLOAD (Jerome Marchand) [2177177]
- bpf: Skip task with pid=1 in send_signal_common() (Jerome Marchand) [2177177]
- bpf: Skip invalid kfunc call in backtrack_insn (Jerome Marchand) [2177177]
- bpf: Always use maximal size for copy_array() (Jerome Marchand) [2177177]
- selftests/bpf: add a test for iter/task_vma for short-lived processes (Jerome Marchand) [2177177]
- bpf: keep a reference to the mm, in case the task is dead. (Jerome Marchand) [2177177]
- selftests/bpf: Add host-tools to gitignore (Jerome Marchand) [2177177]
- selftests/bpf: check null propagation only neither reg is PTR_TO_BTF_ID (Jerome Marchand) [2177177]
- bpf: fix nullness propagation for reg to reg comparisons (Jerome Marchand) [2177177]
- bpftool: Fix linkage with statically built libllvm (Jerome Marchand) [2177177]
- bpf: Define sock security related BTF IDs under CONFIG_SECURITY_NETWORK (Jerome Marchand) [2177177]
- selftests/bpf: Add a test for using a cpumap from an freplace-to-XDP program (Jerome Marchand) [2177177]
- bpf: Resolve fext program type when checking map compatibility (Jerome Marchand) [2177177]
- bpf: Synchronize dispatcher update with bpf_dispatcher_xdp_func (Jerome Marchand) [2177177]
- libbpf: Fix single-line struct definition output in btf_dump (Jerome Marchand) [2177177]
- selftests/bpf: Select CONFIG_FUNCTION_ERROR_INJECTION (Jerome Marchand) [2177177]
- selftests/bpf: Fix a selftest compilation error with CONFIG_SMP=n (Jerome Marchand) [2177177]
- docs/bpf: Reword docs for BPF_MAP_TYPE_SK_STORAGE (Jerome Marchand) [2177177]
- selftests/bpf: test case for relaxed prunning of active_lock.id (Jerome Marchand) [2177177]
- selftests/bpf: Add pruning test case for bpf_spin_lock (Jerome Marchand) [2177177]
- bpf: use check_ids() for active_lock comparison (Jerome Marchand) [2177177]
- selftests/bpf: verify states_equal() maintains idmap across all frames (Jerome Marchand) [2177177]
- bpf: states_equal() must build idmap for all function frames (Jerome Marchand) [2177177]
- selftests/bpf: test cases for regsafe() bug skipping check_id() (Jerome Marchand) [2177177]
- bpf: regsafe() must not skip check_ids() (Jerome Marchand) [2177177]
- docs/bpf: Add documentation for BPF_MAP_TYPE_SK_STORAGE (Jerome Marchand) [2177177]
- selftests/bpf: Add test for dynptr reinit in user_ringbuf callback (Jerome Marchand) [2177177]
- bpf: Use memmove for bpf_dynptr_{read,write} (Jerome Marchand) [2177177]
- bpf: Move PTR_TO_STACK alignment check to process_dynptr_func (Jerome Marchand) [2177177]
- bpf: Rework check_func_arg_reg_off (Jerome Marchand) [2177177]
- bpf: Rework process_dynptr_func (Jerome Marchand) [2177177]
- bpf: Propagate errors from process_* checks in check_func_arg (Jerome Marchand) [2177177]
- bpf: Refactor ARG_PTR_TO_DYNPTR checks into process_dynptr_func (Jerome Marchand) [2177177]
- bpf: Skip rcu_barrier() if rcu_trace_implies_rcu_gp() is true (Jerome Marchand) [2177177]
- bpf: Reuse freed element in free_by_rcu during allocation (Jerome Marchand) [2177177]
- selftests/bpf: Bring test_offload.py back to life (Jerome Marchand) [2177177]
- bpf: Fix comment error in fixup_kfunc_call function (Jerome Marchand) [2177177]
- bpf: Do not zero-extend kfunc return values (Jerome Marchand) [2177177]
- bpf/docs: Document struct cgroup * kfuncs (Jerome Marchand) [2177177]
- bpf/docs: Document struct task_struct * kfuncs (Jerome Marchand) [2177177]
- selftests/bpf: convert dynptr_fail and map_kptr_fail subtests to generic tester (Jerome Marchand) [2177177]
- selftests/bpf: add generic BPF program tester-loader (Jerome Marchand) [2177177]
- bpf: Remove unused insn_cnt argument from visit_[func_call_]insn() (Jerome Marchand) [2177177]
- bpf: do not rely on ALLOW_ERROR_INJECTION for fmod_ret (Jerome Marchand) [2177177]
- bpf: remove unnecessary prune and jump points (Jerome Marchand) [2177177]
- bpf: mostly decouple jump history management from is_state_visited() (Jerome Marchand) [2177177]
- bpf: decouple prune and jump points (Jerome Marchand) [2177177]
- bpf: Loosen alloc obj test in verifier's reg_btf_record (Jerome Marchand) [2177177]
- bpf: Don't use rcu_users to refcount in task kfuncs (Jerome Marchand) [2177177]
- selftests/bpf: Use CONFIG_TEST_BPF=m instead of CONFIG_TEST_BPF=y (Jerome Marchand) [2177177]
- selftests/bpf: Use "is not set" instead of "=n" (Jerome Marchand) [2177177]
- selftests/bpf: Install all required files to run selftests (Jerome Marchand) [2177177]
- libbpf: Parse usdt args without offset on x86 (e.g. 8@(%%rsp)) (Jerome Marchand) [2177177]
- bpftool: Fix memory leak in do_build_table_cb (Jerome Marchand) [2177177]
- selftests/bpf: Fix conflicts with built-in functions in bpf_iter_ksym (Jerome Marchand) [2177177]
- bpf: Add sleepable prog tests for cgrp local storage (Jerome Marchand) [2177177]
- bpf: Enable sleeptable support for cgrp local storage (Jerome Marchand) [2177177]
- bpf, docs: BPF Iterator Document (Jerome Marchand) [2177177]
- bpf: Do not mark certain LSM hook arguments as trusted (Jerome Marchand) [2177177]
- docs/bpf: Add KF_RCU documentation (Jerome Marchand) [2177177]
- selftests/bpf: Fix rcu_read_lock test with new MEM_RCU semantics (Jerome Marchand) [2177177]
- bpf: Handle MEM_RCU type properly (Jerome Marchand) [2177177]
- libbpf: Improve usability of libbpf Makefile (Jerome Marchand) [2177177]
- selftests/bpf: Add GCC compatible builtins to bpf_legacy.h (Jerome Marchand) [2177177]
- bpf, docs: Correct the example of BPF_XOR (Jerome Marchand) [2177177]
- selftests/bpf: Validate multiple ref release_on_unlock logic (Jerome Marchand) [2177177]
- bpf: Fix release_on_unlock release logic for multiple refs (Jerome Marchand) [2177177]
- bpf: Fix a compilation failure with clang lto build (Jerome Marchand) [2177177]
- bpf: Tighten ptr_to_btf_id checks. (Jerome Marchand) [2177177]
- selftests/bpf: Add ingress tests for txmsg with apply_bytes (Jerome Marchand) [2177177]
- bpf, sockmap: Fix data loss caused by using apply_bytes on ingress redirect (Jerome Marchand) [2177177]
- bpf, sockmap: Fix missing BPF_F_INGRESS flag when using apply_bytes (Jerome Marchand) [2177177]
- bpf, sockmap: Fix repeated calls to sock_put() when msg has more_data (Jerome Marchand) [2177177]
- selftests/bpf: Add bench test to arm64 and s390x denylist (Jerome Marchand) [2177177]
- selftests/bpf: Make sure enum-less bpf_enable_stats() API works in C++ mode (Jerome Marchand) [2177177]
- libbpf: Avoid enum forward-declarations in public API in C++ mode (Jerome Marchand) [2177177]
- selftests/bpf: Avoid pinning prog when attaching to tc ingress in btf_skc_cls_ingress (Jerome Marchand) [2177177]
- selftests/bpf: Remove serial from tests using {open,close}_netns (Jerome Marchand) [2177177]
- selftests/bpf: Remove the "/sys" mount and umount dance in {open,close}_netns (Jerome Marchand) [2177177]
- selftests/bpf: Avoid pinning bpf prog in the netns_load_bpf() callers (Jerome Marchand) [2177177]
- selftests/bpf: Avoid pinning bpf prog in the tc_redirect_peer_l3 test (Jerome Marchand) [2177177]
- selftests/bpf: Avoid pinning bpf prog in the tc_redirect_dtime test (Jerome Marchand) [2177177]
- selftests/bpf: Use if_nametoindex instead of reading the /sys/net/class/*/ifindex (Jerome Marchand) [2177177]
- selftests/bpf: Add tests for bpf_rcu_read_lock() (Jerome Marchand) [2177177]
- bpf: Add kfunc bpf_rcu_read_lock/unlock() (Jerome Marchand) [2177177]
- bpf: Introduce might_sleep field in bpf_func_proto (Jerome Marchand) [2177177]
- compiler_types: Define __rcu as __attribute__((btf_type_tag("rcu"))) (Jerome Marchand) [2177177]
- docs/bpf: Add BPF_MAP_TYPE_XSKMAP documentation (Jerome Marchand) [2177177]
- samples/bpf: Fix wrong allocation size in xdp_router_ipv4_user (Jerome Marchand) [2177177]
- docs/bpf: Update btf selftests program and add link (Jerome Marchand) [2177177]
- bpf: Don't mark arguments to fentry/fexit programs as trusted. (Jerome Marchand) [2177177]
- selftests/bpf: Add selftests for bpf_task_from_pid() (Jerome Marchand) [2177177]
- bpf: Add bpf_task_from_pid() kfunc (Jerome Marchand) [2177177]
- bpf: Update bpf_{g,s}etsockopt() documentation (Jerome Marchand) [2177177]
- docs/bpf: Add table of BPF program types to libbpf docs (Jerome Marchand) [2177177]
- bpf: Fix a BTF_ID_LIST bug with CONFIG_DEBUG_INFO_BTF not set (Jerome Marchand) [2177177]
- bpf: Don't use idx variable when registering kfunc dtors (Jerome Marchand) [2177177]
- bpf: Unify and simplify btf_func_proto_check error handling (Jerome Marchand) [2177177]
- docs/bpf: Fix sphinx warnings in BPF map docs (Jerome Marchand) [2177177]
- selftests/bpf: Add reproducer for decl_tag in func_proto argument (Jerome Marchand) [2177177]
- docs/bpf: Document BPF_MAP_TYPE_BLOOM_FILTER (Jerome Marchand) [2177177]
- docs/bpf: Fix sphinx warnings for devmap (Jerome Marchand) [2177177]
- docs/bpf: Fix sphinx warnings for cpumap (Jerome Marchand) [2177177]
- selftests/bpf: Add selftests for bpf_cgroup_ancestor() kfunc (Jerome Marchand) [2177177]
- bpf: Add bpf_cgroup_ancestor() kfunc (Jerome Marchand) [2177177]
- selftests/bpf: Add cgroup kfunc / kptr selftests (Jerome Marchand) [2177177]
- bpf: Enable cgroups to be used as kptrs (Jerome Marchand) [2177177]
- selftests/bpf: Workaround for llvm nop-4 bug (Jerome Marchand) [2177177]
- bpf/verifier: Use kmalloc_size_roundup() to match ksize() usage (Jerome Marchand) [2177177]
- bpftool: remove function free_btf_vmlinux() (Jerome Marchand) [2177177]
- bpftool: clean-up usage of libbpf_get_error() (Jerome Marchand) [2177177]
- bpftool: fix error message when function can't register struct_ops (Jerome Marchand) [2177177]
- bpftool: replace return value PTR_ERR(NULL) with 0 (Jerome Marchand) [2177177]
- bpftool: remove support of --legacy option for bpftool (Jerome Marchand) [2177177]
- bpf: Add type cast unit tests (Jerome Marchand) [2177177]
- bpf: Add a kfunc for generic type cast (Jerome Marchand) [2177177]
- bpf: Add a kfunc to type cast from bpf uapi ctx to kernel ctx (Jerome Marchand) [2177177]
- bpf: Add support for kfunc set with common btf_ids (Jerome Marchand) [2177177]
- bpf: Disallow bpf_obj_new_impl call when bpf_mem_alloc_init fails (Jerome Marchand) [2177177]
- bpf/selftests: Add selftests for new task kfuncs (Jerome Marchand) [2177177]
- bpf: Add kfuncs for storing struct task_struct * as a kptr (Jerome Marchand) [2177177]
- bpf: Allow trusted pointers to be passed to KF_TRUSTED_ARGS kfuncs (Jerome Marchand) [2177177]
- bpf: Allow multiple modifiers in reg_type_str() prefix (Jerome Marchand) [2177177]
- tools lib bpf: Avoid install_headers make warning (Jerome Marchand) [2177177]
- selftests/bpf: Skip spin lock failure test on s390x (Jerome Marchand) [2177177]
- bpf, samples: Use "grep -E" instead of "egrep" (Jerome Marchand) [2177177]
- bpf, docs: DEVMAPs and XDP_REDIRECT (Jerome Marchand) [2177177]
- libbpf: Ignore hashmap__find() result explicitly in btf_dump (Jerome Marchand) [2177177]
- selftests/bpf: Add BTF sanity tests (Jerome Marchand) [2177177]
- selftests/bpf: Add BPF linked list API tests (Jerome Marchand) [2177177]
- selftests/bpf: Add failure test cases for spin lock pairing (Jerome Marchand) [2177177]
- selftests/bpf: Update spinlock selftest (Jerome Marchand) [2177177]
- selftests/bpf: Add __contains macro to bpf_experimental.h (Jerome Marchand) [2177177]
- bpf: Add comments for map BTF matching requirement for bpf_list_head (Jerome Marchand) [2177177]
- bpf: Add 'release on unlock' logic for bpf_list_push_{front,back} (Jerome Marchand) [2177177]
- bpf: Introduce single ownership BPF linked list API (Jerome Marchand) [2177177]
- bpf: Permit NULL checking pointer with non-zero fixed offset (Jerome Marchand) [2177177]
- bpf: Introduce bpf_obj_drop (Jerome Marchand) [2177177]
- bpf: Introduce bpf_obj_new (Jerome Marchand) [2177177]
- bpf: Support constant scalar arguments for kfuncs (Jerome Marchand) [2177177]
- bpf: Rewrite kfunc argument handling (Jerome Marchand) [2177177]
- bpf: Allow locking bpf_spin_lock in inner map values (Jerome Marchand) [2177177]
- bpf: Allow locking bpf_spin_lock global variables (Jerome Marchand) [2177177]
- bpf: Allow locking bpf_spin_lock in allocated objects (Jerome Marchand) [2177177]
- bpf: Verify ownership relationships for user BTF types (Jerome Marchand) [2177177]
- bpf: Recognize lock and list fields in allocated objects (Jerome Marchand) [2177177]
- bpf: Introduce allocated objects support (Jerome Marchand) [2177177]
- bpf: Populate field_offs for inner_map_meta (Jerome Marchand) [2177177]
- bpf: Free inner_map_meta when btf_record_dup fails (Jerome Marchand) [2177177]
- bpf: Do btf_record_free outside map_free callback (Jerome Marchand) [2177177]
- bpf: Fix early return in map_check_btf (Jerome Marchand) [2177177]
- selftests/bpf: Pass target triple to get_sys_includes macro (Jerome Marchand) [2177177]
- selftests, bpf: Fix broken riscv build (Jerome Marchand) [2177177]
- selftests/bpf: Explicitly pass RESOLVE_BTFIDS to sub-make (Jerome Marchand) [2177177]
- bpf: Pass map file to .map_update_batch directly (Jerome Marchand) [2177177]
- bpf/docs: Include blank lines between bullet points in bpf_devel_QA.rst (Jerome Marchand) [2177177]
- selftests/bpf: allow unpriv bpf for selftests by default (Jerome Marchand) [2177177]
- bpftool: Check argc first before "file" in do_batch() (Jerome Marchand) [2177177]
- docs/bpf: Fix sample code in MAP_TYPE_ARRAY docs (Jerome Marchand) [2177177]
- selftests/bpf: check nullness propagation for reg to reg comparisons (Jerome Marchand) [2177177]
- bpf: propagate nullness information for reg to reg comparisons (Jerome Marchand) [2177177]
- bpf: Expand map key argument of bpf_redirect_map to u64 (Jerome Marchand) [2177177]
- dev: Move received_rps counter next to RPS members in softnet data (Jiri Benc) [2177177]
- bpf: Refactor btf_struct_access (Jerome Marchand) [2177177]
- bpf: Rename MEM_ALLOC to MEM_RINGBUF (Jerome Marchand) [2177177]
- bpf: Rename RET_PTR_TO_ALLOC_MEM (Jerome Marchand) [2177177]
- bpf: Support bpf_list_head in map values (Jerome Marchand) [2177177]
- bpf: Fix copy_map_value, zero_map_value (Jerome Marchand) [2177177]
- bpf: Remove BPF_MAP_OFF_ARR_MAX (Jerome Marchand) [2177177]
- bpf: Remove local kptr references in documentation (Jerome Marchand) [2177177]
- bpf/docs: Document how to run CI without patch submission (Jerome Marchand) [2177177]
- libbpf: checkpatch: Fixed code alignments in ringbuf.c (Jerome Marchand) [2177177]
- libbpf: Fixed various checkpatch issues in libbpf.c (Jerome Marchand) [2177177]
- libbpf: checkpatch: Fixed code alignments in btf.c (Jerome Marchand) [2177177]
- bpf, docs: Fixup cpumap sphinx >= 3.1 warning (Jerome Marchand) [2177177]
- libbpf: Fix uninitialized warning in btf_dump_dump_type_data (Jerome Marchand) [2177177]
- selftests/bpf: fix veristat's singular file-or-prog filter (Jerome Marchand) [2177177]
- bpf, docs: Document BPF_MAP_TYPE_ARRAY (Jerome Marchand) [2177177]
- docs/bpf: Document BPF map types QUEUE and STACK (Jerome Marchand) [2177177]
- docs/bpf: Document BPF ARRAY_OF_MAPS and HASH_OF_MAPS (Jerome Marchand) [2177177]
- docs/bpf: Document BPF_MAP_TYPE_CPUMAP map (Jerome Marchand) [2177177]
- docs/bpf: Document BPF_MAP_TYPE_LPM_TRIE map (Jerome Marchand) [2177177]
- libbpf: Hashmap.h update to fix build issues using LLVM14 (Jerome Marchand) [2177177]
- selftests: Fix test group SKIPPED result (Jerome Marchand) [2177177]
- selftests/bpf: Tests for btf_dedup_resolve_fwds (Jerome Marchand) [2177177]
- libbpf: Resolve unambigous forward declarations (Jerome Marchand) [2177177]
- libbpf: Hashmap interface update to allow both long and void* keys/values (Jerome Marchand) [2177177]
- selftests/bpf: Fix u32 variable compared with less than zero (Jerome Marchand) [2177177]
- Documentation: bpf: Escape underscore in BPF type name prefix (Jerome Marchand) [2177177]
- selftests/bpf: cgroup_helpers.c: Fix strncpy() fortify warning (Jerome Marchand) [2177177]
- samples/bpf: Fix tracex2 error: No such file or directory (Jerome Marchand) [2177177]
- selftests/bpf: Tests for enum fwd resolved as full enum64 (Jerome Marchand) [2177177]
- libbpf: Resolve enum fwd as full enum64 and vice versa (Jerome Marchand) [2177177]
- selftests/bpf: make test_align selftest more robust (Jerome Marchand) [2177177]
- bpf: aggressively forget precise markings during state checkpointing (Jerome Marchand) [2177177]
- bpf: stop setting precise in current state (Jerome Marchand) [2177177]
- bpf: allow precision tracking for programs with subprogs (Jerome Marchand) [2177177]
- bpf: propagate precision across all frames, not just the last one (Jerome Marchand) [2177177]
- bpf: propagate precision in ALU/ALU64 operations (Jerome Marchand) [2177177]
- bpf: Refactor map->off_arr handling (Jerome Marchand) [2177177]
- bpf: Consolidate spin_lock, timer management into btf_record (Jerome Marchand) [2177177]
- bpf: Refactor kptr_off_tab into btf_record (Jerome Marchand) [2177177]
- selftests/bpf: support stat filtering in comparison mode in veristat (Jerome Marchand) [2177177]
- selftests/bpf: support stats ordering in comparison mode in veristat (Jerome Marchand) [2177177]
- selftests/bpf: handle missing records in comparison mode better in veristat (Jerome Marchand) [2177177]
- selftests/bpf: make veristat emit all stats in CSV mode by default (Jerome Marchand) [2177177]
- selftests/bpf: support simple filtering of stats in veristat (Jerome Marchand) [2177177]
- selftests/bpf: allow to define asc/desc ordering for sort specs in veristat (Jerome Marchand) [2177177]
- selftests/bpf: ensure we always have non-ambiguous sorting in veristat (Jerome Marchand) [2177177]
- selftests/bpf: consolidate and improve file/prog filtering in veristat (Jerome Marchand) [2177177]
- selftests/bpf: shorten "Total insns/states" column names in veristat (Jerome Marchand) [2177177]
- selftests/bpf: add veristat replay mode (Jerome Marchand) [2177177]
- bpf: Drop reg_type_may_be_refcounted_or_null (Jerome Marchand) [2177177]
- bpf: Fix slot type check in check_stack_write_var_off (Jerome Marchand) [2177177]
- bpf: Clobber stack slot when writing over spilled PTR_TO_BTF_ID (Jerome Marchand) [2177177]
- bpf: Allow specifying volatile type modifier for kptrs (Jerome Marchand) [2177177]
- bpf: Document UAPI details for special BPF types (Jerome Marchand) [2177177]
- samples/bpf: Fix typo in README (Jerome Marchand) [2177177]
- bpf: check max_entries before allocating memory (Jerome Marchand) [2177177]
- bpf: Fix a typo in comment for DFS algorithm (Jerome Marchand) [2177177]
- perf bpf: No need to include compiler.h when HAVE_LIBBPF_SUPPORT is true (Jerome Marchand) [2177177]
- bpftool: Fix spelling mistake "disasembler" -> "disassembler" (Jerome Marchand) [2177177]
- selftests/bpf: Fix bpftool synctypes checking failure (Jerome Marchand) [2177177]
- selftests/bpf: Panic on hard/soft lockup (Jerome Marchand) [2177177]
- docs/bpf: Add documentation for new cgroup local storage (Jerome Marchand) [2177177]
- selftests/bpf: Add test cgrp_local_storage to DENYLIST.s390x (Jerome Marchand) [2177177]
- selftests/bpf: Add selftests for new cgroup local storage (Jerome Marchand) [2177177]
- selftests/bpf: Fix test test_libbpf_str/bpf_map_type_str (Jerome Marchand) [2177177]
- bpftool: Support new cgroup local storage (Jerome Marchand) [2177177]
- libbpf: Support new cgroup local storage (Jerome Marchand) [2177177]
- bpf: Implement cgroup storage available to non-cgroup-attached bpf progs (Jerome Marchand) [2177177]
- bpf: Refactor some inode/task/sk storage functions for reuse (Jerome Marchand) [2177177]
- bpf: Make struct cgroup btf id global (Jerome Marchand) [2177177]
- selftests/bpf: Tracing prog can still do lookup under busy lock (Jerome Marchand) [2177177]
- selftests/bpf: Ensure no task storage failure for bpf_lsm.s prog due to deadlock detection (Jerome Marchand) [2177177]
- bpf: Add new bpf_task_storage_delete proto with no deadlock detection (Jerome Marchand) [2177177]
- bpf: bpf_task_storage_delete_recur does lookup first before the deadlock check (Jerome Marchand) [2177177]
- bpf: Add new bpf_task_storage_get proto with no deadlock detection (Jerome Marchand) [2177177]
- bpf: Avoid taking spinlock in bpf_task_storage_get if potential deadlock is detected (Jerome Marchand) [2177177]
- bpf: Refactor the core bpf_task_storage_get logic into a new function (Jerome Marchand) [2177177]
- bpf: Append _recur naming to the bpf_task_storage helper proto (Jerome Marchand) [2177177]
- bpf: Remove prog->active check for bpf_lsm and bpf_iter (Jerome Marchand) [2177177]
- libbpf: Btf dedup identical struct test needs check for nested structs/arrays (Jerome Marchand) [2177177]
- selftests/bpf: Add kprobe_multi kmod attach api tests (Jerome Marchand) [2177177]
- selftests/bpf: Add kprobe_multi check to module attach test (Jerome Marchand) [2177177]
- selftests/bpf: Add bpf_testmod_fentry_* functions (Jerome Marchand) [2177177]
- selftests/bpf: Add load_kallsyms_refresh function (Jerome Marchand) [2177177]
- bpf: Take module reference on kprobe_multi link (Jerome Marchand) [2177177]
- bpf: Rename __bpf_kprobe_multi_cookie_cmp to bpf_kprobe_multi_addrs_cmp (Jerome Marchand) [2177177]
- ftrace: Add support to resolve module symbols in ftrace_lookup_symbols (Jerome Marchand) [2177177]
- kallsyms: Make module_kallsyms_on_each_symbol generally available (Jerome Marchand) [2177177]
- bpftool: Add llvm feature to "bpftool version" (Jerome Marchand) [2177177]
- bpftool: Support setting alternative arch for JIT disasm with LLVM (Jerome Marchand) [2177177]
- bpftool: Add LLVM as default library for disassembling JIT-ed programs (Jerome Marchand) [2177177]
- bpftool: Refactor disassembler for JIT-ed programs (Jerome Marchand) [2177177]
- bpftool: Group libbfd defs in Makefile, only pass them if we use libbfd (Jerome Marchand) [2177177]
- bpftool: Split FEATURE_TESTS/FEATURE_DISPLAY definitions in Makefile (Jerome Marchand) [2177177]
- bpftool: Remove asserts from JIT disassembler (Jerome Marchand) [2177177]
- bpftool: Define _GNU_SOURCE only once (Jerome Marchand) [2177177]
- selftests/bpf: Add write to hashmap to array_map iter test (Jerome Marchand) [2177177]
- selftests/bpf: Add test verifying bpf_ringbuf_reserve retval use in map ops (Jerome Marchand) [2177177]
- bpf: Consider all mem_types compatible for map_{key,value} args (Jerome Marchand) [2177177]
- bpf: Allow ringbuf memory to be used as map key (Jerome Marchand) [2177177]
- selftests/bpf: Initial DENYLIST for aarch64 (Jerome Marchand) [2177177]
- selftests/bpf: Update vmtests.sh to support aarch64 (Jerome Marchand) [2177177]
- selftests/bpf: Add config.aarch64 (Jerome Marchand) [2177177]
- selftests/bpf: Remove entries from config.s390x already present in config (Jerome Marchand) [2177177]
- bpftool: Set binary name to "bpftool" in help and version output (Jerome Marchand) [2177177]
- libbpf: Avoid allocating reg_name with sscanf in parse_usdt_arg() (Jerome Marchand) [2177177]
- selftests/bpf: fix task_local_storage/exit_creds rcu usage (Jerome Marchand) [2177177]
- bpftool: Update the bash completion(add autoattach to prog load) (Jerome Marchand) [2177177]
- bpftool: Update doc (add autoattach to prog load) (Jerome Marchand) [2177177]
- bpftool: Add autoattach for bpf prog load|loadall (Jerome Marchand) [2177177]
- bpftool: Add "bootstrap" feature to version output (Jerome Marchand) [2177177]
- bpf, docs: Reformat BPF maps page to be more readable (Jerome Marchand) [2177177]
- bpf: add selftests for lsh, rsh, arsh with reg operand (Jerome Marchand) [2177177]
- bpf,x64: use shrx/sarx/shlx when available (Jerome Marchand) [2177177]
- bpf,x64: avoid unnecessary instructions when shift dest is ecx (Jerome Marchand) [2177177]
- libbpf: add non-mmapable data section selftest (Jerome Marchand) [2177177]
- libbpf: only add BPF_F_MMAPABLE flag for data maps with global vars (Jerome Marchand) [2177177]
- libbpf: clean up and refactor BTF fixup step (Jerome Marchand) [2177177]
- bpf/docs: Summarize CI system and deny lists (Jerome Marchand) [2177177]
- samples/bpf: Fix typos in README (Jerome Marchand) [2177177]
- samples/bpf: Fix double word in comments (Jerome Marchand) [2177177]
- bpf: Use rcu_trace_implies_rcu_gp() for program array freeing (Jerome Marchand) [2177177]
- bpf: Use rcu_trace_implies_rcu_gp() in local storage map (Jerome Marchand) [2177177]
- bpf: Use rcu_trace_implies_rcu_gp() in bpf memory allocator (Jerome Marchand) [2177177]
- rcu-tasks: Provide rcu_trace_implies_rcu_gp() (Jerome Marchand) [2177177]
- selftests/bpf: Use sys_pidfd_open() helper when possible (Jerome Marchand) [2177177]
- libbpf: Fix null-pointer dereference in find_prog_by_sec_insn() (Jerome Marchand) [2177177]
- libbpf: Deal with section with no data gracefully (Jerome Marchand) [2177177]
- libbpf: Use elf_getshdrnum() instead of e_shnum (Jerome Marchand) [2177177]
- selftests/bpf: Fix memory leak caused by not destroying skeleton (Jerome Marchand) [2177177]
- libbpf: Fix use-after-free in btf_dump_name_dups (Jerome Marchand) [2177177]
- selftests/bpf: S/iptables/iptables-legacy/ in the bpf_nf and xdp_synproxy test (Jerome Marchand) [2177177]
- selftests/bpf: Alphabetize DENYLISTs (Jerome Marchand) [2177177]
- selftests/bpf: Add tests for _opts variants of bpf_*_get_fd_by_id() (Jerome Marchand) [2177177]
- libbpf: Introduce bpf_link_get_fd_by_id_opts() (Jerome Marchand) [2177177]
- libbpf: Introduce bpf_btf_get_fd_by_id_opts() (Jerome Marchand) [2177177]
- libbpf: Introduce bpf_prog_get_fd_by_id_opts() (Jerome Marchand) [2177177]
- libbpf: Introduce bpf_get_fd_by_id_opts and bpf_map_get_fd_by_id_opts() (Jerome Marchand) [2177177]
- libbpf: Fix LIBBPF_1.0.0 declaration in libbpf.map (Jerome Marchand) [2177177]
- bpf, x64: Remove unnecessary check on existence of SSE2 (Jerome Marchand) [2177177]
- selftests/bpf: Add selftest deny_namespace to s390x deny list (Jerome Marchand) [2177177]
- scripts/bpf_doc.py: update logic to not assume sequential enum values (Jerome Marchand) [2177177]
- bpf: explicitly define BPF_FUNC_xxx integer values (Jerome Marchand) [2177177]
- selftests/bpf: add BPF object fixup step to veristat (Jerome Marchand) [2177177]
- selftests/bpf: avoid reporting +100%% difference in veristat for actual 0%% (Jerome Marchand) [2177177]
- selftests/bpf: allow requesting log level 2 in test_verifier (Jerome Marchand) [2177177]
- selftests/bpf: Test btf dump for struct with padding only fields (Jerome Marchand) [2177177]
- bpftool: Print newline before '}' for struct with padding only fields (Jerome Marchand) [2177177]
- net, neigh: Do not trigger immediate probes on NUD_FAILED from neigh_managed_work (Ivan Vecera) [2193175]
- net, neigh: Fix crash in v6 module initialization error path (Ivan Vecera) [2193175]
- net, neigh: Reject creating NUD_PERMANENT with NTF_MANAGED entries (Ivan Vecera) [2193175]
- net, neigh: Add build-time assertion to avoid neigh->flags overflow (Ivan Vecera) [2193175]
- net, neigh: Add NTF_MANAGED flag for managed neighbor entries (Ivan Vecera) [2193175]
- net, neigh: Extend neigh->flags to 32 bit to allow for extensions (Ivan Vecera) [2193175]
- net, neigh: Enable state migration between NUD_PERMANENT and NTF_USE (Ivan Vecera) [2193175]
- dm: don't lock fs when the map is NULL in process of resume (Benjamin Marzinski) [2189971]
- dm flakey: add an "error_reads" option (Benjamin Marzinski) [2189971]
- dm flakey: remove trailing space in the table line (Benjamin Marzinski) [2189971]
- dm flakey: fix a crash with invalid table line (Benjamin Marzinski) [2189971]
- dm ioctl: fix nested locking in table_clear() to remove deadlock concern (Benjamin Marzinski) [2189971]
- dm: unexport dm_get_queue_limits() (Benjamin Marzinski) [2189971]
- dm: allow targets to require splitting WRITE_ZEROES and SECURE_ERASE (Benjamin Marzinski) [2189971]
- dm: add helper macro for simple DM target module init and exit (Benjamin Marzinski) [2189971]
- dm raid: remove unused d variable (Benjamin Marzinski) [2189971]
- dm: remove unnecessary (void*) conversions (Benjamin Marzinski) [2189971]
- dm mirror: add DMERR message if alloc_workqueue fails (Benjamin Marzinski) [2189971]
- dm: push error reporting down to dm_register_target() (Benjamin Marzinski) [2189971]
- dm integrity: call kmem_cache_destroy() in dm_integrity_init() error path (Benjamin Marzinski) [2189971]
- dm clone: call kmem_cache_destroy() in dm_clone_init() error path (Benjamin Marzinski) [2189971]
- dm error: add discard support (Benjamin Marzinski) [2189971]
- dm zero: add discard support (Benjamin Marzinski) [2189971]
- dm table: allow targets without devices to set ->io_hints (Benjamin Marzinski) [2189971]
- dm verity: emit audit events on verification failure and more (Benjamin Marzinski) [2189971]
- dm verity: fix error handling for check_at_most_once on FEC (Benjamin Marzinski) [2189971]
- dm: improve hash_locks sizing and hash function (Benjamin Marzinski) [2189971]
- dm bio prison v1: intelligently size dm_bio_prison's prison_regions (Benjamin Marzinski) [2189971]
- dm bio prison v1: prepare to intelligently size dm_bio_prison's prison_regions (Benjamin Marzinski) [2189971]
- dm bufio: intelligently size dm_buffer_cache's buffer_trees (Benjamin Marzinski) [2189971]
- dm bufio: prepare to intelligently size dm_buffer_cache's buffer_trees (Benjamin Marzinski) [2189971]
- dm: add dm_num_hash_locks() (Benjamin Marzinski) [2189971]
- dm bio prison v1: add dm_cell_key_has_valid_range (Benjamin Marzinski) [2189971]
- dm bio prison v1: improve concurrent IO performance (Benjamin Marzinski) [2189971]
- dm: split discards further if target sets max_discard_granularity (Benjamin Marzinski) [2189971]
- dm thin: speed up cell_defer_no_holder() (Benjamin Marzinski) [2189971]
- dm bufio: use multi-page bio vector (Benjamin Marzinski) [2189971]
- dm bufio: use waitqueue_active in __free_buffer_wake (Benjamin Marzinski) [2189971]
- dm bufio: move dm_bufio_client members to avoid spanning cachelines (Benjamin Marzinski) [2189971]
- dm bufio: add lock_history optimization for cache iterators (Benjamin Marzinski) [2189971]
- dm bufio: improve concurrent IO performance (Benjamin Marzinski) [2189971]
- dm bufio: add dm_buffer_cache abstraction (Benjamin Marzinski) [2189971]
- dm bufio: add LRU abstraction (Benjamin Marzinski) [2189971]
- dm bufio: don't bug for clear developer oversight (Benjamin Marzinski) [2189971]
- dm bufio: never crash if dm_bufio_in_request() (Benjamin Marzinski) [2189971]
- dm bufio: use WARN_ON in dm_bufio_client_destroy and dm_bufio_exit (Benjamin Marzinski) [2189971]
- dm bufio: remove unused dm_bufio_release_move interface (Benjamin Marzinski) [2189971]
- dm stats: check for and propagate alloc_percpu failure (Benjamin Marzinski) [2189971]
- dm crypt: avoid accessing uninitialized tasklet (Benjamin Marzinski) [2189971]
- dm crypt: add cond_resched() to dmcrypt_write() (Benjamin Marzinski) [2189971]
- dm thin: fix deadlock when swapping to thin device (Benjamin Marzinski) [2189971]
- intel_idle: Add force_irq_on module param (David Arcari) [2185872]
- cpuidle, intel_idle: Fix CPUIDLE_FLAG_IBRS (David Arcari) [2185872]
- cpuidle, intel_idle: Fix CPUIDLE_FLAG_IRQ_ENABLE *again* (David Arcari) [2185872]
- intel_idle: move from strlcpy() with unused retval to strscpy() (David Arcari) [2185872]
- NFSD: Fix problem of COMMIT and NFS4ERR_DELAY in infinite loop (Benjamin Coddington) [2196432]
Resolves: rhbz#2092194, rhbz#2160041, rhbz#2160097, rhbz#2174972, rhbz#2177177, rhbz#2185872, rhbz#2187660, rhbz#2188088, rhbz#2189971, rhbz#2193175, rhbz#2196244, rhbz#2196432, RHEL-311

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-11 14:41:45 +02:00
Jan Stancek
a0cef23f0b kernel-5.14.0-310.el9
* Tue May 09 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-310.el9]
- mm: take a page reference when removing device exclusive entries (Donald Dutile) [2184200]
- mm: convert lock_page_or_retry() to folio_lock_or_retry() (Donald Dutile) [2184200]
- mm: convert do_swap_page() to use a folio (Donald Dutile) [2184200]
- shmem: convert shmem_replace_page() to use folios throughout (Donald Dutile) [2184200]
- shmem: convert shmem_delete_from_page_cache() to take a folio (Donald Dutile) [2184200]
- shmem: convert shmem_writepage() to use a folio throughout (Donald Dutile) [2184200]
- mm: add folio_add_lru_vma() (Donald Dutile) [2184200]
- mm/migrate_device: return number of migrating pages in args->cpages (Donald Dutile) [2159905]
- mm/memory: return vm_fault_t result from migrate_to_ram() callback (Donald Dutile) [2159905]
- nouveau: Fix migrate_to_ram() for faulting page (Donald Dutile) [2159905]
- hmm-tests: add test for migrate_device_range() (Donald Dutile) [2159905]
- nouveau/dmem: evict device private memory during release (Donald Dutile) [2159905]
- nouveau/dmem: refactor nouveau_dmem_fault_copy_one() (Donald Dutile) [2159905]
- mm/migrate_device.c: add migrate_device_range() (Donald Dutile) [2159905]
- mm/migrate_device.c: refactor migrate_vma and migrate_deivce_coherent_page() (Donald Dutile) [2159905]
- mm/memremap.c: take a pgmap reference on page allocation (Donald Dutile) [2159905]
- mm: free device private pages have zero refcount (Donald Dutile) [2159905]
- mm/memory.c: fix race when faulting a device private page (Donald Dutile) [2159905]
- PM / devfreq: imx: Register i.MX8MP interconnect device (Steve Best) [2184175]
- PM / devfreq: imx-bus: use NULL to pass a null pointer rather than zero (Steve Best) [2184175]
- enic: define constants for legacy interrupts offset (Michal Schmidt) [2101496]
- enic: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_168.RULE (part 1) (Michal Schmidt) [2101496]
- enic: Use irq_update_affinity_hint() (Michal Schmidt) [2101496]
- enic: Remove usage of the deprecated "pci-dma-compat.h" API (Michal Schmidt) [2101496]
- enic: Use dma_set_mask_and_coherent() (Michal Schmidt) [2101496]
- net: cisco: Fix a function name in comments (Michal Schmidt) [2101496]
- ethernet: enic: use eth_hw_addr_set() (Michal Schmidt) [2101496]
- enic: constify references to netdev->dev_addr in drivers (Michal Schmidt) [2101496]
- enic: use eth_hw_addr_set() for dev->addr_len cases (Michal Schmidt) [2101496]
- mm: memory-failure: make action_result() return int (Aristeu Rozanski) [2184858]
- mm: memory-failure: avoid pfn_valid() twice in soft_offline_page() (Aristeu Rozanski) [2184858]
- mm: memory-failure: make put_ref_page() more useful (Aristeu Rozanski) [2184858]
- hugetlbfs: don't delete error page from pagecache (Aristeu Rozanski) [2184858]
- mm, hwpoison: cleanup some obsolete comments (Aristeu Rozanski) [2184858]
- mm, hwpoison: check PageTable() explicitly in hwpoison_user_mappings() (Aristeu Rozanski) [2184858]
- mm, hwpoison: avoid unneeded page_mapped_in_vma() overhead in collect_procs_anon() (Aristeu Rozanski) [2184858]
- mm, hwpoison: use num_poisoned_pages_sub() to decrease num_poisoned_pages (Aristeu Rozanski) [2184858]
- mm, hwpoison: use __PageMovable() to detect non-lru movable pages (Aristeu Rozanski) [2184858]
- mm, hwpoison: use ClearPageHWPoison() in memory_failure() (Aristeu Rozanski) [2184858]
- mm: memory-failure: kill __soft_offline_page() (Aristeu Rozanski) [2184858]
- mm: memory-failure: kill soft_offline_free_page() (Aristeu Rozanski) [2184858]
- mm, hwpoison: avoid trying to unpoison reserved page (Aristeu Rozanski) [2184858]
- mm, hwpoison: kill procs if unmap fails (Aristeu Rozanski) [2184858]
- mm, hwpoison: fix possible use-after-free in mf_dax_kill_procs() (Aristeu Rozanski) [2184858]
- mm, hwpoison: fix page refcnt leaking in unpoison_memory() (Aristeu Rozanski) [2184858]
- mm, hwpoison: fix page refcnt leaking in try_memory_failure_hugetlb() (Aristeu Rozanski) [2184858]
- mm: memory-failure: cleanup try_to_split_thp_page() (Aristeu Rozanski) [2184858]
- mmc: sdhci-esdhc-imx: only enable DAT[0] and CMD line auto tuning for SDIO device (Steve Best) [2183358]
- mmc: sdhci-esdhc-imx: simplify the auto tuning logic (Steve Best) [2183358]
- mmc: sdhci-esdhc-imx: correct the tuning start tap and step setting (Steve Best) [2183358]
- mmc: sdhci-esdhc-imx: reset the tuning logic before execute tuning (Steve Best) [2183358]
- mmc: host: Fix repeated words in comments (Steve Best) [2183358]
- mmc: sdhci-esdhc-imx: improve imxrt1050 data (Steve Best) [2183358]
- mmc: sdhci-esdhc-imx: correct CQHCI exit halt state check (Steve Best) [2183358]
- mmc: sdhci-esdhc-imx: use the correct host caps for MMC_CAP_8_BIT_DATA (Steve Best) [2183358]
- mms: sdhci-esdhc-imx: Fix SDHCI_RESET_ALL for CQHCI (Steve Best) [2183358]
- mmc: sdhci-esdhc-imx: Propagate ESDHC_FLAG_HS400* only on 8bit bus (Steve Best) [2183358]
- scsi: mpt3sas: Fix an issue when driver is being removed (Tomas Henzl) [2166221]
- scsi: mpt3sas: Remove HBA BIOS version in the kernel log (Tomas Henzl) [2166221]
- scsi: mpt3sas: Don't print sense pool info twice (Tomas Henzl) [2166221]
- scsi: mpt3sas: Fix NULL pointer access in mpt3sas_transport_port_add() (Tomas Henzl) [2166221]
- scsi: mpt3sas: Fix a memory leak (Tomas Henzl) [2166221]
- scsi: mpt3sas: Demote log level for trace buffer allocation to info (Tomas Henzl) [2166221]
- scsi: mpt3sas: Fix possible resource leaks in mpt3sas_transport_port_add() (Tomas Henzl) [2166221]
- scsi: mpt3sas: Remove usage of dma_get_required_mask() API (Tomas Henzl) [2166221]
- scsi: mpt3sas: Use scsi_cmd_to_rq() instead of scsi_cmnd.request (Tomas Henzl) [2166221]
- x86: don't use REP_GOOD or ERMS for small memory clearing (Myron Stowe) [2190487]
- x86/cpufeatures: Add macros for Intel's new fast rep string features (Myron Stowe) [2190487]
- x86/microcode/intel: Do not retry microcode reloading on the APs (David Arcari) [1971938]
- x86/microcode/intel: Do not print microcode revision and processor flags (David Arcari) [1971938]
- platform/x86/intel/ifs: Add missing kernel-doc entry (David Arcari) [1971938]
- use less confusing names for iov_iter direction initializers (David Arcari) [1971938]
- Revert "platform/x86/intel/ifs: Mark as BROKEN" (David Arcari) [1971938]
- platform/x86/intel/ifs: Add current_batch sysfs entry (David Arcari) [1971938]
- platform/x86/intel/ifs: Remove reload sysfs entry (David Arcari) [1971938]
- platform/x86/intel/ifs: Add metadata validation (David Arcari) [1971938]
- platform/x86/intel/ifs: Use generic microcode headers and functions (David Arcari) [1971938]
- platform/x86/intel/ifs: Add metadata support (David Arcari) [1971938]
- x86/microcode/intel: Use a reserved field for metasize (David Arcari) [1971938]
- x86/microcode/intel: Add hdr_type to intel_microcode_sanity_check() (David Arcari) [1971938]
- x86/microcode/intel: Reuse microcode_sanity_check() (David Arcari) [1971938]
- x86/microcode/intel: Use appropriate type in microcode_sanity_check() (David Arcari) [1971938]
- x86/microcode/intel: Reuse find_matching_signature() (David Arcari) [1971938]
- platform/x86/intel/ifs: Remove memory allocation from load path (David Arcari) [1971938]
- platform/x86/intel/ifs: Remove image loading during init (David Arcari) [1971938]
- platform/x86/intel/ifs: Return a more appropriate error code (David Arcari) [1971938]
- platform/x86/intel/ifs: Remove unused selection (David Arcari) [1971938]
- x86/microcode: Drop struct ucode_cpu_info.valid (David Arcari) [1971938]
- x86/microcode: Do some minor fixups (David Arcari) [1971938]
- x86/microcode: Kill refresh_fw (David Arcari) [1971938]
- x86/microcode: Simplify init path even more (David Arcari) [1971938]
- x86/microcode: Rip out the subsys interface gunk (David Arcari) [1971938]
- x86/microcode/AMD: Track patch allocation size explicitly (David Arcari) [1971938]
- x86/microcode: Print previous version of microcode after reload (David Arcari) [1971938]
- x86/microcode: Remove ->request_microcode_user() (David Arcari) [1971938]
- x86/microcode: Document the whole late loading problem (David Arcari) [1971938]
- misc: Mark MICROCODE_MINOR unused (David Arcari) [1971938]
- x86/microcode: Remove unnecessary perf callback (David Arcari) [1971938]
- x86/microcode: Taint and warn on late loading (David Arcari) [1971938]
- x86/microcode: avoid unused variable warning (David Arcari) [1971938]
- x86/microcode: Default-disable late loading (David Arcari) [1971938]
- redhat/configs: disable CONFIG_MICROCODE_LATE_LOADING (David Arcari) [1971938]
- x86/microcode: Rip out the OLD_INTERFACE (David Arcari) [1971938]
- x86/microcode/intel: Expose collect_cpu_info_early() for IFS (David Arcari) [1971938]
- x86/cpu: Load microcode during restore_processor_state() (David Arcari) [1971938]
- treewide: Replace zero-length arrays with flexible-array members (David Arcari) [1971938]
- platform/x86/intel/ifs: Mark as BROKEN (David Arcari) [1971938]
- platform/x86/intel/ifs: Add CPU_SUP_INTEL dependency (David Arcari) [1971938]
- Documentation: In-Field Scan (David Arcari) [1971938]
- trace: platform/x86/intel/ifs: Add trace point to track Intel IFS operations (David Arcari) [1971938]
- platform/x86/intel/ifs: Add IFS sysfs interface (David Arcari) [1971938]
- platform/x86/intel/ifs: Add scan test support (David Arcari) [1971938]
- stop_machine: Add stop_core_cpuslocked() for per-core operations (David Arcari) [1971938]
- platform/x86/intel/ifs: Authenticate and copy to secured memory (David Arcari) [1971938]
- platform/x86/intel/ifs: Check IFS Image sanity (David Arcari) [1971938]
- platform/x86/intel/ifs: Read IFS firmware image (David Arcari) [1971938]
- redhat/configs: enable INTEL_IFS (David Arcari) [1971938]
- platform/x86/intel/ifs: Add stub driver for In-Field Scan (David Arcari) [1971938]
- x86/msr-index: Define INTEGRITY_CAPABILITIES MSR (David Arcari) [1971938]
- RDMA/irdma: Add ipv4 check to irdma_find_listener() (Kamal Heib) [2118840 2136324]
- RDMA/irdma: Increase iWARP CM default rexmit count (Kamal Heib) [2118840 2136324]
- RDMA/irdma: Fix memory leak of PBLE objects (Kamal Heib) [2118840 2136324]
- RDMA/irdma: Do not generate SW completions for NOPs (Kamal Heib) [2118840 2136324]
- RDMA/irdma: Remove a redundant irdma_arp_table() call (Kamal Heib) [2118840 2136324]
- xfs: don't use BMBT btree split workers for IO completion (Carlos Maiolino) [2180457]
Resolves: rhbz#1971938, rhbz#2101496, rhbz#2118840, rhbz#2136324, rhbz#2159905, rhbz#2166221, rhbz#2180457, rhbz#2183358, rhbz#2184175, rhbz#2184200, rhbz#2184858, rhbz#2190487

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-09 11:46:19 +02:00
Jan Stancek
7c999927cb kernel-5.14.0-309.el9
* Sun May 07 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-309.el9]
- scsi: ses: Handle enclosure with just a primary component gracefully (Tomas Henzl) [2188482]
- rtnetlink: advertise allmulti counter (Petr Oros) [2192483]
- ethtool: reset #lanes when lanes is omitted (Davide Caratti) [2190429]
- veth: Fix use after free in XDP_REDIRECT (Davide Caratti) [2190429]
- packet: do not set TP_STATUS_CSUM_VALID on CHECKSUM_COMPLETE (Davide Caratti) [2190429]
- af_unix: Fix a data-race in unix_dgram_peer_wake_me(). (Davide Caratti) [2190429]
- ipvlan: Make skb->skb_iif track skb->dev for l3s mode (Davide Caratti) [2190430]
- erspan: do not use skb_mac_header() in ndo_start_xmit() (Guillaume Nault) [2190389]
- net: tunnels: annotate lockless accesses to dev->needed_headroom (Guillaume Nault) [2190389]
- macvlan: Fix mc_filter calculation (Xin Long) [2179199]
- macvlan: Add netlink attribute for broadcast cutoff (Xin Long) [2179199]
- macvlan: Skip broadcast queue if multicast with single receiver (Xin Long) [2179199]
- iommufd: Do not corrupt the pfn list when doing batch carry (Jerry Snitselaar) [2181354]
- iommufd: Fix unpinning of pages when an access is present (Jerry Snitselaar) [2181354]
- iommufd: Check for uptr overflow (Jerry Snitselaar) [2181354]
- vfio: Support VFIO_NOIOMMU with iommufd (Jerry Snitselaar) [2181354]
- iommu: Remove IOMMU_CAP_INTR_REMAP (Jerry Snitselaar) [2181354]
- irq/s390: Add arch_is_isolated_msi() for s390 (Jerry Snitselaar) [2181354]
- iommu/x86: Replace IOMMU_CAP_INTR_REMAP with IRQ_DOMAIN_FLAG_ISOLATED_MSI (Jerry Snitselaar) [2181354]
- genirq/msi: Rename IRQ_DOMAIN_MSI_REMAP to IRQ_DOMAIN_ISOLATED_MSI (Jerry Snitselaar) [2181354]
- genirq/irqdomain: Remove unused irq_domain_check_msi_remap() code (Jerry Snitselaar) [2181354]
- iommufd: Convert to msi_device_has_isolated_msi() (Jerry Snitselaar) [2181354]
- vfio/type1: Convert to iommu_group_has_isolated_msi() (Jerry Snitselaar) [2181354]
- iommu: Add iommu_group_has_isolated_msi() (Jerry Snitselaar) [2181354]
- genirq/msi: Add msi_device_has_isolated_msi() (Jerry Snitselaar) [2181354]
- swiotlb: fix a braino in the alignment check fix (Jerry Snitselaar) [2181354]
- redhat: configs: Enable CONFIG_INTEL_IOMMU_PERF_EVENTS (Jerry Snitselaar) [2176996]
- iommu/vt-d: Fix an IOMMU perfmon warning when CPU hotplug (Jerry Snitselaar) [2176996]
- iommu/vt-d: Allow zero SAGAW if second-stage not supported (Jerry Snitselaar) [2181354]
- swiotlb: fix slot alignment checks (Jerry Snitselaar) [2181354]
- swiotlb: use wrap_area_index() instead of open-coding it (Jerry Snitselaar) [2181354]
- swiotlb: fix the deadlock in swiotlb_do_find_slots (Jerry Snitselaar) [2181354]
- swiotlb: remove swiotlb_max_segment (Jerry Snitselaar) [2181354]
- iommu: Attach device group to old domain in error path (Jerry Snitselaar) [2181354]
- iommu: Fix error unwind in iommu_group_alloc() (Jerry Snitselaar) [2181354]
- iommu/of: mark an unused function as __maybe_unused (Jerry Snitselaar) [2181354]
- iommu/s390: Use GFP_KERNEL in sleepable contexts (Jerry Snitselaar) [2181354]
- iommu/s390: Push the gfp parameter to the kmem_cache_alloc()'s (Jerry Snitselaar) [2181354]
- iommu/intel: Use GFP_KERNEL in sleepable contexts (Jerry Snitselaar) [2181354]
- iommu/intel: Support the gfp argument to the map_pages op (Jerry Snitselaar) [2181354]
- iommu/intel: Add a gfp parameter to alloc_pgtable_page() (Jerry Snitselaar) [2181354]
- iommufd: Use GFP_KERNEL_ACCOUNT for iommu_map() (Jerry Snitselaar) [2181354]
- iommu/dma: Use the gfp parameter in __iommu_dma_alloc_noncontiguous() (Jerry Snitselaar) [2181354]
- iommu: Add a gfp parameter to iommu_map_sg() (Jerry Snitselaar) [2181354]
- iommu: Remove iommu_map_atomic() (Jerry Snitselaar) [2181354]
- iommu: Add a gfp parameter to iommu_map() (Jerry Snitselaar) [2181354]
- iommu: dma: Use of_iommu_get_resv_regions() (Jerry Snitselaar) [2181354]
- iommu: Implement of_iommu_get_resv_regions() (Jerry Snitselaar) [2181354]
- of: Introduce of_translate_dma_region() (Jerry Snitselaar) [2181354]
- iommu: Tidy up io-pgtable dependencies (Jerry Snitselaar) [2181354]
- iommu: Remove detach_dev callback (Jerry Snitselaar) [2181354]
- iommu: Remove deferred attach check from __iommu_detach_device() (Jerry Snitselaar) [2181354]
- iommu: Add set_platform_dma_ops callbacks (Jerry Snitselaar) [2181354]
- iommu: Add set_platform_dma_ops iommu ops (Jerry Snitselaar) [2181354]
- iommu: Remove detach_dev callbacks (Jerry Snitselaar) [2181354]
- iommu/amd: Skip attach device domain is same as new domain (Jerry Snitselaar) [2181354]
- iommu/amd: Improve page fault error reporting (Jerry Snitselaar) [2181354]
- iommu/amd: Do not identity map v2 capable device when snp is enabled (Jerry Snitselaar) [2181354]
- iommu/amd: Add a length limitation for the ivrs_acpihid command-line parameter (Jerry Snitselaar) [2181354]
- iommu/amd: Do not clear event/ppr log buffer when snp is enabled (Jerry Snitselaar) [2181354]
- iommu/amd: Fix error handling for pdev_pri_ats_enable() (Jerry Snitselaar) [2181354]
- iommu/amd: Do not allocate io_pgtable_ops for passthrough domain (Jerry Snitselaar) [2181354]
- iommu/amd: Free domain ID after domain_flush_pages (Jerry Snitselaar) [2181354]
- iommu/amd: Free domain id in error path (Jerry Snitselaar) [2181354]
- iommu/vt-d: Allow to use flush-queue when first level is default (Jerry Snitselaar) [2181354]
- iommu/vt-d: Fix PASID directory pointer coherency (Jerry Snitselaar) [2181354]
- iommu/vt-d: Fix error handling in sva enable/disable paths (Jerry Snitselaar) [2181354]
- iommu/vt-d: Enable IOMMU perfmon support (Jerry Snitselaar) [2176996]
- iommu/vt-d: Add IOMMU perfmon overflow handler support (Jerry Snitselaar) [2176996]
- iommu/vt-d: Support cpumask for IOMMU perfmon (Jerry Snitselaar) [2176996]
- iommu/vt-d: Add IOMMU perfmon support (Jerry Snitselaar) [2176996]
- iommu/vt-d: Support Enhanced Command Interface (Jerry Snitselaar) [2176996]
- iommu/vt-d: Retrieve IOMMU perfmon capability information (Jerry Snitselaar) [2176996]
- iommu/vt-d: Support size of the register set in DRHD (Jerry Snitselaar) [2181354]
- iommu/vt-d: Set No Execute Enable bit in PASID table entry (Jerry Snitselaar) [2181354]
- iommu/vt-d: Remove sva from intel_svm_dev (Jerry Snitselaar) [2181354]
- iommu/vt-d: Remove users from intel_svm_dev (Jerry Snitselaar) [2181354]
- iommu/vt-d: Remove unused fields in svm structures (Jerry Snitselaar) [2181354]
- iommu/vt-d: Remove include/linux/intel-svm.h (Jerry Snitselaar) [2181354]
- iommu/arm-smmu-qcom: Select identity domain for sc8280xp MDSS (Jerry Snitselaar) [2181354]
- iommu/arm-smmu-qcom: Add SM8150 DPU compatible (Jerry Snitselaar) [2181354]
- dma-mapping: no need to pass a bus_type into get_arch_dma_ops() (Jerry Snitselaar) [2181354]
- swiotlb: mark swiotlb_memblock_alloc() as __init (Jerry Snitselaar) [2181354]
- iommu/iova: Fix alloc iova overflows issue (Jerry Snitselaar) [2181354]
- iommu/arm-smmu-v3: Don't unregister on shutdown (Jerry Snitselaar) [2181354]
- iommu/arm-smmu: Don't unregister on shutdown (Jerry Snitselaar) [2181354]
- iommu/io-pgtable: Remove map/unmap (Jerry Snitselaar) [2181354]
- iommu/io-pgtable-arm: Remove map/unmap (Jerry Snitselaar) [2181354]
- iommu: Avoid races around device probe (Jerry Snitselaar) [2181354]
- iommu/amd: Fix typo in macro parameter name (Jerry Snitselaar) [2181354]
- iommu/amd: Check return value of mmu_notifier_register() (Jerry Snitselaar) [2181354]
- iommu/amd: Fix pci device refcount leak in ppr_notifier() (Jerry Snitselaar) [2181354]
- iommu/amd: Fix ill-formed ivrs_ioapic, ivrs_hpet and ivrs_acpihid options (Jerry Snitselaar) [2181354]
- iommu/amd: Fix ivrs_acpihid cmdline parsing code (Jerry Snitselaar) [2181354]
- iommu/amd: Remove variable cnt ind iommu_poll_ga_log() (Jerry Snitselaar) [2181354]
- iommu/vt-d: Use real field for indication of first level (Jerry Snitselaar) [2181354]
- iommu/vt-d: Remove unnecessary domain_context_mapped() (Jerry Snitselaar) [2181354]
- iommu/vt-d: Rename domain_add_dev_info() (Jerry Snitselaar) [2181354]
- iommu/vt-d: Rename iommu_disable_dev_iotlb() (Jerry Snitselaar) [2181354]
- iommu/vt-d: Add blocking domain support (Jerry Snitselaar) [2181354]
- iommu/vt-d: Add device_block_translation() helper (Jerry Snitselaar) [2181354]
- iommu/vt-d: Allocate pasid table in device probe path (Jerry Snitselaar) [2181354]
- s390/pci: use lock-free I/O translation updates (Jerry Snitselaar) [2181354]
- iommu/s390: Optimize IOMMU table walking (Jerry Snitselaar) [2181354]
- iommu/s390: Use RCU to allow concurrent domain_list iteration (Jerry Snitselaar) [2181354]
- iommu/s390: Add I/O TLB ops (Jerry Snitselaar) [2181354]
- iommu/s390: Make attach succeed even if the device is in error state (Jerry Snitselaar) [2181354]
- iommu/s390: Implement map_pages()/unmap_pages() instead of map()/unmap() (Jerry Snitselaar) [2181354]
- iommu/s390: Fix incorrect pgsize_bitmap (Jerry Snitselaar) [2181354]
- iommu/s390: Fix incorrect aperture check (Jerry Snitselaar) [2181354]
- iommu/s390: Fix potential s390_domain aperture shrinking (Jerry Snitselaar) [2181354]
- iommu/s390: Get rid of s390_domain_device (Jerry Snitselaar) [2181354]
- iommu/s390: Fix duplicate domain attachments (Jerry Snitselaar) [2181354]
- iommu/arm-smmu: Warn once when the perfetcher errata patch fails to apply (Jerry Snitselaar) [2181354]
- iommu: Add note about struct iommu_fwspec usage (Jerry Snitselaar) [2181354]
- dma-mapping: reject __GFP_COMP in dma_alloc_attrs (Jerry Snitselaar) [2181354]
- dma-mapping: reject GFP_COMP for noncoherent allocations (Jerry Snitselaar) [2181354]
- ALSA: memalloc: don't use GFP_COMP for non-coherent dma allocations (Jerry Snitselaar) [2181354]
- ALSA: memalloc: don't pass bogus GFP_ flags to dma_alloc_* (Jerry Snitselaar) [2181354]
- s390/ism: don't pass bogus GFP_ flags to dma_alloc_coherent (Jerry Snitselaar) [2181354]
- RDMA/hfi1: don't pass bogus GFP_ flags to dma_alloc_coherent (Jerry Snitselaar) [2181354]
- iommu/vt-d: Fix PCI device refcount leak in dmar_dev_scope_init() (Jerry Snitselaar) [2181354]
- iommu/vt-d: Fix PCI device refcount leak in has_external_pci() (Jerry Snitselaar) [2181354]
- iommu/vt-d: Set SRE bit only when hardware has SRS cap (Jerry Snitselaar) [2181354]
- iommu/vt-d: Preset Access bit for IOVA in FL non-leaf paging entries (Jerry Snitselaar) [2181354]
- iommu/vt-d: Clean up si_domain in the init_dmars() error path (Jerry Snitselaar) [2136874]
- iommu/vt-d: Allow NVS regions in arch_rmrr_sanity_check() (Jerry Snitselaar) [2181354]
- iommu/vt-d: Use rcu_lock in get_resv_regions (Jerry Snitselaar) [2181354]
- iommu: Add gfp parameter to iommu_alloc_resv_region (Jerry Snitselaar) [2181354]
- lib/sg_pool: change module_init(sg_pool_init) to subsys_initcall (Jerry Snitselaar) [2181354]
- MAINTAINERS: merge SWIOTLB SUBSYSTEM into DMA MAPPING HELPERS (Jerry Snitselaar) [2181354]
- swiotlb: replace kmap_atomic() with memcpy_{from,to}_page() (Jerry Snitselaar) [2181354]
- iommu/iova: Fix module config properly (Jerry Snitselaar) [2181354]
- iova: Remove iovad->rcaches check in iova_rcache_get() (Jerry Snitselaar) [2181354]
- iova: Remove magazine BUG_ON() checks (Jerry Snitselaar) [2181354]
- iova: Remove some magazine pointer NULL checks (Jerry Snitselaar) [2181354]
- iommu/dma: Make header private (Jerry Snitselaar) [2181354]
- iommu/dma: Move public interfaces to linux/iommu.h (Jerry Snitselaar) [2181354]
- iommu/io-pgtable-arm: Remove iommu_dev==NULL special case (Jerry Snitselaar) [2181354]
- iommu: Remove duplicate ida_free in iommu_group_alloc (Jerry Snitselaar) [2181354]
- iommu: Remove comment of dev_has_feat in struct doc (Jerry Snitselaar) [2181354]
- iommu: Do not dereference fwnode in struct device (Jerry Snitselaar) [2181354]
- iommu/vt-d: Avoid unnecessary global DMA cache invalidation (Jerry Snitselaar) [2176984]
- iommu/vt-d: Avoid unnecessary global IRTE cache invalidation (Jerry Snitselaar) [2176984]
- iommu/vt-d: Rename cap_5lp_support to cap_fl5lp_support (Jerry Snitselaar) [2181354]
- iommu/vt-d: Remove pasid_set_eafe() (Jerry Snitselaar) [2181354]
- iommu/vt-d: Decouple PASID & PRI enabling from SVA (Jerry Snitselaar) [2181354]
- iommu/dma: Clean up Kconfig (Jerry Snitselaar) [2181354]
- iommu/virtio: Do not dereference fwnode in struct device (Jerry Snitselaar) [2181354]
- iommu/arm-smmu-v3: Make default domain type of HiSilicon PTT device to identity (Jerry Snitselaar) [2181354]
- iommu: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354]
- iommu/virtio: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354]
- iommu/tegra-smmu: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354]
- iommu/arm-smmu-v3: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354]
- iommu/arm-smmu: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354]
- iommu/amd: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354]
- iommu: Move bus setup to IOMMU device registration (Jerry Snitselaar) [2181354]
- iommu: Always register bus notifiers (Jerry Snitselaar) [2181354]
- iommu/s390: Fail probe for non-PCI devices (Jerry Snitselaar) [2181354]
- iommu/amd: Handle race between registration and device probe (Jerry Snitselaar) [2181354]
- iommu/vt-d: Handle race between registration and device probe (Jerry Snitselaar) [2181354]
- iommu/amd: Add support for AVIC when SNP is enabled (Jerry Snitselaar) [2181354]
- iommu/amd: Do not support IOMMUv2 APIs when SNP is enabled (Jerry Snitselaar) [2181354]
- iommu/amd: Do not support IOMMU_DOMAIN_IDENTITY after SNP is enabled (Jerry Snitselaar) [2181354]
- iommu/amd: Set translation valid bit only when IO page tables are in use (Jerry Snitselaar) [2181354]
- iommu/amd: Introduce function to check and enable SNP (Jerry Snitselaar) [2181354]
- swiotlb: reduce the swiotlb buffer size on allocation failure (Jerry Snitselaar) [2181354]
- swiotlb: don't panic! (Jerry Snitselaar) [2181354]
- iommu/vt-d: Avoid superfluous IOTLB tracking in lazy mode (Jerry Snitselaar) [2175621]
- iommu/vt-d: Fix buggy QAT device mask (Jerry Snitselaar) [2169569]
- iommu/vt-d: Add a fix for devices need extra dtlb flush (Jerry Snitselaar) [2169569]
- iommu/amd: Add map/unmap_pages() iommu_domain_ops callback support (Jerry Snitselaar) [2179856]
- iommu/amd/io-pgtable: Implement unmap_pages io_pgtable_ops callback (Jerry Snitselaar) [2179856]
- iommu/amd/io-pgtable: Implement map_pages io_pgtable_ops callback (Jerry Snitselaar) [2179856]
- redhat: Remove editconfig (Prarit Bhargava)
Resolves: rhbz#2136874, rhbz#2169569, rhbz#2175621, rhbz#2176984, rhbz#2176996, rhbz#2179199, rhbz#2179856, rhbz#2181354, rhbz#2188482, rhbz#2190389, rhbz#2190429, rhbz#2190430, rhbz#2192483

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-07 13:10:58 +02:00
Jan Stancek
fd81f65252 kernel-5.14.0-308.el9
* Thu May 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-308.el9]
- e1000e: Remove redundant pci_enable_pcie_error_reporting() (Ken Cox) [2153331]
- e1000e: Enable Link Partner Advertised Support (Ken Cox) [2153331]
- e1000e: Fix TX dispatch condition (Ken Cox) [2153331]
- e1000e: Remove unnecessary use of kmap_atomic() (Ken Cox) [2153331]
- e1000e: Add e1000e trace module (Ken Cox) [2153331]
- e1000e: Add support for the next LOM generation (Ken Cox) [2153331]
- e1000e: Separate MTP board type from ADP (Ken Cox) [2153331]
- ptp: introduce helpers to adjust by scaled parts per million (Ken Cox) [2153331]
- drivers/net/ethernet/e1000e: check return value of e1e_rphy() (Ken Cox) [2153331]
- scsi: scsi_dh_alua: Fix memleak for 'qdata' in alua_activate() (Ewan D. Milne) [2171093]
- scsi: core: Add BLIST_SKIP_VPD_PAGES for SKhynix H28U74301AMR (Ewan D. Milne) [2171093]
- scsi: core: Add BLIST_NO_VPD_SIZE for some VDASD (Ewan D. Milne) [2171093]
- scsi: sd: Fix wrong zone_write_granularity value during revalidate (Ewan D. Milne) [2171093]
- scsi: core: Extend struct scsi_exec_args (Ewan D. Milne) [2171093]
- scsi: core: Fix a source code comment (Ewan D. Milne) [2171093]
- scsi: Revert "scsi: core: map PQ=1, PDT=other values to SCSI_SCAN_TARGET_PRESENT" (Ewan D. Milne) [2171093]
- scsi: cxlflash: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: target: target_core_pscsi: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: virtio_scsi: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: sr: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: ses: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: zbc: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: sd: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: spi: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: core: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: scsi_dh: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: ch: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: hwmon: drivetemp: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: ata: libata-scsi: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: core: Add struct for args to execution functions (Ewan D. Milne) [2171093]
- scsi: scsi_debug: Delete unreachable code in inquiry_vpd_b0() (Ewan D. Milne) [2171093]
- scsi: core: scsi_error: Do not queue pointless abort workqueue functions (Ewan D. Milne) [2171093]
- scsi: sd: sd_zbc: Trace zone append emulation (Ewan D. Milne) [2171093]
- scsi: sg: Fix get_user() in call sg_scsi_ioctl() (Ewan D. Milne) [2171093]
- scsi: core: Use SCSI_SCAN_INITIAL in do_scsi_scan_host() (Ewan D. Milne) [2171093]
- scsi: core: Use SCSI_SCAN_RESCAN in __scsi_add_device() (Ewan D. Milne) [2171093]
- scsi: sd: Use 16-byte SYNCHRONIZE CACHE on ZBC devices (Ewan D. Milne) [2171093]
- scsi: scsi_debug: Fix possible name leak in sdebug_add_host_helper() (Ewan D. Milne) [2171093]
- scsi: scsi_debug: Fix a warning in resp_report_zones() (Ewan D. Milne) [2171093]
- scsi: scsi_debug: Fix a warning in resp_verify() (Ewan D. Milne) [2171093]
- scsi: core: Do not increase scsi_device's iorequest_cnt if dispatch failed (Ewan D. Milne) [2171093]
- scsi: core: Increase scsi_device's iodone_cnt in scsi_timeout() (Ewan D. Milne) [2171093]
- scsi: scsi_debug: Fix a warning in resp_write_scat() (Ewan D. Milne) [2171093]
- scsi: iscsi: Fix possible memory leak when device_register() failed (Ewan D. Milne) [2171093]
- scsi: scsi_debug: Fix possible UAF in sdebug_add_host_helper() (Ewan D. Milne) [2171093]
- scsi: scsi_debug: Make the READ CAPACITY response compliant with ZBC (Ewan D. Milne) [2171093]
- scsi: scsi_transport_sas: Fix error handling in sas_phy_add() (Ewan D. Milne) [2171093]
- scsi: core: Support failing requests while recovering (Ewan D. Milne) [2171093]
- scsi: core: Change the return type of .eh_timed_out() (Ewan D. Milne) [2171093]
- scsi: core: Fix a race between scsi_done() and scsi_timeout() (Ewan D. Milne) [2171093]
- scsi: core: Restrict legal sdev_state transitions via sysfs (Ewan D. Milne) [2171093]
- scsi: Use blk_rq_map_user_io helper (Ewan D. Milne) [2171093]
- scsi: core: Add I/O timeout count for SCSI device (Ewan D. Milne) [2171093]
- scsi: scsi_transport_fc: Adjust struct fc_nl_event flex array usage (Ewan D. Milne) [2171093]
- scsi: core: Remove useless host error codes (Ewan D. Milne) [2171093]
- scsi: core: Convert scsi_decide_disposition() to use SCSIML_STAT (Ewan D. Milne) [2171093]
- scsi: core: Add error codes for internal SCSI midlayer use (Ewan D. Milne) [2171093]
- scsi: cxlflash: Drop DID_ALLOC_FAILURE use (Ewan D. Milne) [2171093]
- scsi: virtio_scsi: Drop DID_NEXUS_FAILURE use (Ewan D. Milne) [2171093]
- scsi: virtio_scsi: Drop DID_TARGET_FAILURE use (Ewan D. Milne) [2171093]
- scsi: uas: Drop DID_TARGET_FAILURE use (Ewan D. Milne) [2171093]
- scsi: xen: Drop use of internal host codes (Ewan D. Milne) [2171093]
- xen/scsiback: use new command result macros (Ewan D. Milne) [2171093]
- xen/scsifront: use new command result macros (Ewan D. Milne) [2171093]
- scsi: sd: Add a comment about limiting max_sectors to shost optimal limit (Ewan D. Milne) [2171093]
- scsi: scsi_transport_sas: cap shost opt_sectors according to DMA optimal limit (Ewan D. Milne) [2171093]
- scsi: sd: allow max_sectors be capped at DMA optimal size limit (Ewan D. Milne) [2171093]
- scsi: core: cap shost max_sectors according to DMA limits only once (Ewan D. Milne) [2171093]
- sfc: Fix use-after-free due to selftest_work (Íñigo Huguet) [2159241]
- sfc: fix builds without CONFIG_RTC_LIB (Íñigo Huguet) [2159241]
- sfc: clean up some inconsistent indentings (Íñigo Huguet) [2159241]
- sfc: use IS_ENABLED() checks for CONFIG_SFC_SRIOV (Íñigo Huguet) [2159241]
- sfc: Fix spelling mistake "creationg" -> "creating" (Íñigo Huguet) [2159241]
- sfc: add support for devlink port_function_hw_addr_set in ef100 (Íñigo Huguet) [2159241]
- sfc: add support for devlink port_function_hw_addr_get in ef100 (Íñigo Huguet) [2159241]
- sfc: obtain device mac address based on firmware handle for ef100 (Íñigo Huguet) [2159241]
- sfc: add devlink port support for ef100 (Íñigo Huguet) [2159241]
- sfc: add mport lookup based on driver's mport data (Íñigo Huguet) [2159241]
- sfc: enumerate mports in ef100 (Íñigo Huguet) [2159241]
- sfc: add devlink info support for ef100 (Íñigo Huguet) [2159241]
- sfc: add devlink support for ef100 (Íñigo Huguet) [2159241]
- sfc: use sysfs_emit() to instead of scnprintf() (Íñigo Huguet) [2159241]
- sfc: Use kmap_local_page() instead of kmap_atomic() (Íñigo Huguet) [2159241]
- sfc: ensure type is valid before updating seen_gen (Íñigo Huguet) [2159241]
- sfc: fix potential memleak in __ef100_hard_start_xmit() (Íñigo Huguet) [2159241]
- sfc: implement counters readout to TC stats (Íñigo Huguet) [2159241]
- sfc: validate MAE action order (Íñigo Huguet) [2159241]
- sfc: attach an MAE counter to TC actions that need it (Íñigo Huguet) [2159241]
- sfc: accumulate MAE counter values from update packets (Íñigo Huguet) [2159241]
- sfc: add functions to allocate/free MAE counters (Íñigo Huguet) [2159241]
- sfc: add hashtables for MAE counters and counter ID mappings (Íñigo Huguet) [2159241]
- sfc: add extra RX channel to receive MAE counter updates on ef100 (Íñigo Huguet) [2159241]
- sfc: add ef100 MAE counter support functions (Íñigo Huguet) [2159241]
- sfc: add ability for extra channels to receive raw RX buffers (Íñigo Huguet) [2159241]
- sfc: add start and stop methods to channels (Íñigo Huguet) [2159241]
- sfc: add ability for an RXQ to grant credits on refill (Íñigo Huguet) [2159241]
- sfc: fix ef100 RX prefix macro (Íñigo Huguet) [2159241]
- ptp: convert remaining drivers to adjfine interface (Íñigo Huguet) [2159241]
- sfc: add Layer 4 matches to ef100 TC offload (Íñigo Huguet) [2159241]
- sfc: add Layer 3 flag matches to ef100 TC offload (Íñigo Huguet) [2159241]
- sfc: add Layer 3 matches to ef100 TC offload (Íñigo Huguet) [2159241]
- sfc: add Layer 2 matches to ef100 TC offload (Íñigo Huguet) [2159241]
- sfc: check recirc_id match caps before MAE offload (Íñigo Huguet) [2159241]
- sfc (gcc13): synchronize ef100_enqueue_skb()'s return type (Íñigo Huguet) [2159241]
- sfc: remove 'log-tc-errors' ethtool private flag (Íñigo Huguet) [2159241]
- sfc: use formatted extacks instead of efx_tc_err() (Íñigo Huguet) [2159241]
- sfc: include vport_id in filter spec hash and equal() (Íñigo Huguet) [2159241]
- sfc: Change VF mac via PF as first preference if available. (Íñigo Huguet) [2159241]
- sfc: bare bones TC offload on EF100 (Íñigo Huguet) [2159241]
- sfc: interrogate MAE capabilities at probe time (Íñigo Huguet) [2159241]
- sfc: add a hashtable for offloaded TC rules (Íñigo Huguet) [2159241]
- sfc: optional logging of TC offload errors (Íñigo Huguet) [2159241]
- sfc: bind indirect blocks for TC offload on EF100 (Íñigo Huguet) [2159241]
- sfc: bind blocks for TC offload on EF100 (Íñigo Huguet) [2159241]
- sfc: introduce shutdown entry point in efx pci driver (Íñigo Huguet) [2159241]
- ata: pata_octeon_cf: drop kernel-doc notation (Tomas Henzl) [2162218]
- ata: libata-core: Disable READ LOG DMA EXT for Samsung MZ7LH (Tomas Henzl) [2162218]
- ata: libata: Fix sata_down_spd_limit() when no link speed is reported (Tomas Henzl) [2162218]
- ata: remove palmld pata driver (Tomas Henzl) [2162218]
- pata: remove samsung_cf driver (Tomas Henzl) [2162218]
- ata: pata_cs5535: Don't build on UML (Tomas Henzl) [2162218]
- ata: libata: move NCQ related ATA_DFLAGs (Tomas Henzl) [2162218]
- ata: libata: exclude FUA support for known buggy drives (Tomas Henzl) [2162218]
- ata: libata: Fix FUA handling in ata_build_rw_tf() (Tomas Henzl) [2162218]
- ata: libata: cleanup fua support detection (Tomas Henzl) [2162218]
- doc: admin-guide: Update libata kernel parameters (Tomas Henzl) [2162218]
- ata: libata: Rename and cleanup ata_rwcmd_protocol() (Tomas Henzl) [2162218]
- ata: libata-scsi: improve ata_scsiop_maint_in() (Tomas Henzl) [2162218]
- ata: libata-scsi: do not overwrite SCSI ML and status bytes (Tomas Henzl) [2162218]
- ata: libata: respect successfully completed commands during errors (Tomas Henzl) [2162218]
- ata: libata: read the shared status for successful NCQ commands once (Tomas Henzl) [2162218]
- ata: libata: simplify qc_fill_rtf port operation interface (Tomas Henzl) [2162218]
- ata: scsi: rename flag ATA_QCFLAG_FAILED to ATA_QCFLAG_EH (Tomas Henzl) [2162218]
- ata: libata-eh: Cleanup ata_scsi_cmd_error_handler() (Tomas Henzl) [2162218]
- ata: octeon: Drop empty platform remove function (Tomas Henzl) [2162218]
- ata: ahci: Fix PCS quirk application for suspend (Tomas Henzl) [2162218]
- ata: libahci_platform: ahci_platform_find_clk: oops, NULL pointer (Tomas Henzl) [2162218]
- ata: ahci: fix enum constants for gcc-13 (Tomas Henzl) [2162218]
- ata: ahci: Rename `AHCI_HFLAG_IS_MOBILE` (Tomas Henzl) [2162218]
- ata: libata: fix commands incorrectly not getting retried during NCQ error (Tomas Henzl) [2162218]
- ata: ahci: Remove linux/msi.h include (Tomas Henzl) [2162218]
- ata: sata_dwc_460ex: Check !irq instead of irq == NO_IRQ (Tomas Henzl) [2162218]
- ata: pata_ep93xx: use devm_platform_get_and_ioremap_resource() (Tomas Henzl) [2162218]
- ata: libata-core: do not issue non-internal commands once EH is pending (Tomas Henzl) [2162218]
- ata: libata-transport: fix error handling in ata_tdev_add() (Tomas Henzl) [2162218]
- ata: libata-transport: fix error handling in ata_tlink_add() (Tomas Henzl) [2162218]
- ata: libata-transport: fix error handling in ata_tport_add() (Tomas Henzl) [2162218]
- ata: libata-transport: fix double ata_host_put() in ata_tport_add() (Tomas Henzl) [2162218]
- ata: libata-sff: kill unused ata_sff_busy_sleep() (Tomas Henzl) [2162218]
- ata: libata-scsi: fix SYNCHRONIZE CACHE (16) command failure (Tomas Henzl) [2162218]
- ata: pata_legacy: fix pdc20230_set_piomode() (Tomas Henzl) [2162218]
- ata: sata_dwc_460ex: remove variable num_processed (Tomas Henzl) [2162218]
- ata: remove palmchip pata_bk3710 driver (Tomas Henzl) [2162218]
- ata: libata-core: do not retry reading the log on timeout (Tomas Henzl) [2162218]
- ata: make use of ata_port_is_frozen() helper (Tomas Henzl) [2162218]
- ata: pata_ftide010: Remove build dependency on OF (Tomas Henzl) [2162218]
- ata: sata_gemini: Remove dependency on OF for compile tests (Tomas Henzl) [2162218]
- ata: ahci_qoriq: Fix compilation warning (Tomas Henzl) [2162218]
- ata: ahci_imx: Fix compilation warning (Tomas Henzl) [2162218]
- ata: ahci_xgene: Fix compilation warning (Tomas Henzl) [2162218]
- ata: ahci_brcm: Fix compilation warning (Tomas Henzl) [2162218]
- ata: sata_rcar: Fix compilation warning (Tomas Henzl) [2162218]
- ata: ahci_st: Fix compilation warning (Tomas Henzl) [2162218]
- ata: ahci: Match EM_MAX_SLOTS with SATA_PMP_MAX_PORTS (Tomas Henzl) [2162218]
- ata: ahci-imx: Fix MODULE_ALIAS (Tomas Henzl) [2162218]
- ata: pata_mpc52xx: Replace NO_IRQ with 0 (Tomas Henzl) [2162218]
- ata: libahci: read correct status and error field for NCQ commands (Tomas Henzl) [2162218]
- ata: libata: fetch sense data for ATA devices supporting sense reporting (Tomas Henzl) [2162218]
- ata: libata: only set sense valid flag if sense data is valid (Tomas Henzl) [2162218]
- ata: libata: clarify when ata_eh_request_sense() will be called (Tomas Henzl) [2162218]
- ata: libata: fix NCQ autosense logic (Tomas Henzl) [2162218]
- ata: libata-sata: Fix device queue depth control (Tomas Henzl) [2162218]
- ata: libata-scsi: Fix initialization of device queue depth (Tomas Henzl) [2162218]
- libata: add ATA_HORKAGE_NOLPM for Pioneer BDR-207M and BDR-205 (Tomas Henzl) [2162218]
- ata: libata-eh: avoid needless hard reset when revalidating link (Tomas Henzl) [2162218]
- ata: libata: drop superfluous ata_eh_analyze_tf() parameter (Tomas Henzl) [2162218]
- ata: libata: drop superfluous ata_eh_request_sense() parameter (Tomas Henzl) [2162218]
- ata: libata-eh: Remove the unneeded result variable (Tomas Henzl) [2162218]
- ata: ahci_st: Enable compile test (Tomas Henzl) [2162218]
- ata: ahci_st: Fix compilation warning (Tomas Henzl) [2162218]
- ata: libahci_platform: Add function returning a clock-handle by id (Tomas Henzl) [2162218]
- ata: ahci: Introduce firmware-specific caps initialization (Tomas Henzl) [2162218]
- ata: ahci: Convert __ahci_port_base to accepting hpriv as arguments (Tomas Henzl) [2162218]
- ata: libahci: Don't read AHCI version twice in the save-config method (Tomas Henzl) [2162218]
- ata: libahci: Discard redundant force_port_map parameter (Tomas Henzl) [2162218]
- ata: libahci: Extend port-cmd flags set with port capabilities (Tomas Henzl) [2162218]
- ata: libahci_platform: Introduce reset assertion/deassertion methods (Tomas Henzl) [2162218]
- ata: libahci_platform: Parse ports-implemented property in resources getter (Tomas Henzl) [2162218]
- ata: libahci_platform: Sanity check the DT child nodes number (Tomas Henzl) [2162218]
- ata: libahci_platform: Convert to using devm bulk clocks API (Tomas Henzl) [2162218]
- ata: libahci_platform: Convert to using platform devm-ioremap methods (Tomas Henzl) [2162218]
- ata: libata-core: Check errors in sata_print_link_status() (Tomas Henzl) [2162218]
- ata: libata-sff: Fix double word in comments (Tomas Henzl) [2162218]
- ata: pata_macio: Remove unneeded word in comments (Tomas Henzl) [2162218]
- ata: libata-core: Simplify ata_dev_set_xfermode() (Tomas Henzl) [2162218]
- ata: libata-core: Simplify ata_build_rw_tf() (Tomas Henzl) [2162218]
- ata: libata: Rename ATA_DFLAG_NCQ_PRIO_ENABLE (Tomas Henzl) [2162218]
- ata: libata-core: remove redundant err_mask variable (Tomas Henzl) [2162218]
- ata: ahci: Do not check ACPI_FADT_LOW_POWER_S0 (Tomas Henzl) [2162218]
- ata: libata-core: improve parameter names for ata_dev_set_feature() (Tomas Henzl) [2162218]
- ata: libata-eh: Add missing command name (Tomas Henzl) [2162218]
- ata: sata_mv: Fixes expected number of resources now IRQs are gone (Tomas Henzl) [2162218]
- ata: libata-scsi: cap ata_device->max_sectors according to shost->max_sectors (Tomas Henzl) [2162218]
- ata: ahci_brcm: bcmbca: Replace ARCH_BCM_63XX with ARCH_BCMBCA (Tomas Henzl) [2162218]
- ata: pata_cs5535: Fix W=1 warnings (Tomas Henzl) [2162218]
- ata: libata-scsi: fix result type of ata_ioc32() (Tomas Henzl) [2162218]
- ata: pata_macio: Fix compilation warning (Tomas Henzl) [2162218]
- ata: libata-eh: fix sloppy result type of ata_internal_cmd_timeout() (Tomas Henzl) [2162218]
- ata: libata-eh: fix sloppy result type of ata_eh_nr_in_flight() (Tomas Henzl) [2162218]
- ata: make transfer mode masks *unsigned int* (Tomas Henzl) [2162218]
- ata: libata-core: get rid of *else* branches in ata_id_n_sectors() (Tomas Henzl) [2162218]
- ata: libata-core: fix sloppy typing in ata_id_n_sectors() (Tomas Henzl) [2162218]
- ata: pata_hpt3x2n: pass base DPLL frequency to hpt3x2n_pci_clock() (Tomas Henzl) [2162218]
- ata: pata_hpt37x: merge hpt374_read_freq() to hpt37x_pci_clock() (Tomas Henzl) [2162218]
- ata: pata_hpt37x: factor out hpt37x_pci_clock() (Tomas Henzl) [2162218]
- ata: pata_hpt37x: move claculating PCI clock from hpt37x_clock_slot() (Tomas Henzl) [2162218]
- ata: libata: Fix syntax errors in comments (Tomas Henzl) [2162218]
- ata: libata-transport: fix {dma|pio|xfer}_mode sysfs files (Tomas Henzl) [2162218]
- libata: fix translation of concurrent positioning ranges (Tomas Henzl) [2162218]
- libata: fix reading concurrent positioning ranges log (Tomas Henzl) [2162218]
- ata: pata_octeon_cf: Fix refcount leak in octeon_cf_probe (Tomas Henzl) [2162218]
- ata: libata-core: fix NULL pointer deref in ata_host_alloc_pinfo() (Tomas Henzl) [2162218]
- ata: pata_ftide010: Remove unneeded ERROR check before clk_disable_unprepare (Tomas Henzl) [2162218]
- ata: libata-core: Allow forcing most horkage flags (Tomas Henzl) [2162218]
- ata: libata-core: Improve link flags forced settings (Tomas Henzl) [2162218]
- ata: libata-core: Refactor force_tbl definition (Tomas Henzl) [2162218]
- ata: libata-core: cleanup ata_device_blacklist (Tomas Henzl) [2162218]
- ata: simplify the return expression of brcm_ahci_remove (Tomas Henzl) [2162218]
- ata: pata_marvell: Check the 'bmdma_addr' beforing reading (Tomas Henzl) [2162218]
- ata: Make use of the helper function devm_platform_ioremap_resource() (Tomas Henzl) [2162218]
- ata: libata-core: replace "its" with "it is" (Tomas Henzl) [2162218]
- ata: pata_via: fix sloppy typing in via_do_set_mode() (Tomas Henzl) [2162218]
- ata: pata_sil680: fix result type of sil680_sel{dev|reg}() (Tomas Henzl) [2162218]
- ata: libata-core: fix parameter type in ata_xfer_mode2shift() (Tomas Henzl) [2162218]
- ata: libata: drop 'sas_last_tag' (Tomas Henzl) [2162218]
- libata: Improve ATA queued command allocation (Tomas Henzl) [2162218]
- ata: pata_mpc52xx: Prepare cleanup of powerpc's asm/prom.h (Tomas Henzl) [2162218]
- ata: libata-core: Disable READ LOG DMA EXT for Samsung 840 EVOs (Tomas Henzl) [2162218]
- ata: sata_dwc_460ex: Fix crash due to OOB write (Tomas Henzl) [2162218]
- ata: libata-sff: Fix compilation warning in ata_sff_lost_interrupt() (Tomas Henzl) [2162218]
- ata: add ata_port_is_frozen() helper (Tomas Henzl) [2162218]
- ata: make ata_port::fastdrain_cnt *unsigned int* (Tomas Henzl) [2162218]
- ata: libata: Set __ATA_BASE_SHT max_sectors (Tomas Henzl) [2162218]
- ata: libata: Introduce ata_ncq_supported() (Tomas Henzl) [2162218]
- scsi: Define the COMPLETED sense key (Tomas Henzl) [2162218]
- cxl/hdm: Fail upon detecting 0-sized decoders (John W. Linville) [2171891]
- cxl/pci: Handle excessive CDAT length (John W. Linville) [2171891]
- cxl/pci: Handle truncated CDAT entries (John W. Linville) [2171891]
- cxl/pci: Handle truncated CDAT header (John W. Linville) [2171891]
- cxl/pci: Fix CDAT retrieval on big endian (John W. Linville) [2171891]
- Documentation: cxl: remove dangling kernel-doc reference (John W. Linville) [2171891]
- cxl/region: Cleanup target list on attach error (John W. Linville) [2171891]
- cxl/memdev: Fix endpoint port removal (John W. Linville) [2171891]
- cxl/mbox: Fix Payload Length check for Get Log command (John W. Linville) [2171891]
- cxl/region: Fix passthrough-decoder detection (John W. Linville) [2171891]
- cxl/region: Fix null pointer dereference for resetting decoder (John W. Linville) [2171891]
- cxl/pmem: Fix nvdimm unregistration when cxl_pmem driver is absent (John W. Linville) [2171891]
- cxl: fix cxl_report_and_clear() RAS UE addr mis-assignment (John W. Linville) [2171891]
- cxl/region: Fix memdev reuse check (John W. Linville) [2171891]
- cxl/pci: Remove endian confusion (John W. Linville) [2171891]
- cxl/pci: Add some type-safety to the AER trace points (John W. Linville) [2171891]
- cxl/security: Drop security command ioctl uapi (John W. Linville) [2171891]
- cxl/mbox: Add variable output size validation for internal commands (John W. Linville) [2171891]
- cxl/mbox: Enable cxl_mbox_send_cmd() users to validate output size (John W. Linville) [2171891]
- cxl/security: Fix Get Security State output payload endian handling (John W. Linville) [2171891]
- cxl: update names for interleave ways conversion macros (John W. Linville) [2171891]
- cxl: update names for interleave granularity conversion macros (John W. Linville) [2171891]
- cxl/acpi: Warn about an invalid CHBCR in an existing CHBS entry (John W. Linville) [2171891]
- tools/testing/cxl: Require cache invalidation bypass (John W. Linville) [2171891]
- cxl/acpi: Fail decoder add if CXIMS for HBIG is missing (John W. Linville) [2171891]
- cxl/region: Fix spelling mistake "memergion" -> "memregion" (John W. Linville) [2171891]
- cxl/regs: Fix sparse warning (John W. Linville) [2171891]
- tools/testing/cxl: Add an RCH topology (John W. Linville) [2171891]
- cxl/port: Add RCD endpoint port enumeration (John W. Linville) [2171891]
- cxl/mem: Move devm_cxl_add_endpoint() from cxl_core to cxl_mem (John W. Linville) [2171891]
- tools/testing/cxl: Add XOR Math support to cxl_test (John W. Linville) [2171891]
- ACPICA: Add CXL 3.0 structures (CXIMS & RDPAS) to the CEDT table (John W. Linville) [2171891]
- cxl/acpi: Support CXL XOR Interleave Math (CXIMS) (John W. Linville) [2171891]
- cxl/pci: Add callback to log AER correctable error (John W. Linville) [2171891]
- cxl/pci: Add (hopeful) error handling support (John W. Linville) [2171891]
- cxl/pci: add tracepoint events for CXL RAS (John W. Linville) [2171891]
- cxl/pci: Find and map the RAS Capability Structure (John W. Linville) [2171891]
- cxl/pci: Prepare for mapping RAS Capability Structure (John W. Linville) [2171891]
- cxl/port: Limit the port driver to just the HDM Decoder Capability (John W. Linville) [2171891]
- cxl/core/regs: Make cxl_map_{component, device}_regs() device generic (John W. Linville) [2171891]
- cxl/pci: Kill cxl_map_regs() (John W. Linville) [2171891]
- cxl/pci: Cleanup cxl_map_device_regs() (John W. Linville) [2171891]
- cxl/pci: Cleanup repeated code in cxl_probe_regs() helpers (John W. Linville) [2171891]
- cxl/acpi: Extract component registers of restricted hosts from RCRB (John W. Linville) [2171891]
- redhat: config option for CXL_REGION_INVALIDATION_TEST (John W. Linville) [2171891]
- cxl/region: Manage CPU caches relative to DPA invalidation events (John W. Linville) [2171891]
- cxl/pmem: Enforce keyctl ABI for PMEM security (John W. Linville) [2171891]
- cxl/region: Fix missing probe failure (John W. Linville) [2171891]
- cxl/ACPI: Register CXL host ports by bridge device (John W. Linville) [2171891]
- tools/testing/cxl: Make mock CEDT parsing more robust (John W. Linville) [2171891]
- cxl/acpi: Move rescan to the workqueue (John W. Linville) [2171891]
- cxl/pmem: Remove the cxl_pmem_wq and related infrastructure (John W. Linville) [2171891]
- cxl: add dimm_id support for __nvdimm_create() (John W. Linville) [2171891]
- cxl/pmem: Refactor nvdimm device registration, delete the workqueue (John W. Linville) [2171891]
- cxl/region: Drop redundant pmem region release handling (John W. Linville) [2171891]
- cxl/acpi: Simplify cxl_nvdimm_bridge probing (John W. Linville) [2171891]
- cxl/pmem: add provider name to cxl pmem dimm attribute group (John W. Linville) [2171891]
- memregion: Add cpu_cache_invalidate_memregion() interface (John W. Linville) [2171891]
- tools/testing/cxl: add mechanism to lock mem device for testing (John W. Linville) [2171891]
- cxl/pmem: add id attribute to CXL based nvdimm (John W. Linville) [2171891]
- nvdimm/cxl/pmem: Add support for master passphrase disable security command (John W. Linville) [2171891]
- tools/testing/cxl: Add "passphrase secure erase" opcode support (John W. Linville) [2171891]
- cxl/pmem: Add "Passphrase Secure Erase" security command support (John W. Linville) [2171891]
- tools/testing/cxl: Add "Unlock" security opcode support (John W. Linville) [2171891]
- cxl/pmem: Add "Unlock" security command support (John W. Linville) [2171891]
- tools/testing/cxl: Add "Freeze Security State" security opcode support (John W. Linville) [2171891]
- cxl/pmem: Add "Freeze Security State" security command support (John W. Linville) [2171891]
- tools/testing/cxl: Add "Disable" security opcode support (John W. Linville) [2171891]
- cxl/pmem: Add Disable Passphrase security command support (John W. Linville) [2171891]
- tools/testing/cxl: Add "Set Passphrase" opcode support (John W. Linville) [2171891]
- cxl/pmem: Add "Set Passphrase" security command support (John W. Linville) [2171891]
- tools/testing/cxl: Add "Get Security State" opcode support (John W. Linville) [2171891]
- cxl/pmem: Introduce nvdimm_security_ops with ->get_flags() operation (John W. Linville) [2171891]
- cxl: Replace HDM decoder granularity magic numbers (John W. Linville) [2171891]
- cxl/acpi: Improve debug messages in cxl_acpi_probe() (John W. Linville) [2171891]
- tools/testing/cxl: Add bridge mocking support (John W. Linville) [2171891]
- cxl: Unify debug messages when calling devm_cxl_add_dport() (John W. Linville) [2171891]
- cxl: Unify debug messages when calling devm_cxl_add_port() (John W. Linville) [2171891]
- cxl/core: Check physical address before mapping it in devm_cxl_iomap_block() (John W. Linville) [2171891]
- cxl/core: Remove duplicate declaration of devm_cxl_iomap_block() (John W. Linville) [2171891]
- cxl/doe: Request exclusive DOE access (John W. Linville) [2171891]
- cxl/region: Recycle region ids (John W. Linville) [2171891]
- cxl/region: Fix 'distance' calculation with passthrough ports (John W. Linville) [2171891]
- tools/testing/cxl: Add a single-port host-bridge regression config (John W. Linville) [2171891]
- tools/testing/cxl: Fix some error exits (John W. Linville) [2171891]
- cxl/pmem: Fix cxl_pmem_region and cxl_memdev leak (John W. Linville) [2171891]
- cxl/region: Fix cxl_region leak, cleanup targets at region delete (John W. Linville) [2171891]
- cxl/region: Fix region HPA ordering validation (John W. Linville) [2171891]
- cxl/pmem: Use size_add() against integer overflow (John W. Linville) [2171891]
- cxl/region: Fix decoder allocation crash (John W. Linville) [2171891]
- cxl/pmem: Fix failure to account for 8 byte header for writes to the device LSA. (John W. Linville) [2171891]
- cxl/region: Fix null pointer dereference due to pass through decoder commit (John W. Linville) [2171891]
- cxl/mbox: Add a check on input payload size (John W. Linville) [2171891]
- cxl/hdm: Fix skip allocations vs multiple pmem allocations (John W. Linville) [2171891]
- cxl/region: Disallow region granularity != window granularity (John W. Linville) [2171891]
- cxl/region: Fix x1 interleave to greater than x1 interleave routing (John W. Linville) [2171891]
- cxl/region: Move HPA setup to cxl_region_attach() (John W. Linville) [2171891]
- cxl/region: Fix decoder interleave programming (John W. Linville) [2171891]
- cxl/region: describe targets and nr_targets members of cxl_region_params (John W. Linville) [2171891]
- cxl/regions: add padding for cxl_rr_ep_add nested lists (John W. Linville) [2171891]
- cxl/region: Fix IS_ERR() vs NULL check (John W. Linville) [2171891]
- cxl/region: Fix region reference target accounting (John W. Linville) [2171891]
- cxl/region: Fix region commit uninitialized variable warning (John W. Linville) [2171891]
- cxl/region: Fix port setup uninitialized variable warnings (John W. Linville) [2171891]
- cxl/region: Stop initializing interleave granularity (John W. Linville) [2171891]
- cxl/hdm: Fix DPA reservation vs cxl_endpoint_decoder lifetime (John W. Linville) [2171891]
- cxl/acpi: Minimize granularity for x1 interleaves (John W. Linville) [2171891]
- cxl/region: Delete 'region' attribute from root decoders (John W. Linville) [2171891]
- cxl/acpi: Autoload driver for 'cxl_acpi' test devices (John W. Linville) [2171891]
- cxl/region: decrement ->nr_targets on error in cxl_region_attach() (John W. Linville) [2171891]
- cxl/region: prevent underflow in ways_to_cxl() (John W. Linville) [2171891]
- cxl/region: uninitialized variable in alloc_hpa() (John W. Linville) [2171891]
- cxl/region: Introduce cxl_pmem_region objects (John W. Linville) [2171891]
- cxl/pmem: Fix offline_nvdimm_bus() to offline by bridge (John W. Linville) [2171891]
- cxl/region: Add region driver boiler plate (John W. Linville) [2171891]
- cxl/hdm: Commit decoder state to hardware (John W. Linville) [2171891]
- cxl/region: Program target lists (John W. Linville) [2171891]
- cxl/region: Attach endpoint decoders (John W. Linville) [2171891]
- cxl/acpi: Add a host-bridge index lookup mechanism (John W. Linville) [2171891]
- cxl/region: Enable the assignment of endpoint decoders to regions (John W. Linville) [2171891]
- cxl/region: Allocate HPA capacity to regions (John W. Linville) [2171891]
- cxl/region: Add interleave geometry attributes (John W. Linville) [2171891]
- cxl/region: Add a 'uuid' attribute (John W. Linville) [2171891]
- cxl/region: Add region creation support (John W. Linville) [2171891]
- cxl/mem: Enumerate port targets before adding endpoints (John W. Linville) [2171891]
- cxl/hdm: Add sysfs attributes for interleave ways + granularity (John W. Linville) [2171891]
- cxl/port: Move dport tracking to an xarray (John W. Linville) [2171891]
- cxl/port: Move 'cxl_ep' references to an xarray per port (John W. Linville) [2171891]
- cxl/port: Record parent dport when adding ports (John W. Linville) [2171891]
- cxl/port: Record dport in endpoint references (John W. Linville) [2171891]
- cxl/hdm: Add support for allocating DPA to an endpoint decoder (John W. Linville) [2171891]
- cxl/hdm: Track next decoder to allocate (John W. Linville) [2171891]
- cxl/hdm: Add 'mode' attribute to decoder objects (John W. Linville) [2171891]
- cxl/hdm: Enumerate allocated DPA (John W. Linville) [2171891]
- cxl/core: Define a 'struct cxl_endpoint_decoder' (John W. Linville) [2171891]
- cxl/core: Define a 'struct cxl_root_decoder' (John W. Linville) [2171891]
- cxl/acpi: Track CXL resources in iomem_resource (John W. Linville) [2171891]
- cxl/core: Define a 'struct cxl_switch_decoder' (John W. Linville) [2171891]
- cxl/port: Read CDAT table (John W. Linville) [2171891]
- cxl/pci: Create PCI DOE mailbox's for memory devices (John W. Linville) [2171891]
- cxl/pmem: Delete unused nvdimm attribute (John W. Linville) [2171891]
- cxl/hdm: Initialize decoder type for memory expander devices (John W. Linville) [2171891]
- cxl/port: Cache CXL host bridge data (John W. Linville) [2171891]
- tools/testing/cxl: Add partition support (John W. Linville) [2171891]
- tools/testing/cxl: Expand CFMWS windows (John W. Linville) [2171891]
- tools/testing/cxl: Move cxl_test resources to the top of memory (John W. Linville) [2171891]
- cxl/mem: Add a debugfs version of 'iomem' for DPA, 'dpamem' (John W. Linville) [2171891]
- cxl/debug: Move debugfs init to cxl_core_init() (John W. Linville) [2171891]
- cxl/hdm: Require all decoders to be enumerated (John W. Linville) [2171891]
- cxl/mem: Convert partition-info to resources (John W. Linville) [2171891]
- cxl: Introduce cxl_to_{ways,granularity} (John W. Linville) [2171891]
- cxl/core: Drop is_cxl_decoder() (John W. Linville) [2171891]
- cxl/core: Drop ->platform_res attribute for root decoders (John W. Linville) [2171891]
- cxl/core: Rename ->decoder_range ->hpa_range (John W. Linville) [2171891]
- cxl/hdm: Use local hdm variable (John W. Linville) [2171891]
- cxl/port: Keep port->uport valid for the entire life of a port (John W. Linville) [2171891]
- tools/testing/cxl: Fix cxl_hdm_decode_init() calling convention (John W. Linville) [2171891]
- cxl/mbox: Fix missing variable payload checks in cmd size validation (John W. Linville) [2171891]
- cxl/core: Use is_endpoint_decoder (John W. Linville) [2171891]
- cxl/port: Enable HDM Capability after validating DVSEC Ranges (John W. Linville) [2171891]
- cxl/port: Reuse 'struct cxl_hdm' context for hdm init (John W. Linville) [2171891]
- cxl/port: Move endpoint HDM Decoder Capability init to port driver (John W. Linville) [2171891]
- cxl/pci: Drop @info argument to cxl_hdm_decode_init() (John W. Linville) [2171891]
- cxl/mem: Merge cxl_dvsec_ranges() and cxl_hdm_decode_init() (John W. Linville) [2171891]
- cxl/mem: Skip range enumeration if mem_enable clear (John W. Linville) [2171891]
- cxl/mem: Consolidate CXL DVSEC Range enumeration in the core (John W. Linville) [2171891]
- cxl/pci: Move cxl_await_media_ready() to the core (John W. Linville) [2171891]
- cxl/mem: Validate port connectivity before dvsec ranges (John W. Linville) [2171891]
- cxl/mem: Fix cxl_mem_probe() error exit (John W. Linville) [2171891]
- cxl/pci: Drop wait_for_valid() from cxl_await_media_ready() (John W. Linville) [2171891]
- cxl/pci: Consolidate wait_for_media() and wait_for_media_ready() (John W. Linville) [2171891]
- cxl/mbox: fix logical vs bitwise typo (John W. Linville) [2171891]
- cxl/mbox: Replace NULL check with IS_ERR() after vmemdup_user() (John W. Linville) [2171891]
- cxl/mbox: Use type __u32 for mailbox payload sizes (John W. Linville) [2171891]
- PM: CXL: Disable suspend (John W. Linville) [2171891]
- cxl/mem: Replace redundant debug message with a comment (John W. Linville) [2171891]
- cxl/mem: Rename cxl_dvsec_decode_init() to cxl_hdm_decode_init() (John W. Linville) [2171891]
- cxl/mem: Make cxl_dvsec_range() init failure fatal (John W. Linville) [2171891]
- cxl/pci: Add debug for DVSEC range init failures (John W. Linville) [2171891]
- cxl/mem: Drop DVSEC vs EFI Memory Map sanity check (John W. Linville) [2171891]
- cxl/mbox: Use new return_code handling (John W. Linville) [2171891]
- cxl/mbox: Improve handling of mbox_cmd hw return codes (John W. Linville) [2171891]
- cxl/pci: Use CXL_MBOX_SUCCESS to check against mbox_cmd return code (John W. Linville) [2171891]
- cxl/mbox: Drop mbox_mutex comment (John W. Linville) [2171891]
- cxl/pmem: Remove CXL SET_PARTITION_INFO from exclusive_cmds list (John W. Linville) [2171891]
- cxl/mbox: Block immediate mode in SET_PARTITION_INFO command (John W. Linville) [2171891]
- cxl/mbox: Move cxl_mem_command param to a local variable (John W. Linville) [2171891]
- cxl/mbox: Make handle_mailbox_cmd_from_user() use a mbox param (John W. Linville) [2171891]
- cxl/mbox: Remove dependency on cxl_mem_command for a debug msg (John W. Linville) [2171891]
- cxl/mbox: Construct a users cxl_mbox_cmd in the validation path (John W. Linville) [2171891]
- cxl/mbox: Move build of user mailbox cmd to a helper functions (John W. Linville) [2171891]
- cxl/mbox: Move raw command warning to raw command validation (John W. Linville) [2171891]
- cxl/mbox: Move cxl_mem_command construction to helper funcs (John W. Linville) [2171891]
- resource: Introduce alloc_free_mem_region() (John W. Linville) [2171891]
- driver-core: Introduce BIN_ATTR_ADMIN_{RO,RW} (John W. Linville) [2171891]
- tools/testing/cxl: add mock output for the GET_HEALTH_INFO command (John W. Linville) [2171891]
- dmaengine: idma64: Make idma64_remove() return void (Jerry Snitselaar) [2181355]
- dmaengine: idma64: Update bytes_transferred field (Jerry Snitselaar) [2181355]
- dmaengine: imx-sdma: Set DMA channel to be private (Jerry Snitselaar) [2181355]
- dmaengine: dw: Move check for paused channel to dwc_get_residue() (Jerry Snitselaar) [2181355]
- dmaengine: ptdma: check for null desc before calling pt_cmd_callback (Jerry Snitselaar) [2181355]
- dmaengine: idxd: Fix default allowed read buffers value in group (Jerry Snitselaar) [2181355]
- dmaengine: Simplify dmaenginem_async_device_register() function (Jerry Snitselaar) [2181355]
- dmaengine: use sysfs_emit() to instead of scnprintf() (Jerry Snitselaar) [2181355]
- dmaengine: drivers: Use devm_platform_ioremap_resource() (Jerry Snitselaar) [2181355]
- dmaengine: Make an order in struct dma_device definition (Jerry Snitselaar) [2181355]
- dmaengine: imx-sdma: support hdmi in sdma (Jerry Snitselaar) [2181355]
- dmaengine: idxd: Set traffic class values in GRPCFG on DSA 2.0 (Jerry Snitselaar) [2181355]
- dmaengine: idxd: Remove the unused function set_completion_address() (Jerry Snitselaar) [2181355]
- dmaengine: Fix dma_slave_config.dst_addr description (Jerry Snitselaar) [2181355]
- ptdma: pt_core_execute_cmd() should use spinlock (Jerry Snitselaar) [2181355]
- dmaengine: imx-sdma: Fix a possible memory leak in sdma_transfer_init (Jerry Snitselaar) [2181355]
- dmaengine: idxd: Remove linux/msi.h include (Jerry Snitselaar) [2181355]
- dmaengine: ioat: Fix spelling mistake "idel" -> "idle" (Jerry Snitselaar) [2181355]
- dmaengine: ioat: remove unused declarations in dma.h (Jerry Snitselaar) [2181355]
- dmaengine: ioat: stop mod_timer from resurrecting deleted timer in __cleanup() (Jerry Snitselaar) [2153930]
- dmaengine: idxd: Remove unused struct idxd_fault (Jerry Snitselaar) [2181355]
- dmaengine: mxs: use platform_driver_register (Jerry Snitselaar) [2181355]
- dmaengine: hsu: Include headers we are direct user of (Jerry Snitselaar) [2181355]
- dmaengine: hsu: Use GENMASK() consistently (Jerry Snitselaar) [2181355]
- dmaengine: remove DMA_MEMCPY_SG once again (Jerry Snitselaar) [2181355]
- redhat: configs: fix CONFIG_WERROR replace in build_configs (Jan Stancek)
Resolves: rhbz#2153331, rhbz#2153930, rhbz#2159241, rhbz#2162218, rhbz#2171093, rhbz#2171891, rhbz#2181355

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-04 21:01:35 +02:00
Jan Stancek
6436af6fb2 kernel-5.14.0-306.el9
* Sat Apr 29 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-306.el9]
- x86/PCI: Add quirk for AMD XHCI controller that loses MSI-X state in D3hot (Myron Stowe) [2188822]
- PCI/MSI: Provide missing stub for pci_msix_can_alloc_dyn() (Myron Stowe) [2188822]
- PCI: dwc: Fix PORT_LINK_CONTROL update when CDM check enabled (Myron Stowe) [2188822]
- x86/split_lock: Enumerate architectural split lock disable bit (David Arcari) [2123536]
- redhat: add new kunit tests (Nico Pache) [2168378]
- kunit: drm: make DRM buddy test compatible with other pages sizes (Nico Pache) [2168378]
- lib/cpumask_kunit: log mask contents (Nico Pache) [2168378]
- lib/test_cpumask: follow KUnit style guidelines (Nico Pache) [2168378]
- lib/test_cpumask: fix cpu_possible_mask last test (Nico Pache) [2168378]
- lib/test_cpumask: drop cpu_possible_mask full test (Nico Pache) [2168378]
- cpumask: update cpumask_next_wrap() signature (Nico Pache) [2168378]
- lib/test: introduce cpumask KUnit test suite (Nico Pache) [2168378]
- cpumask: Fix invalid uniprocessor mask assumption (Nico Pache) [2168378]
- cpumask: replace cpumask_next_* with cpumask_first_* where appropriate (Nico Pache) [2168378]
- iio: test: rescale: add MODULE_* information (Nico Pache) [2168378]
- iio: test: format: add MODULE_* information (Nico Pache) [2168378]
- list: introduce list_is_head() helper and re-use it in list.h (Nico Pache) [2168378]
- kunit: fix kunit_test_init_section_suites(...) (Nico Pache) [2168378]
- Documentation: kunit: Remove redundant 'tips.rst' page (Nico Pache) [2168378]
- Documentation: KUnit: reword description of assertions (Nico Pache) [2168378]
- kunit: remove format func from struct kunit_assert, get it to 0 bytes (Nico Pache) [2168378]
- kunit: tool: remove UML specific options from all_tests_uml.config (Nico Pache) [2168378]
- tracepoint: Allow trace events in modules with TAINT_TEST (Nico Pache) [2168378]
- tools: Add new "test" taint to kernel-chktaint (Nico Pache) [2168378]
- kunit: fix assert_type for comparison macros (Nico Pache) [2168378]
- module: kunit: Load .kunit_test_suites section when CONFIG_KUNIT=m (Nico Pache) [2168378]
- mmc: sdhci-of-aspeed: test: Fix dependencies when KUNIT=m (Nico Pache) [2168378]
- MAINTAINERS: kunit: add David Gow as a maintainer of KUnit (Nico Pache) [2168378]
- drm/tests: Split up test cases in igt_check_drm_format_min_pitch (Nico Pache) [2168378]
- Documentation: KUnit: Fix example with compilation error (Nico Pache) [2168378]
- kasan: test: Silence GCC 12 warnings (Nico Pache) [2168378]
- Documentation: kunit: Add CLI args for kunit_tool (Nico Pache) [2168378]
- kcsan: test: Add a .kunitconfig to run KCSAN tests (Nico Pache) [2168378]
- iio: test: rework Kconfig to support modules (Nico Pache) [2168378]
- iio: test: format: follow CONFIG_ naming convention (Nico Pache) [2168378]
- kunit: executor: Fix a memory leak on failure in kunit_filter_tests (Nico Pache) [2168378]
- clk: explicitly disable CONFIG_UML_PCI_OVER_VIRTIO in .kunitconfig (Nico Pache) [2168378]
- mmc: sdhci-of-aspeed: test: Use kunit_test_suite() macro (Nico Pache) [2168378]
- nitro_enclaves: test: Use kunit_test_suite() macro (Nico Pache) [2168378]
- kunit: flatten kunit_suite*** to kunit_suite** in .kunit_test_suites (Nico Pache) [2168378]
- kunit: unify module and builtin suite definitions (Nico Pache) [2168378]
- Documentation: kunit: fix example run_kunit func to allow spaces in args (Nico Pache) [2168378]
- drm: selftest: convert drm_mm selftest to KUnit (Nico Pache) [2168378]
- drm: selftest: convert drm_buddy selftest to KUnit (Nico Pache) [2168378]
- drm: selftest: convert drm_framebuffer selftest to KUnit (Nico Pache) [2168378]
- drm: selftest: convert drm_dp_mst_helper selftest to KUnit (Nico Pache) [2168378]
- drm: selftest: convert drm_plane_helper selftest to KUnit (Nico Pache) [2168378]
- drm: selftest: convert drm_format selftest to KUnit (Nico Pache) [2168378]
- drm: selftest: convert drm_rect selftest to KUnit (Nico Pache) [2168378]
- drm: selftest: convert drm_cmdline_parser selftest to KUnit (Nico Pache) [2168378]
- drm: selftest: convert drm_damage_helper selftest to KUnit (Nico Pache) [2168378]
- Documentation: kunit: Cleanup run_wrapper, fix x-ref (Nico Pache) [2168378]
- kunit: test.h: fix a kernel-doc markup (Nico Pache) [2168378]
- kunit: tool: Enable virtio/PCI by default on UML (Nico Pache) [2168378]
- kunit: tool: make --kunitconfig repeatable, blindly concat (Nico Pache) [2168378]
- kunit: add coverage_uml.config to enable GCOV on UML (Nico Pache) [2168378]
- kunit: tool: refactor internal kconfig handling, allow overriding (Nico Pache) [2168378]
- kunit: tool: introduce --qemu_args (Nico Pache) [2168378]
- kunit: tool: simplify creating LinuxSourceTreeOperations (Nico Pache) [2168378]
- kunit: tool: cosmetic: don't specify duplicate kernel cmdline options (Nico Pache) [2168378]
- kunit: tool: refactoring printing logic into kunit_printer.py (Nico Pache) [2168378]
- kunit: tool: redo how we construct and mock LinuxSourceTree (Nico Pache) [2168378]
- kunit: tool: drop unused load_config argument (Nico Pache) [2168378]
- kunit: use kmemdup in kunit_filter_tests(), take suite as const (Nico Pache) [2168378]
- apparmor: test: Remove some casts which are no-longer required (Nico Pache) [2168378]
- lib: overflow: Do not define 64-bit tests on 32-bit (Nico Pache) [2168378]
- kunit: Taint the kernel when KUnit tests are run (Nico Pache) [2168378]
- panic: Taint kernel if tests are run (Nico Pache) [2168378]
- drm/doc: Add KUnit documentation (Nico Pache) [2168378]
- iio: test: fix missing MODULE_LICENSE for IIO_RESCALE=m (Nico Pache) [2168378]
- platform/chrome: cros_ec_proto: add Kunit tests for cros_ec_check_result() (Nico Pache) [2168378]
- kunit: tool: Use qemu-system-i386 for i386 runs (Nico Pache) [2168378]
- kunit: fix executor OOM error handling logic on non-UML (Nico Pache) [2168378]
- kunit: tool: update riscv QEMU config with new serial dependency (Nico Pache) [2168378]
- kunit: tool: Add list of all valid test configs on UML (Nico Pache) [2168378]
- kunit: take `kunit_assert` as `const` (Nico Pache) [2168378]
- kunit: tool: misc cleanups (Nico Pache) [2168378]
- kunit: tool: minor cosmetic cleanups in kunit_parser.py (Nico Pache) [2168378]
- kunit: tool: make parser stop overwriting status of suites w/ no_tests (Nico Pache) [2168378]
- kunit: tool: remove dead parse_crash_in_log() logic (Nico Pache) [2168378]
- kunit: tool: print clearer error message when there's no TAP output (Nico Pache) [2168378]
- kunit: tool: stop using a shell to run kernel under QEMU (Nico Pache) [2168378]
- kunit: tool: update test counts summary line format (Nico Pache) [2168378]
- kunit: bail out of test filtering logic quicker if OOM (Nico Pache) [2168378]
- lib/Kconfig.debug: change KUnit tests to default to KUNIT_ALL_TESTS (Nico Pache) [2168378]
- kunit: Rework kunit_resource allocation policy (Nico Pache) [2168378]
- drm/todo: Add entry for converting kselftests to kunit (Nico Pache) [2168378]
- drm/todo: Add entry for using kunit in the subsystem (Nico Pache) [2168378]
- kunit: fix debugfs code to use enum kunit_status, not bool (Nico Pache) [2168378]
- kunit: add ability to specify suite-level init and exit functions (Nico Pache) [2168378]
- kunit: rename print_subtest_{start,end} for clarity (s/subtest/suite) (Nico Pache) [2168378]
- kunit: add support for kunit_suites that reference init code (Nico Pache) [2168378]
- Documentation: kunit: change complete_and_exit to kthread_complete_and_exit (Nico Pache) [2168378]
- kunit: Make kunit_remove_resource() idempotent (Nico Pache) [2168378]
- list: test: Test the hlist structure (Nico Pache) [2168378]
- Documentation: kunit: update kconfig options needed for UML coverage (Nico Pache) [2168378]
- kunit: split resource API impl from test.c into new resource.c (Nico Pache) [2168378]
- kunit: split resource API from test.h into new resource.h (Nico Pache) [2168378]
- kunit: tool: more descriptive metavars/--help output (Nico Pache) [2168378]
- kunit: tool: Do not colorize output when redirected (Nico Pache) [2168378]
- kunit: tool: properly report the used arch for --json, or '' if not known (Nico Pache) [2168378]
- kunit: tool: refactor how we plumb metadata into JSON (Nico Pache) [2168378]
- kunit: tool: readability tweaks in KernelCI json generation logic (Nico Pache) [2168378]
- apparmor: test: Use NULL macros (Nico Pache) [2168378]
- kasan: test: Use NULL macros (Nico Pache) [2168378]
- kunit: use NULL macros (Nico Pache) [2168378]
- kunit: Introduce _NULL and _NOT_NULL macros (Nico Pache) [2168378]
- kunit: tool: simplify code since build_dir can't be None (Nico Pache) [2168378]
- kunit: tool: drop last uses of collections.namedtuple (Nico Pache) [2168378]
- kunit: tool: drop unused KernelDirectoryPath var (Nico Pache) [2168378]
- kunit: tool: make --json handling a bit clearer (Nico Pache) [2168378]
- Documentation: kunit: fix path to .kunitconfig in start.rst (Nico Pache) [2168378]
- Documentation: kunit: Fix cross-referencing warnings (Nico Pache) [2168378]
- kunit: make kunit_test_timeout compatible with comment (Nico Pache) [2168378]
- lib: stackinit: Convert to KUnit (Nico Pache) [2168378]
- lib/test_stackinit: Add assigned initializers (Nico Pache) [2168378]
- lib/test_stackinit: Allow building stand-alone (Nico Pache) [2168378]
- lib/test_stackinit: Fix static initializer test (Nico Pache) [2168378]
- clk: Introduce Kunit Tests for the framework (Nico Pache) [2168378]
- binfmt_elf: Introduce KUnit test (Nico Pache) [2168378]
- dt-bindings: iio: afe: add bindings for temperature transducers (Nico Pache) [2168378]
- dt-bindings: iio: afe: add bindings for temperature-sense-rtd (Nico Pache) [2168378]
- iio: afe: rescale: add temperature transducers (Nico Pache) [2168378]
- iio: afe: rescale: add RTD temperature sensor support (Nico Pache) [2168378]
- iio: test: add basic tests for the iio-rescale driver (Nico Pache) [2168378]
- lib: overflow: Convert to Kunit (Nico Pache) [2168378]
- overflow: Provide constant expression struct_size (Nico Pache) [2168378]
- overflow: Implement size_t saturating arithmetic helpers (Nico Pache) [2168378]
- test_overflow: Regularize test reporting output (Nico Pache) [2168378]
- iio: afe: rescale: reduce risk of integer overflow (Nico Pache) [2168378]
- iio: afe: rescale: fix accuracy for small fractional scales (Nico Pache) [2168378]
- iio: afe: rescale: add offset support (Nico Pache) [2168378]
- iio: afe: rescale: add INT_PLUS_{MICRO,NANO} support (Nico Pache) [2168378]
- iio: afe: rescale: expose scale processing function (Nico Pache) [2168378]
- list: test: Add a test for list_entry_is_head() (Nico Pache) [2168378]
- list: test: Add a test for list_is_head() (Nico Pache) [2168378]
- list: test: Add test for list_del_init_careful() (Nico Pache) [2168378]
- Documentation: KUnit: Fix usage bug (Nico Pache) [2168378]
- kunit: fix missing f in f-string in run_checks.py (Nico Pache) [2168378]
- kunit: cleanup assertion macro internal variables (Nico Pache) [2168378]
- kunit: factor out str constants from binary assertion structs (Nico Pache) [2168378]
- kunit: consolidate KUNIT_INIT_BINARY_ASSERT_STRUCT macros (Nico Pache) [2168378]
- kunit: remove va_format from kunit_assert (Nico Pache) [2168378]
- kunit: tool: drop mostly unused KunitResult.result field (Nico Pache) [2168378]
- kunit: decrease macro layering for EQ/NE asserts (Nico Pache) [2168378]
- kunit: decrease macro layering for integer asserts (Nico Pache) [2168378]
- kunit: reduce layering in string assertion macros (Nico Pache) [2168378]
- kunit: drop unused intermediate macros for ptr inequality checks (Nico Pache) [2168378]
- kunit: make KUNIT_EXPECT_EQ() use KUNIT_EXPECT_EQ_MSG(), etc. (Nico Pache) [2168378]
- kunit: tool: Import missing importlib.abc (Nico Pache) [2168378]
- kunit: drop unused assert_type from kunit_assert and clean up macros (Nico Pache) [2168378]
- kunit: split out part of kunit_assert into a static const (Nico Pache) [2168378]
- kunit: factor out kunit_base_assert_format() call into kunit_fail() (Nico Pache) [2168378]
- kunit: drop unused kunit* field in kunit_assert (Nico Pache) [2168378]
- kunit: move check if assertion passed into the macros (Nico Pache) [2168378]
- kunit: add example test case showing off all the expect macros (Nico Pache) [2168378]
- clk: gate: Add some kunit test suites (Nico Pache) [2168378]
- kunit: replace kernel.h with the necessary inclusions (Nico Pache) [2168378]
- test_hash.c: refactor into kunit (Nico Pache) [2168378]
- lib/Kconfig.debug: properly split hash test kernel entries (Nico Pache) [2168378]
- test_hash.c: split test_hash_init (Nico Pache) [2168378]
- test_hash.c: split test_int_hash into arch-specific functions (Nico Pache) [2168378]
- hash.h: remove unused define directive (Nico Pache) [2168378]
- Documentation: KUnit: Restyled Frequently Asked Questions (Nico Pache) [2168378]
- Documentation: KUnit: Restyle Test Style and Nomenclature page (Nico Pache) [2168378]
- Documentation: KUnit: Rework writing page to focus on writing tests (Nico Pache) [2168378]
- Documentation: kunit: Reorganize documentation related to running tests (Nico Pache) [2168378]
- Documentation: KUnit: Added KUnit Architecture (Nico Pache) [2168378]
- Documentation: KUnit: Rewrite getting started (Nico Pache) [2168378]
- Documentation: KUnit: Rewrite main page (Nico Pache) [2168378]
- kunit: tool: Default --jobs to number of CPUs (Nico Pache) [2168378]
- kunit: tool: fix newly introduced typechecker errors (Nico Pache) [2168378]
- kunit: tool: make `build` subcommand also reconfigure if needed (Nico Pache) [2168378]
- kunit: tool: delete kunit_parser.TestResult type (Nico Pache) [2168378]
- kunit: tool: use dataclass instead of collections.namedtuple (Nico Pache) [2168378]
- kunit: tool: suggest using decode_stacktrace.sh on kernel crash (Nico Pache) [2168378]
- kunit: tool: reconfigure when the used kunitconfig changes (Nico Pache) [2168378]
- kunit: tool: revamp message for invalid kunitconfig (Nico Pache) [2168378]
- kunit: tool: add --kconfig_add to allow easily tweaking kunitconfigs (Nico Pache) [2168378]
- kunit: tool: move Kconfig read_from_file/parse_from_string to package-level (Nico Pache) [2168378]
- kunit: tool: print parsed test results fully incrementally (Nico Pache) [2168378]
- kunit: Report test parameter results as (K)TAP subtests (Nico Pache) [2168378]
- kunit: Don't crash if no parameters are generated (Nico Pache) [2168378]
- kunit: tool: Report an error if any test has no subtests (Nico Pache) [2168378]
- kunit: tool: Do not error on tests without test plans (Nico Pache) [2168378]
- kunit: add run_checks.py script to validate kunit changes (Nico Pache) [2168378]
- Documentation: kunit: remove claims that kunit is a mocking framework (Nico Pache) [2168378]
- kunit: tool: fix --json output for skipped tests (Nico Pache) [2168378]
- net: kunit: add a test for dev_addr_lists (Nico Pache) [2168378]
- nitro_enclaves: Add KUnit tests for contiguous physical memory regions merging (Nico Pache) [2168378]
- nitro_enclaves: Add KUnit tests setup for the misc device functionality (Nico Pache) [2168378]
- include/kunit/test.h: replace kernel.h with the necessary inclusions (Nico Pache) [2168378]
- kunit: tool: fix typecheck errors about loading qemu configs (Nico Pache) [2168378]
- kunit: tool: continue past invalid utf-8 output (Nico Pache) [2168378]
- kunit: Reset suite count after running tests (Nico Pache) [2168378]
- kunit: tool: improve compatibility of kunit_parser with KTAP specification (Nico Pache) [2168378]
- kunit: tool: yield output from run_kernel in real time (Nico Pache) [2168378]
- kunit: tool: support running each suite/test separately (Nico Pache) [2168378]
- kunit: tool: actually track how long it took to run tests (Nico Pache) [2168378]
- kunit: tool: factor exec + parse steps into a function (Nico Pache) [2168378]
- kunit: add 'kunit.action' param to allow listing out tests (Nico Pache) [2168378]
- kunit: tool: show list of valid --arch options when invalid (Nico Pache) [2168378]
- kunit: tool: misc fixes (unused vars, imports, leaked files) (Nico Pache) [2168378]
- kunit: fix too small allocation when using suite-only kunit.filter_glob (Nico Pache) [2168378]
- kunit: tool: allow filtering test cases via glob (Nico Pache) [2168378]
- kunit: drop assumption in kunit-log-test about current suite (Nico Pache) [2168378]
- kunit: fix kernel-doc warnings due to mismatched arg names (Nico Pache) [2168378]
- bitfield: build kunit tests without structleak plugin (Nico Pache) [2168378]
- gcc-plugins/structleak: add makefile var for disabling structleak (Nico Pache) [2168378]
- kunit: fix reference count leak in kfree_at_end (Nico Pache) [2168378]
- kunit: tool: better handling of quasi-bool args (--json, --raw_output) (Nico Pache) [2168378]
- kcsan: test: Use kunit_skip() to skip tests (Nico Pache) [2168378]
- kcsan: test: Defer kcsan_test_init() after kunit initialization (Nico Pache) [2168378]
- lib/test: convert test_sort.c to use KUnit (Nico Pache) [2168378]
- math: RATIONAL_KUNIT_TEST should depend on RATIONAL instead of selecting it (Nico Pache) [2168378]
- math: make RATIONAL tristate (Nico Pache) [2168378]
- kunit: Print test statistics on failure (Nico Pache) [2168378]
- kunit: tool: make --raw_output support only showing kunit output (Nico Pache) [2168378]
- kunit: tool: add --kernel_args to allow setting module params (Nico Pache) [2168378]
- kunit: ubsan integration (Nico Pache) [2168378]
- fat: Add KUnit tests for checksums and timestamps (Nico Pache) [2168378]
- rtc: move RTC_LIB_KUNIT_TEST to proper location (Nico Pache) [2168378]
- RDMA/cma: Distinguish between sockaddr_in and sockaddr_in6 by size (Kamal Heib) [2168937]
- RDMA/umem: Remove unused 'work' member from struct ib_umem (Kamal Heib) [2168937]
- RDMA/restrack: Correct spelling (Kamal Heib) [2168937]
- scripts/spelling.txt: add "exsits" pattern and fix typo instances (Kamal Heib) [2168937]
- RDMA/cma: Refactor the inbound/outbound path records process flow (Kamal Heib) [2168937]
- RDMA/core: Refactor rdma_bind_addr (Kamal Heib) [2168937]
- RDMA/umem: Use dma-buf locked API to solve deadlock (Kamal Heib) [2168936]
- IB/IPoIB: Fix legacy IPoIB due to wrong number of queues (Kamal Heib) [2168936]
- RDMA/core: Fix ib block iterator counter overflow (Kamal Heib) [2168936]
- RDMA/srp: Move large values to a new enum for gcc13 (Kamal Heib) [2168936]
- IB/IPoIB: Fix queue count inconsistency for PKEY child interfaces (Kamal Heib) [2168936]
- RDMA/cm: Make QP FLUSHABLE for supported device (Kamal Heib) [2168936]
- RDMA: Extend RDMA kernel verbs ABI to support flush (Kamal Heib) [2168936]
- RDMA: Extend RDMA user ABI to support flush (Kamal Heib) [2168936]
- RDMA/cma: Change RoCE packet life time from 18 to 16 (Kamal Heib) [2168936]
- RDMA/srp: Fix error return code in srp_parse_options() (Kamal Heib) [2168936]
- RDMA: Extend RDMA kernel ABI to support atomic write (Kamal Heib) [2168936]
- RDMA: Extend RDMA user ABI to support atomic write (Kamal Heib) [2168936]
- RDMA/umem: remove FOLL_FORCE usage (Kamal Heib) [2168936]
- RDMA/nldev: Fix failure to send large messages (Kamal Heib) [2168936]
- RDMA/nldev: Add NULL check to silence false warnings (Kamal Heib) [2168936]
- RDMA/nldev: Add checks for nla_nest_start() in fill_stat_counter_qps() (Kamal Heib) [2168936]
- IB/isert: use the ISCSI_LOGIN_CURRENT_STAGE macro (Kamal Heib) [2168936]
- RDMA/nldev: Return "-EAGAIN" if the cm_id isn't from expected port (Kamal Heib) [2168936]
- RDMA/core: Make sure "ib_port" is valid when access sysfs node (Kamal Heib) [2168936]
- RDMA/restrack: Release MR restrack when delete (Kamal Heib) [2168936]
- IB/mad: Don't call to function that might sleep while in atomic context (Kamal Heib) [2168936]
- RDMA/core: Fix order of nldev_exit call (Kamal Heib) [2168936]
- RDMA/core: fix repeated words in comments (Kamal Heib) [2168936]
- IB/iser: open code iser_disconnected_handler (Kamal Heib) [2168936]
- IB/iser: add safety checks for state_mutex lock (Kamal Heib) [2168936]
- IB/iser: open code iser_conn_state_comp_exch (Kamal Heib) [2168936]
- RDMA/opa_vnic: fix spelling typo in comment (Kamal Heib) [2168936]
- RDMA/core: return -EOPNOSUPP for ODP unsupported device (Kamal Heib) [2168936]
- IB/uverbs: fix the typo of optional (Kamal Heib) [2168936]
- RDMA/umem: Prepare to dynamic dma-buf locking specification (Kamal Heib) [2168936]
- treewide: use get_random_u32() when possible (Kamal Heib) [2168933]
- treewide: use prandom_u32_max() when possible, part 1 (Kamal Heib) [2168933]
- RDMA/core: Clean up a variable name in ib_create_srq_user() (Kamal Heib) [2168933]
- RDMA/srp: Support more than 255 rdma ports (Kamal Heib) [2168933]
- RDMA/cm: Use DLID from inbound/outbound PathRecords as the datapath DLID (Kamal Heib) [2168933]
- RDMA/cma: Multiple path records support with netlink channel (Kamal Heib) [2168933]
- RDMA/core: Rename rdma_route.num_paths field to num_pri_alt_paths (Kamal Heib) [2168933]
- RDMA/srpt: Use flex array destination for memcpy() (Kamal Heib) [2168933]
- IB/cm: Refactor cm_insert_listen() and cm_find_listen() (Kamal Heib) [2168933]
- IB/cm: remove cm_id_priv->id.service_mask and service_mask parameter of cm_init_listen() (Kamal Heib) [2168933]
- IB/cm: Remove the service_mask parameter from ib_cm_listen() (Kamal Heib) [2168933]
- RDMA/core: Remove 'device' argument from rdma_build_skb() (Kamal Heib) [2168933]
- RDMA/srp: Use the attribute group mechanism for sysfs attributes (Kamal Heib) [2168933]
- RDMA/srp: Handle dev_set_name() failure (Kamal Heib) [2168933]
- RDMA/srp: Remove the srp_host.released completion (Kamal Heib) [2168933]
- RDMA/srp: Rework the srp_add_port() error path (Kamal Heib) [2168933]
- IB: move from strlcpy with unused retval to strscpy (Kamal Heib) [2168933]
- treewide: uapi: Replace zero-length arrays with flexible-array members (Kamal Heib) [2168933]
- RDMA/core: Delete IPsec flow action logic from the core (Kamal Heib) [2168933]
- scsi: qedf: Remove set but unused variable 'page' (Nilesh Javali) [2164963]
- scsi: qedf: Fix a UAF bug in __qedf_probe() (Nilesh Javali) [2164963]
- nh: fix scope used to find saddr when adding non gw nh (Guillaume Nault) [2189195]
- Revert "ip: fix dflt addr selection for connected nexthop" (Guillaume Nault) [2189195]
- Revert "ip: fix triggering of 'icmp redirect'" (Guillaume Nault) [2189195]
- ip: fix triggering of 'icmp redirect' (Guillaume Nault) [2189195]
- selftests/net: test nexthop without gw (Guillaume Nault) [2189195]
- ip: fix dflt addr selection for connected nexthop (Guillaume Nault) [2189195]
- skbuff: Extract list pointers to silence compiler warnings (Eric Chanudet) [2166789]
- tools/thermal: Fix possible path truncations (Eric Chanudet) [2166789]
- udf: Avoid using stale lengthOfImpUse (Eric Chanudet) [2166789]
- udf: Get rid of 0-length arrays in struct fileIdentDesc (Eric Chanudet) [2166789]
- udf: Get rid of 0-length arrays (Eric Chanudet) [2166789]
- udf: Remove unused declaration (Eric Chanudet) [2166789]
- udf: Check LVID earlier (Eric Chanudet) [2166789]
- treewide: Replace open-coded flex arrays in unions (Eric Chanudet) [2166789]
- hv_sock: Extract hvs_send_data() helper that takes only header (Eric Chanudet) [2166789]
- scsi: lpfc: Use struct_group to isolate cast to larger object (Eric Chanudet) [2166789]
- ipv4: Fix incorrect table ID in IOCTL path (Guillaume Nault) [2187873]
- ext4: fix possible double unlock when moving a directory (Carlos Maiolino) [2188241]
- ext4: zero i_disksize when initializing the bootloader inode (Carlos Maiolino) [2188241]
- ext4: make sure fs error flag setted before clear journal error (Carlos Maiolino) [2188241]
- ext4: commit super block if fs record error when journal record without error (Carlos Maiolino) [2188241]
- ext4, jbd2: add an optimized bmap for the journal inode (Carlos Maiolino) [2188241]
- ext4: fix WARNING in ext4_update_inline_data (Carlos Maiolino) [2188241]
- ext4: move where set the MAY_INLINE_DATA flag is set (Carlos Maiolino) [2188241]
- ext4: Fix deadlock during directory rename (Carlos Maiolino) [2188241]
- ext4: Fix comment about the 64BIT feature (Carlos Maiolino) [2188241]
- ext4: fix another off-by-one fsmap error on 1k block filesystems (Carlos Maiolino) [2188241]
- ext4: fix RENAME_WHITEOUT handling for inline directories (Carlos Maiolino) [2188241]
- ext4: make kobj_type structures constant (Carlos Maiolino) [2188241]
- ext4: fix cgroup writeback accounting with fs-layer encryption (Carlos Maiolino) [2188241]
- ext4: fix incorrect options show of original mount_opt and extend mount_opt2 (Carlos Maiolino) [2188241]
- ext4: Fix possible corruption when moving a directory (Carlos Maiolino) [2188241]
- ext4: init error handle resource before init group descriptors (Carlos Maiolino) [2188241]
- ext4: fix task hung in ext4_xattr_delete_inode (Carlos Maiolino) [2188241]
- jbd2: fix data missing when reusing bh which is ready to be checkpointed (Carlos Maiolino) [2188241]
- ext4: update s_journal_inum if it changes after journal replay (Carlos Maiolino) [2188241]
- ext4: fail ext4_iget if special inode unallocated (Carlos Maiolino) [2188241]
- ext4: remove unnecessary variable initialization (Carlos Maiolino) [2188241]
- ext4: fix inode tree inconsistency caused by ENOMEM (Carlos Maiolino) [2188241]
- ext4: refuse to create ea block when umounted (Carlos Maiolino) [2188241]
- ext4: optimize ea_inode block expansion (Carlos Maiolino) [2188241]
- ext4: allocate extended attribute value in vmalloc area (Carlos Maiolino) [2188241]
- ext4: remove dead code in updating backup sb (Carlos Maiolino) [2188241]
- ext4: dio take shared inode lock when overwriting preallocated blocks (Carlos Maiolino) [2188241]
- ext4: don't show commit interval if it is zero (Carlos Maiolino) [2188241]
- ext4: use ext4_fc_tl_mem in fast-commit replay path (Carlos Maiolino) [2188241]
- ext4: improve xattr consistency checking and error reporting (Carlos Maiolino) [2188241]
- fs/ext4: use try_cmpxchg in ext4_update_bh_state (Carlos Maiolino) [2188241]
- ext4: Fix function prototype mismatch for ext4_feat_ktype (Carlos Maiolino) [2188241]
- ext4: make xattr char unsignedness in hash explicit (Carlos Maiolino) [2188241]
- ext4: deal with legacy signed xattr name hash values (Carlos Maiolino) [2188241]
- NFS: Remove "select RPCSEC_GSS_KRB5 (Scott Mayhew) [2178741]
- SUNRPC: Fix a crash in gss_krb5_checksum() (Scott Mayhew) [2178741]
- NFS & NFSD: Update GSS dependencies (Scott Mayhew) [2178741]
- SUNRPC: Move remaining internal definitions to gss_krb5_internal.h (Scott Mayhew) [2178741]
- SUNRPC: Advertise support for the Camellia encryption types (Scott Mayhew) [2178741]
- SUNRPC: Add KDF_FEEDBACK_CMAC (Scott Mayhew) [2178741]
- SUNRPC: Support the Camellia enctypes (Scott Mayhew) [2178741]
- SUNRPC: Advertise support for RFC 8009 encryption types (Scott Mayhew) [2178741]
- SUNRPC: Add RFC 8009 encryption and decryption functions (Scott Mayhew) [2178741]
- SUNRPC: Add KDF-HMAC-SHA2 (Scott Mayhew) [2178741]
- SUNRPC: Add gk5e definitions for RFC 8009 encryption types (Scott Mayhew) [2178741]
- SUNRPC: Refactor CBC with CTS into helpers (Scott Mayhew) [2178741]
- SUNRPC: Add new subkey length fields (Scott Mayhew) [2178741]
- SUNRPC: Parametrize the key length passed to context_v2_alloc_cipher() (Scott Mayhew) [2178741]
- SUNRPC: Clean up cipher set up for v1 encryption types (Scott Mayhew) [2178741]
- SUNRPC: Hoist KDF into struct gss_krb5_enctype (Scott Mayhew) [2178741]
- SUNRPC: Rename .encrypt_v2 and .decrypt_v2 methods (Scott Mayhew) [2178741]
- SUNRPC: Remove ->encrypt and ->decrypt methods from struct gss_krb5_enctype (Scott Mayhew) [2178741]
- SUNRPC: Enable rpcsec_gss_krb5.ko to be built without CRYPTO_DES (Scott Mayhew) [2178741]
- SUNRPC: Replace KRB5_SUPPORTED_ENCTYPES macro (Scott Mayhew) [2178741]
- NFSD: Replace /proc/fs/nfsd/supported_krb5_enctypes with a symlink (Scott Mayhew) [2178741]
- SUNRPC: Add /proc/net/rpc/gss_krb5_enctypes file (Scott Mayhew) [2178741]
- SUNRPC: Remove another switch on ctx->enctype (Scott Mayhew) [2178741]
- SUNRPC: Refactor the GSS-API Per Message calls in the Kerberos mechanism (Scott Mayhew) [2178741]
- SUNRPC: Obscure Kerberos integrity keys (Scott Mayhew) [2178741]
- SUNRPC: Obscure Kerberos signing keys (Scott Mayhew) [2178741]
- SUNRPC: Obscure Kerberos encryption keys (Scott Mayhew) [2178741]
- SUNRPC: Refactor set-up for aux_cipher (Scott Mayhew) [2178741]
- SUNRPC: Obscure Kerberos session key (Scott Mayhew) [2178741]
- SUNRPC: Improve Kerberos confounder generation (Scott Mayhew) [2178741]
- SUNRPC: Remove .conflen field from struct gss_krb5_enctype (Scott Mayhew) [2178741]
- SUNRPC: Remove .blocksize field from struct gss_krb5_enctype (Scott Mayhew) [2178741]
- SUNRPC: Add header ifdefs to linux/sunrpc/gss_krb5.h (Scott Mayhew) [2178741]
- Add new RPCSEC_GSS_KRB5 configs to RHEL configs (Scott Mayhew) [2178741]
- sfc: remove expired unicast PTP filters (Íñigo Huguet) [2151464]
- sfc: support unicast PTP (Íñigo Huguet) [2151464]
- sfc: allow insertion of filters for unicast PTP (Íñigo Huguet) [2151464]
- sfc: store PTP filters in a list (Íñigo Huguet) [2151464]
- arm64: dts: qcom: sa8775p-ride: enable the BT UART port (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p-ride: enable the GNSS UART port (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p: add high-speed UART nodes (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p-ride: enable the SPI node (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p: add the spi16 node (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p-ride: enable i2c18 (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p: add the i2c18 node (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p-ride: enable QUPv3 #2 (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p: add the QUPv3 #2 node (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p: add cpufreq node (Adrien Thierry) [2186616]
- arm64: dts: qcom: add initial support for qcom sa8775p-ride (Adrien Thierry) [2186616]
- clk: qcom: gcc-sa8775p: remove unused variables (Adrien Thierry) [2186616]
- pinctrl: qcom: add the tlmm driver sa8775p platforms (Adrien Thierry) [2186616]
- interconnect: qcom: add a driver for sa8775p (Adrien Thierry) [2186616]
- dt-bindings: interconnect: qcom: document the interconnects for sa8775p (Adrien Thierry) [2186616]
- clk: qcom: add the GCC driver for sa8775p (Adrien Thierry) [2186616]
- dt-bindings: clock: Add Qualcomm SA8775P GCC (Adrien Thierry) [2186616]
- soc: qcom: rmphpd: add power domains for sa8775p (Adrien Thierry) [2186616]
- dt-bindings: power: qcom,rpmpd: document sa8775p (Adrien Thierry) [2186616]
- clk: qcom: rpmh: add clocks for sa8775p (Adrien Thierry) [2186616]
- netfilter: nfnetlink_queue: enable classid socket info retrieval (Florian Westphal) [2087510]
- nfqueue: enable to set skb->priority (Florian Westphal) [2087510]
- netfilter: nfqueue: enable to get skb->priority (Florian Westphal) [2087510]
- KVM: s390: selftest: memop: Add cmpxchg tests (Thomas Huth) [2183983]
- Documentation: KVM: s390: Describe KVM_S390_MEMOP_F_CMPXCHG (Thomas Huth) [2183983]
- KVM: s390: Extend MEM_OP ioctl by storage key checked cmpxchg (Thomas Huth) [2183983]
- KVM: s390: Refactor vcpu mem_op function (Thomas Huth) [2183983]
- KVM: s390: Refactor absolute vm mem_op function (Thomas Huth) [2183983]
- KVM: s390: Dispatch to implementing function at top level of vm mem_op (Thomas Huth) [2183983]
- KVM: s390: Move common code of mem_op functions into function (Thomas Huth) [2183983]
- KVM: s390: selftest: memop: Fix integer literal (Thomas Huth) [2183983]
- KVM: s390: selftest: memop: Fix wrong address being used in test (Thomas Huth) [2183983]
- KVM: s390: selftest: memop: Fix typo (Thomas Huth) [2183983]
- KVM: s390: selftest: memop: Add bad address test (Thomas Huth) [2183983]
- KVM: s390: selftest: memop: Move testlist into main (Thomas Huth) [2183983]
- KVM: s390: selftest: memop: Replace macros by functions (Thomas Huth) [2183983]
- KVM: s390: selftest: memop: Pass mop_desc via pointer (Thomas Huth) [2183983]
- KVM: selftests: move common startup logic to kvm_util.c (Thomas Huth) [2183983]
- s390/uaccess: avoid __ashlti3() call (Thomas Huth) [2183983]
- s390/uaccess: limit number of retries for cmpxchg_user_key() (Thomas Huth) [2183983]
- s390/cmpxchg: make loop condition for 1,2 byte cases precise (Thomas Huth) [2183983]
- s390/uaccess: add cmpxchg_user_key() (Thomas Huth) [2183983]
- s390/extable: add EX_TABLE_UA_LOAD_REGPAIR() macro (Thomas Huth) [2183983]
- s390/cmpxchg: remove digits from input constraints (Thomas Huth) [2183983]
- s390/cmpxchg: make variables local to each case label (Thomas Huth) [2183983]
- s390/cmpxchg: use symbolic names for inline assembly operands (Thomas Huth) [2183983]
- selftests: fib_tests: Add test cases for IPv4/IPv6 in route notify (Hangbin Liu) [2186065]
- selftests/net: l2_tos_ttl_inherit.sh: Ensure environment cleanup on failure. (Hangbin Liu) [2186065]
- selftests/net: l2_tos_ttl_inherit.sh: Run tests in their own netns. (Hangbin Liu) [2186065]
- selftests/net: l2_tos_ttl_inherit.sh: Set IPv6 addresses with "nodad". (Hangbin Liu) [2186065]
- selftests/net: fix reinitialization of TEST_PROGS in net self tests. (Hangbin Liu) [2186065]
- selftests/net: test l2 tunnel TOS/TTL inheriting (Hangbin Liu) [2186065]
- selftests: net: rps_default_mask.sh: delete veth link specifically (Hangbin Liu) [2186065]
- selftests: net: devlink_port_split.py: skip test if no suitable device available (Hangbin Liu) [2186065]
- selftests: net: tcp_mmap: populate pages in send path (Hangbin Liu) [2186065]
- selftests: nft_nat: ensuring the listening side is up before starting the client (Hangbin Liu) [2186065]
- selftest: fib_tests: Always cleanup before exit (Hangbin Liu) [2186065]
- selftests/net: Interpret UDP_GRO cmsg data as an int value (Hangbin Liu) [2186065]
- selftests: forwarding: lib: quote the sysctl values (Hangbin Liu) [2186065]
- selftests: forwarding: Fix failing tests with old libnet (Hangbin Liu) [2186065]
- selftests/net: so_txtime: usage(): fix documentation of default clock (Hangbin Liu) [2186065]
- selftests: fib_rule_tests: Test UDP and TCP connections with DSCP rules. (Hangbin Liu) [2186064]
- ipv6: Fix tcp socket connection with DSCP. (Hangbin Liu) [2186064]
- ipv6: Fix datagram socket connection with DSCP. (Hangbin Liu) [2186064]
- ipv6: Fix an uninit variable access bug in __ip6_make_skb() (Hangbin Liu) [2186064]
- ipv6: Add lwtunnel encap size of all siblings in nexthop calculation (Hangbin Liu) [2186064]
- selftests: net: fib_rule_tests: fix support for running individual tests (Hangbin Liu) [2186064]
- selftests: net: fib_rule_tests: add support to select a test to run (Hangbin Liu) [2186064]
- net: setsockopt: fix IPV6_UNICAST_IF option for connected sockets (Hangbin Liu) [2186064]
- net-next: Fix IP_UNICAST_IF option behavior for connected sockets (Hangbin Liu) [2186064]
- media: videobuf2: Assert held reservation lock for dma-buf mmapping (Mika Penttilä) [2160456]
- media: videobuf2: Stop using internal dma-buf lock (Mika Penttilä) [2160456]
- media: videobuf2: Prepare to dynamic dma-buf locking specification (Mika Penttilä) [2160456]
- dma-buf: Add unlocked variant of vmapping functions (Mika Penttilä) [2160456]
- of: device: make of_device_uevent_modalias() take a const device * (Mika Penttilä) [2160456]
- driver core: pass a const * into of_device_uevent() (Mika Penttilä) [2160456]
- resource: Convert DEFINE_RES_NAMED() to be compound literal (Mika Penttilä) [2160456]
- i915: Move list_count() to list.h as list_count_nodes() for broader use (Mika Penttilä) [2160456]
- container_of: add container_of_const() that preserves const-ness of the pointer (Mika Penttilä) [2160456]
- drm: Move nomodeset kernel parameter to drivers/video (Mika Penttilä) [2160456]
- iosys-map: Add IOSYS_MAP_INIT_VADDR_IOMEM() (Mika Penttilä) [2160456]
- ACPI: video: Allow GPU drivers to report no panels (Mika Penttilä) [2160456]
- ACPI: video: Make backlight class device registration a separate step (v2) (Mika Penttilä) [2160456]
- ACPI: video: Add acpi_video_backlight_use_native() helper (Mika Penttilä) [2160456]
- nfsd: fix courtesy client with deny mode handling in nfs4_upgrade_open (Jeffrey Layton) [2180124]
- NFSD: register/unregister of nfsd-client shrinker at nfsd startup/shutdown time (Jeffrey Layton) [2180124]
- NFSD: refactoring courtesy_client_reaper to a generic low memory shrinker (Jeffrey Layton) [2180124]
- NFSD: add shrinker to reap courtesy clients on low memory condition (Jeffrey Layton) [2180124]
- NFSD: keep track of the number of courtesy clients in the system (Jeffrey Layton) [2180124]
- NFSD: limit the number of v4 clients to 1024 per 1GB of system memory (Jeffrey Layton) [2180124]
- NFSD: keep track of the number of v4 clients in the system (Jeffrey Layton) [2180124]
- NFSD: Show state of courtesy client in client info (Jeffrey Layton) [2180124]
- NFSD: add support for lock conflict to courteous server (Jeffrey Layton) [2180124]
- NFSD: add support for share reservation conflict to courteous server (Jeffrey Layton) [2180124]
- NFSD: add courteous server support for thread with only delegation (Jeffrey Layton) [2180124]
- l2tp: generate correct module alias strings (Ivan Vecera) [2178211]
- tools headers uapi: Update linux/in.h copy (Ivan Vecera) [2178211]
- flow_offload: Introduce flow_match_l2tpv3 (Ivan Vecera) [2178211]
- net/sched: flower: Add L2TPv3 filter (Ivan Vecera) [2178211]
- flow_dissector: Add L2TPv3 dissectors (Ivan Vecera) [2178211]
- uapi: move IPPROTO_L2TP to in.h (Ivan Vecera) [2178211]
- scsi: qla2xxx: Synchronize the IOCB count to be in order (Nilesh Javali) [2164960]
- scsi: qla2xxx: Use the proper SCSI midlayer interfaces for PI (Nilesh Javali) [2164960]
- scsi: qla2xxx: Remove the unused variable wwn (Nilesh Javali) [2164960]
- scsi: qla2xxx: Simplify if condition evaluation (Nilesh Javali) [2164960]
- scsi: qla2xxx: Use a variable for repeated mem_size computation (Nilesh Javali) [2164960]
- scsi: qla2xxx: Make qla_trim_buf() and __qla_adjust_buf() static (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix printk() format string (Nilesh Javali) [2164960]
- scsi: qla2xxx: Update version to 10.02.08.200-k (Nilesh Javali) [2164960]
- scsi: qla2xxx: Select qpair depending on which CPU post_cmd() gets called (Nilesh Javali) [2164960]
- scsi: qla2xxx: edif: Fix clang warning (Nilesh Javali) [2164960]
- scsi: qla2xxx: edif: Reduce memory usage during low I/O (Nilesh Javali) [2164960]
- scsi: qla2xxx: edif: Fix stall session after app start (Nilesh Javali) [2164960]
- scsi: qla2xxx: edif: Fix performance dip due to lock contention (Nilesh Javali) [2164960]
- scsi: qla2xxx: Relocate/rename vp map (Nilesh Javali) [2164960]
- scsi: qla2xxx: Remove dead code (GNN ID) (Nilesh Javali) [2164960]
- scsi: qla2xxx: Remove dead code (GPNID) (Nilesh Javali) [2164960]
- scsi: qla2xxx: Remove dead code (Nilesh Javali) [2164960]
- scsi: qla2xxx: Update version to 10.02.08.100-k (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix IOCB resource check warning (Nilesh Javali) [2164960]
- scsi: qla2xxx: Remove increment of interface err cnt (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix erroneous link down (Nilesh Javali) [2164960]
- scsi: qla2xxx: Remove unintended flag clearing (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix stalled login (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix exchange oversubscription for management commands (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix exchange oversubscription (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix DMA-API call trace on NVMe LS requests (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix link failure in NPIV environment (Nilesh Javali) [2164960]
- scsi: qla2xxx: Check if port is online before sending ELS (Nilesh Javali) [2164960]
- scsi: qla2xxx: Initialize vha->unknown_atio_[list, work] for NPIV hosts (Nilesh Javali) [2164960]
- scsi: qla2xxx: Remove duplicate of vha->iocb_work initialization (Nilesh Javali) [2164960]
- scsi: qla2xxx: Remove unused variable 'found_devs' (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix set-but-not-used variable warnings (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix memory leak in __qlt_24xx_handle_abts() (Nilesh Javali) [2164960]
- arm64: dts: qcom: sc8280xp: add rng device tree node (Brian Masney) [2121062]
- crypto: qcom-rng - fix infinite loop on requests not multiple of WORD_SZ (Brian Masney) [2121062]
- crypto: qcom-rng - ensure buffer for generate is completely filled (Brian Masney) [2121062]
- RDMA/irdma: Add support for dmabuf pin memory regions (Kamal Heib) [2153378]
- RDMA/irdma: Fix potential NULL-ptr-dereference (Kamal Heib) [2153378]
- RDMA/irdma: Split CQ handler into irdma_reg_user_mr_type_cq (Kamal Heib) [2153378]
- RDMA/irdma: Split QP handler into irdma_reg_user_mr_type_qp (Kamal Heib) [2153378]
- RDMA/irdma: Split mr alloc and free into new functions (Kamal Heib) [2153378]
- RDMA/irdma: Split MEM handler into irdma_reg_user_mr_type_mem (Kamal Heib) [2153378]
- RDMA/irdma: Remove extra ret variable in favor of existing err (Kamal Heib) [2153378]
- RDMA/irdma: Initialize net_type before checking it (Kamal Heib) [2153378]
- RDMA/irdma: Do not request 2-level PBLEs for CQ alloc (Kamal Heib) [2153378]
- RDMA/irdma: Fix RQ completion opcode (Kamal Heib) [2153378]
- RDMA/irdma: Fix inline for multiple SGE's (Kamal Heib) [2153378]
- dmaengine: drivers: Use devm_platform_ioremap_resource() (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: Set link_rx bit on GO TRE for rx operation (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: Document preferred SM6350 binding (Alessandro Carminati) [2177677]
- dmaengine: qcom: deprecate redundant of_device_id entries (Alessandro Carminati) [2177677]
- dmaengine: qcom-adm: fix wrong calling convention for prep_slave_sg (Alessandro Carminati) [2177677]
- dmaengine: qcom-adm: fix wrong sizeof config in slave_config (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: move read_lock_bh to read_lock in tasklet (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: Add SM6350 support (Alessandro Carminati) [2177677]
- dmaengine: qcom: bam_dma: fix runtime PM underflow (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: Add support for sc7280 (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: Add SM8350 support (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: Add support for ee_offset (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: set chain and link flag for duplex (Alessandro Carminati) [2177677]
- dmaengine: nbpfaxi: Use platform_get_irq_optional() to get the interrupt (Alessandro Carminati) [2177677]
- dmaengine: sf-pdma: Get number of channel by device tree (Alessandro Carminati) [2177677]
- dmaengine: qcom-adm: stop abusing slave_id config (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: Remove unnecessary print function dev_err() (Alessandro Carminati) [2177677]
- dmaengine: qcom: bam_dma: Add "powered remotely" mode (Alessandro Carminati) [2177677]
- watchdog: sp5100_tco: Fix a memory leak of EFCH MMIO resource (David Arcari) [2189375]
- i2c: piix4: Fix a memory leak in the EFCH MMIO support (David Arcari) [2189375]
Resolves: rhbz#2087510, rhbz#2121062, rhbz#2123536, rhbz#2151464, rhbz#2153378, rhbz#2160456, rhbz#2164960, rhbz#2164963, rhbz#2166789, rhbz#2168378, rhbz#2168933, rhbz#2168936, rhbz#2168937, rhbz#2177677, rhbz#2178211, rhbz#2178741, rhbz#2180124, rhbz#2183983, rhbz#2186064, rhbz#2186065, rhbz#2186616, rhbz#2187873, rhbz#2188241, rhbz#2188822, rhbz#2189195, rhbz#2189375

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-29 10:56:53 +02:00
Jan Stancek
f19f2c7743 kernel-5.14.0-305.el9
* Thu Apr 27 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-305.el9]
- i40e: Fix kernel crash during reboot when adapter is in recovery mode (Stefan Assmann) [2153285]
- i40e: add double of VLAN header when computing the max MTU (Stefan Assmann) [2153285]
- i40e: Add checking for null for nlmsg_find_attr() (Stefan Assmann) [2153285]
- net/i40e: Replace 0-length array with flexible array (Stefan Assmann) [2153285]
- i40e: use ERR_PTR error print in i40e messages (Stefan Assmann) [2153285]
- i40e: use int for i40e_status (Stefan Assmann) [2153285]
- i40e: Remove string printing for i40e_status (Stefan Assmann) [2153285]
- i40e: Remove unused i40e status codes (Stefan Assmann) [2153285]
- net/sched: move struct tc_mqprio_qopt_offload from pkt_cls.h to pkt_sched.h (Stefan Assmann) [2153285]
- i40e: Remove redundant pci_enable_pcie_error_reporting() (Stefan Assmann) [2153285]
- virtchnl: i40e/iavf: rename iwarp to rdma (Stefan Assmann) [2153285]
- treewide: Convert del_timer*() to timer_shutdown*() (Stefan Assmann) [2153285]
- i40e: Fix the inability to attach XDP program on downed interface (Stefan Assmann) [2153285]
- i40e: allow toggling loopback mode via ndo_set_features callback (Stefan Assmann) [2153285]
- i40e: Disallow ip4 and ip6 l4_4_bytes (Stefan Assmann) [2153285]
- i40e: Fix for VF MAC address 0 (Stefan Assmann) [2153285]
- i40e: Fix not setting default xps_cpus after reset (Stefan Assmann) [2153285]
- redhat/configs: Enable CONFIG_SLS (Waiman Long) [2180952]
- x86,retpoline: Be sure to emit INT3 after JMP *%%\reg (Waiman Long) [2180952]
- selftests: tls: add a test for queuing data before setting the ULP (Sabrina Dubroca) [2183538]
- net: tls: fix device-offloaded sendpage straddling records (Sabrina Dubroca) [2183538]
- net: tls: avoid hanging tasks on the tx_lock (Sabrina Dubroca) [2183538]
- tls: rx: fix return value for async crypto (Sabrina Dubroca) [2183538]
- net/tls: tls_is_tx_ready() checked list_entry (Sabrina Dubroca) [2183538]
- net/tls: Fix memory leak in tls_enc_skb() and tls_sw_fallback_init() (Sabrina Dubroca) [2183538]
- net: tls: Add ARIA-GCM algorithm (Sabrina Dubroca) [2183538]
- net/tls: Support 256 bit keys with TX device offload (Sabrina Dubroca) [2183538]
- net/tls: Use cipher sizes structs (Sabrina Dubroca) [2183538]
- net/tls: Describe ciphers sizes by const structs (Sabrina Dubroca) [2183538]
- mlxsw: core: Register devlink instance before sub-objects (Petr Oros) [2172273]
- mlxsw: spectrum_acl_tcam: Move devlink param to TCAM code (Petr Oros) [2172273]
- mlxsw: spectrum_acl_tcam: Reorder functions to avoid forward declarations (Petr Oros) [2172273]
- mlxsw: spectrum_acl_tcam: Make fini symmetric to init (Petr Oros) [2172273]
- mlxsw: spectrum_acl_tcam: Add missing mutex_destroy() (Petr Oros) [2172273]
- net/mlx5e: Create auxdev devlink instance in the same ns as parent devlink (Petr Oros) [2172273]
- bnxt_en: fix devlink port registration to netdev (Petr Oros) [2172273]
- ice: move devlink port creation/deletion (Petr Oros) [2172273]
- sefltests: netdevsim: wait for devlink instance after netns removal (Petr Oros) [2172273]
- devlink: Fix netdev notifier chain corruption (Petr Oros) [2172273]
- devlink: Fix TP_STRUCT_entry in trace of devlink health report (Petr Oros) [2172273]
- devlink: Update devlink health documentation (Petr Oros) [2172273]
- devlink: Move health common function to health file (Petr Oros) [2172273]
- devlink: Move devlink health test to health file (Petr Oros) [2172273]
- devlink: Move devlink health dump to health file (Petr Oros) [2172273]
- devlink: Move devlink fmsg and health diagnose to health file (Petr Oros) [2172273]
- devlink: Move devlink health report and recover to health file (Petr Oros) [2172273]
- devlink: Move devlink health get and set code to health file (Petr Oros) [2172273]
- devlink: health: Fix nla_nest_end in error flow (Petr Oros) [2172273]
- devlink: Split out health reporter create code (Petr Oros) [2172273]
- devlink: don't allow to change net namespace for FW_ACTIVATE reload action (Petr Oros) [2172273]
- devlink: add forgotten devlink instance lock assertion to devl_param_driverinit_value_set() (Petr Oros) [2172273]
- devlink: allow to call devl_param_driverinit_value_get() without holding instance lock (Petr Oros) [2172273]
- devlink: convert param list to xarray (Petr Oros) [2172273]
- devlink: use xa_for_each_start() helper in devlink_nl_cmd_port_get_dump_one() (Petr Oros) [2172273]
- devlink: fix the name of value arg of devl_param_driverinit_value_get() (Petr Oros) [2172273]
- devlink: make sure driver does not read updated driverinit param before reload (Petr Oros) [2172273]
- devlink: don't use strcpy() to copy param value (Petr Oros) [2172273]
- devlink: stop using NL_SET_ERR_MSG_MOD (Petr Oros) [2172273]
- devlink: change port event netdev notifier from per-net to global (Petr Oros) [2172273]
- devlink: Fix memleak in health diagnose callback (Petr Oros) [2172273]
- devlink: Move devlink dev selftest code to dev (Petr Oros) [2172273]
- devlink: Move devlink_info_req struct to be local (Petr Oros) [2172273]
- devlink: Move devlink dev flash code to dev (Petr Oros) [2172273]
- devlink: Move devlink dev info code to dev (Petr Oros) [2172273]
- devlink: Move devlink dev eswitch code to dev (Petr Oros) [2172273]
- devlink: Move devlink dev reload code to dev (Petr Oros) [2172273]
- devlink: Split out dev get and dump code (Petr Oros) [2172273]
- devlink: rename and reorder instances of struct devlink_cmd (Petr Oros) [2172273]
- devlink: remove "gen" from struct devlink_gen_cmd name (Petr Oros) [2172273]
- devlink: rename devlink_nl_instance_iter_dump() to "dumpit" (Petr Oros) [2172273]
- devlink: remove devlink features (Petr Oros) [2172273]
- devlink: send objects notifications during devlink reload (Petr Oros) [2172273]
- devlink: move devlink reload notifications back in between _down() and _up() calls (Petr Oros) [2172273]
- mlxsw: spectrum: Remove pointless call to devlink_param_driverinit_value_set() (Petr Oros) [2172273]
- net/mlx5: Move eswitch port metadata devlink param to flow eswitch code (Petr Oros) [2172273]
- net/mlx5: Move flow steering devlink param to flow steering code (Petr Oros) [2172273]
- net/mlx5: Move fw reset devlink param to fw reset code (Petr Oros) [2172273]
- devlink: protect devlink param list by instance lock (Petr Oros) [2172273]
- devlink: put couple of WARN_ONs in devlink_param_driverinit_value_get() (Petr Oros) [2172273]
- devlink: make devlink_param_driverinit_value_set() return void (Petr Oros) [2172273]
- qed: remove pointless call to devlink_param_driverinit_value_set() (Petr Oros) [2172273]
- ice: remove pointless calls to devlink_param_driverinit_value_set() (Petr Oros) [2172273]
- devlink: don't work with possible NULL pointer in devlink_param_unregister() (Petr Oros) [2172273]
- devlink: make devlink_param_register/unregister static (Petr Oros) [2172273]
- net/mlx5: Covert devlink params registration to use devlink_params_register/unregister() (Petr Oros) [2172273]
- net/mlx5: Change devlink param register/unregister function names (Petr Oros) [2172273]
- net/mlx5: Unregister traps on driver unload flow (Petr Oros) [2172273]
- devlink: remove a dubious assumption in fmsg dumping (Petr Oros) [2172273]
- netlink: fix spelling mistake in dump size assert (Petr Oros) [2172273]
- devlink: add instance lock assertion in devl_is_registered() (Petr Oros) [2172273]
- devlink: remove devlink_dump_for_each_instance_get() helper (Petr Oros) [2172273]
- devlink: convert reporters dump to devlink_nl_instance_iter_dump() (Petr Oros) [2172273]
- devlink: convert linecards dump to devlink_nl_instance_iter_dump() (Petr Oros) [2172273]
- devlink: remove reporter reference counting (Petr Oros) [2172273]
- devlink: remove devl*_port_health_reporter_destroy() (Petr Oros) [2172273]
- devlink: remove reporters_lock (Petr Oros) [2172273]
- devlink: protect health reporter operation with instance lock (Petr Oros) [2172273]
- net/mlx5: Remove MLX5E_LOCKED_FLOW flag (Petr Oros) [2172273]
- net/mlx5e: Create separate devlink instance for ethernet auxiliary device (Petr Oros) [2172273]
- devlink: remove linecard reference counting (Petr Oros) [2172273]
- devlink: remove linecards lock (Petr Oros) [2172273]
- mlxsw: core_linecards: Separate line card init and fini flow (Petr Oros) [2172273]
- devlink: remove some unnecessary code (Petr Oros) [2172273]
- devlink: keep the instance mutex alive until references are gone (Petr Oros) [2172273]
- netdevsim: move devlink registration under the instance lock (Petr Oros) [2172273]
- netdevsim: rename a label (Petr Oros) [2172273]
- devlink: allow registering parameters after the instance (Petr Oros) [2172273]
- devlink: don't require setting features before registration (Petr Oros) [2172273]
- devlink: remove the registration guarantee of references (Petr Oros) [2172273]
- devlink: always check if the devlink instance is registered (Petr Oros) [2172273]
- devlink: protect devlink->dev by the instance lock (Petr Oros) [2172273]
- devlink: update the code in netns move to latest helpers (Petr Oros) [2172273]
- devlink: bump the instance index directly when iterating (Petr Oros) [2172273]
- devlink: convert remaining dumps to the by-instance scheme (Petr Oros) [2172273]
- devlink: add by-instance dump infra (Petr Oros) [2172273]
- devlink: uniformly take the devlink instance lock in the dump loop (Petr Oros) [2172273]
- devlink: restart dump based on devlink instance ids (function) (Petr Oros) [2172273]
- devlink: restart dump based on devlink instance ids (nested) (Petr Oros) [2172273]
- devlink: restart dump based on devlink instance ids (simple) (Petr Oros) [2172273]
- devlink: health: combine loops in dump (Petr Oros) [2172273]
- devlink: drop the filter argument from devlinks_xa_find_get (Petr Oros) [2172273]
- devlink: remove start variables from dumps (Petr Oros) [2172273]
- devlink: use an explicit structure for dump context (Petr Oros) [2172273]
- netlink: add macro for checking dump ctx size (Petr Oros) [2172273]
- devlink: split out netlink code (Petr Oros) [2172273]
- devlink: split out core code (Petr Oros) [2172273]
- devlink: rename devlink_netdevice_event -> devlink_port_netdevice_event (Petr Oros) [2172273]
- devlink: move code to a dedicated directory (Petr Oros) [2172273]
- devlink: protect devlink dump by the instance lock (Petr Oros) [2172273]
- selftests: devlink: add a warning for interfaces coming up (Petr Oros) [2172273]
- selftests: devlink: fix the fd redirect in dummy_reporter_test (Petr Oros) [2172273]
- devlink: hold region lock when flushing snapshots (Petr Oros) [2172273]
- net: devlink: Add missing error check to devlink_resource_put() (Petr Oros) [2172273]
- devlink: Expose port function commands to control migratable (Petr Oros) [2172273]
- devlink: Expose port function commands to control RoCE (Petr Oros) [2172273]
- devlink: Move devlink port function hw_addr attr documentation (Petr Oros) [2172273]
- net/mlx5: Fix orthography errors in documentation (Petr Oros) [2172273]
- devlink: Validate port function request (Petr Oros) [2172273]
- net: devlink: add DEVLINK_INFO_VERSION_GENERIC_FW_BOOTLOADER (Petr Oros) [2172273]
- net: devlink: convert port_list into xarray (Petr Oros) [2172273]
- net: devlink: clean-up empty devlink_ops::info_get() (Petr Oros) [2172273]
- net: devlink: make the devlink_ops::info_get() callback optional (Petr Oros) [2172273]
- net: devlink: let the core report the driver name instead of the drivers (Petr Oros) [2172273]
- devlink: support directly reading from region memory (Petr Oros) [2172273]
- devlink: refactor region_read_snapshot_fill to use a callback function (Petr Oros) [2172273]
- devlink: remove unnecessary parameter from chunk_fill function (Petr Oros) [2172273]
- devlink: find snapshot in devlink_nl_cmd_region_read_dumpit (Petr Oros) [2172273]
- devlink: report extended error message in region_read_dumpit() (Petr Oros) [2172273]
- devlink: use min_t to calculate data_size (Petr Oros) [2172273]
- net: devlink: add WARN_ON_ONCE to check return value of unregister_netdevice_notifier_net() call (Petr Oros) [2172273]
- devlink: remove redundant health state set to error (Petr Oros) [2172273]
- Documentation: devlink: Add blank line padding on numbered lists in Devlink Port documentation (Petr Oros) [2172273]
- Documentation: Add documentation for new devlink-rate attributes (Petr Oros) [2172273]
- devlink: Allow to set up parent in devl_rate_leaf_create() (Petr Oros) [2172273]
- devlink: Allow for devlink-rate nodes parent reassignment (Petr Oros) [2172273]
- devlink: Enable creation of the devlink-rate nodes from the driver (Petr Oros) [2172273]
- devlink: Introduce new attribute 'tx_weight' to devlink-rate (Petr Oros) [2172273]
- devlink: Introduce new attribute 'tx_priority' to devlink-rate (Petr Oros) [2172273]
- devlink: Fix warning when unregistering a port (Petr Oros) [2172273]
- net: devlink: move netdev notifier block to dest namespace during reload (Petr Oros) [2172273]
- net: introduce a helper to move notifier block to different namespace (Petr Oros) [2172273]
- selftests: devlink_lib: Split out helper (Petr Oros) [2172273]
- devlink: Add packet traps for 802.1X operation (Petr Oros) [2172273]
- net: devlink: reintroduce ndo_get_devlink_port (Petr Oros) [2172273]
- net: expose devlink port over rtnetlink (Petr Oros) [2172273]
- net: remove unused ndo_get_devlink_port (Petr Oros) [2172273]
- net: devlink: use devlink_port pointer instead of ndo_get_devlink_port (Petr Oros) [2172273]
- net: devlink: add not cleared type warning to port unregister (Petr Oros) [2172273]
- net: devlink: store copy netdevice ifindex and ifname to allow port_fill() without RTNL held (Petr Oros) [2172273]
- net: devlink: remove net namespace check from devlink_nl_port_fill() (Petr Oros) [2172273]
- net: devlink: remove netdev arg from devlink_port_type_eth_set() (Petr Oros) [2172273]
- net: make drivers to use SET_NETDEV_DEVLINK_PORT to set devlink_port (Petr Oros) [2172273]
- net: devlink: track netdev with devlink_port assigned (Petr Oros) [2172273]
- net: devlink: take RTNL in port_fill() function only if it is not held (Petr Oros) [2172273]
- net: devlink: move port_type_netdev_checks() call to __devlink_port_type_set() (Petr Oros) [2172273]
- net: devlink: move port_type_warn_schedule() call to __devlink_port_type_set() (Petr Oros) [2172273]
- net: devlink: convert devlink port type-specific pointers to union (Petr Oros) [2172273]
- Documentation: devlink: fix the locking section (Petr Oros) [2172273]
- ionic: change order of devlink port register and netdev register (Petr Oros) [2172273]
- ice: reorder PF/representor devlink port register/unregister flows (Petr Oros) [2172273]
- Revert "block: Merge bio before checking ->cached_rq" (Ming Lei) [2188514]
- redhat/configs: Enable WERROR only for gcc 11 (Waiman Long) [2184097]
- redhat/configs: Enable WERROR for x86_64 builds (Prarit Bhargava) [2184097]
- redhat/Makefile.variables: Add ENABLE_WERROR (Prarit Bhargava) [2184097]
- mlx4: Fix compilation warning in drivers/net/ethernet/mellanox/mlx4/fw.c (Waiman Long) [2184097]
- iomap: Remove unused iomap variable in iomap_zero_iter() (Waiman Long) [2184097]
- xfs: Fix bug in xfs_discard_folio() (Waiman Long) [2184097]
- drm/amd/display: fix i386 frame size warning (Waiman Long) [2184097]
- gcc: disable -Warray-bounds for gcc-11 too (Waiman Long) [2184097]
- HID: Make lowlevel driver structs const (Waiman Long) [2184097]
- HID: Unexport struct i2c_hid_ll_driver (Waiman Long) [2184097]
- HID: Unexport struct hidp_hid_driver (Waiman Long) [2184097]
- HID: Unexport struct uhid_hid_driver (Waiman Long) [2184097]
- HID: Unexport struct usb_hid_driver (Waiman Long) [2184097]
- HID: Remove unused function hid_is_using_ll_driver() (Waiman Long) [2184097]
- HID: usbhid: Make hid_is_usb() non-inline (Waiman Long) [2184097]
- HID: letsketch: Use hid_is_usb() (Waiman Long) [2184097]
- tty: Make tty_termios_copy_hw() old ktermios const (Waiman Long) [2184097]
- tty: Remove baudrate dead code & make ktermios params const (Waiman Long) [2184097]
- tty: remove IBSHIFT ifdefs (Waiman Long) [2184097]
- tty: remove BOTHER ifdefs (Waiman Long) [2184097]
- tty: reformat tty_struct::flags into kernel-doc (Waiman Long) [2184097]
- tty: finish kernel-doc of tty_struct members (Waiman Long) [2184097]
- tty: baudrate: Explicit usage of B0 for encoding input baudrate (Waiman Long) [2184097]
- tty: remove extern from functions in tty headers (Waiman Long) [2184097]
- tty: make tty_set_operations an inline (Waiman Long) [2184097]
- tty: tty_flip.h needs only tty_buffer and tty_port (Waiman Long) [2184097]
- tty: move tty_port to new tty_port.h (Waiman Long) [2184097]
- tty: move tty_buffer definitions to new tty_buffer.h (Waiman Long) [2184097]
- tty: move tty_ldisc_receive_buf to tty_flip.h (Waiman Long) [2184097]
- tty: include list & lockdep from tty_ldisc.h (Waiman Long) [2184097]
- tty: move ldisc prototypes to tty_ldisc.h (Waiman Long) [2184097]
- tty: include kref.h in tty_driver.h (Waiman Long) [2184097]
- tty: move tty_driver related prototypes to tty_driver.h (Waiman Long) [2184097]
- PCI: Restrict device disabled status check to DT (Myron Stowe) [2184745]
- null_blk: Always check queue mode setting from configfs (Ming Lei) [2186146]
- coredump: Use the vma snapshot in fill_files_note (Ricardo Robaina) [2169741] {CVE-2023-1249}
- coredump: Remove the WARN_ON in dump_vma_snapshot (Ricardo Robaina) [2169741] {CVE-2023-1249}
- random: schedule jitter credit for next jiffy, not in two jiffies (Prarit Bhargava) [2109238]
- random: use expired timer rather than wq for mixing fast pool (Prarit Bhargava) [2109238]
- random: avoid reading two cache lines on irq randomness (Prarit Bhargava) [2109238]
- random: clamp credited irq bits to maximum mixed (Prarit Bhargava) [2109238]
- random: cap jitter samples per bit to factor of HZ (Prarit Bhargava) [2109238]
- random: schedule mix_interrupt_randomness() less often (Prarit Bhargava) [2109238]
- siphash: use one source of truth for siphash permutations (Prarit Bhargava) [2109238]
- random: help compiler out with fast_mix() by using simpler arguments (Prarit Bhargava) [2109238]
- random: do not use input pool from hard IRQs (Prarit Bhargava) [2109238]
- random: order timer entropy functions below interrupt functions (Prarit Bhargava) [2109238]
- random: do not pretend to handle premature next security model (Prarit Bhargava) [2109238]
- random: mix in timestamps and reseed on system restore (Prarit Bhargava) [2109238]
- random: use first 128 bits of input as fast init (Prarit Bhargava) [2109238]
- random: do not use batches when !crng_ready() (Prarit Bhargava) [2109238]
- random: vary jitter iterations based on cycle counter speed (Prarit Bhargava) [2109238]
- random: insist on random_get_entropy() existing in order to simplify (Prarit Bhargava) [2109238]
- workqueue: Fold rebind_worker() within rebind_workers() (Waiman Long) [2182337]
- workqueue: Unbind kworkers before sending them to exit() (Waiman Long) [2182337]
- workqueue: Don't hold any lock while rcuwait'ing for !POOL_MANAGER_ACTIVE (Waiman Long) [2182337]
- workqueue: Convert the idle_timer to a timer + work_struct (Waiman Long) [2182337]
- workqueue: Factorize unbind/rebind_workers() logic (Waiman Long) [2182337]
- workqueue: Protects wq_unbound_cpumask with wq_pool_attach_mutex (Waiman Long) [2182337]
- workqueue: don't skip lockdep work dependency in cancel_work_sync() (Waiman Long) [2182337]
- workqueue: Change the comments of the synchronization about the idle_list (Waiman Long) [2182337]
- workqueue: Remove the mb() pair between wq_worker_sleeping() and insert_work() (Waiman Long) [2182337]
- workqueue: Remove the cacheline_aligned for nr_running (Waiman Long) [2182337]
- workqueue: Move the code of waking a worker up in unbind_workers() (Waiman Long) [2182337]
- workqueue: Remove the outdated comment before wq_worker_sleeping() (Waiman Long) [2182337]
- workqueue: Fix unbind_workers() VS wq_worker_sleeping() race (Waiman Long) [2182337]
Resolves: rhbz#2109238, rhbz#2153285, rhbz#2169741, rhbz#2172273, rhbz#2180952, rhbz#2182337, rhbz#2183538, rhbz#2184097, rhbz#2184745, rhbz#2186146, rhbz#2188514

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-27 09:53:30 +02:00
Jan Stancek
e4ca3a67cd kernel-5.14.0-301.el9
* Tue Apr 18 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-301.el9]
- kernel.spec: gcov: make gcov subpackages per variant (Jan Stancek) [2180784]
- block: don't set GD_NEED_PART_SCAN if scan partition failed (Ming Lei) [2179915]
- net: ethtool: fix __ethtool_dev_mm_supported() implementation (Ivan Vecera) [2175237]
- ethtool: pse-pd: Fix double word in comments (Ivan Vecera) [2175237]
- netlink-specs: add rx-push to ethtool family (Ivan Vecera) [2175237]
- net: ethtool: extend ringparam set/get APIs for rx_push (Ivan Vecera) [2175237]
- ethtool: mm: fix get_mm() return code not propagating to user space (Ivan Vecera) [2175237]
- netlink: specs: add partial specification for ethtool (Ivan Vecera) [2175237]
- Documentation: networking: correct spelling (Ivan Vecera) [2175237]
- ethtool: netlink: convert commands to common SET (Ivan Vecera) [2175237]
- ethtool: netlink: handle SET intro/outro in the common code (Ivan Vecera) [2175237]
- net: ethtool: provide shims for stats aggregation helpers when CONFIG_ETHTOOL_NETLINK=n (Ivan Vecera) [2175237]
- net: ethtool: fix NULL pointer dereference in pause_prepare_data() (Ivan Vecera) [2175237]
- net: ethtool: fix NULL pointer dereference in stats_prepare_data() (Ivan Vecera) [2175237]
- ethtool: Add and use ethnl_update_bool. (Ivan Vecera) [2175237]
- net: ethtool: add helpers for MM fragment size translation (Ivan Vecera) [2175237]
- net: ethtool: add helpers for aggregate statistics (Ivan Vecera) [2175237]
- docs: ethtool: document ETHTOOL_A_STATS_SRC and ETHTOOL_A_PAUSE_STATS_SRC (Ivan Vecera) [2175237]
- net: ethtool: netlink: retrieve stats from multiple sources (eMAC, pMAC) (Ivan Vecera) [2175237]
- docs: ethtool-netlink: document interface for MAC Merge layer (Ivan Vecera) [2175237]
- net: ethtool: add support for MAC Merge layer (Ivan Vecera) [2175237]
- plca.c: fix obvious mistake in checking retval (Ivan Vecera) [2175237]
- ethtool: add tx aggregation parameters (Ivan Vecera) [2175237]
- ethtool: add netlink attr in rss get reply only if value is not null (Ivan Vecera) [2175237]
- net/ethtool: add netlink interface for the PLCA RS (Ivan Vecera) [2175237]
- ethtool: Replace 0-length array with flexible array (Ivan Vecera) [2175237]
- net/ethtool/ioctl: split ethtool_get_phy_stats into multiple helpers (Ivan Vecera) [2175237]
- net/ethtool/ioctl: remove if n_stats checks from ethtool_get_phy_stats (Ivan Vecera) [2175237]
- net/ethtool/ioctl: return -EOPNOTSUPP if we have no phy stats (Ivan Vecera) [2175237]
- ethtool: add netlink based get rss support (Ivan Vecera) [2175237]
- ethtool: avoiding integer overflow in ethtool_phys_id() (Ivan Vecera) [2175237]
- ethtool: doc: clarify what drivers can implement in their get_drvinfo() (Ivan Vecera) [2175237]
- ethtool: ethtool_get_drvinfo: populate drvinfo fields even if callback exits (Ivan Vecera) [2175237]
- ethtool: Fail number of channels change when it conflicts with rxnfc (Ivan Vecera) [2175237]
- ethtool: linkstate: add a statistic for PHY down events (Ivan Vecera) [2175237]
- ethtool: Add support for 800Gbps link modes (Ivan Vecera) [2175237]
- ethtool: pse-pd: fix null-deref on genl_info in dump (Ivan Vecera) [2175237]
- eth: pse: add missing static inlines (Ivan Vecera) [2175237]
- ethtool: add interface to interact with Ethernet Power Equipment (Ivan Vecera) [2175237]
- net: mdiobus: search for PSE nodes by parsing PHY nodes. (Ivan Vecera) [2175237]
- net: mdiobus: fwnode_mdiobus_register_phy() rework error handling (Ivan Vecera) [2175237]
- redhat: configs: Add config for PSE_CONTROLLER (Ivan Vecera) [2175237]
- net: add framework to support Ethernet PSE and PDs devices (Ivan Vecera) [2175237]
- ethtool: tunnels: check the return value of nla_nest_start() (Ivan Vecera) [2175237]
- ethtool: move from strlcpy with unused retval to strscpy (Ivan Vecera) [2175237]
- net: delete extra space and tab in blank line (Ivan Vecera) [2175237]
- treewide: uapi: Replace zero-length arrays with flexible-array members (Ivan Vecera) [2175237]
- ethtool: Fix and simplify ethtool_convert_link_mode_to_legacy_u32() (Ivan Vecera) [2175237]
- net: ethtool: move checks before rtnl_lock() in ethnl_set_rings (Ivan Vecera) [2175237]
- net: ethtool: extend ringparam set/get APIs for tx_push (Ivan Vecera) [2175237]
- ethtool: add support to set/get completion queue event size (Ivan Vecera) [2175237]
- ethtool: stats: Use struct_group() to clear all stats at once (Ivan Vecera) [2175237]
- net: convert users of bitmap_foo() to linkmode_foo() (Ivan Vecera) [2175237]
- cifs: Fix pages leak when writedata alloc failed in cifs_write_from_iter() (Ronnie Sahlberg) [2182524]
- cifs: Fix pages array leak when writedata alloc failed in cifs_writedata_alloc() (Ronnie Sahlberg) [2182524]
- cifs: update internal module number (Ronnie Sahlberg) [2182524]
- cifs: fix double free on failed kerberos auth (Ronnie Sahlberg) [2182524]
- cifs: fix interface count calculation during refresh (Ronnie Sahlberg) [2182524]
- cifs: fix memory leaks in session setup (Ronnie Sahlberg) [2182524]
- smb3: interface count displayed incorrectly (Ronnie Sahlberg) [2182524]
- cifs: Fix memory leak when build ntlmssp negotiate blob failed (Ronnie Sahlberg) [2182524]
- cifs: Fix xid leak in cifs_ses_add_channel() (Ronnie Sahlberg) [2182524]
- cifs: Fix xid leak in cifs_flock() (Ronnie Sahlberg) [2182524]
- cifs: Fix xid leak in cifs_create() (Ronnie Sahlberg) [2182524]
- cifs: Fix xid leak in cifs_copy_file_range() (Ronnie Sahlberg) [2182524]
- smb3: improve SMB3 change notification support (Ronnie Sahlberg) [2182524]
- cifs: lease key is uninitialized in two additional functions when smb1 (Ronnie Sahlberg) [2182524]
- cifs: lease key is uninitialized in smb1 paths (Ronnie Sahlberg) [2182524]
- cifs: fix double-fault crash during ntlmssp (Ronnie Sahlberg) [2182524]
- cifs: fix mount on old smb servers (Ronnie Sahlberg) [2182524]
- cifs: use ALIGN() and round_up() macros (Ronnie Sahlberg) [2182524]
- cifs: prevent copying past input buffer boundaries (Ronnie Sahlberg) [2182524]
- cifs: Fix the error length of VALIDATE_NEGOTIATE_INFO message (Ronnie Sahlberg) [2182524]
- cifs: destage dirty pages before re-reading them for cache=none (Ronnie Sahlberg) [2182524]
- cifs: return correct error in ->calc_signature() (Ronnie Sahlberg) [2182524]
- smb3: rename encryption/decryption TFMs (Ronnie Sahlberg) [2182524]
- cifs: replace kfree() with kfree_sensitive() for sensitive data (Ronnie Sahlberg) [2182524]
- cifs: remove initialization value (Ronnie Sahlberg) [2182524]
- cifs: Replace a couple of one-element arrays with flexible-array members (Ronnie Sahlberg) [2182524]
- smb3: add dynamic trace points for tree disconnect (Ronnie Sahlberg) [2182524]
- cifs: misc: fix spelling typo in comment (Ronnie Sahlberg) [2182524]
- cifs: update internal module number (Ronnie Sahlberg) [2182524]
- cifs: Fix memory leak on the deferred close (Ronnie Sahlberg) [2182524]
- SMB3: fix lease break timeout when multiple deferred close handles for the same file. (Ronnie Sahlberg) [2182524]
- smb3: lower default deferred close timeout to address perf regression (Ronnie Sahlberg) [2182524]
- smb3: allow deferred close timeout to be configurable (Ronnie Sahlberg) [2182524]
- cifs: update internal module number (Ronnie Sahlberg) [2182524]
- cifs: alloc_mid function should be marked as static (Ronnie Sahlberg) [2182524]
- cifs: remove "cifs_" prefix from init/destroy mids functions (Ronnie Sahlberg) [2182524]
- cifs: remove useless DeleteMidQEntry() (Ronnie Sahlberg) [2182524]
- cifs: fix wrong unlock before return from cifs_tree_connect() (Ronnie Sahlberg) [2182524]
- Documentation: networking: TC queue based filtering (Ivan Vecera) [2178209]
- act_skbedit: skbedit queue mapping for receive queue (Ivan Vecera) [2178209]
- wifi: iwlwifi: mvm: protect TXQ list manipulation (Jose Ignacio Tornos Martinez) [2183490]
- wifi: iwlwifi: mvm: fix mvmtxq->stopped handling (Jose Ignacio Tornos Martinez) [2183490]
- smb3: clarify multichannel warning (Ronnie Sahlberg) [2180669]
- smb3: do not log confusing message when server returns no network interfaces (Ronnie Sahlberg) [2180669]
- cifs: do not query ifaces on smb1 mounts (Ronnie Sahlberg) [2180669]
- cifs: periodically query network interfaces from server (Ronnie Sahlberg) [2180669]
- smb3: workaround negprot bug in some Samba servers (Ronnie Sahlberg) [2180669]
- smb3: use netname when available on secondary channels (Ronnie Sahlberg) [2180669]
- smb3: fix empty netname context on secondary channels (Ronnie Sahlberg) [2180669]
- cifs: populate empty hostnames for extra channels (Ronnie Sahlberg) [2180669]
- redhat/kernel.spec.template: fix installonlypkg for meta package (Jan Stancek)
- s390/dump: save IPL CPU registers once DAT is available (Chris von Recklinghausen) [2185692]
- gen_compile_commands: handle multiple lines per .mod file (Joel Slebodnick) [2160559]
- scripts/nsdeps: adjust to the format change of *.mod files (Joel Slebodnick) [2160559]
- kbuild: avoid regex RS for POSIX awk (Joel Slebodnick) [2160559]
- kbuild: make *.mod rule robust against too long argument error (Joel Slebodnick) [2160559]
- kbuild: make built-in.a rule robust against too long argument error (Joel Slebodnick) [2160559]
- kbuild: read *.mod to get objects passed to $(LD) or $(AR) (Joel Slebodnick) [2160559]
- kbuild: make *.mod not depend on *.o (Joel Slebodnick) [2160559]
- kbuild: get rid of duplication in *.mod files (Joel Slebodnick) [2160559]
- kbuild: split the second line of *.mod into *.usyms (Joel Slebodnick) [2160559]
- kbuild: reuse real-search to simplify cmd_mod (Joel Slebodnick) [2160559]
- kbuild: Fixup the IBT kbuild changes (Joel Slebodnick) [2160559]
Resolves: rhbz#2160559, rhbz#2175237, rhbz#2178209, rhbz#2179915, rhbz#2180669, rhbz#2180784, rhbz#2182524, rhbz#2183490, rhbz#2185692

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-18 14:27:12 +02:00
Jan Stancek
e31b969b55 kernel-5.14.0-299.el9
* Thu Apr 13 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-299.el9]
- powerpc/xive: Use XIVE domain under xmon and debugfs (David Arcari) [2114045]
- powerpc/xics: Drop unmask of MSIs at startup (David Arcari) [2114045]
- iommu/vt-d: Remove unnecessary locking in intel_irq_remapping_alloc() (David Arcari) [2114045]
- Update CONFIG_LOCKDEP_CHAINS_BITS to 18 (David Arcari) [2114045]
- irqdomain: Add missing NULL pointer check in irq_domain_create_hierarchy() (David Arcari) [2114045]
- irqdomain: Switch to per-domain locking (David Arcari) [2114045]
- irqchip/mvebu-odmi: Use irq_domain_create_hierarchy() (David Arcari) [2114045]
- irqchip/gic-v3-mbi: Use irq_domain_create_hierarchy() (David Arcari) [2114045]
- irqchip/gic-v3-its: Use irq_domain_create_hierarchy() (David Arcari) [2114045]
- irqchip/gic-v2m: Use irq_domain_create_hierarchy() (David Arcari) [2114045]
- irqchip/alpine-msi: Use irq_domain_add_hierarchy() (David Arcari) [2114045]
- x86/uv: Use irq_domain_create_hierarchy() (David Arcari) [2114045]
- x86/ioapic: Use irq_domain_create_hierarchy() (David Arcari) [2114045]
- irqdomain: Clean up irq_domain_push/pop_irq() (David Arcari) [2114045]
- irqdomain: Drop leftover brackets (David Arcari) [2114045]
- irqdomain: Drop dead domain-name assignment (David Arcari) [2114045]
- irqdomain: Drop revmap mutex (David Arcari) [2114045]
- irqdomain: Fix domain registration race (David Arcari) [2114045]
- irqdomain: Fix mapping-creation race (David Arcari) [2114045]
- irqdomain: Refactor __irq_domain_alloc_irqs() (David Arcari) [2114045]
- irqdomain: Look for existing mapping only once (David Arcari) [2114045]
- irqdomain: Drop bogus fwspec-mapping error handling (David Arcari) [2114045]
- irqdomain: Fix disassociation race (David Arcari) [2114045]
- irqdomain: Fix association race (David Arcari) [2114045]
- irqdomain: Use hwirq_max instead of revmap_size for NOMAP domains (David Arcari) [2114045]
- PCI: apple: Add INTx and per-port interrupt support (David Arcari) [2114045]
- irqdomain: Change the type of 'size' in __irq_domain_add() to be consistent (David Arcari) [2114045]
- genirq/ipi: Fix NULL pointer deref in irq_data_get_affinity_mask() (David Arcari) [2114045]
- iommu/amd: Enable PCI/IMS (David Arcari) [2114045]
- iommu/vt-d: Enable PCI/IMS (David Arcari) [2114045]
- genirq: Drop redundant irq_init_effective_affinity (David Arcari) [2114045]
- x86/apic/msi: Enable PCI/IMS (David Arcari) [2114045]
- PCI/MSI: Provide pci_ims_alloc/free_irq() (David Arcari) [2114045]
- PCI/MSI: Provide IMS (Interrupt Message Store) support (David Arcari) [2114045]
- genirq: Return a const cpumask from irq_data_get_affinity_mask (David Arcari) [2114045]
- genirq: Add and use an irq_data_update_affinity helper (David Arcari) [2114045]
- genirq: Refactor accessors to use irq_data_get_affinity_mask (David Arcari) [2114045]
- genirq/msi: Provide constants for PCI/IMS support (David Arcari) [2114045]
- x86/apic/msi: Enable MSI_FLAG_PCI_MSIX_ALLOC_DYN (David Arcari) [2114045]
- x86/apic/msi: Remove arch_create_remap_msi_irq_domain() (David Arcari) [2114045]
- iommu/amd: Switch to MSI base domains (David Arcari) [2114045]
- iommu/vt-d: Switch to MSI parent domains (David Arcari) [2114045]
- oc: ti: ti_sci_inta_msi: Switch to domain id aware MSI functions (David Arcari) [2114045]
- genirq/irqdesc: Don't try to remove non-existing sysfs files (David Arcari) [2114045]
- irqchip/gic: Use kstrtobool() instead of strtobool() (David Arcari) [2114045]
- irqchip/irq-mtk-cirq: Add support for System CIRQ on MT8192 (David Arcari) [2114045]
- irqchip/irq-mtk-cirq: Move register offsets to const array (David Arcari) [2114045]
- dt-bindings: interrupt-controller: mediatek,cirq: Document MT8192 (David Arcari) [2114045]
- dt-bindings: interrupt-controller: mediatek,cirq: Migrate to dt schema (David Arcari) [2114045]
- irqchip/sl28cpld: Replace irqchip mask_invert with unmask_base (David Arcari) [2114045]
- irqchip/wpcm450: Fix memory leak in wpcm450_aic_of_init() (David Arcari) [2114045]
- irqchip: gic-pm: Use pm_runtime_resume_and_get() in gic_probe() (David Arcari) [2114045]
- irqchip/al-fic: Drop obsolete dependency on COMPILE_TEST (David Arcari) [2114045]
- irqchip/st: Use device_get_match_data() to simplify the code (David Arcari) [2114045]
- genirq/irqreturn: Fix kernel-doc warnings (David Arcari) [2114045]
- iommu/of: Remove linux/msi.h include (David Arcari) [2114045]
- vfio/fsl-mc: Remove linux/msi.h include (David Arcari) [2114045]
- soc: fsl: dpio: Remove linux/msi.h include (David Arcari) [2114045]
- bus: fsl-mc: Remove linux/msi.h includes (David Arcari) [2114045]
- Documentation: PCI: Add reference to PCI/MSI device driver APIs (David Arcari) [2114045]
- iommu/amd: Remove bogus check for multi MSI-X (David Arcari) [2114045]
- iommu/vt-d: Remove bogus check for multi MSI-X (David Arcari) [2114045]
- genirq: Remove unused argument force of irq_set_affinity_deactivated() (David Arcari) [2114045]
- powerpc/64: warn if local irqs are enabled in NMI or hardirq context (David Arcari) [2114045]
- powerpc/traps: do not enable irqs in _exception (David Arcari) [2114045]
- powerpc/xics: Set the IRQ chip data for the ICS native backend (David Arcari) [2114045]
- powerpc: use IRQF_NO_DEBUG for IPIs (David Arcari) [2114045]
- powerpc/xics: Fix IRQ migration (David Arcari) [2114045]
- powerpc/xics: Add support for IRQ domain hierarchy (David Arcari) [2114045]
- powerpc/xics: Give a name to the default XICS IRQ domain (David Arcari) [2114045]
- powerpc/xics: Rename the map handler in a check handler (David Arcari) [2114045]
- powerpc/xics: Remove ICS list (David Arcari) [2114045]
- powerpc/xive: Remove irqd_is_started() check when setting the affinity (David Arcari) [2114045]
- powerpc/xive: Drop unmask of MSIs at startup (David Arcari) [2114045]
- powerpc/xive: Ease debugging of xive_irq_set_affinity() (David Arcari) [2114045]
- powerpc/xive: Add support for IRQ domain hierarchy (David Arcari) [2114045]
- watchdog: imx7ulp: Use devm_clk_get_enabled() helper (Steve Best) [2180762]
- KVM: VMX: Fix crash due to uninitialized current_vmcs (Vitaly Kuznetsov) [2181329]
- scsi: target: iscsi: Fix an error message in iscsi_check_key() (Maurizio Lombardi) [2175687]
- scsi: target: core: Fix warning on RT kernels (Maurizio Lombardi) [2175687]
- scsi: target: core: Change the way target_xcopy_do_work() sets restiction on max I/O (Maurizio Lombardi) [2175687]
- scsi: target: core: Make hw_max_sectors store the sectors amount in blocks (Maurizio Lombardi) [2175687]
- scsi: target: core: Send max transfer length in blocks (Maurizio Lombardi) [2175687]
- scsi: target: Use kstrtobool() instead of strtobool() (Maurizio Lombardi) [2175687]
- scsi: target: core: Remove unused variable 'unit_serial_len' (Maurizio Lombardi) [2175687]
- scsi: target: core: Dynamically set DPO and FUA in usage_bits (Maurizio Lombardi) [2175687]
- scsi: target: core: Check emulate_3pc for RECEIVE COPY (Maurizio Lombardi) [2175687]
- scsi: target: core: Add emulate_rsoc attribute (Maurizio Lombardi) [2175687]
- scsi: target: core: Dynamic opcode support in RSOC (Maurizio Lombardi) [2175687]
- scsi: target: core: Add list of opcodes for RSOC (Maurizio Lombardi) [2175687]
- scsi: target: core: Add support for RSOC command (Maurizio Lombardi) [2175687]
- scsi: target: Remove the unused function transport_lba_64_ext() (Maurizio Lombardi) [2175687]
- [target] fix iov_iter_bvec() "direction" argument (Maurizio Lombardi) [2175687]
- scsi: target: tcm_loop: Fix possible name leak in tcm_loop_setup_hba_bus() (Maurizio Lombardi) [2175687]
- scsi: target: iblock: Fold iblock_emulate_read_cap_with_block_size() into iblock_get_blocks() (Maurizio Lombardi) [2175687]
- scsi: target: core: UA on all LUNs after reset (Maurizio Lombardi) [2175687]
- scsi: target: core: New key must be used for moved PR (Maurizio Lombardi) [2175687]
- scsi: target: core: Abort all preempted regs if requested (Maurizio Lombardi) [2175687]
- scsi: target: core: Fix memory leak in preempt_and_abort (Maurizio Lombardi) [2175687]
- scsi: target: core: Fix preempt and abort for allreg res (Maurizio Lombardi) [2175687]
- scsi: target: Remove unused se_tmr_req_cache declaration (Maurizio Lombardi) [2175687]
- scsi: target: alua: Do not report emtpy port group (Maurizio Lombardi) [2175687]
- scsi: target: core: Set MULTIP bit for se_device with multiple ports (Maurizio Lombardi) [2175687]
- scsi: target: pscsi: Remove repeated word "calling" (Maurizio Lombardi) [2175687]
- net: avoid double iput when sock_alloc_file fails (Jeff Moyer) [2123490]
- io_uring: do not recycle buffer in READV (Jeff Moyer) [2123490]
- io_uring: fix free of unallocated buffer list (Jeff Moyer) [2123490]
- io_uring: check that we have a file table when allocating update slots (Jeff Moyer) [2123490]
- io_uring: explicit sqe padding for ioctl commands (Jeff Moyer) [2123490]
- io_uring: fix provided buffer import (Jeff Moyer) [2123490]
- io_uring: keep sendrecv flags in ioprio (Jeff Moyer) [2123490]
- io_uring: use original request task for inflight tracking (Jeff Moyer) [2123490]
- io_uring: move io_uring_get_opcode out of TP_printk (Jeff Moyer) [2123490]
- io_uring: fix double poll leak on repolling (Jeff Moyer) [2123490]
- io_uring: fix wrong arm_poll error handling (Jeff Moyer) [2123490]
- io_uring: fail links when poll fails (Jeff Moyer) [2123490]
- io_uring: fix req->apoll_events (Jeff Moyer) [2123490]
- io_uring: mark reissue requests with REQ_F_PARTIAL_IO (Jeff Moyer) [2123490]
- io_uring: recycle provided buffer if we punt to io-wq (Jeff Moyer) [2123490]
- io_uring: do not use prio task_work_add in uring_cmd (Jeff Moyer) [2123490]
- io_uring: commit non-pollable provided mapped buffers upfront (Jeff Moyer) [2123490]
- io_uring: make io_fill_cqe_aux honour CQE32 (Jeff Moyer) [2123490]
- io_uring: remove __io_fill_cqe() helper (Jeff Moyer) [2123490]
- io_uring: fix ->extra{1,2} misuse (Jeff Moyer) [2123490]
- io_uring: fill extra big cqe fields from req (Jeff Moyer) [2123490]
- io_uring: unite fill_cqe and the 32B version (Jeff Moyer) [2123490]
- io_uring: get rid of __io_fill_cqe{32}_req() (Jeff Moyer) [2123490]
- io_uring: remove IORING_CLOSE_FD_AND_FILE_SLOT (Jeff Moyer) [2123490]
- Revert "io_uring: add buffer selection support to IORING_OP_NOP" (Jeff Moyer) [2123490]
- Revert "io_uring: support CQE32 for nop operation" (Jeff Moyer) [2123490]
- io_uring: limit size of provided buffer ring (Jeff Moyer) [2123490]
- io_uring: fix types in provided buffer ring (Jeff Moyer) [2123490]
- io_uring: fix index calculation (Jeff Moyer) [2123490]
- io_uring: fix double unlock for pbuf select (Jeff Moyer) [2123490]
- io_uring: kbuf: fix bug of not consuming ring buffer in partial io case (Jeff Moyer) [2123490]
- io_uring: openclose: fix bug of closing wrong fixed file (Jeff Moyer) [2123490]
- io_uring: fix not locked access to fixed buf table (Jeff Moyer) [2123490]
- io_uring: fix races with buffer table unregister (Jeff Moyer) [2123490]
- io_uring: fix races with file table unregister (Jeff Moyer) [2123490]
- fix the breakage in close_fd_get_file() calling conventions change (Jeff Moyer) [2123490]
- io_uring: reinstate the inflight tracking (Jeff Moyer) [2123490]
- io_uring: fix deadlock on iowq file slot alloc (Jeff Moyer) [2123490]
- io_uring: let IORING_OP_FILES_UPDATE support choosing fixed file slots (Jeff Moyer) [2123490]
- io_uring: defer alloc_hint update to io_file_bitmap_set() (Jeff Moyer) [2123490]
- io_uring: ensure fput() called correspondingly when direct install fails (Jeff Moyer) [2123490]
- io_uring: wire up allocated direct descriptors for socket (Jeff Moyer) [2123490]
- io_uring: fix a memory leak of buffer group list on exit (Jeff Moyer) [2123490]
- io_uring: move shutdown under the general net section (Jeff Moyer) [2123490]
- io_uring: unify calling convention for async prep handling (Jeff Moyer) [2123490]
- io_uring: add io_op_defs 'def' pointer in req init and issue (Jeff Moyer) [2123490]
- io_uring: make prep and issue side of req handlers named consistently (Jeff Moyer) [2123490]
- io_uring: make timeout prep handlers consistent with other prep handlers (Jeff Moyer) [2123490]
- io_uring: cleanup handling of the two task_work lists (Jeff Moyer) [2123490]
- io_uring: fix incorrect __kernel_rwf_t cast (Jeff Moyer) [2123490]
- io_uring: disallow mixed provided buffer group registrations (Jeff Moyer) [2123490]
- io_uring: initialize io_buffer_list head when shared ring is unregistered (Jeff Moyer) [2123490]
- io_uring: add fully sparse buffer registration (Jeff Moyer) [2123490]
- io_uring: use rcu_dereference in io_close (Jeff Moyer) [2123490]
- io_uring: consistently use the EPOLL* defines (Jeff Moyer) [2123490]
- io_uring: make apoll_events a __poll_t (Jeff Moyer) [2123490]
- io_uring: drop a spurious inline on a forward declaration (Jeff Moyer) [2123490]
- io_uring: don't use ERR_PTR for user pointers (Jeff Moyer) [2123490]
- io_uring: use a rwf_t for io_rw.flags (Jeff Moyer) [2123490]
- io_uring: add support for ring mapped supplied buffers (Jeff Moyer) [2123490]
- io_uring: add io_pin_pages() helper (Jeff Moyer) [2123490]
- io_uring: add buffer selection support to IORING_OP_NOP (Jeff Moyer) [2123490]
- io_uring: fix locking state for empty buffer group (Jeff Moyer) [2123490]
- Unify the primitives for file descriptor closing (Jeff Moyer) [2123490]
- file: let pick_file() tell caller it's done (Jeff Moyer) [2123490]
- io_uring: implement multishot mode for accept (Jeff Moyer) [2123490]
- io_uring: let fast poll support multishot (Jeff Moyer) [2123490]
- io_uring: add REQ_F_APOLL_MULTISHOT for requests (Jeff Moyer) [2123490]
- io_uring: add IORING_ACCEPT_MULTISHOT for accept (Jeff Moyer) [2123490]
- io_uring: only wake when the correct events are set (Jeff Moyer) [2123490]
- io_uring: avoid io-wq -EAGAIN looping for !IOPOLL (Jeff Moyer) [2123490]
- io_uring: add flag for allocating a fully sparse direct descriptor space (Jeff Moyer) [2123490]
- io_uring: bump max direct descriptor count to 1M (Jeff Moyer) [2123490]
- io_uring: allow allocated fixed files for accept (Jeff Moyer) [2123490]
- io_uring: allow allocated fixed files for openat/openat2 (Jeff Moyer) [2123490]
- io_uring: add basic fixed file allocator (Jeff Moyer) [2123490]
- io_uring: track fixed files with a bitmap (Jeff Moyer) [2123490]
- io_uring_enter(): don't leave f.flags uninitialized (Jeff Moyer) [2123490]
- nvme: add vectored-io support for uring-cmd (Jeff Moyer) [2123490]
- nvme: wire-up uring-cmd support for io-passthru on char-device. (Jeff Moyer) [2123490]
- nvme: refactor nvme_submit_user_cmd() (Jeff Moyer) [2123490]
- nvme: add vectored-io support for user-passthrough (Jeff Moyer) [2123490]
- fs,io_uring: add infrastructure for uring-cmd (Jeff Moyer) [2123490]
- io_uring: support CQE32 for nop operation (Jeff Moyer) [2123490]
- io_uring: enable CQE32 (Jeff Moyer) [2123490]
- io_uring: support CQE32 in /proc info (Jeff Moyer) [2123490]
- io_uring: add tracing for additional CQE32 fields (Jeff Moyer) [2123490]
- io_uring: overflow processing for CQE32 (Jeff Moyer) [2123490]
- io_uring: flush completions for CQE32 (Jeff Moyer) [2123490]
- io_uring: modify io_get_cqe for CQE32 (Jeff Moyer) [2123490]
- io_uring: add CQE32 completion processing (Jeff Moyer) [2123490]
- io_uring: add CQE32 setup processing (Jeff Moyer) [2123490]
- io_uring: change ring size calculation for CQE32 (Jeff Moyer) [2123490]
- io_uring: store add. return values for CQE32 (Jeff Moyer) [2123490]
- io_uring: support CQE32 in io_uring_cqe (Jeff Moyer) [2123490]
- io_uring: add support for 128-byte SQEs (Jeff Moyer) [2123490]
- io_uring: don't clear req->kbuf when buffer selection is done (Jeff Moyer) [2123490]
- io_uring: eliminate the need to track provided buffer ID separately (Jeff Moyer) [2123490]
- io_uring: move provided buffer state closer to submit state (Jeff Moyer) [2123490]
- io_uring: move provided and fixed buffers into the same io_kiocb area (Jeff Moyer) [2123490]
- io_uring: abstract out provided buffer list selection (Jeff Moyer) [2123490]
- io_uring: never call io_buffer_select() for a buffer re-select (Jeff Moyer) [2123490]
- io_uring: get rid of hashed provided buffer groups (Jeff Moyer) [2123490]
- io_uring: always use req->buf_index for the provided buffer group (Jeff Moyer) [2123490]
- io_uring: ignore ->buf_index if REQ_F_BUFFER_SELECT isn't set (Jeff Moyer) [2123490]
- io_uring: kill io_rw_buffer_select() wrapper (Jeff Moyer) [2123490]
- io_uring: make io_buffer_select() return the user address directly (Jeff Moyer) [2123490]
- io_uring: kill io_recv_buffer_select() wrapper (Jeff Moyer) [2123490]
- io_uring: use 'sr' vs 'req->sr_msg' consistently (Jeff Moyer) [2123490]
- io_uring: add POLL_FIRST support for send/sendmsg and recv/recvmsg (Jeff Moyer) [2123490]
- io_uring: check IOPOLL/ioprio support upfront (Jeff Moyer) [2123490]
- io_uring: replace smp_mb() with smp_mb__after_atomic() in io_sq_thread() (Jeff Moyer) [2123490]
- io_uring: add IORING_SETUP_TASKRUN_FLAG (Jeff Moyer) [2123490]
- io_uring: use TWA_SIGNAL_NO_IPI if IORING_SETUP_COOP_TASKRUN is used (Jeff Moyer) [2123490]
- io_uring: set task_work notify method at init time (Jeff Moyer) [2123490]
- io-wq: use __set_notify_signal() to wake workers (Jeff Moyer) [2123490]
- io_uring: serialize ctx->rings->sq_flags with atomic_or/and (Jeff Moyer) [2123490]
- task_work: allow TWA_SIGNAL without a rescheduling IPI (Jeff Moyer) [2123490]
- io_uring: return hint on whether more data is available after receive (Jeff Moyer) [2123490]
- net: clear msg_get_inq in __get_compat_msghdr() (Jeff Moyer) [2123490]
- net: clear msg_get_inq in __sys_recvfrom() and __copy_msghdr_from_user() (Jeff Moyer) [2123490]
- tcp: pass back data left in socket after receive (Jeff Moyer) [2123490]
- io_uring: use the text representation of ops in trace (Jeff Moyer) [2123490]
- io_uring: rename op -> opcode (Jeff Moyer) [2123490]
- io_uring: add io_uring_get_opcode (Jeff Moyer) [2123490]
- io_uring: add type to op enum (Jeff Moyer) [2123490]
- io_uring: fix compile warning for 32-bit builds (Jeff Moyer) [2123490]
- io_uring: cleanup error-handling around io_req_complete (Jeff Moyer) [2123490]
- io_uring: add socket(2) support (Jeff Moyer) [2123490]
- net: add __sys_socket_file() (Jeff Moyer) [2123490]
- io_uring: fix trace for reduced sqe padding (Jeff Moyer) [2123490]
- io_uring: add fgetxattr and getxattr support (Jeff Moyer) [2123490]
- io_uring: add fsetxattr and setxattr support (Jeff Moyer) [2123490]
- fs: split off do_getxattr from getxattr (Jeff Moyer) [2123490]
- fs: split off setxattr_copy and do_setxattr function from setxattr (Jeff Moyer) [2123490]
- io_uring: return an error when cqe is dropped (Jeff Moyer) [2123490]
- io_uring: use constants for cq_overflow bitfield (Jeff Moyer) [2123490]
- io_uring: rework io_uring_enter to simplify return value (Jeff Moyer) [2123490]
- io_uring: trace cqe overflows (Jeff Moyer) [2123490]
- io_uring: add trace support for CQE overflow (Jeff Moyer) [2123490]
- io_uring: allow re-poll if we made progress (Jeff Moyer) [2123490]
- io_uring: support MSG_WAITALL for IORING_OP_SEND(MSG) (Jeff Moyer) [2123490]
- io_uring: add support for IORING_ASYNC_CANCEL_ANY (Jeff Moyer) [2123490]
- io_uring: allow IORING_OP_ASYNC_CANCEL with 'fd' key (Jeff Moyer) [2123490]
- io_uring: add support for IORING_ASYNC_CANCEL_ALL (Jeff Moyer) [2123490]
- io_uring: pass in struct io_cancel_data consistently (Jeff Moyer) [2123490]
- io_uring: remove dead 'poll_only' argument to io_poll_cancel() (Jeff Moyer) [2123490]
- io_uring: refactor io_disarm_next() locking (Jeff Moyer) [2123490]
- io_uring: move timeout locking in io_timeout_cancel() (Jeff Moyer) [2123490]
- io_uring: store SCM state in io_fixed_file->file_ptr (Jeff Moyer) [2123490]
- io_uring: kill ctx arg from io_req_put_rsrc (Jeff Moyer) [2123490]
- io_uring: add a helper for putting rsrc nodes (Jeff Moyer) [2123490]
- io_uring: store rsrc node in req instead of refs (Jeff Moyer) [2123490]
- io_uring: refactor io_assign_file error path (Jeff Moyer) [2123490]
- io_uring: use right helpers for file assign locking (Jeff Moyer) [2123490]
- io_uring: add data_race annotations (Jeff Moyer) [2123490]
- io_uring: inline io_req_complete_fail_submit() (Jeff Moyer) [2123490]
- io_uring: refactor io_submit_sqe() (Jeff Moyer) [2123490]
- io_uring: refactor lazy link fail (Jeff Moyer) [2123490]
- io_uring: introduce IO_REQ_LINK_FLAGS (Jeff Moyer) [2123490]
- io_uring: refactor io_queue_sqe() (Jeff Moyer) [2123490]
- io_uring: rename io_queue_async_work() (Jeff Moyer) [2123490]
- io_uring: inline io_queue_sqe() (Jeff Moyer) [2123490]
- io_uring: helper for prep+queuing linked timeouts (Jeff Moyer) [2123490]
- io_uring: inline io_free_req() (Jeff Moyer) [2123490]
- io_uring: kill io_put_req_deferred() (Jeff Moyer) [2123490]
- io_uring: minor refactoring for some tw handlers (Jeff Moyer) [2123490]
- io_uring: clean poll tw PF_EXITING handling (Jeff Moyer) [2123490]
- io_uring: optimise io_get_cqe() (Jeff Moyer) [2123490]
- io_uring: optimise submission left counting (Jeff Moyer) [2123490]
- io_uring: optimise submission loop invariant (Jeff Moyer) [2123490]
- io_uring: add helper to return req to cache list (Jeff Moyer) [2123490]
- io_uring: helper for empty req cache checks (Jeff Moyer) [2123490]
- io_uring: inline io_flush_cached_reqs (Jeff Moyer) [2123490]
- io_uring: shrink final link flush (Jeff Moyer) [2123490]
- io_uring: memcpy CQE from req (Jeff Moyer) [2123490]
- io_uring: explicitly keep a CQE in io_kiocb (Jeff Moyer) [2123490]
- io_uring: rename io_sqe_file_register (Jeff Moyer) [2123490]
- io_uring: deduplicate SCM accounting (Jeff Moyer) [2123490]
- io_uring: don't pass around fixed index for scm (Jeff Moyer) [2123490]
- io_uring: refactor __io_sqe_files_scm (Jeff Moyer) [2123490]
- io_uring: uniform SCM accounting (Jeff Moyer) [2123490]
- io_uring: don't scm-account for non af_unix sockets (Jeff Moyer) [2123490]
- io_uring: move finish_wait() outside of loop in cqring_wait() (Jeff Moyer) [2123490]
- io_uring: refactor io_req_add_compl_list() (Jeff Moyer) [2123490]
- io_uring: silence io_for_each_link() warning (Jeff Moyer) [2123490]
- io_uring: partially uninline io_put_task() (Jeff Moyer) [2123490]
- io_uring: cleanup conditional submit locking (Jeff Moyer) [2123490]
- io_uring: optimise mutex locking for submit+iopoll (Jeff Moyer) [2123490]
- io_uring: pre-calculate syscall iopolling decision (Jeff Moyer) [2123490]
- io_uring: split off IOPOLL argument verifiction (Jeff Moyer) [2123490]
- io_uring: clean up io_queue_next() (Jeff Moyer) [2123490]
- io_uring: move poll recycling later in compl flushing (Jeff Moyer) [2123490]
- io_uring: optimise io_free_batch_list (Jeff Moyer) [2123490]
- io_uring: refactor io_req_find_next (Jeff Moyer) [2123490]
- io_uring: remove extra ifs around io_commit_cqring (Jeff Moyer) [2123490]
- io_uring: small optimisation of tctx_task_work (Jeff Moyer) [2123490]
- ipvs: add sysctl_run_estimation to support disable estimation (Hangbin Liu) [2174312]
- netfilter: nf_conntrack: allow early drop of offloaded UDP conns (Florian Westphal) [2183085]
- net/sched: act_ct: offload UDP NEW connections (Florian Westphal) [2183085]
- net/sched: act_ct: set ctinfo in meta action depending on ct state (Florian Westphal) [2183085]
- netfilter: flowtable: cache info of last offload (Florian Westphal) [2183085]
- netfilter: flowtable: allow unidirectional rules (Florian Westphal) [2183085]
- netfilter: flowtable: fixup UDP timeout depending on ct state (Florian Westphal) [2183085]
- ovl: fix use after free in struct ovl_aio_req (Miklos Szeredi) [2176161] {CVE-2023-1252}
- ovl: fail on invalid uid/gid mapping at copy up (Miklos Szeredi) [2165345] {CVE-2023-0386}
Resolves: rhbz#2114045, rhbz#2123490, rhbz#2174312, rhbz#2175687, rhbz#2176161, rhbz#2180762, rhbz#2181329, rhbz#2183085

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-13 07:58:14 +02:00
Jan Stancek
e25db01043 kernel-5.14.0-298.el9
* Mon Apr 10 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-298.el9]
- kernel-rt: config: disable SLUB_CPU_PARTIAL for real time kernels (Luis Claudio R. Goncalves) [2182930]
- kernel-rt: config: disable KGDB in the production and development variants (Luis Claudio R. Goncalves) [2182890]
- mm/migrate: fix wrongly apply write bit after mkdirty on sparc64 (Rafael Aquini) [2168392]
- mm/thp: re-apply mkdirty for small pages after split (Rafael Aquini) [2168392]
- Partly revert "mm/thp: carry over dirty bit when thp splits on pmd" (Rafael Aquini) [2168392]
- mm/swap: fix SWP_PFN_BITS with CONFIG_PHYS_ADDR_T_64BIT on 32bit (Rafael Aquini) [2168392]
- mm/swap: cache swap migration A/D bits support (Rafael Aquini) [2168392]
- mm/swap: cache maximum swapfile size when init swap (Rafael Aquini) [2168392]
- mm: remember young/dirty bit for page migrations (Rafael Aquini) [2168392]
- mm/thp: carry over dirty bit when thp splits on pmd (Rafael Aquini) [2168392]
- mm/swap: add swp_offset_pfn() to fetch PFN from swap entry (Rafael Aquini) [2168392]
- mm/swap: comment all the ifdef in swapops.h (Rafael Aquini) [2168392]
- mm/x86: use SWP_TYPE_BITS in 3-level swap macros (Rafael Aquini) [2168392]
- mm/swapops: make is_pmd_migration_entry more strict (Rafael Aquini) [2168392]
- include/linux/swapops.h: remove stub for non_swap_entry() (Rafael Aquini) [2168392]
- cifs: fix regression in very old smb1 mounts (Ronnie Sahlberg) [2182556]
- hwrng: core - use per-rng quality value instead of global setting (Jose Ignacio Tornos Martinez) [2172887]
- hwrng: core - start and stop in-kernel rngd in separate function (Jose Ignacio Tornos Martinez) [2172887]
- hwrng: core - do not bother to order list of devices by quality (Jose Ignacio Tornos Martinez) [2172887]
- hwrng: core - credit entropy for low quality sources of randomness (Jose Ignacio Tornos Martinez) [2172887]
- hwrng: core - break out of hwrng_fillfn if current rng is not trusted (Jose Ignacio Tornos Martinez) [2172887]
- hwrng: core - only set cur_rng_set_by_user if it is working (Jose Ignacio Tornos Martinez) [2172887]
- hwrng: core - use rng_fillbuf in add_early_randomness() (Jose Ignacio Tornos Martinez) [2172887]
- hwrng: core - read() callback must be called for size of 32 or more bytes (Jose Ignacio Tornos Martinez) [2172887]
- hwrng: core - explicit ordering of initcalls (Jose Ignacio Tornos Martinez) [2172887]
- sfc: Change VF mac via PF as first preference if available. (Íñigo Huguet) [2121027]
- genirq/affinity: Only build SMP-only helper functions on SMP kernels (Ming Lei) [2153649]
- blk-mq: Build default queue map via group_cpus_evenly() (Ming Lei) [2153649]
- genirq/affinity: Move group_cpus_evenly() into lib/ (Ming Lei) [2153649]
- genirq/affinity: Rename irq_build_affinity_masks as group_cpus_evenly (Ming Lei) [2153649]
- genirq/affinity: Don't pass irq_affinity_desc array to irq_build_affinity_masks (Ming Lei) [2153649]
- genirq/affinity: Pass affinity managed mask array to irq_build_affinity_masks (Ming Lei) [2153649]
- genirq/affinity: Remove the 'firstvec' parameter from irq_build_affinity_masks (Ming Lei) [2153649]
- genirq/affinity: Replace cpumask_weight() with cpumask_empty() where appropriate (Ming Lei) [2153649]
- ipmi: ipmb: Fix the MODULE_PARM_DESC associated to 'retry_time_ms' (Tony Camuso) [2174224]
- ipmi:ssif: Add a timer between request retries (Tony Camuso) [2174224]
- ipmi:ssif: Remove rtc_us_timer (Tony Camuso) [2174224]
- ipmi_ssif: Rename idle state and check (Tony Camuso) [2174224]
- ipmi:ssif: resend_msg() cannot fail (Tony Camuso) [2174224]
- ipmi/watchdog: use strscpy() to instead of strncpy() (Tony Camuso) [2174224]
- ipmi: ssif_bmc: Convert to i2c's .probe_new() (Tony Camuso) [2174224]
- ipmi: fix use after free in _ipmi_destroy_user() (Tony Camuso) [2174224]
- ipmi/watchdog: Include <linux/kstrtox.h> when appropriate (Tony Camuso) [2174224]
- ipmi:ssif: Increase the message retry time (Tony Camuso) [2174224]
- ipmi: Fix some kernel-doc warnings (Tony Camuso) [2174224]
- ipmi: ssif_bmc: Use EPOLLIN instead of POLLIN (Tony Camuso) [2174224]
- ipmi: fix msg stack when IPMI is disconnected (Tony Camuso) [2174224]
- ipmi: fix memleak when unload ipmi driver (Tony Camuso) [2174224]
- ipmi: fix long wait in unload when IPMI disconnect (Tony Camuso) [2174224]
- ipmi: kcs: Poll OBF briefly to reduce OBE latency (Tony Camuso) [2174224]
- ipmi: ssif_bmc: Add SSIF BMC driver (Tony Camuso) [2174224]
- ipmi: ssif_bmc: Add SSIF BMC driver config (Tony Camuso) [2174224]
- ipmi: Remove unused struct watcher_entry (Tony Camuso) [2174224]
- ipmi: kcs: aspeed: Update port address comments (Tony Camuso) [2174224]
- ipmi: Add __init/__exit annotations to module init/exit funcs (Tony Camuso) [2174224]
- ipmi:ipmb: Don't call ipmi_unregister_smi() on a register failure (Tony Camuso) [2174224]
- ipmi:ipmb: Fix a vague comment and a typo (Tony Camuso) [2174224]
- ipmi: Fix comment typo (Tony Camuso) [2174224]
- char: ipmi: modify NPCM KCS configuration (Tony Camuso) [2174224]
- KVM: x86: Propagate the AMD Automatic IBRS feature to the guest (Waiman Long) [1898184]
- x86/cpu: Support AMD Automatic IBRS (Waiman Long) [1898184]
- x86/cpu, kvm: Add the SMM_CTL MSR not present feature (Waiman Long) [1898184]
- x86/cpu, kvm: Add the Null Selector Clears Base feature (Waiman Long) [1898184]
- x86/cpu, kvm: Move X86_FEATURE_LFENCE_RDTSC to its native leaf (Waiman Long) [1898184]
- x86/cpu, kvm: Add the NO_NESTED_DATA_BP feature (Waiman Long) [1898184]
- KVM: x86: Move open-coded CPUID leaf 0x80000021 EAX bit propagation code (Waiman Long) [1898184]
- x86/cpu, kvm: Add support for CPUID_80000021_EAX (Waiman Long) [1898184]
- x86/bugs: Make sure MSR_SPEC_CTRL is updated properly upon resume from S3 (Waiman Long) [1898184]
- KVM: x86: Advertise that the SMM_CTL MSR is not supported (Waiman Long) [1898184]
- KVM: x86: Advertise AVX-VNNI-INT8 CPUID to user space (Waiman Long) [1898184]
- KVM: x86: Update KVM-only leaf handling to allow for 100%% KVM-only leafs (Waiman Long) [1898184]
- tools headers cpufeatures: Sync with the kernel sources (Waiman Long) [1898184]
- KVM: x86: Hide IA32_PLATFORM_DCA_CAP[31:0] from the guest (Waiman Long) [1898184]
- Documentation/hw-vuln: Update spectre doc (Waiman Long) [1898184]
- x86/cpufeatures: Add LbrExtV2 feature bit (Waiman Long) [1898184]
Resolves: rhbz#1898184, rhbz#2121027, rhbz#2153649, rhbz#2168392, rhbz#2172887, rhbz#2174224, rhbz#2182556, rhbz#2182890, rhbz#2182930

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-10 10:07:55 +02:00
Jan Stancek
b47a5d54e5 kernel-5.14.0-297.el9
* Thu Apr 06 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-297.el9]
- igb: conditionalize I2C bit banging on external thermal sensor support (Corinna Vinschen) [2173594]
- Add process name and pid to locks warning (Jeffrey Layton) [2172087]
- nfsd: use locks_inode_context helper (Jeffrey Layton) [2172087]
- nfs: use locks_inode_context helper (Jeffrey Layton) [2172087]
- lockd: use locks_inode_context helper (Jeffrey Layton) [2172087]
- cifs: use locks_inode_context helper (Jeffrey Layton) [2172087]
- ceph: use locks_inode_context helper (Jeffrey Layton) [2172087]
- filelock: add a new locks_inode_context accessor function (Jeffrey Layton) [2172087]
- filelock: new helper: vfs_inode_has_locks (Jeffrey Layton) [2172087]
- filelock: WARN_ON_ONCE when ->fl_file and filp don't match (Jeffrey Layton) [2172087]
- locks: Fix dropped call to ->fl_release_private() (Jeffrey Layton) [2172087]
- fs/lock: Rearrange ops in flock syscall. (Jeffrey Layton) [2172087]
- fs/lock: Don't allocate file_lock in flock_make_lock(). (Jeffrey Layton) [2172087]
- fs/lock: add 2 callbacks to lock_manager_operations to resolve conflict (Jeffrey Layton) [2172087]
- fs/lock: add helper locks_owner_has_blockers to check for blockers (Jeffrey Layton) [2172087]
- fs/lock: documentation cleanup. Replace inode->i_lock with flc_lock. (Jeffrey Layton) [2172087]
- net: rename reference+tracking helpers (Íñigo Huguet) [2175258]
- llc: only change llc->dev when bind() succeeds (Íñigo Huguet) [2175258]
- llc: fix netdevice reference leaks in llc_ui_bind() (Íñigo Huguet) [2175258]
- net: macvlan: add net device refcount tracker (Íñigo Huguet) [2175258]
- macsec: dump IFLA_MACSEC_OFFLOAD attribute as part of macsec dump (Sabrina Dubroca) [2173895]
- macsec: add support for IFLA_MACSEC_OFFLOAD in macsec_changelink (Sabrina Dubroca) [2173895]
- net: macsec: fix net device access prior to holding a lock (Sabrina Dubroca) [2173895]
- macsec: add missing attribute validation for offload (Sabrina Dubroca) [2173895]
- macsec: Fix invalid error code set (Sabrina Dubroca) [2173895]
- macsec: clear encryption keys from the stack after setting up offload (Sabrina Dubroca) [2173895]
- macsec: fix detection of RXSCs when toggling offloading (Sabrina Dubroca) [2173895]
- macsec: fix secy->n_rx_sc accounting (Sabrina Dubroca) [2173895]
- macsec: delete new rxsc when offload fails (Sabrina Dubroca) [2173895]
- Revert "net: macsec: report real_dev features when HW offloading is enabled" (Sabrina Dubroca) [2173895]
- macsec: don't free NULL metadata_dst (Sabrina Dubroca) [2173895]
- net: atlantic: macsec: remove checks on the prepare phase (Sabrina Dubroca) [2173895]
- net: phy: mscc: macsec: remove checks on the prepare phase (Sabrina Dubroca) [2173895]
- net: macsec: remove the prepare phase when offloading (Sabrina Dubroca) [2173895]
- net: atlantic: macsec: make the prepare phase a noop (Sabrina Dubroca) [2173895]
- net: phy: mscc: macsec: make the prepare phase a noop (Sabrina Dubroca) [2173895]
- net: macsec: Expose extended packet number (EPN) properties to macsec offload (Sabrina Dubroca) [2173895]
- net/macsec: Move some code for sharing with various drivers that implements offload (Sabrina Dubroca) [2173895]
- net: drivers: get ready for const netdev->dev_addr (Sabrina Dubroca) [2173895]
- net/macsec: Add MACsec skb_metadata_dst Rx Data path support (Sabrina Dubroca) [2173895]
- net/macsec: Add MACsec skb_metadata_dst Tx Data path support (Sabrina Dubroca) [2173895]
- kernel-rt: config: adjust MAX_LOCKDEP_ENTRIES and MAX_LOCKDEP_CHAINS for RT (Luis Claudio R. Goncalves) [2178947]
- vfio: fix deadlock between group lock and kvm lock (Alex Williamson) [2177087]
- kvm/vfio: Fix potential deadlock on vfio group_lock (Alex Williamson) [2177087]
- vfio/type1: Respect IOMMU reserved regions in vfio_test_domain_fgsp() (Alex Williamson) [2177087]
- samples: vfio-mdev: Fix missing pci_disable_device() in mdpy_fb_probe() (Alex Williamson) [2177087]
- vfio/ap/ccw/samples: Fix device_register() unwind path (Alex Williamson) [2177087]
- vfio: Fold vfio_virqfd.ko into vfio.ko (Alex Williamson) [2177087]
- vfio: Remove CONFIG_VFIO_SPAPR_EEH (Alex Williamson) [2177087]
- vfio: Move vfio_spapr_iommu_eeh_ioctl into vfio_iommu_spapr_tce.c (Alex Williamson) [2177087]
- vfio/spapr: Move VFIO_CHECK_EXTENSION into tce_iommu_ioctl() (Alex Williamson) [2177087]
- vfio/pci: Move all the SPAPR PCI specific logic to vfio_pci_core.ko (Alex Williamson) [2177087]
- vfio: Remove vfio_free_device (Alex Williamson) [2177087]
- vfio/ccw: replace vfio_init_device with _alloc_ (Alex Williamson) [2177087]
- vfio/ccw: remove release completion (Alex Williamson) [2177087]
- vfio/ccw: move private to mdev lifecycle (Alex Williamson) [2177087]
- vfio/ccw: move private initialization to callback (Alex Williamson) [2177087]
- vfio/ccw: remove private->sch (Alex Williamson) [2177087]
- vfio/ccw: remove WARN_ON during shutdown (Alex Williamson) [2177087]
- vfio/ccw: create a parent struct (Alex Williamson) [2177087]
- vfio/iova_bitmap: Explicitly include linux/slab.h (Alex Williamson) [2177087]
- vfio: platform: Do not pass return buffer to ACPI _RST method (Alex Williamson) [2177087]
- MAINTAINERS: git://github -> https://github.com for awilliam (Alex Williamson) [2177087]
- s390/vfio-ap: GISA: sort out physical vs virtual pointers usage (Alex Williamson) [2177087]
- iommufd: Change the order of MSI setup (Alex Williamson) [2177087]
- iommufd: Improve a few unclear bits of code (Alex Williamson) [2177087]
- iommufd: Fix comment typos (Alex Williamson) [2177087]
- vfio: Fix NULL pointer dereference caused by uninitialized group->iommufd (Alex Williamson) [2177087]
- vfio: Move vfio group specific code into group.c (Alex Williamson) [2177087]
- vfio: Refactor dma APIs for emulated devices (Alex Williamson) [2177087]
- vfio: Wrap vfio group module init/clean code into helpers (Alex Williamson) [2177087]
- vfio: Refactor vfio_device open and close (Alex Williamson) [2177087]
- vfio: Make vfio_device_open() truly device specific (Alex Williamson) [2177087]
- vfio: Swap order of vfio_device_container_register() and open_device() (Alex Williamson) [2177087]
- vfio: Set device->group in helper function (Alex Williamson) [2177087]
- vfio: Create wrappers for group register/unregister (Alex Williamson) [2177087]
- vfio: Move the sanity check of the group to vfio_create_group() (Alex Williamson) [2177087]
- vfio: Simplify vfio_create_group() (Alex Williamson) [2177087]
- iommufd: Allow iommufd to supply /dev/vfio/vfio (Alex Williamson) [2177087]
- vfio: Make vfio_container optionally compiled (Alex Williamson) [2177087]
- vfio: Move container related MODULE_ALIAS statements into container.c (Alex Williamson) [2177087]
- vfio-iommufd: Support iommufd for emulated VFIO devices (Alex Williamson) [2177087]
- vfio-iommufd: Support iommufd for physical VFIO devices (Alex Williamson) [2177087]
- vfio-iommufd: Allow iommufd to be used in place of a container fd (Alex Williamson) [2177087]
- vfio: Use IOMMU_CAP_ENFORCE_CACHE_COHERENCY for vfio_file_enforced_coherent() (Alex Williamson) [2177087]
- vfio: Rename vfio_device_assign/unassign_container() (Alex Williamson) [2177087]
- vfio: Move vfio_device_assign_container() into vfio_device_first_open() (Alex Williamson) [2177087]
- vfio: Move vfio_device driver open/close code to a function (Alex Williamson) [2177087]
- vfio/ap: Validate iova during dma_unmap and trigger irq disable (Alex Williamson) [2177087]
- i915/gvt: Move gvt mapping cache initialization to intel_vgpu_init_dev() (Alex Williamson) [2177087]
- selftests: iommu: Fix test_cmd_destroy_access() call in user_copy (Alex Williamson) [2177087]
- iommufd: Make sure to zero vfio_iommu_type1_info before copying to user (Alex Williamson) [2177087]
- iommufd: Do not add the same hwpt to the ioas->hwpt_list twice (Alex Williamson) [2177087]
- iommufd: Add three missing structures in ucmd_buffer (Alex Williamson) [2177087]
- iommufd: Add a selftest (Alex Williamson) [2177087]
- iommufd: Add additional invariant assertions (Alex Williamson) [2177087]
- iommufd: Add some fault injection points (Alex Williamson) [2177087]
- iommufd: Add kernel support for testing iommufd (Alex Williamson) [2177087]
- iommufd: vfio container FD ioctl compatibility (Alex Williamson) [2177087]
- iommufd: Add kAPI toward external drivers for kernel access (Alex Williamson) [2177087]
- iommufd: Add kAPI toward external drivers for physical devices (Alex Williamson) [2177087]
- iommufd: Add a HW pagetable object (Alex Williamson) [2177087]
- iommufd: IOCTLs for the io_pagetable (Alex Williamson) [2177087]
- iommufd: Data structure to provide IOVA to PFN mapping (Alex Williamson) [2177087]
- iommufd: Algorithms for PFN storage (Alex Williamson) [2177087]
- iommufd: PFN handling for iopt_pages (Alex Williamson) [2177087]
- kernel/user: Allow user_struct::locked_vm to be usable for iommufd (Alex Williamson) [2177087]
- redhat/configs: Add CONFIG_IOMMUFD (Alex Williamson) [2177087]
- iommufd: File descriptor, context, kconfig and makefiles (Alex Williamson) [2177087]
- iommufd: Document overview of iommufd (Alex Williamson) [2177087]
- scripts/kernel-doc: support EXPORT_SYMBOL_NS_GPL() with -export (Alex Williamson) [2177087]
- interval-tree: Add a utility to iterate over spans in an interval tree (Alex Williamson) [2177087]
- iommu: Fix refcount leak in iommu_device_claim_dma_owner (Alex Williamson) [2177087]
- iommu: Add device-centric DMA ownership interfaces (Alex Williamson) [2177087]
- iommu: Add IOMMU_CAP_ENFORCE_CACHE_COHERENCY (Alex Williamson) [2177087]
- scripts: kernel-doc: Refresh the copyright lines (Alex Williamson) [2177087]
- scripts: kernel-doc: Drop obsolete comments (Alex Williamson) [2177087]
- scripts: kernel-doc: Replace the usage function (Alex Williamson) [2177087]
- scripts: kernel-doc: Translate the "Other parameters" subsection of OPTIONS (Alex Williamson) [2177087]
- scripts: kernel-doc: Translate the "Output selection modifiers" subsection of OPTIONS (Alex Williamson) [2177087]
- scripts: kernel-doc: Translate the "Output selection" subsection of OPTIONS (Alex Williamson) [2177087]
- scripts: kernel-doc: Translate the "Output format selection modifier" subsection of OPTIONS (Alex Williamson) [2177087]
- scripts: kernel-doc: Translate the "Output format selection" subsection of OPTIONS (Alex Williamson) [2177087]
- scripts: kernel-doc: Translate the DESCRIPTION section (Alex Williamson) [2177087]
- scripts: kernel-doc: Relink argument parsing error handling to pod2usage (Alex Williamson) [2177087]
- scripts: kernel-doc: Add the basic POD sections (Alex Williamson) [2177087]
- scripts: kernel-doc: Ignore __alloc_size() attribute (Alex Williamson) [2177087]
- scripts/kernel-doc: Override -Werror from KCFLAGS with KDOC_WERROR (Alex Williamson) [2177087]
- iommu: Rename iommu-sva-lib.{c,h} (Alex Williamson) [2177087]
- iommu: Per-domain I/O page fault handling (Alex Williamson) [2177087]
- iommu: Prepare IOMMU domain for IOPF (Alex Williamson) [2177087]
- iommu: Remove SVA related callbacks from iommu ops (Alex Williamson) [2177087]
- iommu/sva: Refactoring iommu_sva_bind/unbind_device() (Alex Williamson) [2177087]
- arm-smmu-v3/sva: Add SVA domain support (Alex Williamson) [2177087]
- iommu/vt-d: Add SVA domain support (Alex Williamson) [2177087]
- iommu: Add IOMMU SVA domain support (Alex Williamson) [2177087]
- iommu: Add attach/detach_dev_pasid iommu interfaces (Alex Williamson) [2177087]
- PCI: Enable PASID only when ACS RR & UF enabled on upstream path (Alex Williamson) [2177087]
- iommu: Remove SVM_FLAG_SUPERVISOR_MODE support (Alex Williamson) [2177087]
- iommu: Add max_pasids field in struct dev_iommu (Alex Williamson) [2177087]
- iommu: Add max_pasids field in struct iommu_device (Alex Williamson) [2177087]
- iommu: Propagate return value in ->attach_dev callback functions (Alex Williamson) [2177087]
- iommu: Use EINVAL for incompatible device/domain in ->attach_dev (Alex Williamson) [2177087]
- iommu: Regulate EINVAL in ->attach_dev callback functions (Alex Williamson) [2177087]
- iommu: Add return value rules to attach_dev op and APIs (Alex Williamson) [2177087]
- iommu/amd: Drop unnecessary checks in amd_iommu_attach_device() (Alex Williamson) [2177087]
- iommu/vt-d: Fix PCI device refcount leak in prq_event_thread() (Alex Williamson) [2177087]
- iommu/vt-d: Remove unnecessary SVA data accesses in page fault path (Alex Williamson) [2177087]
- iommu/virtio: Fix compile error with viommu_capable() (Alex Williamson) [2177087]
- iommu/arm-smmu: Report IOMMU_CAP_CACHE_COHERENCY even betterer (Alex Williamson) [2177087]
- iommu/arm-smmu: Report IOMMU_CAP_CACHE_COHERENCY better (Alex Williamson) [2177087]
- iommu: Retire iommu_capable() (Alex Williamson) [2177087]
- vfio/fsl-mc: Remove linux/msi.h include (Alex Williamson) [2177087]
- vfio/ccw: identify CCW data addresses as physical (Alex Williamson) [2177087]
- vfio/ccw: sort out physical vs virtual pointers usage (Alex Williamson) [2177087]
- s390/vfio-ap: Fix memory allocation for mdev_types array (Alex Williamson) [2177087]
- vfio: More vfio_file_is_group() use cases (Alex Williamson) [2177087]
- vfio: Make the group FD disassociate from the iommu_group (Alex Williamson) [2177087]
- vfio: Hold a reference to the iommu_group in kvm for SPAPR (Alex Williamson) [2177087]
- vfio: Add vfio_file_is_group() (Alex Williamson) [2177087]
- vfio: Change vfio_group->group_rwsem to a mutex (Alex Williamson) [2177087]
- vfio: Remove the vfio_group->users and users_comp (Alex Williamson) [2177087]
- vfio/mdev: add mdev available instance checking to the core (Alex Williamson) [2177087]
- vfio/mdev: consolidate all the description sysfs into the core code (Alex Williamson) [2177087]
- vfio/mdev: consolidate all the available_instance sysfs into the core code (Alex Williamson) [2177087]
- vfio/mdev: consolidate all the name sysfs into the core code (Alex Williamson) [2177087]
- vfio/mdev: consolidate all the device_api sysfs into the core code (Alex Williamson) [2177087]
- vfio/mdev: remove mtype_get_parent_dev (Alex Williamson) [2177087]
- vfio/mdev: remove mdev_parent_dev (Alex Williamson) [2177087]
- vfio/mdev: remove mdev_from_dev (Alex Williamson) [2177087]
- vfio/mdev: simplify mdev_type handling (Alex Williamson) [2177087]
- vfio/mdev: embedd struct mdev_parent in the parent data structure (Alex Williamson) [2177087]
- vfio/mdev: make mdev.h standalone includable (Alex Williamson) [2177087]
- drm/i915/gvt: simplify vgpu configuration management (Alex Williamson) [2177087]
- drm/i915/gvt: fix a memory leak in intel_gvt_init_vgpu_types (Alex Williamson) [2177087]
- vfio: Follow a strict lifetime for struct iommu_group (Alex Williamson) [2177087]
- vfio: Move container code into drivers/vfio/container.c (Alex Williamson) [2177087]
- vfio: Rename vfio_ioctl_check_extension() (Alex Williamson) [2177087]
- vfio: Split out container code from the init/cleanup functions (Alex Williamson) [2177087]
- vfio: Remove #ifdefs around CONFIG_VFIO_NOIOMMU (Alex Williamson) [2177087]
- vfio: Split the container logic into vfio_container_attach_group() (Alex Williamson) [2177087]
- vfio: Rename __vfio_group_unset_container() (Alex Williamson) [2177087]
- vfio: Add header guards and includes to drivers/vfio/vfio.h (Alex Williamson) [2177087]
- vfio: Add struct device to vfio_device (Alex Williamson) [2177087]
- vfio: Rename vfio_device_put() and vfio_device_try_get() (Alex Williamson) [2177087]
- vfio/ccw: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio/amba: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio: platform: ignore missing reset if disabled at module init (Alex Williamson) [2177087]
- vfio/platform: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio/fsl-mc: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio/ap: Use the new device life cycle helpers (Alex Williamson) [2177087]
- drm/i915/gvt: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio/mbochs: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio/mtty: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio/mdpy: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio/hisi_acc: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio/pci: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio: Add helpers for unifying vfio_device life cycle (Alex Williamson) [2177087]
- vfio/fsl-mc: Fix a typo in a message (Alex Williamson) [2177087]
- vfio: Remove vfio_group dev_counter (Alex Williamson) [2177087]
- vfio/pci: Implement VFIO_DEVICE_FEATURE_LOW_POWER_ENTRY_WITH_WAKEUP (Alex Williamson) [2177087]
- vfio/pci: Implement VFIO_DEVICE_FEATURE_LOW_POWER_ENTRY/EXIT (Alex Williamson) [2177087]
- vfio/pci: Mask INTx during runtime suspend (Alex Williamson) [2177087]
- vfio: Increment the runtime PM usage count during IOCTL call (Alex Williamson) [2177087]
- vfio: Add the device features for the low power entry and exit (Alex Williamson) [2177087]
- vfio: Split VFIO_GROUP_GET_STATUS into a function (Alex Williamson) [2177087]
- vfio: Follow the naming pattern for vfio_group_ioctl_unset_container() (Alex Williamson) [2177087]
- vfio: Fold VFIO_GROUP_SET_CONTAINER into vfio_group_set_container() (Alex Williamson) [2177087]
- vfio: Fold VFIO_GROUP_GET_DEVICE_FD into vfio_group_get_device_fd() (Alex Williamson) [2177087]
- vfio-pci: Replace 'void __user *' with proper types in the ioctl functions (Alex Williamson) [2177087]
- vfio-pci: Re-indent what was vfio_pci_core_ioctl() (Alex Williamson) [2177087]
- vfio-pci: Break up vfio_pci_core_ioctl() into one function per ioctl (Alex Williamson) [2177087]
- vfio-pci: Fix vfio_pci_ioeventfd() to return int (Alex Williamson) [2177087]
- vfio/pci: Simplify the is_intx/msi/msix/etc defines (Alex Williamson) [2177087]
- vfio/pci: Rename vfio_pci_register_dev_region() (Alex Williamson) [2177087]
- vfio/pci: Split linux/vfio_pci_core.h (Alex Williamson) [2177087]
- vfio/pci: Remove console drivers (Alex Williamson) [2177087]
- powerpc/pseries: Avoid hcall in plpks_is_available() on non-pseries (Mamatha Inamdar) [1873865]
- powerpc/pseries: Fix endianness issue when parsing PLPKS secvar flags (Mamatha Inamdar) [1873865]
- integrity/powerpc: Support loading keys from PLPKS (Mamatha Inamdar) [1873865]
- integrity/powerpc: Improve error handling & reporting when loading certs (Mamatha Inamdar) [1873865]
- powerpc/pseries: Implement secvars for dynamic secure boot (Mamatha Inamdar) [1873865]
- powerpc/pseries: Pass PLPKS password on kexec (Mamatha Inamdar) [1873865]
- powerpc/pseries: Add helper to get PLPKS password length (Mamatha Inamdar) [1873865]
- powerpc/pseries: Clarify warning when PLPKS password already set (Mamatha Inamdar) [1873865]
- powerpc/pseries: Turn PSERIES_PLPKS into a hidden option (Mamatha Inamdar) [1873865]
- powerpc/pseries: Make caller pass buffer to plpks_read_var() (Mamatha Inamdar) [1873865]
- powerpc/pseries: Log hcall return codes for PLPKS debug (Mamatha Inamdar) [1873865]
- powerpc/pseries: Implement signed update for PLPKS objects (Mamatha Inamdar) [1873865]
- powerpc/pseries: Expose PLPKS config values, support additional fields (Mamatha Inamdar) [1873865]
- powerpc/pseries: Move PLPKS constants to header file (Mamatha Inamdar) [1873865]
- powerpc/pseries: Move plpks.h to include directory (Mamatha Inamdar) [1873865]
- powerpc/secvar: Don't print error on ENOENT when reading variables (Mamatha Inamdar) [1873865]
- powerpc/secvar: Warn when PAGE_SIZE is smaller than max object size (Mamatha Inamdar) [1873865]
- powerpc/secvar: Allow backend to populate static list of variable names (Mamatha Inamdar) [1873865]
- powerpc/secvar: Extend sysfs to include config vars (Mamatha Inamdar) [1873865]
- powerpc/secvar: Clean up init error messages (Mamatha Inamdar) [1873865]
- powerpc/secvar: Handle max object size in the consumer (Mamatha Inamdar) [1873865]
- powerpc/secvar: Handle format string in the consumer (Mamatha Inamdar) [1873865]
- powerpc/secvar: Use sysfs_emit() instead of sprintf() (Mamatha Inamdar) [1873865]
- powerpc/secvar: Warn and error if multiple secvar ops are set (Mamatha Inamdar) [1873865]
- powerpc/secvar: Use u64 in secvar_operations (Mamatha Inamdar) [1873865]
- powerpc/secvar: Fix incorrect return in secvar_sysfs_load() (Mamatha Inamdar) [1873865]
- powerpc/pseries: Fix alignment of PLPKS structures and buffers (Mamatha Inamdar) [1873865]
- powerpc/pseries: Fix handling of PLPKS object flushing timeout (Mamatha Inamdar) [1873865]
- powerpc/pseries: fix plpks_read_var() code for different consumers (Mamatha Inamdar) [1873865]
- powerpc/pseries: replace kmalloc with kzalloc in PLPKS driver (Mamatha Inamdar) [1873865]
- powerpc/pseries: cleanup error logs in plpks driver (Mamatha Inamdar) [1873865]
- powerpc/pseries: Return -EIO instead of -EINTR for H_ABORTED error (Mamatha Inamdar) [1873865]
- powerpc/pseries: Fix the H_CALL error code in PLPKS driver (Mamatha Inamdar) [1873865]
- powerpc/pseries: fix the object owners enum value in plpks driver (Mamatha Inamdar) [1873865]
- powerpc/pseries: Fix plpks crash on non-pseries (Mamatha Inamdar) [1873865]
- powerpc/pseries: define driver for Platform KeyStore (Mamatha Inamdar) [1873865]
- x86/tsc: Add option to force frequency recalibration with HW timer (David Arcari) [2100598]
- Reinstate "GFS2: free disk inode which is deleted by remote node -V2" (Bob Peterson) [2181344]
- iavf: fix hang on reboot with ice (Stefan Assmann) [2175775]
Resolves: rhbz#1873865, rhbz#2100598, rhbz#2172087, rhbz#2173594, rhbz#2173895, rhbz#2175258, rhbz#2177087, rhbz#2178947

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-06 14:59:16 +02:00
Jan Stancek
64d95ea937 kernel-5.14.0-296.el9
* Tue Apr 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-296.el9]
- fs: hold writers when changing mount's idmapping (Alex Gladkov) [2179877]
- fs: simplify check in mount_setattr_commit() (Alex Gladkov) [2179877]
- filelocks: use mount idmapping for setlease permission check (Alex Gladkov) [2179877]
- fs: require CAP_SYS_ADMIN in target namespace for idmapped mounts (Alex Gladkov) [2179877]
- fs: account for group membership (Alex Gladkov) [2179877]
- fs/mount_setattr: always cleanup mount_kattr (Alex Gladkov) [2179877]
- fs: handle circular mappings correctly (Alex Gladkov) [2179877]
- hvcs: Synchronize hotplug remove with port free (Mamatha Inamdar) [2182275]
- hvcs: Use vhangup in hotplug remove (Mamatha Inamdar) [2182275]
- hvcs: Get reference to tty in remove (Mamatha Inamdar) [2182275]
- hvcs: Use driver groups to manage driver attributes (Mamatha Inamdar) [2182275]
- hvcs: Use dev_groups to manage hvcs device attributes (Mamatha Inamdar) [2182275]
- hvcs: Fix hvcs port reference counting (Mamatha Inamdar) [2182275]
- thermal: intel: powerclamp: Fix cpumask and max_idle module parameters (David Arcari) [2180653]
- Documentation: powerclamp: Fix numbered lists formatting (David Arcari) [2180653]
- Documentation: powerclamp: Escape wildcard in cpumask description (David Arcari) [2180653]
- Documentation: admin-guide: Add toctree entry for thermal docs (David Arcari) [2180653]
- thermal: intel: powerclamp: Add two module parameters (David Arcari) [2180653]
- Documentation: admin-guide: Move intel_powerclamp documentation (David Arcari) [2180653]
- thermal: intel: powerclamp: Fix duration module parameter (David Arcari) [2180653]
- thermal: intel: powerclamp: Return last requested state as cur_state (David Arcari) [2180653]
- thermal: intel: powerclamp: Use powercap idle-inject feature (David Arcari) [2180653]
- thermal: intel: powerclamp: Fix cur_state for multi package system (David Arcari) [2180653]
- powercap: idle_inject: Add update callback (David Arcari) [2180653]
- powercap: idle_inject: Export symbols (David Arcari) [2180653]
- powercap: idle_inject: Support 100%% idle injection (David Arcari) [2180653]
- powercap: fix possible name leak in powercap_register_zone() (David Arcari) [2180653]
- powercap: idle_inject: Fix warnings with make W=1 (David Arcari) [2180653]
- Turn on IDLE_INJECT for x86 (David Arcari) [2180653]
- powercap: Use kstrtobool() instead of strtobool() (David Arcari) [2180653]
- thermal: intel_powerclamp: Use first online CPU as control_cpu (David Arcari) [2180653]
- powercap: intel_rapl: Use standard Energy Unit for SPR Dram RAPL domain (David Arcari) [2180653]
- thermal: intel_powerclamp: Remove accounting for IRQ wakes (David Arcari) [2180653]
- thermal: intel_powerclamp: Use get_cpu() instead of smp_processor_id() to avoid crash (David Arcari) [2180653]
- powercap: intel_rapl: fix UBSAN shift-out-of-bounds issue (David Arcari) [2180653]
- thermal: intel_powerclamp: don't use bitmap_weight() in end_power_clamp() (David Arcari) [2180653]
- thermal: fix Documentation bullet list warning (David Arcari) [2180653]
- thermal/drivers/intel_powerclamp: Constify static thermal_cooling_device_ops (David Arcari) [2180653]
- thermal: Move ABI documentation to Documentation/ABI (David Arcari) [2180653]
- thermal: intel_powerclamp: Use bitmap_zalloc/bitmap_free when applicable (David Arcari) [2180653]
- thermal: Update information in MAINTAINERS (David Arcari) [2180653]
- sched/rt: pick_next_rt_entity(): check list_entry (Phil Auld) [RHEL-303]
- sched/deadline: Move __dl_clear_params out of dl_bw lock (Phil Auld) [RHEL-303]
- sched/deadline: Add replenish_dl_new_period helper (Phil Auld) [RHEL-303]
- sched/deadline: Add dl_task_is_earliest_deadline helper (Phil Auld) [RHEL-303]
- sched/deadline: Use sched_dl_entity's dl_density in dl_task_fits_capacity() (Phil Auld) [RHEL-303]
- sched/deadline: Make dl_cpuset_cpumask_can_shrink() capacity-aware (Phil Auld) [RHEL-303]
- sched/core: Introduce sched_asym_cpucap_active() (Phil Auld) [RHEL-303]
- i40e: Fix for VF MAC address 0 (Stefan Assmann) [2169053]
- macsec: fix UAF bug for real_dev (Xin Long) [2180612]
- xfrm: set dst dev to blackhole_netdev instead of loopback_dev in ifdown (Xin Long) [2180612]
- Revert "vlan: move dev_put into vlan_dev_uninit" (Xin Long) [2180612]
- net: avoid quadratic behavior in netdev_wait_allrefs_any() (Xin Long) [2180612]
- net: allow out-of-order netdev unregistration (Xin Long) [2180612]
- net: transition netdev reg state earlier in run_todo (Xin Long) [2180612]
- ipv6: blackhole_netdev needs snmp6 counters (Xin Long) [2180612]
- ipv4: add (struct uncached_list)->quarantine list (Xin Long) [2180612]
- ipv6: add (struct uncached_list)->quarantine list (Xin Long) [2180612]
- ipv6: give an IPv6 dev to blackhole_netdev (Xin Long) [2180612]
- ipv6: get rid of net->ipv6.rt6_stats->fib_rt_uncache (Xin Long) [2180612]
- Make RHJOBS container friendly (Don Zickus)
- Use RHJOBS for create-tarball (Don Zickus)
- rxrpc: Fix wrong error return in rxrpc_connect_call() (Marc Dionne) [2170099]
- rxrpc: Fix incoming call setup race (Marc Dionne) [2170099]
- rxrpc: Move client call connection to the I/O thread (Marc Dionne) [2170099]
- rxrpc: Move the client conn cache management to the I/O thread (Marc Dionne) [2170099]
- rxrpc: Remove call->state_lock (Marc Dionne) [2170099]
- rxrpc: Move call state changes from recvmsg to I/O thread (Marc Dionne) [2170099]
- rxrpc: Move call state changes from sendmsg to I/O thread (Marc Dionne) [2170099]
- rxrpc: Wrap accesses to get call state to put the barrier in one place (Marc Dionne) [2170099]
- rxrpc: Split out the call state changing functions into their own file (Marc Dionne) [2170099]
- rxrpc: Set up a connection bundle from a call, not rxrpc_conn_parameters (Marc Dionne) [2170099]
- rxrpc: Offload the completion of service conn security to the I/O thread (Marc Dionne) [2170099]
- rxrpc: Make the set of connection IDs per local endpoint (Marc Dionne) [2170099]
- rxrpc: Tidy up abort generation infrastructure (Marc Dionne) [2170099]
- rxrpc: Clean up connection abort (Marc Dionne) [2170099]
- rxrpc: Implement a mechanism to send an event notification to a connection (Marc Dionne) [2170099]
- rxrpc: Only disconnect calls in the I/O thread (Marc Dionne) [2170099]
- rxrpc: Only set/transmit aborts in the I/O thread (Marc Dionne) [2170099]
- rxrpc: Separate call retransmission from other conn events (Marc Dionne) [2170099]
- rxrpc: Make the local endpoint hold a ref on a connected call (Marc Dionne) [2170099]
- rxrpc: Stash the network namespace pointer in rxrpc_local (Marc Dionne) [2170099]
- rxrpc: Fix a couple of potential use-after-frees (Marc Dionne) [2170099]
- rxrpc: Fix the return value of rxrpc_new_incoming_call() (Marc Dionne) [2170099]
- rxrpc: rxperf: Fix uninitialised variable (Marc Dionne) [2170099]
- rxrpc: Fix I/O thread stop (Marc Dionne) [2170099]
- rxrpc: Fix switched parameters in peer tracing (Marc Dionne) [2170099]
- rxrpc: Fix locking issues in rxrpc_put_peer_locked() (Marc Dionne) [2170099]
- rxrpc: Fix I/O thread startup getting skipped (Marc Dionne) [2170099]
- rxrpc: Fix NULL deref in rxrpc_unuse_local() (Marc Dionne) [2170099]
- rxrpc: Fix security setting propagation (Marc Dionne) [2170099]
- rxrpc: Fix missing unlock in rxrpc_do_sendmsg() (Marc Dionne) [2170099]
- rxrpc: Transmit ACKs at the point of generation (Marc Dionne) [2170099]
- rxrpc: Fold __rxrpc_unuse_local() into rxrpc_unuse_local() (Marc Dionne) [2170099]
- rxrpc: Move the cwnd degradation after transmitting packets (Marc Dionne) [2170099]
- rxrpc: Trace/count transmission underflows and cwnd resets (Marc Dionne) [2170099]
- rxrpc: Remove the _bh annotation from all the spinlocks (Marc Dionne) [2170099]
- rxrpc: Make the I/O thread take over the call and local processor work (Marc Dionne) [2170099]
- rxrpc: Extract the peer address from an incoming packet earlier (Marc Dionne) [2170099]
- rxrpc: Reduce the use of RCU in packet input (Marc Dionne) [2170099]
- rxrpc: Simplify skbuff accounting in receive path (Marc Dionne) [2170099]
- rxrpc: Remove RCU from peer->error_targets list (Marc Dionne) [2170099]
- rxrpc: Move DATA transmission into call processor work item (Marc Dionne) [2170099]
- rxrpc: Copy client call parameters into rxrpc_call earlier (Marc Dionne) [2170099]
- rxrpc: Implement a mechanism to send an event notification to a call (Marc Dionne) [2170099]
- rxrpc: Don't use sk->sk_receive_queue.lock to guard socket state changes (Marc Dionne) [2170099]
- rxrpc: Remove call->input_lock (Marc Dionne) [2170099]
- rxrpc: Move error processing into the local endpoint I/O thread (Marc Dionne) [2170099]
- rxrpc: Move packet reception processing into I/O thread (Marc Dionne) [2170099]
- rxrpc: Create a per-local endpoint receive queue and I/O thread (Marc Dionne) [2170099]
- rxrpc: Split the receive code (Marc Dionne) [2170099]
- rxrpc: Don't hold a ref for connection workqueue (Marc Dionne) [2170099]
- rxrpc: Don't hold a ref for call timer or workqueue (Marc Dionne) [2170099]
- rxrpc: trace: Don't use __builtin_return_address for sk_buff tracing (Marc Dionne) [2170099]
- rxrpc: Trace rxrpc_bundle refcount (Marc Dionne) [2170099]
- rxrpc: trace: Don't use __builtin_return_address for rxrpc_call tracing (Marc Dionne) [2170099]
- rxrpc: trace: Don't use __builtin_return_address for rxrpc_conn tracing (Marc Dionne) [2170099]
- rxrpc: trace: Don't use __builtin_return_address for rxrpc_peer tracing (Marc Dionne) [2170099]
- rxrpc: trace: Don't use __builtin_return_address for rxrpc_local tracing (Marc Dionne) [2170099]
- rxrpc: Extract the code from a received ABORT packet much earlier (Marc Dionne) [2170099]
- rxrpc: Drop rxrpc_conn_parameters from rxrpc_connection and rxrpc_bundle (Marc Dionne) [2170099]
- rxrpc: Remove the [_k]net() debugging macros (Marc Dionne) [2170099]
- rxrpc: Remove the [k_]proto() debugging macros (Marc Dionne) [2170099]
- rxrpc: Remove handling of duplicate packets in recvmsg_queue (Marc Dionne) [2170099]
- rxrpc: Fix call leak (Marc Dionne) [2170099]
- rxrpc: Enable rxperf test module (Marc Dionne) [2170099]
- rxrpc: Implement an in-kernel rxperf server for testing purposes (Marc Dionne) [2170099]
- rxrpc: Fix checker warning (Marc Dionne) [2170099]
- rxrpc: Fix race between conn bundle lookup and bundle removal [ZDI-CAN-15975] (Marc Dionne) [2170099]
- rxrpc: uninitialized variable in rxrpc_send_ack_packet() (Marc Dionne) [2170099]
- rxrpc: fix rxkad_verify_response() (Marc Dionne) [2170099]
- rxrpc: Fix network address validation (Marc Dionne) [2170099]
- rxrpc: Fix oops from calling udpv6_sendmsg() on AF_INET socket (Marc Dionne) [2170099]
- rxrpc: Allocate an skcipher each time needed rather than reusing (Marc Dionne) [2170099]
- rxrpc: Fix congestion management (Marc Dionne) [2170099]
- rxrpc: Remove the rxtx ring (Marc Dionne) [2170099]
- rxrpc: Save last ACK's SACK table rather than marking txbufs (Marc Dionne) [2170099]
- rxrpc: Remove call->lock (Marc Dionne) [2170099]
- rxrpc: Don't use a ring buffer for call Tx queue (Marc Dionne) [2170099]
- rxrpc: Get rid of the Rx ring (Marc Dionne) [2170099]
- rxrpc: Clone received jumbo subpackets and queue separately (Marc Dionne) [2170099]
- rxrpc: Split the rxrpc_recvmsg tracepoint (Marc Dionne) [2170099]
- rxrpc: Clean up ACK handling (Marc Dionne) [2170099]
- rxrpc: Allocate ACK records at proposal and queue for transmission (Marc Dionne) [2170099]
- rxrpc: Define rxrpc_txbuf struct to carry data to be transmitted (Marc Dionne) [2170099]
- rxrpc: Remove call->tx_phase (Marc Dionne) [2170099]
- rxrpc: Remove the flags from the rxrpc_skb tracepoint (Marc Dionne) [2170099]
- rxrpc: Remove unnecessary header inclusions (Marc Dionne) [2170099]
- rxrpc: Call udp_sendmsg() directly (Marc Dionne) [2170099]
- rxrpc: Fix ack.bufferSize to be 0 when generating an ack (Marc Dionne) [2170099]
- rxrpc: Record stats for why the REQUEST-ACK flag is being set (Marc Dionne) [2170099]
- rxrpc: Record statistics about ACK types (Marc Dionne) [2170099]
- rxrpc: Add stats procfile and DATA packet stats (Marc Dionne) [2170099]
- rxrpc: Track highest acked serial (Marc Dionne) [2170099]
- rxrpc: Split call timer-expiration from call timer-set tracepoint (Marc Dionne) [2170099]
- rxrpc: Trace setting of the request-ack flag (Marc Dionne) [2170099]
- rxrpc: Automatically generate trace tag enums (Marc Dionne) [2170099]
- rxrpc: remove rxrpc_max_call_lifetime declaration (Marc Dionne) [2170099]
- rxrpc: Remove rxrpc_get_reply_time() which is no longer used (Marc Dionne) [2170099]
- rxrpc: Fix calc of resend age (Marc Dionne) [2170099]
- rxrpc: Fix local destruction being repeated (Marc Dionne) [2170099]
- rxrpc: Fix an insufficiently large sglist in rxkad_verify_packet_2() (Marc Dionne) [2170099]
- rxrpc: Fix locking in rxrpc's sendmsg (Marc Dionne) [2170099]
- net: rxrpc: fix clang -Wformat warning (Marc Dionne) [2170099]
- rxrpc: Fix decision on when to generate an IDLE ACK (Marc Dionne) [2170099]
- rxrpc: Don't let ack.previousPacket regress (Marc Dionne) [2170099]
- rxrpc: Fix overlapping ACK accounting (Marc Dionne) [2170099]
- rxrpc: Don't try to resend the request if we're receiving the reply (Marc Dionne) [2170099]
- rxrpc: Fix listen() setting the bar too high for the prealloc rings (Marc Dionne) [2170099]
- rxrpc, afs: Fix selection of abort codes (Marc Dionne) [2170099]
- rxrpc: Return an error to sendmsg if call failed (Marc Dionne) [2170099]
- rxrpc: Fix locking issue (Marc Dionne) [2170099]
- rxrpc: Use refcount_t rather than atomic_t (Marc Dionne) [2170099]
- rxrpc: Allow list of in-use local UDP endpoints to be viewed in /proc (Marc Dionne) [2170099]
- rxrpc: Enable IPv6 checksums on transport socket (Marc Dionne) [2170099]
- rxrpc: Restore removed timer deletion (Marc Dionne) [2170099]
- rxrpc: fix a race in rxrpc_exit_net() (Marc Dionne) [2170099]
- rxrpc: fix some null-ptr-deref bugs in server_key.c (Marc Dionne) [2170099]
- rxrpc: Fix call timer start racing with call destruction (Marc Dionne) [2170099]
- rxrpc: Adjust retransmission backoff (Marc Dionne) [2170099]
- rxrpc: Fix rxrpc_local leak in rxrpc_lookup_peer() (Marc Dionne) [2170099]
- rxrpc: Fix rxrpc_peer leak in rxrpc_look_up_bundle() (Marc Dionne) [2170099]
- rxrpc: Fix _usecs_to_jiffies() by using usecs_to_jiffies() (Marc Dionne) [2170099]
- net: RxRPC: make dependent Kconfig symbols be shown indented (Marc Dionne) [2170099]
Resolves: rhbz#2169053, rhbz#2179877, rhbz#2180612, rhbz#2180653, rhbz#2182275, RHEL-303

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-04 12:03:43 +02:00
Jan Stancek
3176779382 kernel-5.14.0-295.el9
* Sun Apr 02 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-295.el9]
- PCI/sysfs: Constify struct kobj_type pci_slot_ktype (Myron Stowe) [2179137]
- PCI: endpoint: pci-epf-vntb: Add epf_ntb_mw_bar_clear() num_mws kernel-doc (Myron Stowe) [2179137]
- misc: pci_endpoint_test: Drop initial kernel-doc marker (Myron Stowe) [2179137]
- PCI: vmd: Add quirk to configure PCIe ASPM and LTR (Myron Stowe) [2179137]
- PCI: vmd: Create feature grouping for client products (Myron Stowe) [2179137]
- PCI: vmd: Use PCI_VDEVICE in device list (Myron Stowe) [2179137]
- PCI/ASPM: Add pci_enable_link_state() (Myron Stowe) [2179137]
- dt-bindings: PCI: uniphier-ep: Clean up reg, clocks, resets, and their names (Myron Stowe) [2179137]
- PCI: switchtec: Return -EFAULT for copy_to_user() errors (Myron Stowe) [2179137]
- PCI: switchtec: Simplify switchtec_dma_mrpc_isr() (Myron Stowe) [2179137]
- PCI: qcom: Add IPQ8074 Gen3 port support (Myron Stowe) [2179137]
- dt-bindings: PCI: qcom: Add IPQ8074 Gen3 port (Myron Stowe) [2179137]
- dt-bindings: PCI: qcom: Sort compatibles alphabetically (Myron Stowe) [2179137]
- PCI: qcom: Fix host-init error handling (Myron Stowe) [2179137]
- PCI: qcom: Add SM8350 support (Myron Stowe) [2179137]
- dt-bindings: PCI: qcom: Add SM8350 (Myron Stowe) [2179137]
- dt-bindings: PCI: qcom-ep: Correct qcom,perst-regs (Myron Stowe) [2179137]
- dt-bindings: PCI: qcom: Unify MSM8996 and MSM8998 clock order (Myron Stowe) [2179137]
- dt-bindings: PCI: qcom: Add MSM8998 specific compatible (Myron Stowe) [2179137]
- dt-bindings: PCI: qcom: Add oneOf to compatible match (Myron Stowe) [2179137]
- PCI: mvebu: Mark driver as BROKEN (Myron Stowe) [2179137]
- PCI: mt7621: Delay phy ports initialization (Myron Stowe) [2179137]
- misc: pci_endpoint_test: Add i.MX8 PCIe EP device support (Myron Stowe) [2179137]
- dt-bindings: imx6q-pcie: Add i.MX8MP PCIe EP mode compatible string (Myron Stowe) [2179137]
- dt-bindings: imx6q-pcie: Add i.MX8MQ PCIe EP mode compatible string (Myron Stowe) [2179137]
- dt-bindings: imx6q-pcie: Add i.MX8MM PCIe EP mode compatible string (Myron Stowe) [2179137]
- PCI: dwc: Add Root Port and Endpoint controller eDMA engine support (Myron Stowe) [2179137]
- PCI: bt1: Set 64-bit DMA mask (Myron Stowe) [2179137]
- PCI: dwc: Restrict only coherent DMA mask for MSI address allocation (Myron Stowe) [2179137]
- dmaengine: dw-edma: Prepare dw_edma_probe() for builtin callers (Myron Stowe) [2179137]
- dmaengine: dw-edma: Depend on DW_EDMA instead of selecting it (Myron Stowe) [2179137]
- dmaengine: dw-edma: Add mem-mapped LL-entries support (Myron Stowe) [2179137]
- dmaengine: dw-edma: Skip cleanup procedure if no private data found (Myron Stowe) [2179137]
- dmaengine: dw-edma: Replace chip ID number with device name (Myron Stowe) [2179137]
- dmaengine: dw-edma: Drop DT-region allocation (Myron Stowe) [2179137]
- dmaengine: dw-edma: Use non-atomic io-64 methods (Myron Stowe) [2179137]
- dmaengine: dw-edma: Fix readq_ch() return value truncation (Myron Stowe) [2179137]
- dmaengine: dw-edma: Use DMA engine device debugfs subdirectory (Myron Stowe) [2179137]
- dmaengine: dw-edma: Join read/write channels into a single device (Myron Stowe) [2179137]
- dmaengine: dw-edma: Move eDMA data pointer to debugfs node descriptor (Myron Stowe) [2179137]
- dmaengine: dw-edma: Simplify debugfs context CSRs init procedure (Myron Stowe) [2179137]
- dmaengine: dw-edma: Rename debugfs dentry variables to 'dent' (Myron Stowe) [2179137]
- dmaengine: dw-edma: Convert debugfs descs to being heap-allocated (Myron Stowe) [2179137]
- dmaengine: dw-edma: Add dw_edma prefix to debugfs nodes descriptor (Myron Stowe) [2179137]
- dmaengine: dw-edma: Stop checking debugfs_create_*() return value (Myron Stowe) [2179137]
- dmaengine: dw-edma: Drop unnecessary debugfs reg casts (Myron Stowe) [2179137]
- dmaengine: dw-edma: Drop chancnt initialization (Myron Stowe) [2179137]
- dmaengine: dw-edma: Add PCI bus address getter to the remote EP glue driver (Myron Stowe) [2179137]
- dmaengine: dw-edma: Add CPU to PCI bus address translation (Myron Stowe) [2179137]
- dmaengine: dw-edma: Fix invalid interleaved xfers semantics (Myron Stowe) [2179137]
- dmaengine: dw-edma: Don't permit non-inc interleaved xfers (Myron Stowe) [2179137]
- dmaengine: dw-edma: Fix missing src/dst address of interleaved xfers (Myron Stowe) [2179137]
- dmaengine: dw-edma: Convert ll/dt phys address to PCI bus/DMA address (Myron Stowe) [2179137]
- dmaengine: dw-edma: Release requested IRQs on failure (Myron Stowe) [2179137]
- dmaengine: Fix dma_slave_config.dst_addr description (Myron Stowe) [2179137]
- PCI: endpoint: Use link_up() callback in place of LINK_UP notifier (Myron Stowe) [2179137]
- PCI: endpoint: Use callback mechanism for passing events from EPC to EPF (Myron Stowe) [2179137]
- PCI: endpoint: Use a separate lock for protecting epc->pci_epf list (Myron Stowe) [2179137]
- PCI: tegra194: Move dw_pcie_ep_linkup() to threaded IRQ handler (Myron Stowe) [2179137]
- PCI: dra7xx: Use threaded IRQ handler for "dra7xx-pcie-main" IRQ (Myron Stowe) [2179137]
- PCI: Add ACS quirk for Wangxun NICs (Myron Stowe) [2179137]
- PCI: Avoid FLR for AMD FCH AHCI adapters (Myron Stowe) [2179137]
- PCI: Fix dropping valid root bus resources with .end = zero (Myron Stowe) [2179137]
- PCI: Distribute available resources for root buses, too (Myron Stowe) [2179137]
- PCI: Take other bus devices into account when distributing resources (Myron Stowe) [2179137]
- PCI: Align extra resources for hotplug bridges properly (Myron Stowe) [2179137]
- PCI/DPC: Await readiness of secondary bus after reset (Myron Stowe) [2179137]
- PCI: Unify delay handling for reset and resume (Myron Stowe) [2179137]
- PCI/PM: Observe reset delay irrespective of bridge_d3 (Myron Stowe) [2179137]
- PCI/ACPI: Account for _S0W of the target bridge in acpi_pci_bridge_d3() (Myron Stowe) [2179137]
- PCI/P2PDMA: Annotate RCU dereference (Myron Stowe) [2179137]
- PCI/P2PDMA: Allow userspace VMA allocations through sysfs (Myron Stowe) [2179137]
- PCI: Remove MODULE_LICENSE so boolean drivers don't look like modules (Myron Stowe) [2179137]
- PCI/IOV: Enlarge virtfn sysfs name buffer (Myron Stowe) [2179137]
- PCI: hotplug: Allow marking devices as disconnected during bind/unbind (Myron Stowe) [2179137]
- PCI: pciehp: Add Qualcomm quirk for Command Completed erratum (Myron Stowe) [2179137]
- PCI: Honor firmware's device disabled status (Myron Stowe) [2179137]
- PCI: loongson: Add more devices that need MRRS quirk (Myron Stowe) [2179137]
- PCI: loongson: Prevent LS7A MRRS increases (Myron Stowe) [2179137]
- PCI/portdrv: Prevent LS7A Bus Master clearing on shutdown (Myron Stowe) [2179137]
- PCI/AER: Remove redundant Device Control Error Reporting Enable (Myron Stowe) [2179137]
- PCI/AER: Configure ECRC only if AER is native (Myron Stowe) [2179137]
- PCI: Replace magic constant for PCI Sig Vendor ID (Myron Stowe) [2179137]
- PCI: Add vendor ID for the PCI SIG (Myron Stowe) [2179137]
- ntb: intel: add GNR support for Intel PCIe gen5 NTB (Myron Stowe) [2179137]
- NTB: ntb_tool: uninitialized heap data in tool_fn_write() (Myron Stowe) [2179137]
- ntb: idt: fix clang -Wformat warnings (Myron Stowe) [2179137]
- arm64: dts: imx93-11x11-evk: enable fec function (Steve Best) [2179863]
- arm64: dts: imx93-11x11-evk: enable eqos (Steve Best) [2179863]
- arm64: dts: imx93-11x11-evk: correct clock and strobe pad setting (Steve Best) [2179863]
- arm64: dts: imx93: add ADC support (Steve Best) [2179863]
- arm64: dts: imx93: add FEC support (Steve Best) [2179863]
- arm64: dts: imx93: add eqos support (Steve Best) [2179863]
- arm64: dts: imx93: add flexcan nodes (Steve Best) [2179863]
- mm/debug: use valid physical memory for pmd/pud tests (Waiman Long) [2095767]
- kernel-rt: config: disable saa6588, saa6752hs and snd-soc-sdw-mockup to match stock kernel (Luis Claudio R. Goncalves) [2182012]
- genetlink: correctly begin the iteration over policies (Ivan Vecera) [2175250]
- genetlink: fix single op policy dump when do is present (Ivan Vecera) [2175250]
- genetlink: convert control family to split ops (Ivan Vecera) [2175250]
- genetlink: allow families to use split ops directly (Ivan Vecera) [2175250]
- genetlink: inline old iteration helpers (Ivan Vecera) [2175250]
- genetlink: use iterator in the op to policy map dumping (Ivan Vecera) [2175250]
- genetlink: add iterator for walking family ops (Ivan Vecera) [2175250]
- genetlink: inline genl_get_cmd() (Ivan Vecera) [2175250]
- genetlink: support split policies in ctrl_dumppolicy_put_op() (Ivan Vecera) [2175250]
- genetlink: add policies for both doit and dumpit in ctrl_dumppolicy_start() (Ivan Vecera) [2175250]
- genetlink: check for callback type at op load time (Ivan Vecera) [2175250]
- genetlink: load policy based on validation flags (Ivan Vecera) [2175250]
- genetlink: introduce split op representation (Ivan Vecera) [2175250]
- genetlink: move the private fields in struct genl_family (Ivan Vecera) [2175250]
- genetlink: refactor the cmd <> policy mapping dump (Ivan Vecera) [2175250]
- genetlink: fix kdoc warnings (Ivan Vecera) [2175250]
- net: genl: fix error path memory leak in policy dumping (Ivan Vecera) [2175250]
- dax: Remove usage of the deprecated ida_simple_xxx API (Jeff Moyer) [2162211]
- libnvdimm/region: Allow setting align attribute on regions without mappings (Jeff Moyer) [2162211]
- nvdimm/namespace: Fix comment typo (Jeff Moyer) [2162211]
- nvdimm: make __nvdimm_security_overwrite_query static (Jeff Moyer) [2162211]
- nvdimm/region: Fix kernel-doc (Jeff Moyer) [2162211]
- nvdimm/namespace: drop nested variable in create_namespace_pmem() (Jeff Moyer) [2162211]
- nvdimm/namespace: drop unneeded temporary variable in size_store() (Jeff Moyer) [2162211]
- nvdimm/namespace: return uuid_null only once in nd_dev_to_uuid() (Jeff Moyer) [2162211]
- ndtest: Cleanup all of blk namespace specific code (Jeff Moyer) [2162211]
- pmem: fix a name collision (Jeff Moyer) [2162211]
- dax: set did_zero to true when zeroing successfully (Jeff Moyer) [2162211]
- nvdimm: Fix badblocks clear off-by-one error (Jeff Moyer) [2162211]
- pmem: implement pmem_recovery_write() (Jeff Moyer) [2162211]
- pmem: refactor pmem_clear_poison() (Jeff Moyer) [2162211]
- dax: add .recovery_write dax_operation (Jeff Moyer) [2162211]
- dax: introduce DAX_RECOVERY_WRITE dax access mode (Jeff Moyer) [2162211]
- x86/mce: Retrieve poison range from hardware (Jeff Moyer) [1874190 2162211]
- mce: fix set_mce_nospec to always unmap the whole page (Jeff Moyer) [1874190 2162211]
- x86/mce: Change to not send SIGBUS error during copy from user (Jeff Moyer) [2162211]
- x86/mce: relocate set{clear}_mce_nospec() functions (Jeff Moyer) [1874190 2162211]
- testing: nvdimm: asm/mce.h is not needed in nfit.c (Jeff Moyer) [2162211]
- testing: nvdimm: iomap: make __nfit_test_ioremap a macro (Jeff Moyer) [2162211]
- nvdimm: Allow overwrite in the presence of disabled dimms (Jeff Moyer) [2162211]
- nvdimm: Fix firmware activation deadlock scenarios (Jeff Moyer) [2162211]
- device-core: Kill the lockdep_mutex (Jeff Moyer) [2162211]
- nvdimm: Drop nd_device_lock() (Jeff Moyer) [2162211]
- ACPI: NFIT: Drop nfit_device_lock() (Jeff Moyer) [2162211]
- nvdimm: Replace lockdep_mutex with local lock classes (Jeff Moyer) [2162211]
- cxl: Drop cxl_device_lock() (Jeff Moyer) [2162211]
- cxl/acpi: Add root device lockdep validation (Jeff Moyer) [2162211]
- cxl: Replace lockdep_mutex with local lock classes (Jeff Moyer) [2162211]
- tools/testing/nvdimm: remove unneeded flush_workqueue (Jeff Moyer) [2162211]
- nvdimm/blk: Fix title level (Jeff Moyer) [2162211]
- MAINTAINERS: remove section LIBNVDIMM BLK: MMIO-APERTURE DRIVER (Jeff Moyer) [2162211]
- dax: Fix missing kdoc for dax_device (Jeff Moyer) [2162211]
- nvdimm/region: Delete nd_blk_region infrastructure (Jeff Moyer) [2162211]
- nvdimm/namespace: Delete nd_namespace_blk (Jeff Moyer) [2162211]
- nvdimm/namespace: Delete blk namespace consideration in shared paths (Jeff Moyer) [2162211]
- nvdimm/blk: Delete the block-aperture window driver (Jeff Moyer) [2162211]
- nvdimm/region: Fix default alignment for small regions (Jeff Moyer) [2162211]
- dax: make sure inodes are flushed before destroy cache (Jeff Moyer) [2162211]
- fsdax: fix function description (Jeff Moyer) [2162211]
- device-dax: compound devmap support (Jeff Moyer) [2162211]
- device-dax: remove pfn from __dev_dax_{pte,pmd,pud}_fault() (Jeff Moyer) [2162211]
- device-dax: set mapping prior to vmf_insert_pfn{,_pmd,pud}() (Jeff Moyer) [2162211]
- device-dax: factor out page mapping initialization (Jeff Moyer) [2162211]
- device-dax: ensure dev_dax->pgmap is valid for dynamic devices (Jeff Moyer) [2162211]
- device-dax: use struct_size() (Jeff Moyer) [2162211]
- device-dax: use ALIGN() for determining pgoff (Jeff Moyer) [2162211]
- iomap: Fix error handling in iomap_zero_iter() (Jeff Moyer) [2162211]
- dax: remove the copy_from_iter and copy_to_iter methods (Jeff Moyer) [2162211]
- dax: remove the DAXDEV_F_SYNC flag (Jeff Moyer) [2162211]
- dax: simplify dax_synchronous and set_dax_synchronous (Jeff Moyer) [2162211]
- uio: remove copy_from_iter_flushcache() and copy_mc_to_iter() (Jeff Moyer) [2162211]
- iomap: turn the byte variable in iomap_zero_iter into a ssize_t (Jeff Moyer) [2162211]
- fsdax: don't require CONFIG_BLOCK (Jeff Moyer) [2162211]
- iomap: build the block based code conditionally (Jeff Moyer) [2162211]
- dax: fix up some of the block device related ifdefs (Jeff Moyer) [2162211]
- fsdax: shift partition offset handling into the file systems (Jeff Moyer) [2162211]
- dax: return the partition offset from fs_dax_get_by_bdev (Jeff Moyer) [2162211]
- iomap: add a IOMAP_DAX flag (Jeff Moyer) [2162211]
- xfs: pass the mapping flags to xfs_bmbt_to_iomap (Jeff Moyer) [2162211]
- xfs: use xfs_direct_write_iomap_ops for DAX zeroing (Jeff Moyer) [2162211]
- xfs: move dax device handling into xfs_{alloc,free}_buftarg (Jeff Moyer) [2162211]
- ext4: cleanup the dax handling in ext4_fill_super (Jeff Moyer) [2162211]
- fsdax: decouple zeroing from the iomap buffered I/O code (Jeff Moyer) [2162211]
- fsdax: factor out a dax_memzero helper (Jeff Moyer) [2162211]
- fsdax: simplify the offset check in dax_iomap_zero (Jeff Moyer) [2162211]
- xfs: add xfs_zero_range and xfs_truncate_page helpers (Jeff Moyer) [2162211]
- fsdax: simplify the pgoff calculation (Jeff Moyer) [2162211]
- fsdax: use a saner calling convention for copy_cow_page_dax (Jeff Moyer) [2162211]
- fsdax: remove a pointless __force cast in copy_cow_page_dax (Jeff Moyer) [2162211]
- dm-stripe: add a stripe_dax_pgoff helper (Jeff Moyer) [2162211]
- dm-log-writes: add a log_writes_dax_pgoff helper (Jeff Moyer) [2162211]
- dm-linear: add a linear_dax_pgoff helper (Jeff Moyer) [2162211]
- dax: remove dax_capable (Jeff Moyer) [2162211]
- xfs: factor out a xfs_setup_dax_always helper (Jeff Moyer) [2162211]
- dax: move the partition alignment check into fs_dax_get_by_bdev (Jeff Moyer) [2162211]
- dax: simplify the dax_device <-> gendisk association (Jeff Moyer) [2162211]
- dax: remove CONFIG_DAX_DRIVER (Jeff Moyer) [2162211]
- dm: make the DAX support depend on CONFIG_FS_DAX (Jeff Moyer) [2162211]
- dm: fix alloc_dax error handling in alloc_dev (Jeff Moyer) [2162211]
- nvdimm/pmem: move dax_attribute_group from dax to pmem (Jeff Moyer) [2162211]
- libnvdimm/labels: Introduce CXL labels (Jeff Moyer) [2162211]
- libnvdimm/label: Define CXL region labels (Jeff Moyer) [2162211]
- libnvdimm/labels: Fix kernel-doc for label.h (Jeff Moyer) [2162211]
- libnvdimm/labels: Introduce the concept of multi-range namespace labels (Jeff Moyer) [2162211]
- libnvdimm/label: Add a helper for nlabel validation (Jeff Moyer) [2162211]
- libnvdimm/labels: Add uuid helpers (Jeff Moyer) [2162211]
- libnvdimm/labels: Add claim class helpers (Jeff Moyer) [2162211]
- libnvdimm/labels: Add type-guid helpers (Jeff Moyer) [2162211]
- libnvdimm/labels: Add blk special cases for nlabel and position helpers (Jeff Moyer) [2162211]
- libnvdimm/labels: Add blk isetcookie set / validation helpers (Jeff Moyer) [2162211]
- libnvdimm/labels: Add a checksum calculation helper (Jeff Moyer) [2162211]
- libnvdimm/labels: Introduce label setter helpers (Jeff Moyer) [2162211]
- libnvdimm/labels: Add isetcookie validation helper (Jeff Moyer) [2162211]
- libnvdimm/labels: Introduce getters for namespace label fields (Jeff Moyer) [2162211]
- cpuidle: lib/bug: Disable rcu_is_watching() during WARN/BUG (Waiman Long) [2169516]
- rcu: Fix __this_cpu_read() lockdep warning in rcu_force_quiescent_state() (Waiman Long) [2169516]
- rcu: Keep synchronize_rcu() from enabling irqs in early boot (Waiman Long) [2169516]
- powerpc/64/interrupt: Fix false warning in context tracking due to idle state (Waiman Long) [2169516]
- rcutorture: Use the barrier operation specified by cur_ops (Waiman Long) [2169516]
- rcu-tasks: Make RCU Tasks Trace check for userspace execution (Waiman Long) [2169516]
- rcu-tasks: Ensure RCU Tasks Trace loops have quiescent states (Waiman Long) [2169516]
- rcu-tasks: Convert RCU_LOCKDEP_WARN() to WARN_ONCE() (Waiman Long) [2169516]
- srcu: Make Tiny SRCU use full-sized grace-period counters (Waiman Long) [2169516]
- srcu: Make Tiny SRCU poll_state_synchronize_srcu() more precise (Waiman Long) [2169516]
- srcu: Add GP and maximum requested GP to Tiny SRCU rcutorture output (Waiman Long) [2169516]
- rcutorture: Make "srcud" option also test polled grace-period API (Waiman Long) [2169516]
- rcutorture: Limit read-side polling-API testing (Waiman Long) [2169516]
- rcu: Add functions to compare grace-period state values (Waiman Long) [2169516]
- rcutorture: Expand rcu_torture_write_types() first "if" statement (Waiman Long) [2169516]
- rcutorture: Use 1-suffixed variable in rcu_torture_write_types() check (Waiman Long) [2169516]
- rcu: Make synchronize_rcu() fastpath update only boot-CPU counters (Waiman Long) [2169516]
- rcutorture: Adjust rcu_poll_need_2gp() for rcu_gp_oldstate field removal (Waiman Long) [2169516]
- rcu: Remove ->rgos_polled field from rcu_gp_oldstate structure (Waiman Long) [2169516]
- rcu: Make synchronize_rcu_expedited() fast path update .expedited_sequence (Waiman Long) [2169516]
- rcu: Remove expedited grace-period fast-path forward-progress helper (Waiman Long) [2169516]
- rcu: Make synchronize_rcu() fast path update ->gp_seq counters (Waiman Long) [2169516]
- rcu-tasks: Remove grace-period fast-path rcu-tasks helper (Waiman Long) [2169516]
- rcu: Set rcu_data structures' initial ->gpwrap value to true (Waiman Long) [2169516]
- rcu: Disable run-time single-CPU grace-period optimization (Waiman Long) [2169516]
- rcu: Add full-sized polling for cond_sync_exp_full() (Waiman Long) [2169516]
- rcu: Add full-sized polling for cond_sync_full() (Waiman Long) [2169516]
- rcu: Remove blank line from poll_state_synchronize_rcu() docbook header (Waiman Long) [2169516]
- rcu: Add full-sized polling for start_poll_expedited() (Waiman Long) [2169516]
- rcu: Add full-sized polling for start_poll() (Waiman Long) [2169516]
- rcutorture: Verify long-running reader prevents full polling from completing (Waiman Long) [2169516]
- rcutorture: Remove redundant RTWS_DEF_FREE check (Waiman Long) [2169516]
- rcutorture: Verify RCU reader prevents full polling from completing (Waiman Long) [2169516]
- rcutorture: Allow per-RCU-flavor polled double-GP check (Waiman Long) [2169516]
- rcutorture: Abstract synchronous and polled API testing (Waiman Long) [2169516]
- rcu: Add full-sized polling for get_state() (Waiman Long) [2169516]
- rcu: Add full-sized polling for get_completed*() and poll_state*() (Waiman Long) [2169516]
- rcu/nocb: Choose the right rcuog/rcuop kthreads to output (Waiman Long) [2169516]
- rcu/kvfree: Update KFREE_DRAIN_JIFFIES interval (Waiman Long) [2169516]
- rcu/kfree: Fix kfree_rcu_shrink_count() return value (Waiman Long) [2169516]
- rcu: Back off upon fill_page_cache_func() allocation failure (Waiman Long) [2169516]
- rcu: Exclude outgoing CPU when it is the last to leave (Waiman Long) [2169516]
- rcu: Avoid triggering strict-GP irq-work when RCU is idle (Waiman Long) [2169516]
- sched/debug: Show the registers of 'current' in dump_cpu_task() (Waiman Long) [2169516]
- sched/debug: Try trigger_single_cpu_backtrace(cpu) in dump_cpu_task() (Waiman Long) [2169516]
- rcu: Update rcu_access_pointer() header for rcu_dereference_protected() (Waiman Long) [2169516]
- rcu: Document reason for rcu_all_qs() call to preempt_disable() (Waiman Long) [2169516]
- rcu: Make tiny RCU support leak callbacks for debug-object errors (Waiman Long) [2169516]
- rcu: Add QS check in rcu_exp_handler() for non-preemptible kernels (Waiman Long) [2169516]
- rcu: Update rcu_preempt_deferred_qs() comments for !PREEMPT kernels (Waiman Long) [2169516]
- rcu: Fix rcu_read_unlock_strict() strict QS reporting (Waiman Long) [2169516]
- rcu: Add irqs-disabled indicator to expedited RCU CPU stall warnings (Waiman Long) [2169516]
- rcu: Diagnose extended sync_rcu_do_polled_gp() loops (Waiman Long) [2169516]
- rcu: Put panic_on_rcu_stall() after expedited RCU CPU stall warnings (Waiman Long) [2169516]
- rcutorture: Test polled expedited grace-period primitives (Waiman Long) [2169516]
- rcu: Add polled expedited grace-period primitives (Waiman Long) [2169516]
- rcutorture: Verify that polled GP API sees synchronous grace periods (Waiman Long) [2169516]
- rcu: Make Tiny RCU grace periods visible to polled APIs (Waiman Long) [2169516]
- rcu: Make polled grace-period API account for expedited grace periods (Waiman Long) [2169516]
- rcu: Switch polled grace-period APIs to ->gp_seq_polled (Waiman Long) [2169516]
- rcu/nocb: Avoid polling when my_rdp->nocb_head_rdp list is empty (Waiman Long) [2169516]
- redhat/configs: Add CONFIG_RCU_NOCB_CPU_CB_BOOST (Waiman Long) [2169516]
- rcu/nocb: Add option to opt rcuo kthreads out of RT priority (Waiman Long) [2169516]
- rcu: Add nocb_cb_kthread check to rcu_is_callbacks_kthread() (Waiman Long) [2169516]
- redhat/configs: Add CONFIG_RCU_NOCB_CPU_DEFAULT_ALL (Waiman Long) [2169516]
- rcu/nocb: Add an option to offload all CPUs on boot (Waiman Long) [2169516]
- rcu/nocb: Fix NOCB kthreads spawn failure with rcu_nocb_rdp_deoffload() direct call (Waiman Long) [2169516]
- rcu/nocb: Invert rcu_state.barrier_mutex VS hotplug lock locking order (Waiman Long) [2169516]
- rcu/nocb: Add/del rdp to iterate from rcuog itself (Waiman Long) [2169516]
- rcu/tree: Add comment to describe GP-done condition in fqs loop (Waiman Long) [2169516]
- rcu: Initialize first_gp_fqs at declaration in rcu_gp_fqs() (Waiman Long) [2169516]
- rcu/kvfree: Remove useless monitor_todo flag (Waiman Long) [2169516]
- rcu: Cleanup RCU urgency state for offline CPU (Waiman Long) [2169516]
- rcu: tiny: Record kvfree_call_rcu() call stack for KASAN (Waiman Long) [2169516]
- locking/csd_lock: Change csdlock_debug from early_param to __setup (Waiman Long) [2169516]
- rcu: Forbid RCU_STRICT_GRACE_PERIOD in TINY_RCU kernels (Waiman Long) [2169516]
- rcu: Immediately boost preempted readers for strict grace periods (Waiman Long) [2169516]
- rcu: Add rnp->cbovldmask check in rcutree_migrate_callbacks() (Waiman Long) [2169516]
- rcu: Avoid tracing a few functions executed in stop machine (Waiman Long) [2169516]
- rcu: Decrease FQS scan wait time in case of callback overloading (Waiman Long) [2169516]
- context_tracking: Use arch_atomic_read() in __ct_state for KASAN (Waiman Long) [2169516]
- MAINTAINERS: Add Paul as context tracking maintainer (Waiman Long) [2169516]
- context_tracking: Convert state to atomic_t (Waiman Long) [2169516]
- rcu/context-tracking: Remove unused and/or unecessary middle functions (Waiman Long) [2169516]
- rcu/context-tracking: Move RCU-dynticks internal functions to context_tracking (Waiman Long) [2169516]
- rcu/context-tracking: Move deferred nocb resched to context tracking (Waiman Long) [2169516]
- rcu/context_tracking: Move dynticks_nmi_nesting to context tracking (Waiman Long) [2169516]
- rcu/context_tracking: Move dynticks_nesting to context tracking (Waiman Long) [2169516]
- rcu/context_tracking: Move dynticks counter to context tracking (Waiman Long) [2169516]
- rcu/context-tracking: Remove rcu_irq_enter/exit() (Waiman Long) [2169516]
- context_tracking: Take NMI eqs entrypoints over RCU (Waiman Long) [2169516]
- context_tracking: Take IRQ eqs entrypoints over RCU (Waiman Long) [2169516]
- context_tracking: Take idle eqs entrypoints over RCU (Waiman Long) [2169516]
- redhat/configs: Rename CONFIG_CONTEXT_TRACKING_FORCE to CONFIG_CONTEXT_TRACKING_USER_FORCE (Waiman Long) [2169516]
- context_tracking: Split user tracking Kconfig (Waiman Long) [2169516]
- context_tracking: Rename context_tracking_cpu_set() to ct_cpu_track_user() (Waiman Long) [2169516]
- context_tracking: Rename context_tracking_enter/exit() to ct_user_enter/exit() (Waiman Long) [2169516]
- context_tracking: Rename context_tracking_user_enter/exit() to user_enter/exit_callable() (Waiman Long) [2169516]
- context_tracking: Rename __context_tracking_enter/exit() to __ct_user_enter/exit() (Waiman Long) [2169516]
- refscale: Convert test_lock spinlock to raw_spinlock (Waiman Long) [2169516]
- rcutorture: Handle failure of memory allocation functions (Waiman Long) [2169516]
- torture: Create kvm-check-branches.sh output in proper location (Waiman Long) [2169516]
- rcuscale: Fix smp_processor_id()-in-preemptible warnings (Waiman Long) [2169516]
- rcutorture: Make failure indication note reader-batch overflow (Waiman Long) [2169516]
- torture: Adjust to again produce debugging information (Waiman Long) [2169516]
- rcutorture: Fix memory leak in rcu_test_debug_objects() (Waiman Long) [2169516]
- rcutorture: Simplify rcu_torture_read_exit_child() loop (Waiman Long) [2169516]
- rcu/torture: Change order of warning and trace dump (Waiman Long) [2169516]
- rcu-tasks: Update comments (Waiman Long) [2169516]
- rcu-tasks: Disable and enable CPU hotplug in same function (Waiman Long) [2169516]
- rcu-tasks: Eliminate RCU Tasks Trace IPIs to online CPUs (Waiman Long) [2169516]
- rcu-tasks: Maintain a count of tasks blocking RCU Tasks Trace grace period (Waiman Long) [2169516]
- rcu-tasks: Stop RCU Tasks Trace from scanning full tasks list (Waiman Long) [2169516]
- rcutorture: Update rcutorture.fwd_progress help text (Waiman Long) [2169516]
- context_tracking: Add a note about noinstr VS unsafe context tracking functions (Waiman Long) [2169516]
- context_tracking: Remove unused context_tracking_in_user() (Waiman Long) [2169516]
- rcu: Apply noinstr to rcu_idle_enter() and rcu_idle_exit() (Waiman Long) [2169516]
- rcu: Dump rcuc kthread status for CPUs not reporting quiescent state (Waiman Long) [2169516]
- torture: Make kvm-remote.sh announce which system is being waited on (Waiman Long) [2169516]
- rcu-tasks: Stop RCU Tasks Trace from scanning idle tasks (Waiman Long) [2169516]
- rcu-tasks: Pull in tasks blocked within RCU Tasks Trace readers (Waiman Long) [2169516]
- rcu-tasks: Scan running tasks for RCU Tasks Trace readers (Waiman Long) [2169516]
- rcu-tasks: Avoid rcu_tasks_trace_pertask() duplicate list additions (Waiman Long) [2169516]
- rcu-tasks: Move rcu_tasks_trace_pertask() before rcu_tasks_trace_pregp_step() (Waiman Long) [2169516]
- rcu-tasks: Add blocked-task indicator to RCU Tasks Trace stall warnings (Waiman Long) [2169516]
- rcu-tasks: Untrack blocked RCU Tasks Trace at reader end (Waiman Long) [2169516]
- rcu-tasks: Track blocked RCU Tasks Trace readers (Waiman Long) [2169516]
- rcu-tasks: Add data structures for lightweight grace periods (Waiman Long) [2169516]
- rcu-tasks: Make RCU Tasks Trace stall warning handle idle offline tasks (Waiman Long) [2169516]
- rcu-tasks: Make RCU Tasks Trace stall warnings print full .b.need_qs field (Waiman Long) [2169516]
- rcu-tasks: Flag offline CPUs in RCU Tasks Trace stall warnings (Waiman Long) [2169516]
- rcu-tasks: Add slow-IPI indicator to RCU Tasks Trace stall warnings (Waiman Long) [2169516]
- rcu-tasks: Simplify trc_inspect_reader() QS logic (Waiman Long) [2169516]
- rcu-tasks: Make rcu_note_context_switch() unconditionally call rcu_tasks_qs() (Waiman Long) [2169516]
- rcu-tasks: RCU Tasks Trace grace-period kthread has implicit QS (Waiman Long) [2169516]
- rcu-tasks: Handle idle tasks for recently offlined CPUs (Waiman Long) [2169516]
- rcu-tasks: Idle tasks on offline CPUs are in quiescent states (Waiman Long) [2169516]
- rcu-tasks: Make trc_read_check_handler() fetch ->trc_reader_nesting only once (Waiman Long) [2169516]
- rcu-tasks: Remove rcu_tasks_trace_postgp() wait for counter (Waiman Long) [2169516]
- rcu-tasks: Merge state into .b.need_qs and atomically update (Waiman Long) [2169516]
- rcu-tasks: Drive synchronous grace periods from calling task (Waiman Long) [2169516]
- rcu-tasks: Move synchronize_rcu_tasks_generic() down (Waiman Long) [2169516]
- rcu-tasks: Split rcu_tasks_one_gp() from rcu_tasks_kthread() (Waiman Long) [2169516]
- rcu-tasks: Check for abandoned callbacks (Waiman Long) [2169516]
- rcutorture: Validate get_completed_synchronize_rcu() (Waiman Long) [2169516]
- rcu: Provide a get_completed_synchronize_rcu() function (Waiman Long) [2169516]
- rcu: Make normal polling GP be more precise about sequence numbers (Waiman Long) [2169516]
- cfi: Fix __cfi_slowpath_diag RCU usage with cpuidle (Waiman Long) [2169516]
- context_tracking: Always inline empty stubs (Waiman Long) [2169516]
- powerpc/64/interrupt: reduce expensive debug tests (Waiman Long) [2169516]
- sched/deadline: Add more reschedule cases to prio_changed_dl() (Valentin Schneider) [2123590]
Resolves: rhbz#1874190, rhbz#2095767, rhbz#2123590, rhbz#2162211, rhbz#2169516, rhbz#2175250, rhbz#2179137, rhbz#2179863, rhbz#2182012

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-02 15:25:16 +02:00
Jan Stancek
b1b9000bb4 kernel-5.14.0-294.el9
* Thu Mar 30 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-294.el9]
- dm: remove unnecessary (void*) conversion in event_callback() (Benjamin Marzinski) [2179168]
- dm ioctl: remove unnecessary check when using dm_get_mdptr() (Benjamin Marzinski) [2179168]
- dm ioctl: assert _hash_lock is held in __hash_remove (Benjamin Marzinski) [2179168]
- dm cache: add cond_resched() to various workqueue loops (Benjamin Marzinski) [2153270]
- dm thin: add cond_resched() to various workqueue loops (Benjamin Marzinski) [2153270]
- dm: add cond_resched() to dm_wq_requeue_work() (Benjamin Marzinski) [2153270]
- dm: add cond_resched() to dm_wq_work() (Benjamin Marzinski) [2153270]
- dm sysfs: make kobj_type structure constant (Benjamin Marzinski) [2179168]
- dm: update targets using system workqueues to use a local workqueue (Benjamin Marzinski) [2179168]
- dm: remove flush_scheduled_work() during local_exit() (Benjamin Marzinski) [2179168]
- dm clone: prefer kvmalloc_array() (Benjamin Marzinski) [2179168]
- dm: declare variables static when sensible (Benjamin Marzinski) [2179168]
- dm: fix suspect indent whitespace (Benjamin Marzinski) [2179168]
- dm ioctl: prefer strscpy() instead of strlcpy() (Benjamin Marzinski) [2179168]
- dm: avoid void function return statements (Benjamin Marzinski) [2179168]
- dm integrity: change macros min/max() -> min_t/max_t where appropriate (Benjamin Marzinski) [2179168]
- dm: fix use of sizeof() macro (Benjamin Marzinski) [2179168]
- dm: avoid 'do {} while(0)' loop in single statement macros (Benjamin Marzinski) [2179168]
- dm log: avoid multiple line dereference (Benjamin Marzinski) [2179168]
- dm log: avoid trailing semicolon in macro (Benjamin Marzinski) [2179168]
- dm ioctl: have constant on the right side of the test (Benjamin Marzinski) [2179168]
- dm: don't indent labels (Benjamin Marzinski) [2179168]
- dm: avoid inline filenames (Benjamin Marzinski) [2179168]
- dm: add missing blank line after declarations/fix those (Benjamin Marzinski) [2179168]
- dm: avoid useless 'else' after 'break' or return' (Benjamin Marzinski) [2179168]
- dm: favour __packed versus "__attribute__ ((packed))" (Benjamin Marzinski) [2179168]
- dm: favour __aligned(N) versus "__attribute__ (aligned(N))" (Benjamin Marzinski) [2179168]
- dm: avoid using symbolic permissions (Benjamin Marzinski) [2179168]
- dm: prefer '"%%s...", __func__' (Benjamin Marzinski) [2179168]
- dm: adjust EXPORT_SYMBOL() to follow functions immediately (Benjamin Marzinski) [2179168]
- dm: avoid split of quoted strings where possible (Benjamin Marzinski) [2179168]
- dm: remove unnecessary braces from single statement blocks (Benjamin Marzinski) [2179168]
- dm: add missing empty lines (Benjamin Marzinski) [2179168]
- dm: add argument identifier names (Benjamin Marzinski) [2179168]
- dm: avoid spaces before function arguments or in favour of tabs (Benjamin Marzinski) [2179168]
- dm block-manager: avoid not required parentheses (Benjamin Marzinski) [2179168]
- dm crypt: correct 'foo*' to 'foo *' (Benjamin Marzinski) [2179168]
- dm: fix trailing statements (Benjamin Marzinski) [2179168]
- dm: fix undue/missing spaces (Benjamin Marzinski) [2179168]
- dm: correct block comments format. (Benjamin Marzinski) [2179168]
- dm: address indent/space issues (Benjamin Marzinski) [2179168]
- dm: address space issues relative to switch/while/for/... (Benjamin Marzinski) [2179168]
- dm: avoid initializing static variables (Benjamin Marzinski) [2179168]
- dm: enclose complex macros into parentheses where possible (Benjamin Marzinski) [2179168]
- dm: avoid assignment in if conditions (Benjamin Marzinski) [2179168]
- dm: change "unsigned" to "unsigned int" (Benjamin Marzinski) [2179168]
- dm: use fsleep() instead of msleep() for deterministic sleep duration (Benjamin Marzinski) [2179168]
- dm: prefer kmap_local_page() instead of deprecated kmap_atomic() (Benjamin Marzinski) [2179168]
- dm: add missing SPDX-License-Indentifiers (Benjamin Marzinski) [2179168]
- dm: send just one event on resize, not two (Benjamin Marzinski) [2179168]
- dm table: check that a dm device doesn't reference itself (Benjamin Marzinski) [2179168]
- dm raid: fix some spelling mistakes in comments (Benjamin Marzinski) [2179168]
- dm verity: stop using WQ_UNBOUND for verify_wq (Benjamin Marzinski) [2179168]
- dm integrity: Remove bi_sector that's only used by commented debug code (Benjamin Marzinski) [2179168]
- dm crypt: Slightly simplify crypt_set_keyring_key() (Benjamin Marzinski) [2179168]
- dm ioctl: drop always-false condition (Benjamin Marzinski) [2179168]
- dm flakey: fix logic when corrupting a bio (Benjamin Marzinski) [2179168]
- dm flakey: fix a bug with 32-bit highmem systems (Benjamin Marzinski) [2179168]
- dm flakey: don't corrupt the zero page (Benjamin Marzinski) [2179168]
- dm cache: Add some documentation to dm-cache-background-tracker.h (Benjamin Marzinski) [2179168]
- dm init: add dm-mod.waitfor to wait for asynchronously probed block devices (Benjamin Marzinski) [2179168]
- dm ioctl: fix a couple ioctl codes (Benjamin Marzinski) [2179168]
- dm ioctl: a small code cleanup in list_version_get_info (Benjamin Marzinski) [2179168]
- dm integrity: fix data corruption due to improper use of bvec_kmap_local (Benjamin Marzinski) [2179168]
- dm verity: use bvec_kmap_local in verity_for_bv_block (Benjamin Marzinski) [2179168]
- dm log writes: use memcpy_from_bvec in log_writes_map (Benjamin Marzinski) [2179168]
- dm integrity: use bvec_kmap_local in __journal_read_write (Benjamin Marzinski) [2179168]
- dm integrity: use bvec_kmap_local in integrity_metadata (Benjamin Marzinski) [2179168]
- ethernet: Remove vf rate limit check for drivers (Izabela Bakollari) [2172121]
- rtnetlink: verify rate parameters for calls to ndo_set_vf_rate (Izabela Bakollari) [2172121]
- netfilter: ctnetlink: revert to dumping mark regardless of event type (Florian Westphal) [2180943]
- netfilter: ctnetlink: fix compilation warning after data race fixes in ct mark (Florian Westphal) [2180943]
- netfilter: conntrack: Fix data-races around ct mark (Florian Westphal) [2180943]
- netlink: add support for formatted extack messages (Ivan Vecera) [2180938]
- ethtool: report missing header via ext_ack in the default handler (Ivan Vecera) [2176150]
- ethtool: strset: report missing ETHTOOL_A_STRINGSET_ID via ext_ack (Ivan Vecera) [2176150]
- devlink: use missing attribute ext_ack (Ivan Vecera) [2176150]
- netlink: add helpers for extack attr presence checking (Ivan Vecera) [2176150]
- netlink: add support for ext_ack missing attributes (Ivan Vecera) [2176150]
- netlink: factor out extack composition (Ivan Vecera) [2176150]
- docs: netlink: basic introduction to Netlink (Ivan Vecera) [2176150]
- Bluetooth: L2CAP: Fix attempting to access uninitialized memory (David Marlin) [2148406] {CVE-2022-42895}
- timers: Provide timer_shutdown[_sync]() (Ivan Vecera) [2175247]
- timers: Add shutdown mechanism to the internal functions (Ivan Vecera) [2175247]
- timers: Split [try_to_]del_timer[_sync]() to prepare for shutdown mode (Ivan Vecera) [2175247]
- timers: Silently ignore timers with a NULL function (Ivan Vecera) [2175247]
- timers: Rename del_timer() to timer_delete() (Ivan Vecera) [2175247]
- timers: Rename del_timer_sync() to timer_delete_sync() (Ivan Vecera) [2175247]
- timers: Use del_timer_sync() even on UP (Ivan Vecera) [2175247]
- timers: Update kernel-doc for various functions (Ivan Vecera) [2175247]
- timers: Replace BUG_ON()s (Ivan Vecera) [2175247]
- timers: Get rid of del_singleshot_timer_sync() (Ivan Vecera) [2175247]
- clocksource/drivers/sp804: Do not use timer namespace for timer_shutdown() function (Ivan Vecera) [2175247]
- clocksource/drivers/arm_arch_timer: Do not use timer namespace for timer_shutdown() function (Ivan Vecera) [2175247]
- timers: Replace in_irq() with in_hardirq() (Ivan Vecera) [2175247]
- ceph: blocklist the kclient when receiving corrupted snap trace (Xiubo Li) [2174650]
- ceph: move mount state enum to super.h (Xiubo Li) [2174650]
- ceph: avoid putting the realm twice when decoding snaps fails (Xiubo Li) [2174650]
- kernel-rt: config: enable DEBUG_PREEMPT in the production kernel (Luis Claudio R. Goncalves) [2182932]
- NFS: Correct timing for assigning access cache timestamp (Benjamin Coddington) [2180882]
- nfsd: don't replace page in rq_pages if it's a continuation of last page (Jeffrey Layton) [2179342]
- tun: avoid double free in tun_free_netdev (Jon Maloy) [2156374] {CVE-2022-4744}
Resolves: rhbz#2148406, rhbz#2153270, rhbz#2172121, rhbz#2174650, rhbz#2175247, rhbz#2176150, rhbz#2179168, rhbz#2180938, rhbz#2180943, rhbz#2182932

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-03-30 17:20:12 +02:00