1
0
forked from rpms/kernel
Commit Graph

269 Commits

Author SHA1 Message Date
Herton R. Krzesinski
5bfa3a6f3c kernel-5.14.0-353.el9
* Wed Aug 09 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-353.el9]
- libceph: fix potential hang in ceph_osdc_notify() (Ilya Dryomov) [2229303]
- rbd: prevent busy loop when requesting exclusive lock (Ilya Dryomov) [2229303]
- rbd: retrieve and check lock owner twice before blocklisting (Ilya Dryomov) [2229303]
- rbd: harden get_lock_owner_info() a bit (Ilya Dryomov) [2229303]
- rbd: make get_lock_owner_info() return a single locker or NULL (Ilya Dryomov) [2229303]
- Description: Turn on Tegra234 Pinctrl Driver (Joel Slebodnick) [2222432]
- pinctrl: tegra: avoid duplicate field initializers (Joel Slebodnick) [2222432]
- pinctrl: tegra: Add Tegra234 pinmux driver (Joel Slebodnick) [2222432]
- pinctrl: tegra: Consistently refer to SoC data (Joel Slebodnick) [2222432]
- pinctrl: tegra: Duplicate pinmux functions table (Joel Slebodnick) [2222432]
- KEYS: use kfree_sensitive with key (Vladis Dronov) [2223719]
- virtio_transport: temporarily do not depend on ANY_LAYOUT support (Paolo Bonzini) [2227177]
- i40e: Wait for pending VF reset in VF set callbacks (Ivan Vecera) [2215498]
- i40e: Add helper for VF inited state check with timeout (Ivan Vecera) [2215498]
- ipv6: fix locking issues with loops over idev->addr_list (Jiri Benc) [2226678]
- tcp: Reduce chance of collisions in inet6_hashfn(). (Felix Maurer) [2176117] {CVE-2023-1206}
- VMCI: Use threaded irqs instead of tasklets (Ani Sinha) [2217459]
- ipv4: Fix potential uninit variable access bug in __ip_make_skb() (Guillaume Nault) [2221167]
- tcp: restrict net.ipv4.tcp_app_win (Guillaume Nault) [2221167]
- ping: Fix potentail NULL deref for /proc/net/icmp. (Guillaume Nault) [2221167]
- raw: Fix NULL deref in raw_get_next(). (Guillaume Nault) [2221167]
- icmp: guard against too small mtu (Guillaume Nault) [2221167]
- raw: use net_hash_mix() in hash function (Guillaume Nault) [2221167]
- netfilter: nf_tables: can't schedule in nft_chain_validate (Florian Westphal) [2219421]
- netfilter: nf_tables: fix scheduling-while-atomic splat (Florian Westphal) [2219421]
- netfilter: nf_tables: add rescheduling points during loop detection walks (Florian Westphal) [2219421]
Resolves: rhbz#2176117, rhbz#2215498, rhbz#2217459, rhbz#2219421, rhbz#2221167, rhbz#2222432, rhbz#2223719, rhbz#2226678, rhbz#2227177, rhbz#2229303

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-08-09 16:47:19 +00:00
Jan Stancek
d767c03f64 kernel-5.14.0-350.el9
* Fri Aug 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-350.el9]
- redhat/configs: enable TEGRA186_GPC_DMA driver (Peter Robinson) [2181001]
- bpf, sockmap: Pass skb ownership through read_skb (Felix Maurer) [2218483]
- af_unix: Refactor unix_read_skb() (Felix Maurer) [2218483]
- udp: Refactor udp_read_skb() (Felix Maurer) [2218483]
- page_pool: fix inconsistency for page_pool_ring_[un]lock() (Felix Maurer) [2218483]
- xsk: Fix unaligned descriptor validation (Felix Maurer) [2218483]
- tracing: bpf: use struct trace_entry in struct syscall_tp_t (Yauheni Kaliuta) [2184620]
- memstick: r592: Fix UAF bug in r592_remove due to race condition (Ricardo Robaina) [2213203] {CVE-2023-3141}
- arm64/hyperv: Use CPUHP_AP_HYPERV_ONLINE state to fix CPU online sequencing (Vitaly Kuznetsov) [2222608]
- x86/hyperv: Fix hyperv_pcpu_input_arg handling when CPUs go online/offline (Vitaly Kuznetsov) [2222608]
- PCI: hv: Replace retarget_msi_interrupt_params with hyperv_pcpu_input_arg (Vitaly Kuznetsov) [2222608]
- PCI: hv: Enable PCI pass-thru devices in Confidential VMs (Vitaly Kuznetsov) [2222608]
- Drivers: hv: Don't remap addresses that are above shared_gpa_boundary (Vitaly Kuznetsov) [2222608]
- hv_netvsc: Remove second mapping of send and recv buffers (Vitaly Kuznetsov) [2222608]
- Drivers: hv: vmbus: Remove second way of mapping ring buffers (Vitaly Kuznetsov) [2222608]
- Drivers: hv: vmbus: Remove second mapping of VMBus monitor pages (Vitaly Kuznetsov) [2222608]
- swiotlb: Remove bounce buffer remapping for Hyper-V (Vitaly Kuznetsov) [2222608]
- x86/hyperv: Change vTOM handling to use standard coco mechanisms (Vitaly Kuznetsov) [2222608]
- init: Call mem_encrypt_init() after Hyper-V hypercall init is done (Vitaly Kuznetsov) [2222608]
- x86/mm: Handle decryption/re-encryption of bss_decrypted consistently (Vitaly Kuznetsov) [2222608]
- Drivers: hv: Explicitly request decrypted in vmap_pfn() calls (Vitaly Kuznetsov) [2222608]
- x86/hyperv: Reorder code to facilitate future work (Vitaly Kuznetsov) [2222608]
- x86/ioremap: Add hypervisor callback for private MMIO mapping in coco VM (Vitaly Kuznetsov) [2222608]
- x86/sev: Add SEV-SNP guest feature negotiation support (Vitaly Kuznetsov) [2222608]
- scsi: storvsc: Handle SRB status value 0x30 (Cathy Avery) [2224933]
- ibmvnic: Do not reset dql stats on NON_FATAL err (Mamatha Inamdar) [2226158]
- tools/power/x86/intel-speed-select: Support more than 8 sockets. (Frank Ramsay) [2220976]
- xfs: block reservation too large for minleft allocation (Bill O'Donnell) [2224502]
- x86/cpu/amd: Add a Zenbleed fix (Waiman Long) [2226822] {CVE-2023-20593}
- x86/cpu/amd: Move the errata checking functionality up (Waiman Long) [2226822] {CVE-2023-20593}
- x86/microcode/core: Return an error only when necessary (Waiman Long) [2226822] {CVE-2023-20593}
- x86/microcode/AMD: Fix mixed steppings support (Waiman Long) [2226822] {CVE-2023-20593}
- x86/microcode/AMD: Add a @cpu parameter to the reloading functions (Waiman Long) [2226822] {CVE-2023-20593}
- x86/microcode/amd: Remove load_microcode_amd()'s bsp parameter (Waiman Long) [2226822] {CVE-2023-20593}
- x86/amd: Cache debug register values in percpu variables (Waiman Long) [2226822] {CVE-2023-20593}
- x86/microcode: Adjust late loading result reporting message (Waiman Long) [2226822] {CVE-2023-20593}
- x86/microcode: Check CPU capabilities after late microcode update correctly (Waiman Long) [2226822] {CVE-2023-20593}
- x86/microcode: Add a parameter to microcode_check() to store CPU capabilities (Waiman Long) [2226822] {CVE-2023-20593}
- x86/microcode/AMD: Rename a couple of functions (Waiman Long) [2226822] {CVE-2023-20593}
- mlxsw: minimal: fix potential memory leak in mlxsw_m_linecards_init (Ivan Vecera) [2196494]
- mlxsw: pci: Fix possible crash during initialization (Ivan Vecera) [2196494]
- mlxfw: fix null-ptr-deref in mlxfw_mfa2_tlv_next() (Ivan Vecera) [2196494]
- selftests: forwarding: hw_stats_l3: Detect failure to install counters (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Fix incorrect local port type (Ivan Vecera) [2196494]
- mlxsw: core_thermal: Fix fan speed in maximum cooling state (Ivan Vecera) [2196494]
- mlxsw: spectrum: Fix incorrect parsing depth after reload (Ivan Vecera) [2196494]
- selftests: net: forwarding: lib: Drop lldpad_app_wait_set(), _del() (Ivan Vecera) [2196494]
- selftests: mlxsw: qos_defprio: Convert from lldptool to dcb (Ivan Vecera) [2196494]
- selftests: mlxsw: qos_dscp_router: Convert from lldptool to dcb (Ivan Vecera) [2196494]
- selftests: mlxsw: qos_dscp_bridge: Convert from lldptool to dcb (Ivan Vecera) [2196494]
- mlxsw: Add support of latency TLV (Ivan Vecera) [2196494]
- mlxsw: core: Define latency TLV fields (Ivan Vecera) [2196494]
- mlxsw: emad: Add support for latency TLV (Ivan Vecera) [2196494]
- mlxsw: core: Do not worry about changing 'enable_string_tlv' while sending EMADs (Ivan Vecera) [2196494]
- mlxsw: Enable string TLV usage according to MGIR output (Ivan Vecera) [2196494]
- mlxsw: reg: Add TLV related fields to MGIR register (Ivan Vecera) [2196494]
- mlxsw: spectrum_router: Replace 0-length array with flexible array (Ivan Vecera) [2196494]
- selftests: mlxsw: Move IPv6 decap_error test to shared directory (Ivan Vecera) [2196494]
- mlxsw: spectrum_ipip: Add Spectrum-1 ip6gre support (Ivan Vecera) [2196494]
- mlxsw: spectrum_ipip: Rename Spectrum-2 ip6gre operations (Ivan Vecera) [2196494]
- mlxsw: spectrum_router: Add support for double entry RIFs (Ivan Vecera) [2196494]
- mlxsw: spectrum_router: Parametrize RIF allocation size (Ivan Vecera) [2196494]
- mlxsw: spectrum_router: Use gen_pool for RIF index allocation (Ivan Vecera) [2196494]
- mlxsw: update adjfine to use adjust_by_scaled_ppm (Ivan Vecera) [2196494]
- mlxsw: Avoid warnings when not offloaded FDB entry with IPv6 is removed (Ivan Vecera) [2196494]
- selftests: mlxsw: Add a test for invalid locked bridge port configurations (Ivan Vecera) [2196494]
- selftests: mlxsw: Add a test for locked port trap (Ivan Vecera) [2196494]
- selftests: mlxsw: Add a test for EAPOL trap (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Add locked bridge port support (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Use extack in bridge port flag validation (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Add support for locked FDB notifications (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Prepare for locked FDB notifications (Ivan Vecera) [2196494]
- mlxsw: spectrum: Add an API to configure security checks (Ivan Vecera) [2196494]
- mlxsw: reg: Add Switch Port FDB Security Register (Ivan Vecera) [2196494]
- mlxsw: spectrum_trap: Register 802.1X packet traps with devlink (Ivan Vecera) [2196494]
- mlxsw: Add support for 800Gbps link modes (Ivan Vecera) [2196494]
- mlxsw: core_acl_flex_actions: Split memcpy() of struct flow_action_cookie flexible array (Ivan Vecera) [2196494]
- mlxsw: reg: Remove deprecated code about SFTR-V2 Register (Ivan Vecera) [2196494]
- selftests: mlxsw: Remove qos_burst test (Ivan Vecera) [2196494]
- selftests: mlxsw: Use shapers in QOS RED tests instead of forcing speed (Ivan Vecera) [2196494]
- selftests: mlxsw: Use shapers in QOS tests instead of forcing speed (Ivan Vecera) [2196494]
- mellanox/mlxsw: fix repeated words in comments (Ivan Vecera) [2196494]
- mlxsw: spectrum: Add a copy of 'struct mlxsw_config_profile' for Spectrum-4 (Ivan Vecera) [2196494]
- mlxsw: Add a helper function for getting maximum LAG ID (Ivan Vecera) [2196494]
- mlxsw: Support configuring 'max_lag' via CONFIG_PROFILE (Ivan Vecera) [2196494]
- mlxsw: cmd: Edit the comment of 'max_lag' field in CONFIG_PROFILE (Ivan Vecera) [2196494]
- mlxsw: minimal: Return -ENOMEM on allocation failure (Ivan Vecera) [2196494]
- mlxsw: Remove unused mlxsw_core_port_type_get() (Ivan Vecera) [2196494]
- mlxsw: Remove unused port_type_set devlink op (Ivan Vecera) [2196494]
- mlxsw: Remove unused IB stuff (Ivan Vecera) [2196494]
- mlxsw: minimal: Extend to support line card dynamic operations (Ivan Vecera) [2196494]
- mlxsw: minimal: Extend module to port mapping with slot index (Ivan Vecera) [2196494]
- mlxsw: minimal: Move ports allocation to separate routine (Ivan Vecera) [2196494]
- mlxsw: minimal: Extend APIs with slot index for modular system support (Ivan Vecera) [2196494]
- mlxsw: i2c: Add support for system interrupt handling (Ivan Vecera) [2196494]
- mlxsw: core_linecards: Register a system event handler (Ivan Vecera) [2196494]
- mlxsw: core: Add registration APIs for system event handler (Ivan Vecera) [2196494]
- selftests: mlxsw: Add egress VID classification test (Ivan Vecera) [2196494]
- selftests: mlxsw: Add ingress RIF configuration test for VXLAN (Ivan Vecera) [2196494]
- selftests: mlxsw: Add ingress RIF configuration test for 802.1Q bridge (Ivan Vecera) [2196494]
- selftests: mlxsw: Add ingress RIF configuration test for 802.1D bridge (Ivan Vecera) [2196494]
- Revert "mlxsw: core: Add the hottest thermal zone detection" (Ivan Vecera) [2196494]
- mlxsw: spectrum_ptp: Forbid PTP enablement only in RX or in TX (Ivan Vecera) [2196494]
- mlxsw: spectrum_ptp: Protect PTP configuration with a mutex (Ivan Vecera) [2196494]
- mlxsw: spectrum: Clear PTP configuration after unregistering the netdevice (Ivan Vecera) [2196494]
- mlxsw: spectrum_ptp: Fix compilation warnings (Ivan Vecera) [2196494]
- mlxsw: spectrum: Support ethtool 'get_ts_info' callback in Spectrum-2 (Ivan Vecera) [2196494]
- mlxsw: spectrum_ptp: Support SIOCGHWTSTAMP, SIOCSHWTSTAMP ioctls (Ivan Vecera) [2196494]
- mlxsw: spectrum: Support time stamping on Spectrum-2 (Ivan Vecera) [2196494]
- mlxsw: Send PTP packets as data packets to overcome a limitation (Ivan Vecera) [2196494]
- mlxsw: spectrum_ptp: Add implementation for physical hardware clock operations (Ivan Vecera) [2196494]
- mlxsw: Query UTC sec and nsec PCI offsets and values (Ivan Vecera) [2196494]
- mlxsw: spectrum_ptp: Add PTP initialization / finalization for Spectrum-2 (Ivan Vecera) [2196494]
- mlxsw: Support CQEv2 for SDQ in Spectrum-2 and newer ASICs (Ivan Vecera) [2196494]
- mlxsw: spectrum_ptp: Add helper functions to configure PTP traps (Ivan Vecera) [2196494]
- mlxsw: core_linecards: Remove duplicated include in core_linecard_dev.c (Ivan Vecera) [2196494]
- selftests: mlxsw: Check line card info on activated line card (Ivan Vecera) [2196494]
- selftests: mlxsw: Check line card info on provisioned line card (Ivan Vecera) [2196494]
- mlxsw: core_linecards: Implement line card device flashing (Ivan Vecera) [2196494]
- mlxsw: core_linecards: Expose device PSID over device info (Ivan Vecera) [2196494]
- mlxsw: reg: Add Management DownStream Device Tunneling Register (Ivan Vecera) [2196494]
- mlxsw: core_linecards: Probe active line cards for devices and expose FW version (Ivan Vecera) [2196494]
- mlxsw: reg: Extend MDDQ by device_info (Ivan Vecera) [2196494]
- mlxsw: core_linecards: Expose HW revision and INI version (Ivan Vecera) [2196494]
- mlxsw: spectrum_ptp: Rename mlxsw_sp1_ptp_phc_adjfreq() (Ivan Vecera) [2196494]
- mlxsw: spectrum_ptp: Rename mlxsw_sp_ptp_get_message_types() (Ivan Vecera) [2196494]
- mlxsw: spectrum_ptp: Use 'struct mlxsw_sp_ptp_clock' per ASIC (Ivan Vecera) [2196494]
- mlxsw: spectrum_ptp: Use 'struct mlxsw_sp_ptp_state' per ASIC (Ivan Vecera) [2196494]
- mlxsw: pci: Simplify FRC clock reading (Ivan Vecera) [2196494]
- mlxsw: spectrum_ptp: Initialize the clock to zero as part of initialization (Ivan Vecera) [2196494]
- mlxsw: Rename 'read_frc_capable' bit to 'read_clock_capable' (Ivan Vecera) [2196494]
- mlxsw: resources: Add resource identifier for maximum number of FIDs (Ivan Vecera) [2196494]
- mlxsw: spectrum: Fix the shift of FID field in TX header (Ivan Vecera) [2196494]
- mlxsw: Set time stamp type as part of config profile (Ivan Vecera) [2196494]
- mlxsw: cmd: Add UTC related fields to query firmware command (Ivan Vecera) [2196494]
- mlxsw: pci_hw: Add 'time_stamp' and 'time_stamp_type' fields to CQEv2 (Ivan Vecera) [2196494]
- mlxsw: reg: Add Monitoring Time Precision Correction Port Configuration Register (Ivan Vecera) [2196494]
- mlxsw: reg: Add MTUTC register's fields for supporting PTP in Spectrum-2 (Ivan Vecera) [2196494]
- mlxsw: Rename mlxsw_reg_mtptptp_pack() to mlxsw_reg_mtptpt_pack() (Ivan Vecera) [2196494]
- mlxsw: use netif_is_any_bridge_port() instead of open code (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Remove '_ub_' indication from structures and defines (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Remove flood_index() from FID operation structure (Ivan Vecera) [2196494]
- mlxsw: Enable unified bridge model (Ivan Vecera) [2196494]
- mlxsw: Add ubridge to config profile (Ivan Vecera) [2196494]
- mlxsw: Add support for 802.1Q FID family (Ivan Vecera) [2196494]
- mlxsw: Add new FID families for unified bridge model (Ivan Vecera) [2196494]
- mlxsw: Add support for VLAN RIFs (Ivan Vecera) [2196494]
- mlxsw: Configure egress FID classification after routing (Ivan Vecera) [2196494]
- mlxsw: spectrum_router: Do not configure VID for sub-port RIFs (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Configure layer 3 egress VID classification (Ivan Vecera) [2196494]
- mlxsw: Configure ingress RIF classification (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Configure VNI to FID classification (Ivan Vecera) [2196494]
- mlxsw: Configure egress VID for unicast FDB entries (Ivan Vecera) [2196494]
- mellanox/mlxsw: fix repeated words in comments (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Convert MDB code to use PGT APIs (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Flush port from MDB entries according to FID index (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Add support for getting and putting MDB entry (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Implement mlxsw_sp_mc_mdb_entry_{init, fini}() (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Add support for maintaining list of ports per MDB entry (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Add support for maintaining hash table of MDB entries (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Save MAC and FID as a key in 'struct mlxsw_sp_mdb_entry' (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Rename MIDs list (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Rename MID structure (Ivan Vecera) [2196494]
- mlxsw: Align PGT index to legacy bridge model (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Configure flooding entries using PGT APIs (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Set 'mid_base' as part of flood tables initialization (Ivan Vecera) [2196494]
- mlxsw: spectrum: Initialize PGT table (Ivan Vecera) [2196494]
- mlxsw: Extend PGT APIs to support maintaining list of ports per entry (Ivan Vecera) [2196494]
- mlxsw: Add a dedicated structure for bitmap of ports (Ivan Vecera) [2196494]
- mlxsw: Add an indication of SMPE index validity for PGT table (Ivan Vecera) [2196494]
- mlxsw: Add an initial PGT table support (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Configure egress VID classification for multicast (Ivan Vecera) [2196494]
- mlxsw: Set flood bridge type for FIDs (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Store 'bridge_type' as part of FID family (Ivan Vecera) [2196494]
- mlxsw: Prepare 'bridge_type' field for SFMR usage (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Configure flooding table type for rFID (Ivan Vecera) [2196494]
- mlxsw: spectrum: Add a temporary variable to indicate bridge model (Ivan Vecera) [2196494]
- mlxsw: spectrum: Change mlxsw_sp_rif_vlan_fid_op() to be dedicated for FID RIFs (Ivan Vecera) [2196494]
- mlxsw: spectrum: Rename MLXSW_SP_RIF_TYPE_VLAN (Ivan Vecera) [2196494]
- mlxsw: spectrum: Use different arrays of FID families per-ASIC type (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Pass FID structure to __mlxsw_sp_fid_port_vid_map() (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Pass FID structure to mlxsw_sp_fid_op() (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Rename mlxsw_sp_fid_vni_op() (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Update FID structure prior to device configuration (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Maintain {port, VID}->FID mappings (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Implement missing operations for rFID and dummy FID (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Use 'fid->fid_offset' when setting VNI (Ivan Vecera) [2196494]
- mlxsw: spectrum_fid: Save 'fid_offset' as part of FID structure (Ivan Vecera) [2196494]
- mlxsw: pci: Query resources before and after issuing 'CONFIG_PROFILE' command (Ivan Vecera) [2196494]
- mlxsw: cmd: Increase 'config_profile.flood_mode' length (Ivan Vecera) [2196494]
- mlxsw: Add enumerator for 'config_profile.flood_mode' (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Handle error in mlxsw_sp_bridge_mdb_mc_enable_sync() (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Convert mlxsw_sp_mc_write_mdb_entry() to return int (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Add error path in mlxsw_sp_port_mc_disabled_set() (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Simplify mlxsw_sp_port_mc_disabled_set() (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Do not set 'multicast_enabled' twice (Ivan Vecera) [2196494]
- mlxsw: spectrum_switchdev: Pass 'struct mlxsw_sp' to mlxsw_sp_bridge_mdb_mc_enable_sync() (Ivan Vecera) [2196494]
- mlxsw: Remove lag_vid_valid indication (Ivan Vecera) [2196494]
- mlxsw: reg: Add support for VLAN RIF as part of RITR register (Ivan Vecera) [2196494]
- mlxsw: Add support for egress FID classification after decapsulation (Ivan Vecera) [2196494]
- mlxsw: reg: Add egress FID field to RITR register (Ivan Vecera) [2196494]
- mlxsw: reg: Add Router Egress Interface to VID Register (Ivan Vecera) [2196494]
- mlxsw: reg: Replace MID related fields in SFGC register (Ivan Vecera) [2196494]
- mlxsw: reg: Add flood related field to SFMR register (Ivan Vecera) [2196494]
- mlxsw: reg: Add VID related fields to SFD register (Ivan Vecera) [2196494]
- mlxsw: reg: Add SMPE related fields to SFMR register (Ivan Vecera) [2196494]
- mlxsw: Add SMPE related fields to SMID2 register (Ivan Vecera) [2196494]
- mlxsw: reg: Add Switch Multicast Port to Egress VID Register (Ivan Vecera) [2196494]
- mlxsw: reg: Add ingress RIF related fields to SVFA register (Ivan Vecera) [2196494]
- mlxsw: reg: Add ingress RIF related fields to SFMR register (Ivan Vecera) [2196494]
- mlxsw: reg: Add 'flood_rsp' field to SFMR register (Ivan Vecera) [2196494]
- platform: mellanox: mlx-platform: Initialize shift variable to 0 (Ivan Vecera) [2196494]
- platform: x86: MLX_PLATFORM: select REGMAP instead of depending on it (Ivan Vecera) [2196494]
- platform: mellanox: select REGMAP instead of depending on it (Ivan Vecera) [2196494]
- Documentation/ABI: Add new attribute for mlxreg-io sysfs interfaces (Ivan Vecera) [2196494]
- platform: mellanox: mlx-platform: Move bus shift assignment out of the loop (Ivan Vecera) [2196494]
- platform: mellanox: mlx-platform: Add mux selection register to regmap (Ivan Vecera) [2196494]
- platform_data/mlxreg: Add field with mapped resource address (Ivan Vecera) [2196494]
- platform/mellanox: mlxreg-hotplug: Allow more flexible hotplug events configuration (Ivan Vecera) [2196494]
- platform: mellanox: Extend all systems with I2C notification callback (Ivan Vecera) [2196494]
- platform: mellanox: Split logic in init and exit flow (Ivan Vecera) [2196494]
- hwmon: (mlxreg-fan) Return zero speed for broken fan (Ivan Vecera) [2196494]
- platform: mellanox: Split initialization procedure (Ivan Vecera) [2196494]
- platform: mellanox: Introduce support of new Nvidia L1 switch (Ivan Vecera) [2196494]
- platform: mellanox: Introduce support for next-generation 800GB/s switch (Ivan Vecera) [2196494]
- platform: mellanox: Cosmetic changes - rename to more common name (Ivan Vecera) [2196494]
- platform: mellanox: Change "reset_pwr_converter_fail" attribute (Ivan Vecera) [2196494]
- platform: mellanox: Introduce support for rack manager switch (Ivan Vecera) [2196494]
- platform/x86: use PLATFORM_DEVID_NONE instead of -1 (Ivan Vecera) [2196494]
- s390/uv: Update query for secret-UVCs (Thomas Huth) [2111748]
- s390/uv: replace scnprintf with sysfs_emit (Thomas Huth) [2111748]
- s390/uvdevice: Add 'Lock Secret Store' UVC (Thomas Huth) [2111748]
- s390/uvdevice: Add 'List Secrets' UVC (Thomas Huth) [2111748]
- s390/uvdevice: Add 'Add Secret' UVC (Thomas Huth) [2111748]
- s390/uvdevice: Add info IOCTL (Thomas Huth) [2111748]
- s390/uv: Always export uv_info (Thomas Huth) [2111748]
Resolves: rhbz#2111748, rhbz#2181001, rhbz#2184620, rhbz#2196494, rhbz#2213203, rhbz#2218483, rhbz#2220976, rhbz#2222608, rhbz#2224502, rhbz#2224933, rhbz#2226158, rhbz#2226822

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-04 10:12:52 +02:00
Jan Stancek
b4922ac074 kernel-5.14.0-349.el9
* Wed Aug 02 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-349.el9]
- x86/speculation: Allow enabling STIBP with legacy IBRS (Ricardo Robaina) [2187269] {CVE-2023-1998}
- gfs2: retry interrupted internal reads (Andreas Gruenbacher) [2192392]
- gfs2: ignore rindex_update failure in dinode_dealloc (Bob Peterson) [2192392]
- selinux: make labeled NFS work when mounted before policy load (Juraj Marcin) [2218207]
- redhat/configs: Disable new PHY_QCOM_SGMII_ETH config (Andrew Halaney) [RHEL-799]
- arm64: dts: qcom: sa8775p-ride: enable ethernet0 (Andrew Halaney) [RHEL-799]
- arm64: dts: qcom: sa8775p-ride: add pin functions for ethernet0 (Andrew Halaney) [RHEL-799]
- arm64: dts: qcom: sa8775p-ride: enable the SerDes PHY (Andrew Halaney) [RHEL-799]
- arm64: dts: qcom: sa8775p: add the first 1Gb ethernet interface (Andrew Halaney) [RHEL-799]
- arm64: dts: qcom: sa8775p: add the SGMII PHY node (Andrew Halaney) [RHEL-799]
- phy: qcom: add the SGMII SerDes PHY driver (Andrew Halaney) [RHEL-799]
- phy: qualcomm: fix indentation in Makefile (Andrew Halaney) [RHEL-799]
- net: stmmac: fix double serdes powerdown (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: add support for emac4 on sa8775p platforms (Andrew Halaney) [RHEL-799]
- net: stmmac: add new switch to struct plat_stmmacenet_data (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: add support for SGMII (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: prepare the driver for more PHY modes (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: add support for the phyaux clock (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: add support for the optional serdes phy (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: remove stray space (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: add a newline between headers (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: add missing include (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: use a helper variable for &pdev->dev (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: tweak the order of local variables (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: rename a label in probe() (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: shrink clock code with devres (Andrew Halaney) [RHEL-799]
- net: stmmac: dwmac-qcom-ethqos: fix a regression on EMAC < 3 (Andrew Halaney) [RHEL-799]
- Revert "NFSv4: Retry LOCK on OLD_STATEID during delegation return" (Benjamin Coddington) [2217659]
- ACPI: sleep: Avoid breaking S3 wakeup due to might_sleep() (Mark Langsdorf) [2215972]
- fs: dlm: fix mismatch of plock results from userspace (Alexander Aring) [2192751]
- fs: dlm: make F_SETLK use unkillable wait_event (Alexander Aring) [2204519]
- fs: dlm: interrupt posix locks only when process is killed (Alexander Aring) [2192746]
- fs: dlm: fix cleanup pending ops when interrupted (Alexander Aring) [2192744]
- fs: dlm: return positive pid value for F_GETLK (Alexander Aring) [2192747]
- vmstat: skip periodic vmstat update for isolated CPUs (Marcelo Tosatti) [2118482]
- memcg: do not drain charge pcp caches on remote isolated cpus (Marcelo Tosatti) [2118482]
- sched/isolation: add cpu_is_isolated() API (Marcelo Tosatti) [2118482]
Resolves: rhbz#2118482, rhbz#2187269, rhbz#2192392, rhbz#2192744, rhbz#2192746, rhbz#2192747, rhbz#2192751, rhbz#2204519, rhbz#2215972, rhbz#2217659, rhbz#2218207, RHEL-799

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-08-02 11:37:39 +02:00
Jan Stancek
6d7d3e47c4 kernel-5.14.0-347.el9
* Fri Jul 28 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-347.el9]
- rxrpc: Truncate UTS_RELEASE for rxrpc version (Marc Dionne) [2222918]
- rxrpc: Use alloc_ordered_workqueue() to create ordered workqueues (Marc Dionne) [2222918]
- rxrpc: Fix timeout of a call that hasn't yet been granted a channel (Marc Dionne) [2222918]
- rxrpc: Make it so that a waiting process can be aborted (Marc Dionne) [2222918]
- rxrpc: Fix hard call timeout units (Marc Dionne) [2222918]
- rxrpc: Fix potential data race in rxrpc_wait_to_be_connected() (Marc Dionne) [2222918]
- rxrpc: Fix error when reading rxrpc tokens (Marc Dionne) [2222918]
- rxrpc: Fix potential race in error handling in afs_make_call() (Marc Dionne) [2222918]
- rxrpc: Fix overproduction of wakeups to recvmsg() (Marc Dionne) [2222918]
- rxrpc: use bvec_set_page to initialize a bvec (Marc Dionne) [2222918]
- rxrpc: Kill service bundle (Marc Dionne) [2222918]
- rxrpc: Remove local->defrag_sem (Marc Dionne) [2222918]
- rxrpc: Don't lock call->tx_lock to access call->tx_buffer (Marc Dionne) [2222918]
- rxrpc: Simplify ACK handling (Marc Dionne) [2222918]
- rxrpc: De-atomic call->ackr_window and call->ackr_nr_unacked (Marc Dionne) [2222918]
- rxrpc: Generate extra pings for RTT during heavy-receive call (Marc Dionne) [2222918]
- rxrpc: Convert call->recvmsg_lock to a spinlock (Marc Dionne) [2222918]
- rxrpc: Reduce unnecessary ack transmission (Marc Dionne) [2222918]
- rxrpc: Trace ack.rwind (Marc Dionne) [2222918]
- rxrpc: Shrink the tabulation in the rxrpc trace header a bit (Marc Dionne) [2222918]
- rxrpc: Remove whitespace before ')' in trace header (Marc Dionne) [2222918]
- rxrpc: Fix overwaking on call poking (Marc Dionne) [2222918]
- rxrpc: Fix trace string (Marc Dionne) [2222918]
- afs: Fix accidental truncation when storing data (Marc Dionne) [2222918]
- afs: convert pagevec to folio_batch in afs_extend_writeback() (Marc Dionne) [2222918]
- afs: Fix vlserver probe RTT handling (Marc Dionne) [2222918]
- afs: Fix setting of mtime when creating a file/dir/symlink (Marc Dionne) [2222918]
- afs: Avoid endless loop if file is larger than expected (Marc Dionne) [2222918]
- afs: Fix getattr to report server i_size on dirs, not local size (Marc Dionne) [2222918]
- afs: Fix updating of i_size with dv jump from server (Marc Dionne) [2222918]
- afs: split afs_pagecache_valid() out of afs_validate() (Marc Dionne) [2222918]
- afs: use bvec_set_folio to initialize a bvec (Marc Dionne) [2222918]
- afs: Stop implementing ->writepage() (Marc Dionne) [2222918]
- ACPI: video: Add acpi_backlight=video quirk for Lenovo ThinkPad W530 (Mark Langsdorf) [2186058]
- ACPI: video: Stop trying to use vendor backlight control on laptops from after ~2012 (Mark Langsdorf) [2186058]
- ACPI: video: Remove register_backlight_delay module option and code (Mark Langsdorf) [2186058]
- ACPI: video: Don't enable fallback path for creating ACPI backlight by default (Mark Langsdorf) [2186058]
- ACPI: video: Prefer native over vendor (Mark Langsdorf) [2186058]
- ACPI: video: Simplify __acpi_video_get_backlight_type() (Mark Langsdorf) [2186058]
- PM/devfreq: governor: Add a private governor_data for governor (Steve Best) [2212961]
- redhat/configs: Enable CONFIG_TCG_VTPM_PROXY (Štěpán Horáček) [2210263]
- iavf: fix reset task race with iavf_remove() (Petr Oros) [2223599]
- iavf: fix a deadlock caused by rtnl and driver's lock circular dependencies (Petr Oros) [2223599]
- Revert "iavf: Do not restart Tx queues after reset task failure" (Petr Oros) [2223599]
- Revert "iavf: Detach device during reset task" (Petr Oros) [2223599]
- iavf: Wait for reset in callbacks which trigger it (Petr Oros) [2223599]
- iavf: use internal state to free traffic IRQs (Petr Oros) [2223599]
- iavf: Fix out-of-bounds when setting channels on remove (Petr Oros) [2223599]
- iavf: Fix use-after-free in free_netdev (Petr Oros) [2223599]
- iavf: make functions static where possible (Petr Oros) [2223599]
- iavf: fix err handling for MAC replace (Petr Oros) [2223599]
- iavf: remove some unused functions and pointless wrappers (Petr Oros) [2223599]
- iavf: remove mask from iavf_irq_enable_queues() (Petr Oros) [2223599]
- iavf: send VLAN offloading caps once after VFR (Petr Oros) [2223599]
Resolves: rhbz#2186058, rhbz#2210263, rhbz#2212961, rhbz#2222918, rhbz#2223599

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-28 18:48:21 +02:00
Jan Stancek
17a0e7bc2d kernel-5.14.0-346.el9
* Wed Jul 26 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-346.el9]
- redhat: configs: Enable CONFIG_TYPEC_STUSB160X for rhel on aarch64 (Desnes Nunes) [2222412]
- usb: typec: stusb160x: Switch to use dev_err_probe() helper (Desnes Nunes) [2222412]
- cpuidle: psci: Iterate backwards over list in psci_pd_remove() (Mark Langsdorf) [2181277]
- cpuidle: driver: Update microsecond values of state parameters as needed (Mark Langsdorf) [2181277]
- cpuidle: sysfs: make kobj_type structures constant (Mark Langsdorf) [2181277]
- cpuidle: add ARCH_SUSPEND_POSSIBLE dependencies (Mark Langsdorf) [2181277]
- cpuidle: tegra: Enable compile testing (Mark Langsdorf) [2181277]
- cpuidle: Fix poll_idle() noinstr annotation (Mark Langsdorf) [2181277]
- cpuidle-haltpoll: Replace default_idle() with arch_cpu_idle() (Mark Langsdorf) [2181277]
- cpuidle, arm64: Fix the ARM64 cpuidle logic (Mark Langsdorf) [2181277]
- cpuidle: mvebu: Fix duplicate flags assignment (Mark Langsdorf) [2181277]
- cpuidle-haltpoll: select haltpoll governor (Mark Langsdorf) [2181277]
- powerpc/pseries: Always inline functions called from cpuidle (Mark Langsdorf) [2181277]
- cpuidle: pseries: Mark ->enter() functions as __cpuidle (Mark Langsdorf) [2181277]
- cpuidle: Add comments about noinstr/__cpuidle usage (Mark Langsdorf) [2181277]
- cpuidle, arch: Mark all ct_cpuidle_enter() callers __cpuidle (Mark Langsdorf) [2181277]
- cpuidle: Ensure ct_cpuidle_enter() is always called from noinstr/__cpuidle (Mark Langsdorf) [2181277]
- objtool/idle: Validate __cpuidle code as noinstr (Mark Langsdorf) [2181277]
- cpuidle: Annotate poll_idle() (Mark Langsdorf) [2181277]
- cpuidle, cpu_pm: Remove RCU fiddling from cpu_pm_{enter,exit}() (Mark Langsdorf) [2181277]
- cpuidle: Fix ct_idle_*() usage (Mark Langsdorf) [2181277]
- cpuidle, ARM/imx6: Push RCU-idle into driver (Mark Langsdorf) [2181277]
- cpuidle, dt: Push RCU-idle into driver (Mark Langsdorf) [2181277]
- cpuidle, armada: Push RCU-idle into driver (Mark Langsdorf) [2181277]
- cpuidle, psci: Push RCU-idle into driver (Mark Langsdorf) [2181277]
- cpuidle, tegra: Push RCU-idle into driver (Mark Langsdorf) [2181277]
- cpuidle: Move IRQ state validation (Mark Langsdorf) [2181277]
- cpuidle/poll: Ensure IRQs stay disabled after cpuidle_state::enter() calls (Mark Langsdorf) [2181277]
- cpuidle: teo: Introduce util-awareness (Mark Langsdorf) [2181277]
- cpuidle: teo: Optionally skip polling states in teo_find_shallower_state() (Mark Langsdorf) [2181277]
- powerpc/cpuidle: Set CPUIDLE_FLAG_POLLING for snooze state (Mark Langsdorf) [2181277]
- cpuidle: dt: Clarify a comment and simplify code in dt_init_idle_driver() (Mark Langsdorf) [2181277]
- cpuidle: dt: Return the correct numbers of parsed idle states (Mark Langsdorf) [2181277]
- cpuidle: psci: Extend information in log about OSI/PC mode (Mark Langsdorf) [2181277]
- firmware/psci: Print a warning if PSCI doesn't accept PC mode (Mark Langsdorf) [2181277]
- cpuidle: Remove redundant check in cpuidle_switch_governor() (Mark Langsdorf) [2181277]
- cpuidle: powernv: move from strlcpy() with unused retval to strscpy() (Mark Langsdorf) [2181277]
- cpuidle: coupled: Drop duplicate word from a comment (Mark Langsdorf) [2181277]
- powerpc/pseries/vas: Hold mmap_mutex after mmap lock during window close (Mamatha Inamdar) [2224430]
- net/sched: flower: fix possible OOB write in fl_set_geneve_opt() (Davide Caratti) [2214029] {CVE-2023-35788}
- libceph: harden msgr2.1 frame segment length checks (Ilya Dryomov) [2222253]
- ice: prevent NULL pointer deref during reload (Petr Oros) [2221923]
- ice: Unregister netdev and devlink_port only once (Petr Oros) [2221923]
- ice: reduce initial wait for control queue messages (Petr Oros) [2221923]
- ice: use ice_down_up() where applicable (Petr Oros) [2221923]
- ice: Fix ice module unload (Petr Oros) [2221923]
- ice: Fix tx queue rate limit when TCs are configured (Petr Oros) [2221923]
- ice: Fix max_rate check while configuring TX rate limits (Petr Oros) [2221923]
- ice: Fix XDP memory leak when NIC is brought up and down (Petr Oros) [2221923]
- ice: always return IRQ_WAKE_THREAD in ice_misc_intr() (Petr Oros) [2221923]
- ice: handle extts in the miscellaneous interrupt thread (Petr Oros) [2221923]
- ice: recycle/free all of the fragments from multi-buffer frame (Petr Oros) [2221923]
- ice: Don't dereference NULL in ice_gnss_read error path (Petr Oros) [2221923]
- ice: Fix stats after PF reset (Petr Oros) [2221923]
- netfilter: nf_tables: prevent OOB access in nft_byteorder_eval (Florian Westphal) [2221047] {CVE-2023-35001}
- ext4: fix i_version handling in ext4 (Carlos Maiolino) [2107587]
- ext4: unconditionally enable the i_version counter (Carlos Maiolino) [2107587]
- ceph: fix use-after-free bug for inodes when flushing capsnaps (Xiubo Li) [2214449]
- ipvlan:Fix out-of-bounds caused by unclear skb->cb (Davide Caratti) [2218677] {CVE-2023-3090}
- netfilter: nf_tables: do not ignore genmask when looking up chain by id (Florian Westphal) [2221049] {CVE-2023-31248}
- KVM: s390: pv: fix index value of replaced ASCE (Thomas Huth) [RHEL-774]
- KVM: s390: pv: simplify shutdown and fix race (Thomas Huth) [RHEL-774]
- s390/vfio-ap: wire in the vfio_device_ops request callback (Thomas Huth) [RHEL-774]
- s390/vfio-ap: realize the VFIO_DEVICE_SET_IRQS ioctl (Thomas Huth) [RHEL-774]
- s390/vfio-ap: realize the VFIO_DEVICE_GET_IRQ_INFO ioctl (Thomas Huth) [RHEL-774]
- KVM: s390/diag: fix racy access of physical cpu number in diag 9c handler (Thomas Huth) [RHEL-774]
- KVM: s390: vsie: fix the length of APCB bitmap (Thomas Huth) [RHEL-774]
- KVM: s390: selftests: add selftest for CMMA migration (Thomas Huth) [RHEL-774]
- KVM: s390: fix KVM_S390_GET_CMMA_BITS for GFNs in memslot holes (Thomas Huth) [RHEL-774]
- KVM: s390: pv: fix external interruption loop not always detected (Thomas Huth) [RHEL-774]
- KVM: s390: disable migration mode when dirty tracking is disabled (Thomas Huth) [RHEL-774]
Resolves: rhbz#2107587, rhbz#2181277, rhbz#2214029, rhbz#2214449, rhbz#2218677, rhbz#2221047, rhbz#2221049, rhbz#2221923, rhbz#2222253, rhbz#2222412, rhbz#2224430, RHEL-774

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-26 15:00:41 +02:00
Jan Stancek
e7f7b50f72 kernel-5.14.0-345.el9
* Tue Jul 25 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-345.el9]
- i2c: imx: Only DMA messages with I2C_M_DMA_SAFE flag set (Steve Best) [2184312]
- i2c: imx: use devm_platform_get_and_ioremap_resource() (Steve Best) [2184312]
- i2c: imx: If pm_runtime_get_sync() returned 1 device access is possible (Steve Best) [2184312]
- i2c: imx: Make sure to unregister adapter on remove() (Steve Best) [2184312]
- clk: imx: Remove the snvs clock (Steve Best) [2184094]
- clk: imx: add mcore_booted module paratemter (Steve Best) [2184094]
- clk: imx8mq: add 27m phy pll ref clock (Steve Best) [2184094]
- clk: imx8m: fix clock tree update of TF-A managed clocks (Steve Best) [2184094]
- Documentation: gpio: Remove text about ARCH_NR_GPIOS (Shaoqin Huang) [2183344]
- dt-bindings: timestamp: Deprecate nvidia,slices property (Shaoqin Huang) [2183344]
- gpiolib: fix allocation of mixed dynamic/static GPIOs (Shaoqin Huang) [2183344]
- tools: gpio: fix debounce_period_us output of lsgpio (Shaoqin Huang) [2183344]
- hte: Use device_match_of_node() (Shaoqin Huang) [2183344]
- hte: tegra-194: Fix off by one in tegra_hte_map_to_line_id() (Shaoqin Huang) [2183344]
- hte: tegra-194: Use proper includes (Shaoqin Huang) [2183344]
- gpio: tegra186: Add Tegra234 hte support (Shaoqin Huang) [2183344]
- hte: handle nvidia,gpio-controller property (Shaoqin Huang) [2183344]
- hte: Deprecate nvidia,slices property (Shaoqin Huang) [2183344]
- hte: Add Tegra234 provider (Shaoqin Huang) [2183344]
- dt-bindings: timestamp: Add Tegra234 support (Shaoqin Huang) [2183344]
- hte: Use of_property_present() for testing DT property presence (Shaoqin Huang) [2183344]
- gpio: gpiolib: Simplify gpiochip_add_data_with_key() fwnode (Shaoqin Huang) [2183344]
- gpiolib: Add gpiochip_set_data() helper (Shaoqin Huang) [2183344]
- gpiolib: Move gpiochip_get_data() higher in the code (Shaoqin Huang) [2183344]
- gpiolib: Check array_info for NULL only once in gpiod_get_array() (Shaoqin Huang) [2183344]
- gpiolib: Replace open coded krealloc() (Shaoqin Huang) [2183344]
- gpio: mm-lantiq: Fix typo in the newly added header filename (Shaoqin Huang) [2183344]
- gpiolib: acpi: use the fwnode in acpi_gpiochip_find() (Shaoqin Huang) [2183344]
- sh: mach-x3proto: Add missing #include <linux/gpio/driver.h> (Shaoqin Huang) [2183344]
- gpiolib: acpi: Move ACPI device NULL check to acpi_get_driver_gpio_data() (Shaoqin Huang) [2183344]
- powerpc/40x: Add missing select OF_GPIO_MM_GPIOCHIP (Shaoqin Huang) [2183344]
- gpiolib: Use IRQ hardware number getter instead of direct access (Shaoqin Huang) [2183344]
- gpiolib: Remove duplicative check in gpiod_find_and_request() (Shaoqin Huang) [2183344]
- gpiolib: Move gpiodevice_*() to gpiodev namespace (Shaoqin Huang) [2183344]
- gpio: Use of_property_read_bool() for boolean properties (Shaoqin Huang) [2183344]
- gpiolib: Get rid of devprop prefix in one function (Shaoqin Huang) [2183344]
- gpio: sim: Deactivate device in reversed order (Shaoqin Huang) [2183344]
- mips: ar7: include linux/gpio/driver.h (Shaoqin Huang) [2183344]
- gpiolib: Get rid of gpio_bus_match() forward declaration (Shaoqin Huang) [2183344]
- gpiolib: Access device's fwnode via dev_fwnode() (Shaoqin Huang) [2183344]
- gpiolib: Clean up headers (Shaoqin Huang) [2183344]
- gpiolib: Group forward declarations in consumer.h (Shaoqin Huang) [2183344]
- gpiolib: Deduplicate forward declarations in consumer.h (Shaoqin Huang) [2183344]
- gpiolib: Drop unused forward declaration from driver.h (Shaoqin Huang) [2183344]
- gpio: regmap: Add missing header(s) (Shaoqin Huang) [2183344]
- gpiolib: split of_mm_gpio_chip out of linux/of_gpio.h (Shaoqin Huang) [2183344]
- gpiolib: remove legacy gpio_export() (Shaoqin Huang) [2183344]
- gpiolib: remove gpio_set_debounce() (Shaoqin Huang) [2183344]
- gpiolib: remove asm-generic/gpio.h (Shaoqin Huang) [2183344]
- gpiolib: coldfire: remove custom asm/gpio.h (Shaoqin Huang) [2183344]
- hte: tegra: fix 'struct of_device_id' build error (Shaoqin Huang) [2183344]
- gpiolib: Make the legacy <linux/gpio.h> consumer-only (Shaoqin Huang) [2183344]
- gpio: GPIO_REGMAP: select REGMAP instead of depending on it (Shaoqin Huang) [2183344]
- kbuild, gpio: gpio-aspeed-sgpio: remove MODULE_LICENSE in non-modules (Shaoqin Huang) [2183344]
- kbuild, gpio: remove MODULE_LICENSE in non-modules (Shaoqin Huang) [2183344]
- gpio: sim: fix a memory leak (Shaoqin Huang) [2183344]
- gpio: sim: Use %%pfwP specifier instead of calling fwnode API directly (Shaoqin Huang) [2183344]
- gpiolib: Clear the gpio_device's fwnode initialized flag before adding (Shaoqin Huang) [2183344]
- gpio: tegra186: remove unneeded loop in tegra186_gpio_init_route_mapping() (Shaoqin Huang) [2183344]
- gpiolib: of: Move enum of_gpio_flags to its only user (Shaoqin Huang) [2183344]
- gpio: Get rid of gpio_to_chip() (Shaoqin Huang) [2183344]
- gpio: omap: use dynamic allocation of base (Shaoqin Huang) [2183344]
- gpiolib: Remove unused of_mm_gpiochip_add() (Shaoqin Huang) [2183344]
- gpiolib: Do not mention legacy API in the code (Shaoqin Huang) [2183344]
- gpio: Remove unused and obsoleted irq_to_gpio() (Shaoqin Huang) [2183344]
- gpio: regmap: use new regmap_might_sleep() (Shaoqin Huang) [2183344]
- gpio: Remove unused and obsoleted gpio_export_link() (Shaoqin Huang) [2183344]
- gpiolib: sort header inclusion alphabetically (Shaoqin Huang) [2183344]
- gpiolib: Get rid of not used of_node member (Shaoqin Huang) [2183344]
- gpiolib: Introduce gpio_device_get() and gpio_device_put() (Shaoqin Huang) [2183344]
- gpiolib: of: remove [devm_]gpiod_get_from_of_node() APIs (Shaoqin Huang) [2183344]
- gpiolib: of: remove of_get_gpio[_flags]() and of_get_named_gpio_flags() (Shaoqin Huang) [2183344]
- gpiolib: of: remove obsolete comment for of_gpio_get_count() (Shaoqin Huang) [2183344]
- gpiolib: of: stop exporting of_gpio_named_count() (Shaoqin Huang) [2183344]
- gpiolib: of: remove of_gpio_count() (Shaoqin Huang) [2183344]
- gpio: regmap: Always set gpio_chip get_direction (Shaoqin Huang) [2183344]
- gpiolib: use irq_domain_alloc_irqs() (Shaoqin Huang) [2183344]
- tools: gpio: fix -c option of gpio-event-mon (Shaoqin Huang) [2183344]
- Revert "gpiolib: of: Introduce hook for missing gpio-ranges" (Shaoqin Huang) [2183344]
- pinctrl: bcm2835: Switch to use ->add_pin_ranges() (Shaoqin Huang) [2183344]
- gpiolib: Check "gpio-ranges" before calling ->add_pin_ranges() (Shaoqin Huang) [2183344]
- gpiolib: acpi: Allow ignoring wake capability on pins that aren't in _AEI (Shaoqin Huang) [2183344]
- gpiolib: Fix using uninitialized lookup-flags on ACPI platforms (Shaoqin Huang) [2183344]
- gpio: sim: set a limit on the number of GPIOs (Shaoqin Huang) [2183344]
- gpiolib: protect the GPIO device against being dropped while in use by user-space (Shaoqin Huang) [2183344]
- gpiolib: cdev: fix NULL-pointer dereferences (Shaoqin Huang) [2183344]
- gpiolib: Provide to_gpio_device() helper (Shaoqin Huang) [2183344]
- gpiolib: Unify access to the device properties (Shaoqin Huang) [2183344]
- gpio: Do not include <linux/kernel.h> when not really needed. (Shaoqin Huang) [2183344]
- gpiolib: fix memory leak in gpiochip_setup_dev() (Shaoqin Huang) [2183344]
- drm/tegra: Switch to using devm_fwnode_gpiod_get() (Shaoqin Huang) [2183344]
- gpiolib: ensure that fwnode is properly set (Shaoqin Huang) [2183344]
- gpiolib: of: Use correct fwnode for DT-probed chips (Shaoqin Huang) [2183344]
- gpiolib: of: Drop redundant check in of_mm_gpiochip_remove() (Shaoqin Huang) [2183344]
- gpiolib: of: Prepare of_mm_gpiochip_add_data() for fwnode (Shaoqin Huang) [2183344]
- gpiolib: add support for software nodes (Shaoqin Huang) [2183344]
- gpiolib: consolidate GPIO lookups (Shaoqin Huang) [2183344]
- gpiolib: acpi: avoid leaking ACPI details into upper gpiolib layers (Shaoqin Huang) [2183344]
- gpiolib: acpi: teach acpi_find_gpio() to handle data-only nodes (Shaoqin Huang) [2183344]
- gpiolib: acpi: change acpi_find_gpio() to accept firmware node (Shaoqin Huang) [2183344]
- gpiolib: of: change of_find_gpio() to accept device node (Shaoqin Huang) [2183344]
- gpiolib: of: Integrate of_gpiochip_init_valid_mask() into gpiochip_init_valid_mask() (Shaoqin Huang) [2183344]
- gpiolib: of: Prepare of_gpiochip_add() / of_gpiochip_remove() for fwnode (Shaoqin Huang) [2183344]
- gpiolib: remove devm_fwnode_get_[index_]gpiod_from_child() (Shaoqin Huang) [2183344]
- gpiolib: of: add polarity quirk for Freescale PCIe controller (Shaoqin Huang) [2183344]
- gpiolib: of: factor out quirk setting polarity via separate property (Shaoqin Huang) [2183344]
- gpiolib: of: Make use of device_match_of_node() (Shaoqin Huang) [2183344]
- PCI: tegra: Switch to using devm_fwnode_gpiod_get (Shaoqin Huang) [2183344]
- gpiolib: cdev: Fix typo in kernel doc for struct line (Shaoqin Huang) [2183344]
- gpiolib: acpi: Use METHOD_NAME__AEI macro for acpi_walk_resources (Shaoqin Huang) [2183344]
- gpiolib: Clean up headers (Shaoqin Huang) [2183344]
- gpiolib: cdev: Add missing header(s) (Shaoqin Huang) [2183344]
- gpio: reg: Add missing header(s) (Shaoqin Huang) [2183344]
- gpiolib: of: add a quirk for reset line polarity for Himax LCDs (Shaoqin Huang) [2183344]
- gpiolib: of: add quirk for phy reset polarity for Freescale Ethernet (Shaoqin Huang) [2183344]
- gpiolib: of: factor out code overriding gpio line polarity (Shaoqin Huang) [2183344]
- gpiolib: of: add a quirk for legacy names in MOXA ART RTC (Shaoqin Huang) [2183344]
- gpiolib: of: add a quirk for reset line for Cirrus CS42L56 codec (Shaoqin Huang) [2183344]
- gpiolib: of: add a quirk for reset line for Marvell NFC controller (Shaoqin Huang) [2183344]
- gpiolib: of: add quirk for locating reset lines with legacy bindings (Shaoqin Huang) [2183344]
- gpiolib: of: tighten selection of gpio renaming quirks (Shaoqin Huang) [2183344]
- gpiolib: of: consolidate simple renames into a single quirk (Shaoqin Huang) [2183344]
- gpiolib: of: add a quirk for legacy names in Mediatek mt2701-cs42448 (Shaoqin Huang) [2183344]
- gpio: tegra: Convert to immutable irq chip (Shaoqin Huang) [2183344]
- gpio: aspeed: Add missing header(s) (Shaoqin Huang) [2183344]
- gpiolib: Get rid of ARCH_NR_GPIOS (Shaoqin Huang) [2183344]
- gpiolib: Warn on drivers still using static gpiobase allocation (Shaoqin Huang) [2183344]
- gpiolib: cdev: add fdinfo output for line request file descriptors (Shaoqin Huang) [2183344]
- mtd: rawnand: Replace of_gpio_named_count() by gpiod_count() (Shaoqin Huang) [2183344]
- gpiolib: cdev: Set lineevent_state::irq after IRQ register successfully (Shaoqin Huang) [2183344]
- gpiolib: fix OOB access in quirk callbacks (Shaoqin Huang) [2183344]
- net: phy: spi_ks8895: switch to using gpiod API (Shaoqin Huang) [2183344]
- gpiolib: of: factor out conversion from OF flags (Shaoqin Huang) [2183344]
- gpiolib: rework quirk handling in of_find_gpio() (Shaoqin Huang) [2183344]
- gpiolib: of: make Freescale SPI quirk similar to all others (Shaoqin Huang) [2183344]
- gpiolib: of: do not ignore requested index when applying quirks (Shaoqin Huang) [2183344]
- gpiolib: acpi: Add support to ignore programming an interrupt (Shaoqin Huang) [2183344]
- gpiolib: make fwnode_get_named_gpiod() static (Shaoqin Huang) [2183344]
- gpiolib: acpi: support bias pull disable (Shaoqin Huang) [2183344]
- gpiolib: of: support bias pull disable (Shaoqin Huang) [2183344]
- gpiolib: add support for bias pull disable (Shaoqin Huang) [2183344]
- gpiolib: cdev: compile out HTE unless CONFIG_HTE selected (Shaoqin Huang) [2183344]
- gpiolib: cdev: consolidate edge detector configuration flags (Shaoqin Huang) [2183344]
- gpiolib: cdev: simplify line event identification (Shaoqin Huang) [2183344]
- gpiolib: cdev: replace if-else chains with switches (Shaoqin Huang) [2183344]
- gpiolib: cdev: simplify parameter in call to hte_edge_setup (Shaoqin Huang) [2183344]
- gpiolib: cdev: simplify linereq_free (Shaoqin Huang) [2183344]
- gpio: gpiolib-of: Fix refcount bugs in of_mm_gpiochip_add_data() (Shaoqin Huang) [2183344]
- gpiolib: of: Use device_match_of_node() helper (Shaoqin Huang) [2183344]
- gpiolib: devres: Get rid of unused devm_gpio_free() (Shaoqin Huang) [2183344]
- gpio: gpiolib: Allow free() callback to be overridden (Shaoqin Huang) [2183344]
- hte: Fix possible use-after-free in tegra_hte_test_remove() (Shaoqin Huang) [2183344]
- hte: Remove unused including <linux/version.h> (Shaoqin Huang) [2183344]
- pinctrl: ocelot: Fix interrupt parsing (Shaoqin Huang) [2183344]
- pinctrl: Get rid of duplicate of_node assignment in the drivers (Shaoqin Huang) [2183344]
- pinctrl: ocelot: update pinctrl to automatic base address (Shaoqin Huang) [2183344]
- powerpc/iommu: Limit number of TCEs to 512 for H_STUFF_TCE hcall (Mamatha Inamdar) [2222935]
- net: wwan: mark t7xx driver as tech preview (Jose Ignacio Tornos Martinez) [2110561]
- net: wwan: enable t7xx driver (Jose Ignacio Tornos Martinez) [2110561]
- net: wwan: t7xx: Add AP CLDMA (Jose Ignacio Tornos Martinez) [2110561 2140372]
- redhat/configs: disable CONFIG_USB_DWC2 and CONFIG_USB_DWC3 on x86 (Desnes Nunes) [2110796]
- irqchip/irq-imx-gpcv2: Mark fwnode device as not initialized (Steve Best) [2184110]
- irqchip/imx-gpcv2: Mark imx_gpcv2_instance with __ro_after_init (Steve Best) [2184110]
- irqchip/imx-irqsteer: Add runtime PM support (Steve Best) [2184110]
- irqchip/imx-irqsteer: Constify irq_chip struct (Steve Best) [2184110]
- selftests/ftrace: Stop tracing while reading the trace file by default (Jerome Marchand) [2138363]
- dt-bindings: iio: adc: Add NXP IMX93 ADC (Steve Best) [2181783]
- iio: adc: imx93: Fix spelling mistake "geting" -> "getting" (Steve Best) [2181783]
- iio: adc: add imx93 adc support (Steve Best) [2181783]
- net: wwan: mark Qualcomm driver as tech preview (Jose Ignacio Tornos Martinez) [2222914]
- net: wwan: enable Qualcomm driver (Jose Ignacio Tornos Martinez) [2222914]
Resolves: rhbz#2110561, rhbz#2110796, rhbz#2138363, rhbz#2140372, rhbz#2181783, rhbz#2183344, rhbz#2184094, rhbz#2184110, rhbz#2184312, rhbz#2222914, rhbz#2222935

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-25 17:02:23 +02:00
Jan Stancek
ef9c914c53 kernel-5.14.0-344.el9
* Mon Jul 24 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-344.el9]
- redhat/configs: turn on i.MX8MP interconnect driver (Steve Best) [2195986]
- power: supply: Fix logic checking if system is running from battery (Cornelia Huck) [2183335]
- power: supply: Ratelimit no data debug output (Cornelia Huck) [2183335]
- power: supply: Fix power_supply_get_battery_info() if parent is NULL (Cornelia Huck) [2183335]
- power: supply: core: auto-exposure of simple-battery data (Cornelia Huck) [2183335]
- power: supply: charger-manager: Use of_property_read_bool() for boolean properties (Cornelia Huck) [2183335]
- power: supply: fix null pointer check order in __power_supply_register (Cornelia Huck) [2183335]
- power: supply: leds: explicitly include linux/leds.h (Cornelia Huck) [2183335]
- power: supply: use sysfs_emit() instead of sprintf() for sysfs show() (Cornelia Huck) [2183335]
- power: supply: fix null pointer dereferencing in power_supply_get_battery_info (Cornelia Huck) [2183335]
- power: supply: fix residue sysfs file in error handle route of __power_supply_register() (Cornelia Huck) [2183335]
- power: supply: core: repair kernel-doc for power_supply_vbat2ri() (Cornelia Huck) [2183335]
- power: supply: Fix repeated word in comments (Cornelia Huck) [2183335]
- extcon: Fix extcon_get_extcon_dev() error handling (Cornelia Huck) [2183335]
- power: supply: axp288_charger: Use the defined variable to clean code (Cornelia Huck) [2183335]
- soc: imx: gpcv2: add GENPD_FLAG_ACTIVE_WAKEUP flag for usb of imx8mm/n (Steve Best) [2184054]
- soc: imx: gpcv2: Assert reset before ungating clock (Steve Best) [2184054]
- soc: imx: gpcv2: print errno for regulator errors (Steve Best) [2184054]
- soc: imx: gpcv2: add support for i.MX8MP power domains (Steve Best) [2184054]
- soc: imx: gpcv2: add PGC control register indirection (Steve Best) [2184054]
- soc: imx: gpcv2: Fix clock disabling imbalance in error path (Steve Best) [2184054]
- soc: imx: gpcv2: Add dispmix and mipi domains to imx8mn (Steve Best) [2184054]
- soc: imx: gpcv2: keep i.MX8MN gpumix bus clock enabled (Steve Best) [2184054]
- soc: imx: gpcv2: keep i.MX8MM VPU-H1 bus clock active (Steve Best) [2184054]
- soc: imx: gpcv2: Synchronously suspend MIX domains (Steve Best) [2184054]
- soc: imx: gpcv2: support system suspend/resume (Steve Best) [2184054]
- soc: imx: gpcv2: keep i.MX8M* bus clocks enabled (Steve Best) [2184054]
- soc: imx: gpcv2: add domain option to keep domain clocks enabled (Steve Best) [2184054]
- soc: imx: gpcv2: add lockdep annotation (Steve Best) [2184054]
- Revert "soc: imx: gpcv2: move reset assert after requesting domain power up" (Steve Best) [2184054]
- soc: imx: gpcv2: allow to disable individual power domains (Steve Best) [2184054]
- soc: imx: gpcv2: Set both GPC_PGC_nCTRL(GPU_2D|GPU_3D) for MX8MM GPU domain (Steve Best) [2184054]
- soc: imx: gpcv2: Turn domain->pgc into bitfield (Steve Best) [2184054]
- soc: imx8m: Fix incorrect check for of_clk_get_by_name() (Steve Best) [2184054]
- soc: imx8m: Enable OCOTP clock before reading the register (Steve Best) [2184054]
- nvme-pci: fix DMA direction of unmapping integrity data (Ming Lei) [2215173]
- dt-bindings: gpio: Remove FSI domain ports on Tegra234 (Steve Best) [2213216]
- clk: imx: avoid memory leak (Steve Best) [2212497]
- clk: imx: remove clk_count of imx_register_uart_clocks (Steve Best) [2212497]
- clk: imx: get stdout clk count from device tree (Steve Best) [2212497]
- clk: imx: Add check for kcalloc (Steve Best) [2212497]
- redhat/configs: disable pre-UVC cameras for RHEL on aarch64 (Dean Nelson) [2190505]
- redhat/configs: enable CONFIG_MEDIA_SUPPORT for RHEL on aarch64 (Dean Nelson) [2190505 2192722]
- dt-bindings: can: fsl,flexcan: add imx93 compatible (Steve Best) [2214947]
- scsi: sd: Add "probe_type" module parameter to allow synchronous probing (Ewan D. Milne) [2140017]
- soc/tegra: pmc: Add the PMIC wake event for Tegra234 (Steve Best) [2218655]
- soc/tegra: pmc: Add wake source interrupt for MGBE (Steve Best) [2218655]
- soc/tegra: pmc: Support software wake-up for SPE (Steve Best) [2218655]
- kernfs: dont take d_lock on revalidate (Ian Kent) [2186094]
- kernfs: dont take i_lock on inode attr read (Ian Kent) [2186094]
Resolves: rhbz#2140017, rhbz#2183335, rhbz#2184054, rhbz#2186094, rhbz#2190505, rhbz#2192722, rhbz#2195986, rhbz#2212497, rhbz#2213216, rhbz#2214947, rhbz#2215173, rhbz#2218655

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-24 07:29:14 +02:00
Jan Stancek
63e4a79ccd kernel-5.14.0-343.el9
* Fri Jul 21 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-343.el9]
- net: openvswitch: add support for l4 symmetric hashing (Timothy Redaelli) [2188082]
- gfs2: Don't deref jdesc in evict (Bob Peterson) [2214358] {CVE-2023-3212}
- net: wwan: mark iosm driver as tech preview (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: enable iosm driver (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: enable wwan hw simulator (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: adjust new configuration options (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Convert single instance struct member to flexible array (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Use alloc_ordered_workqueue() to create ordered workqueues (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Ensure init is completed before system sleep (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix NULL pointer dereference when removing device (Jose Ignacio Tornos Martinez) [2123542]
- wwan: core: add print for wwan port attach/disconnect (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: Expose secondary AT port on DATA1 (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Fix error handling path in ipc_pcie_probe() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: do not compile with -Werror (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fixes 7560 modem crash (Jose Ignacio Tornos Martinez) [2123542]
- wwan: core: Support slicing in port TX flow of WWAN subsystem (Jose Ignacio Tornos Martinez) [2123542]
- platform/x86: intel: int1092: intel_sar: Convert to platform remove callback returning void (Jose Ignacio Tornos Martinez) [2123542]
- platform/x86: int1092: Switch to use acpi_evaluate_dsm_typed() (Jose Ignacio Tornos Martinez) [2123542]
- Documentation: networking: correct spelling (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Fix Runtime PM initialization (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Fix Runtime PM resume sequence (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix memory leak in ipc_mux_init() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix incorrect skb length (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix crash in peek throughput test (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix dma_alloc_coherent incompatible pointer type (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix kernel test robot reported error (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Fix the ACPI memory leak (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: use ACPI_FREE() but not kfree() in ipc_pcie_read_bios_cfg() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix kernel test robot reported errors (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix invalid mux header type (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix driver not working with INTEL_IOMMU disabled (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix memory leak in ipc_pcie_read_bios_cfg (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Remove unnecessary if_mutex lock (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: mhi: fix memory leak in mhi_mbim_dellink (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix memory leak in ipc_wwan_dellink (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add NAPI support (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Use needed_headroom instead of hard_header_len (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: add rpc interface for xmm modems (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add port for modem logging (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: use union to group port type specific data (Jose Ignacio Tornos Martinez) [2123542]
- net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2123542]
- wwan_hwsim: fix possible memory leak in wwan_hwsim_dev_new() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Call mutex_init before locking it (Jose Ignacio Tornos Martinez) [2123542]
- wwan_hwsim: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Use skb_put_data() instead of skb_put/memcpy pair (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: mhi_wwan_ctrl: Add DUN2 to have a secondary AT port (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Fix return type of t7xx_ccmni_start_xmit (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Fix return type of ipc_wwan_link_transmit (Jose Ignacio Tornos Martinez) [2123542]
- wwan: iosm: use a flexible array rather than allocate short objects (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: use GFP_ATOMIC under spin lock in t7xx_cldma_gpd_set_next_ptr() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: remove pointless null check (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Fix smatch errors (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Avoid calls to skb_data_area_size() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Fix return type of t7xx_dl_add_timedout() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add maintainers and documentation (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Device deep sleep lock/unlock (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Runtime PM (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Introduce power management (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add WWAN network interface (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add data path interface (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Data path HW layer (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add AT and MBIM WWAN ports (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add control port (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add port proxy infrastructure (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add core components (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: t7xx: Add control DMA interface (Jose Ignacio Tornos Martinez) [2123542]
- wwan_hwsim: Avoid flush_scheduled_work() usage (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: qcom_bam_dmux: fix wrong pointer passed to IS_ERR() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: drop debugfs dev reference (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: debugfs obtained dev reference not dropped (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Enable M.2 7360 WWAN card support (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: Fix MRU mismatch issue which may lead to data connection lost (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Let PCI core handle PCI power transition (Jose Ignacio Tornos Martinez) [2123542]
- wwan: Replace kernel.h with the necessary inclusions (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: correct open parenthesis alignment (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: removed unused function decl (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: release data channel in case no active IP session (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: set tx queue len (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fixes unable to send AT command during mbim tx (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fixes net interface nonfunctional after fw flash (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fixes unnecessary doorbell send (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: make debugfs optional (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: move debugfs knobs into a subdir (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: allow trace port be uninitialized (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: consolidate trace port init code (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2123542]
- dt-bindings: net: Add schema for Qualcomm BAM-DMUX (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: Add Qualcomm BAM-DMUX WWAN network driver (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: device trace collection using relayfs (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: common debugfs base dir for wwan device (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fix compilation warning (Jose Ignacio Tornos Martinez) [2123542]
- platform/x86: int1092: Fix non sequential device mode handling (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: correct devlink extra params (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Move devlink_register to be last devlink command (Jose Ignacio Tornos Martinez) [2123542]
- net: iosm: Use hrtimer_forward_now() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fw flashing and cd improvements (Jose Ignacio Tornos Martinez) [2123542]
- devlink: Make devlink_register to be void (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fw flashing & cd collection infrastructure changes (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: devlink fw flashing & cd collection documentation (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: transport layer support for fw flashing/cd (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: coredump collection support (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: fw flashing support (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: devlink registration (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Unify IO accessors used in the driver (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Replace io.*64_lo_hi() with regular accessors (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: switch from 'pci_' to 'dma_' API (Jose Ignacio Tornos Martinez) [2123542]
- platform/x86: BIOS SAR driver for Intel M.2 Modem (Jose Ignacio Tornos Martinez) [2123542]
- wwan: core: Unshadow error code returned by ida_alloc_range() (Jose Ignacio Tornos Martinez) [2123542]
- wwan: mhi: Fix missing spin_lock_init() in mhi_mbim_probe() (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: Add MHI MBIM network driver (Jose Ignacio Tornos Martinez) [2123542]
- net: wwan: iosm: Switch to use module_pci_driver() macro (Jose Ignacio Tornos Martinez) [2123542]
- net: tipc: resize nlattr array to correct size (Xin Long) [2221401]
- tipc: fix kernel warning when sending SYN message (Xin Long) [2221401]
- thunderbolt: Mask ring interrupt on Intel hardware as well (Desnes Nunes) [2212495]
- thunderbolt: Clear registers properly when auto clear isn't in use (Desnes Nunes) [2212495]
- media: usb: siano: Fix warning due to null work_func_t function pointer (Desnes Nunes) [2212495]
- usb: host: xhci-plat: Add reset support (Desnes Nunes) [2212495]
- usb: host: xhci-plat: Improve clock handling in probe() (Desnes Nunes) [2212495]
- media: uvcvideo: Don't expose unsupported formats to userspace (Desnes Nunes) [2212495]
- Revert "dt-bindings: usb: renesas, usb3-peri: Document RZ/V2M r9a09g011 support" (Desnes Nunes) [2212495]
- usb: typec: altmodes/displayport: Fix configure initial pin assignment (Desnes Nunes) [2212495]
- xhci: Free the command allocated for setting LPM if we return early (Desnes Nunes) [2212495]
- Revert "usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS" (Desnes Nunes) [2212495]
- xhci: also avoid the XHCI_ZERO_64B_REGS quirk with a passthrough iommu (Desnes Nunes) [2212495]
- USB: serial: option: add Quectel RM500U-CN modem (Desnes Nunes) [2212495]
- usb: xhci: tegra: fix sleep in atomic call (Desnes Nunes) [2212495]
- usb: chipidea: core: fix possible concurrent when switch role (Desnes Nunes) [2212495]
- usb: chipdea: core: fix return -EINVAL if request role is the same with current role (Desnes Nunes) [2212495]
- uas: Add US_FL_NO_REPORT_OPCODES for JMicron JMS583Gen 2 (Desnes Nunes) [2212495]
- USB: serial: option: add Telit FE990 compositions (Desnes Nunes) [2212495]
- USB: serial: cp210x: add Silicon Labs IFS-USB-DATACABLE IDs (Desnes Nunes) [2212495]
- thunderbolt: Use scale field when allocating USB3 bandwidth (Desnes Nunes) [2212495]
- thunderbolt: Limit USB3 bandwidth of certain Intel USB4 host routers (Desnes Nunes) [2212495]
- thunderbolt: Call tb_check_quirks() after initializing adapters (Desnes Nunes) [2212495]
- thunderbolt: Add missing UNSET_INBOUND_SBTX for retimer access (Desnes Nunes) [2212495]
- thunderbolt: Fix memory leak in margining (Desnes Nunes) [2212495]
- usb: typec: tcpm: fix warning when handle discover_identity message (Desnes Nunes) [2212495]
- usb: typec: tcpm: fix create duplicate source-capabilities file (Desnes Nunes) [2212495]
- usb: ucsi_acpi: Increase the command completion timeout (Desnes Nunes) [2212495]
- usb: ucsi: Fix ucsi->connector race (Desnes Nunes) [2212495]
- usb: ucsi: Fix NULL pointer deref in ucsi_connector_change() (Desnes Nunes) [2212495]
- thunderbolt: Add quirk to disable CLx (Desnes Nunes) [2212495]
- usb: typec: pd: Add higher capability sysfs for sink PDO (Desnes Nunes) [2212495]
- usb: typec: pd: Remove usb_suspend_supported sysfs from sink PDO (Desnes Nunes) [2212495]
- xhci: host: potential NULL dereference in xhci_generic_plat_probe() (Desnes Nunes) [2212495]
- media: usb: siano: Fix use after free bugs caused by do_submit_urb (Desnes Nunes) [2212495]
- media: usb: dvb-usb-v2: af9015.c: return 0 instead of 'ret'. (Desnes Nunes) [2212495]
- dt-bindings: usb: convert fcs,fusb302.txt to yaml (Desnes Nunes) [2212495]
- usb: uvc: Enumerate valid values for color matching (Desnes Nunes) [2212495]
- USB: ene_usb6250: Allocate enough memory for full object (Desnes Nunes) [2212495]
- usb: host: xhci: mvebu: Iterate over array indexes instead of using pointer math (Desnes Nunes) [2212495]
- USB: uhci: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495]
- USB: ULPI: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495]
- USB: chipidea: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495]
- USB: serial: option: add support for VW/Skoda "Carstick LTE" (Desnes Nunes) [2212495]
- usb: remove the dead USB_OHCI_SH option (Desnes Nunes) [2212495]
- xhci: decouple usb2 port resume and get_port_status request handling (Desnes Nunes) [2212495]
- xhci: clear usb2 resume related variables in one place. (Desnes Nunes) [2212495]
- xhci: rename resume_done to resume_timestamp (Desnes Nunes) [2212495]
- xhci: Pass port structure as parameter to xhci_disable_port(). (Desnes Nunes) [2212495]
- xhci: move port specific items such as state completions to port structure (Desnes Nunes) [2212495]
- xhci: pass port pointer as parameter to xhci_set_port_power() (Desnes Nunes) [2212495]
- xhci: cleanup xhci_hub_control port references (Desnes Nunes) [2212495]
- xhci: add helpers for enabling and disabling interrupters (Desnes Nunes) [2212495]
- xhci: Refactor interrupter code for initial multi interrupter support. (Desnes Nunes) [2212495]
- xhci: remove xhci_test_trb_in_td_math early development check (Desnes Nunes) [2212495]
- xhci: fix event ring segment table related masks and variables in header (Desnes Nunes) [2212495]
- dt-bindings: usb: Add Cypress cypd4226 Type-C controller (Desnes Nunes) [2212495]
- xhci: split out rcar/rz support from xhci-plat.c (Desnes Nunes) [2212495]
- usb: ohci-omap: avoid unused-variable warning (Desnes Nunes) [2212495]
- usb: remove ohci-tmio driver (Desnes Nunes) [2212495]
- USB: core: Don't hold device lock while reading the "descriptors" sysfs file (Desnes Nunes) [2212495]
- usb: early: xhci-dbc: Use memcpy_and_pad() (Desnes Nunes) [2212495]
- usb: early: xhci-dbc: Optimize early_xdbc_write() (Desnes Nunes) [2212495]
- usb: early: xhci-dbc: Fix a potential out-of-bound memory access (Desnes Nunes) [2212495]
- usb: chipidea: ci_hdrc_imx: use dev_err_probe (Desnes Nunes) [2212495]
- dt-bindings: usb: fsa4480: Use generic node name (Desnes Nunes) [2212495]
- dt-bindings: usb: phy: nop: Fix a typo ("specifiy") (Desnes Nunes) [2212495]
- Documentation: usb: correct spelling (Desnes Nunes) [2212495]
- usb: uvc: use v4l2_fill_fmtdesc instead of open coded format name (Desnes Nunes) [2212495]
- usb: uvc: make uvc_format_desc table const (Desnes Nunes) [2212495]
- usb: uvc: move uvc_fmts and uvc_format_by_guid to own compile unit (Desnes Nunes) [2212495]
- usb: uvc: move media/v4l2-uvc.h to usb/uvc.h (Desnes Nunes) [2212495]
- usb: gadget: uvc: add v4l2 try_format api call (Desnes Nunes) [2212495]
- usb: gadget: uvc: add v4l2 enumeration api calls (Desnes Nunes) [2212495]
- media: uvcvideo: Add GUID for BGRA/X 8:8:8:8 (Desnes Nunes) [2212495]
- thunderbolt: Add missing kernel-doc comment to tb_tunnel_maximum_bandwidth() (Desnes Nunes) [2212495]
- thunderbolt: Handle bandwidth allocation mode enablement notification (Desnes Nunes) [2212495]
- dt-bindings: usb: Convert Marvell Orion EHCI to DT schema (Desnes Nunes) [2212495]
- dt-bindings: usb: Convert OMAP OHCI/EHCI bindings to schema (Desnes Nunes) [2212495]
- dt-bindings: usb: Convert multiple "usb-ohci" bindings to DT schema (Desnes Nunes) [2212495]
- dt-bindings: usb: Remove obsolete brcm,bcm3384-usb.txt (Desnes Nunes) [2212495]
- usb: typec: tcpm: Remove altmode active state updates (Desnes Nunes) [2212495]
- usb: typec: altmodes/displayport: Update active state (Desnes Nunes) [2212495]
- usb: typec: mux: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495]
- redhat: configs: Add unset CONFIG_TYPEC_MUX_GPIO_SBU option (Desnes Nunes) [2212495]
- dt-bindings: usb: Introduce GPIO-based SBU mux (Desnes Nunes) [2212495]
- usb: host: ehci-fsl: Use DRV_NAME (Desnes Nunes) [2212495]
- usb: typec: tcpci: Add callback for evaluating contaminant presence (Desnes Nunes) [2212495]
- usb: typec: tcpm: Add callbacks to mitigate wakeups due to contaminant (Desnes Nunes) [2212495]
- usb: typec: Make bus switch code retimer-aware (Desnes Nunes) [2212495]
- usb: typec: Add wrapper for bus switch set code (Desnes Nunes) [2212495]
- usb: typec: Add retimer handle to port altmode (Desnes Nunes) [2212495]
- usb: typec: tipd: Support wakeup (Desnes Nunes) [2212495]
- dt-bindings: usb: tps6598x: Add wakeup property (Desnes Nunes) [2212495]
- USB: fix memory leak with using debugfs_lookup() (Desnes Nunes) [2212495]
- usb: typec: intel_pmc_mux: Deduplicate ACPI matching in probe (Desnes Nunes) [2212495]
- usb: typec: intel_pmc_mux: Don't leak the ACPI device reference count (Desnes Nunes) [2212495]
- usb: typec: tcpci: Request IRQ with IRQF_SHARED (Desnes Nunes) [2212495]
- dt-bindings: usb: usbmisc-imx: add i.MX8MM usbmisc (Desnes Nunes) [2212495]
- dt-bindings: usb: ci-hdrc-usb2: add i.MX8MM compatible (Desnes Nunes) [2212495]
- USB: Improve usb_fill_* documentation (Desnes Nunes) [2212495]
- usb: gadget: xudc: Refactor update data role work (Desnes Nunes) [2212495]
- thunderbolt: Add support for DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495]
- thunderbolt: Include the additional DP IN double word in debugfs dump (Desnes Nunes) [2212495]
- thunderbolt: Add functions to support DisplayPort bandwidth allocation mode (Desnes Nunes) [2212495]
- thunderbolt: Take CL states into account when waiting for link to come up (Desnes Nunes) [2212495]
- thunderbolt: Improve debug logging in tb_available_bandwidth() (Desnes Nunes) [2212495]
- thunderbolt: Log DP adapter type (Desnes Nunes) [2212495]
- thunderbolt: Use decimal port number in control and tunnel logs too (Desnes Nunes) [2212495]
- media: uvcvideo: Silence memcpy() run-time false positive warnings (Desnes Nunes) [2212495]
- media: uvcvideo: Quirk for autosuspend in Logitech B910 and C910 (Desnes Nunes) [2212495]
- media: uvcvideo: Fix race condition with usb_kill_urb (Desnes Nunes) [2212495]
- media: uvcvideo: Use standard names for menus (Desnes Nunes) [2212495]
- media: uvcvideo: Fix power line control for Lenovo Integrated Camera (Desnes Nunes) [2212495]
- media: uvcvideo: Refactor power_line_frequency_controls_limited (Desnes Nunes) [2212495]
- media: uvcvideo: Refactor uvc_ctrl_mappings_uvcXX (Desnes Nunes) [2212495]
- media: uvcvideo: Implement mask for V4L2_CTRL_TYPE_MENU (Desnes Nunes) [2212495]
- media: uvcvideo: Extend documentation of uvc_video_clock_decode() (Desnes Nunes) [2212495]
- media: uvcvideo: Refactor __uvc_ctrl_add_mapping (Desnes Nunes) [2212495]
- media: uvcvideo: Fix handling on Bitmask controls (Desnes Nunes) [2212495]
- media: uvcvideo: Do not return positive errors in uvc_query_ctrl() (Desnes Nunes) [2212495]
- media: uvcvideo: Return -EACCES for Wrong state error (Desnes Nunes) [2212495]
- media: uvcvideo: Improve error logging in uvc_query_ctrl() (Desnes Nunes) [2212495]
- media: uvcvideo: Check for INACTIVE in uvc_ctrl_is_accessible() (Desnes Nunes) [2212495]
- media: uvcvideo: Factor out usb_string() calls (Desnes Nunes) [2212495]
- media: uvcvideo: Limit power line control for Acer EasyCamera (Desnes Nunes) [2212495]
- media: uvcvideo: Recover stalled ElGato devices (Desnes Nunes) [2212495]
- media: uvcvideo: Remove void casting for the status endpoint (Desnes Nunes) [2212495]
- media: uvcvideo: Fix usage of symbolic permissions to octal (Desnes Nunes) [2212495]
- media: uvcvideo: Fix assignment inside if condition (Desnes Nunes) [2212495]
- media: uvcvideo: Fix missing newline after declarations (Desnes Nunes) [2212495]
- media: uvcvideo: Handle errors from calls to usb_string (Desnes Nunes) [2212495]
- media: uvcvideo: Only create input devs if hw supports it (Desnes Nunes) [2212495]
- media: uvcvideo: Handle cameras with invalid descriptors (Desnes Nunes) [2212495]
- media: uvcvideo: Remove format descriptions (Desnes Nunes) [2212495]
- net: thunderbolt: Add tracepoints (Desnes Nunes) [2212495]
- net: thunderbolt: Add debugging when sending/receiving control packets (Desnes Nunes) [2212495]
- net: thunderbolt: Move into own directory (Desnes Nunes) [2212495]
- xhci: Convert to use list_count_nodes() (Desnes Nunes) [2212495]
- usb: typec: ucsi: Register USB Power Delivery Capabilities (Desnes Nunes) [2212495]
- usb: chipidea: imx: Drop empty platform remove function (Desnes Nunes) [2212495]
- usb: typec: altmodes/displayport: Add hpd sysfs attribute (Desnes Nunes) [2212495]
- thunderbolt: Refactor tb_acpi_add_link() (Desnes Nunes) [2212495]
- thunderbolt: Use correct type in tb_port_is_clx_enabled() prototype (Desnes Nunes) [2212495]
- netfilter: conntrack: gre: don't set assured flag for clash entries (Florian Westphal) [2220944]
- netfilter: conntrack: allow insertion clash of gre protocol (Florian Westphal) [2220944]
- netfilter: conntrack: dccp: copy entire header to stack buffer, not just basic one (Florian Westphal) [2220884]
- netfilter: nfnetlink_osf: fix module autoload (Florian Westphal) [2220884]
- xfs: fix up for "xfs: pass perag to xfs_alloc_read_agf()" (Bill O'Donnell) [2218635]
- tls: improve lockless access safety of tls_err_abort() (Sabrina Dubroca) [2219775]
- tls: rx: strp: don't use GFP_KERNEL in softirq context (Sabrina Dubroca) [2219775]
- tls: rx: strp: preserve decryption status of skbs when needed (Sabrina Dubroca) [2219775]
- tls: rx: strp: factor out copying skb data (Sabrina Dubroca) [2219775]
- tls: rx: strp: fix determining record length in copy mode (Sabrina Dubroca) [2219775]
- tls: rx: strp: force mixed decrypted records into copy mode (Sabrina Dubroca) [2219775]
- tls: rx: strp: set the skb->len of detached / CoW'ed skbs (Sabrina Dubroca) [2219775]
- tls: rx: device: fix checking decryption status (Sabrina Dubroca) [2219775]
- mptcp: do not rely on implicit state check in mptcp_listen() (Davide Caratti) [2219612]
- mptcp: handle correctly disconnect() failures (Davide Caratti) [2219612]
- mptcp: fix active subflow finalization (Davide Caratti) [2219612]
- mptcp: ensure subflow is unhashed before cleaning the backlog (Davide Caratti) [2219612]
- mptcp: ensure listener is unhashed before updating the sk status (Davide Caratti) [2219612]
- mptcp: fix possible list corruption on passive MPJ (Davide Caratti) [2219612]
- mptcp: fix possible divide by zero in recvmsg() (Davide Caratti) [2219612]
- tcp: deny tcp_disconnect() when threads are waiting (Davide Caratti) [2219612]
- sit: update dev->needed_headroom in ipip6_tunnel_bind_dev() (Guillaume Nault) [2219606]
- net: sched: fix NULL pointer dereference in mq_attach (Davide Caratti) [2219411]
- net/sched: cls_api: remove block_cb from driver_list before freeing (Davide Caratti) [2219411]
- sch_netem: fix issues in netem_change() vs get_dist_table() (Davide Caratti) [2219411]
- sch_netem: acquire qdisc lock in netem_change() (Davide Caratti) [2219411]
- net/sched: cls_api: Fix lockup on flushing explicitly created chain (Davide Caratti) [2219411]
- net/sched: cls_u32: Fix reference counter leak leading to overflow (Davide Caratti) [2219411]
- net/sched: taprio: fix slab-out-of-bounds Read in taprio_dequeue_from_txq (Davide Caratti) [2219411]
- net: sched: fix possible refcount leak in tc_chain_tmplt_add() (Davide Caratti) [2219411]
- RDMA/mlx4: Prevent shift wrapping in set_user_sq_size() (Mohammad Kabat) [2165367]
- mlx4: bpf_xdp_metadata_rx_hash add xdp rss hash type (Mohammad Kabat) [2165367]
- net/mlx4_en: Introduce flexible array to silence overflow warning (Mohammad Kabat) [2165367]
- net/mlx4_en: Support RX XDP metadata (Mohammad Kabat) [2165367]
- net/mlx4_en: Introduce wrapper for xdp_buff (Mohammad Kabat) [2165367]
- net/mlx4: small optimization in mlx4_en_xmit() (Mohammad Kabat) [2165367]
- net/mlx4: MLX4_TX_BOUNCE_BUFFER_SIZE depends on MAX_SKB_FRAGS (Mohammad Kabat) [2165367]
- net/mlx4: rename two constants (Mohammad Kabat) [2165367]
- RDMA/mlx4: Remove NULL check before dev_{put, hold} (Mohammad Kabat) [2165367]
- ptp: mlx4: convert to .adjfine and adjust_by_scaled_ppm (Mohammad Kabat) [2165367]
- net/mlx4: Check retval of mlx4_bitmap_init (Mohammad Kabat) [2165367]
- net/mlx4: Fix error check for dma_map_sg (Mohammad Kabat) [2165367]
- mlx4: Do type_clear() for devlink ports when type_set() was called previously (Mohammad Kabat) [2165367]
- devlink: Fix crash with CONFIG_NET_NS=n (Davide Caratti) [2219326]
- devlink: change per-devlink netdev notifier to static one (Davide Caratti) [2219326]
- af_packet: Don't send zero-byte data in packet_sendmsg_spkt(). (Davide Caratti) [2219326]
- vlan: partially enable SIOCSHWTSTAMP in container (Davide Caratti) [2219326]
- net: macsec: fix double free of percpu stats (Sabrina Dubroca) [2218898]
- ping6: Fix send to link-local addresses with VRF. (Hangbin Liu) [2218130]
- ipv6: rpl: Fix Route of Death. (Hangbin Liu) [2218130]
- ipv6: Fix out-of-bounds access in ipv6_find_tlv() (Hangbin Liu) [2218130]
- net: rpl: fix rpl header size calculation (Hangbin Liu) [2218130]
- igb: fix nvm.ops.read() error handling (Corinna Vinschen) [2153362]
- igb: Fix extts capture value format for 82580/i354/i350 (Corinna Vinschen) [2153362]
- igb: Define igb_pm_ops conditionally on CONFIG_PM (Corinna Vinschen) [2153362]
- igb: fix bit_shift to be in [1..8] range (Corinna Vinschen) [2153362]
- igb: refactor igb_ptp_adjfine_82580 to use diff_by_scaled_ppm (Corinna Vinschen) [2153362]
- igb: revert rtnl_lock() that causes deadlock (Corinna Vinschen) [2153362]
- igb: Remove unnecessary aer.h include (Corinna Vinschen) [2153362]
- igb: Fix PPS input and output using 3rd and 4th SDP (Corinna Vinschen) [2153362]
- igb: Remove redundant pci_enable_pcie_error_reporting() (Corinna Vinschen) [2153362]
- igb: Add lock to avoid data race (Corinna Vinschen) [2153362]
- HID: logitech-hidpp: add HIDPP_QUIRK_DELAYED_INIT for the T651. (Benjamin Tissoires) [2166610]
- gpiolib-acpi: Don't set GPIOs for wakeup in S3 mode (Benjamin Tissoires) [2166610]
- gpiolib: acpi: Add wake_capable variants of acpi_dev_gpio_irq_get (Benjamin Tissoires) [2166610]
- gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xNU (Benjamin Tissoires) [2166610]
- gpiolib: acpi: Add a ignore wakeup quirk for Clevo NH5xAx (Benjamin Tissoires) [2166610]
- gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xRU (Benjamin Tissoires) [2166610]
- pm-graph: sleepgraph: Avoid crashing on binary data in device names (Benjamin Tissoires) [2166610]
- HID: hidpp: terminate retry loop on success (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Handle timeout differently from busy (Benjamin Tissoires) [2166610]
- HID: wacom: avoid integer overflow in wacom_intuos_inout() (Benjamin Tissoires) [2166610]
- selftests/harness: Pass variant to teardown (Benjamin Tissoires) [2166610]
- selftests/harness: Run TEARDOWN for ASSERT failures (Benjamin Tissoires) [2166610]
- HID: wacom: insert timestamp to packed Bluetooth (BT) events (Benjamin Tissoires) [2166610]
- HID: wacom: Set a default resolution for older tablets (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Handle "no sensors" enabled for SFH1.1 (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Increase sensor command timeout for SFH1.1 (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Correct the stop all command (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Add support for shutdown operation (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Fix illuminance value (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Correct the sensor enable and disable command (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Correct the structure fields (Benjamin Tissoires) [2166610]
- HID: hid-sensor-custom: Fix buffer overrun in device name (Benjamin Tissoires) [2166610]
- selftest: hid: fix hid_bpf not set in config (Benjamin Tissoires) [2166610]
- Revert "HID: sony: remove DualShock4 support." (Benjamin Tissoires) [2166610]
- Merge tag 'for-linus-2023022201' of git://git.kernel.org/pub/scm/linux/kernel/git/hid/hid (Benjamin Tissoires) [2166610]
- HID: sony: remove DualShock4 support. (Benjamin Tissoires) [2166610]
- staging: greybus: hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610]
- HID: fix BT_HIDP Kconfig dependencies (Benjamin Tissoires) [2166610]
- Documentation: hid: correct spelling (Benjamin Tissoires) [2166610]
- HID: bpf: reorder BPF registration (Benjamin Tissoires) [2166610]
- HID: bpf: clean up entrypoint (Benjamin Tissoires) [2166610]
- selftests: hid: enforce new attach API (Benjamin Tissoires) [2166610]
- HID: bpf: rework how programs are attached and stored in the kernel (Benjamin Tissoires) [2166610]
- selftests: hid: prepare tests for HID_BPF API change (Benjamin Tissoires) [2166610]
- selftests: hid: ensure the program is correctly pinned (Benjamin Tissoires) [2166610]
- selftests: hid: attach/detach 2 bpf programs, not just one (Benjamin Tissoires) [2166610]
- selftests: hid: allow to compile hid_bpf with LLVM (Benjamin Tissoires) [2166610]
- selftests: hid: add vmtest.sh (Benjamin Tissoires) [2166610]
- kselftests: hid: fix missing headers_install step (Benjamin Tissoires) [2166610]
- selftests: hid: ensures we have the proper requirements in config (Benjamin Tissoires) [2166610]
- samples/hid: Fix spelling mistake "wihout" -> "without" (Benjamin Tissoires) [2166610]
- HID: bpf: enforce HID_BPF dependencies (Benjamin Tissoires) [2166610]
- HID: bpf: do not rely on ALLOW_ERROR_INJECTION (Benjamin Tissoires) [2166610]
- HID: bpf: return non NULL data pointer when CONFIG_HID_BPF is not set (Benjamin Tissoires) [2166610]
- Documentation: add HID-BPF docs (Benjamin Tissoires) [2166610]
- samples/hid: add Surface Dial example (Benjamin Tissoires) [2166610]
- samples/hid: add new hid BPF example (Benjamin Tissoires) [2166610]
- selftests/hid: Add a test for BPF_F_INSERT_HEAD (Benjamin Tissoires) [2166610]
- selftests/hid: add report descriptor fixup tests (Benjamin Tissoires) [2166610]
- HID: bpf: allow to change the report descriptor (Benjamin Tissoires) [2166610]
- selftests/hid: add tests for bpf_hid_hw_request (Benjamin Tissoires) [2166610]
- HID: bpf: introduce hid_hw_request() (Benjamin Tissoires) [2166610]
- selftests/hid: add test to change the report size (Benjamin Tissoires) [2166610]
- HID: bpf: allocate data memory for device_event BPF programs (Benjamin Tissoires) [2166610]
- HID: bpf jmp table: simplify the logic of cleaning up programs (Benjamin Tissoires) [2166610]
- selftests: add tests for the HID-bpf initial implementation (Benjamin Tissoires) [2166610]
- HID: initial BPF implementation (Benjamin Tissoires) [2166610]
- HID: Kconfig: split HID support and hid-core compilation (Benjamin Tissoires) [2166610]
- hwrng: u2fzero - account for high quality RNG (Benjamin Tissoires) [2166610]
- HID: i2c-hid: goodix: Add mainboard-vddio-supply (Benjamin Tissoires) [2166610]
- HID: i2c-hid: goodix: Stop tying the reset line to the regulator (Benjamin Tissoires) [2166610]
- HID: intel-ish-hid: Fix kernel panic during warm reset (Benjamin Tissoires) [2166610]
- HID: topre: Add support for 87 keys Realforce R2 (Benjamin Tissoires) [2166610]
- HID: add HP 13t-aw100 & 14t-ea100 digitizer battery quirks (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Add support for Logitech MX Master 3S mouse (Benjamin Tissoires) [2166610]
- HID: cp2112: Fix driver not registering GPIO IRQ chip as threaded (Benjamin Tissoires) [2166610]
- HID: uhid: Over-ride the default maximum data buffer value with our own (Benjamin Tissoires) [2166610]
- HID: core: Provide new max_buffer_size attribute to over-ride the default (Benjamin Tissoires) [2166610]
- HID: mcp-2221: prevent UAF in delayed work (Benjamin Tissoires) [2166610]
- hid: bigben_probe(): validate report count (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Add myself to authors (Benjamin Tissoires) [2166610]
- HID: logitech: add myself as a reviewer (Benjamin Tissoires) [2166610]
- HID: bigben: use spinlock to safely schedule workers (Benjamin Tissoires) [2166610]
- HID: bigben_worker() remove unneeded check on report_field (Benjamin Tissoires) [2166610]
- HID: bigben: use spinlock to protect concurrent accesses (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Retry commands when device is busy (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Add more debug statements (Benjamin Tissoires) [2166610]
- HID: Add support for Logitech G923 Xbox Edition steering wheel (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Add Signature M650 (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Remove HIDPP_QUIRK_NO_HIDINPUT quirk (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Don't restart communication if not necessary (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Add constants for HID++ 2.0 error codes (Benjamin Tissoires) [2166610]
- Revert "HID: logitech-hidpp: add a module parameter to keep firmware gestures" (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Hard-code HID++ 1.0 fast scroll support (Benjamin Tissoires) [2166610]
- HID: retain initial quirks set up when creating HID devices (Benjamin Tissoires) [2166610]
- HID: evision: Add preliminary support for EVision keyboards (Benjamin Tissoires) [2166610]
- HID: Ignore battery for ELAN touchscreen 29DF on HP (Benjamin Tissoires) [2166610]
- HID: use standard debug APIs (Benjamin Tissoires) [2166610]
- HID: surface-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610]
- HID: intel-ish-hid: Constify lowlevel HID driver (Benjamin Tissoires) [2166610]
- HID: steam: Constify lowlevel HID driver (Benjamin Tissoires) [2166610]
- HID: logitech-dj: Constify lowlevel HID driver (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Constify lowlevel HID driver (Benjamin Tissoires) [2166610]
- HID: amd_sfh: if no sensors are enabled, clean up (Benjamin Tissoires) [2166610]
- HID: logitech: Disable hi-res scrolling on USB (Benjamin Tissoires) [2166610]
- HID: core: Fix deadloop in hid_apply_multiplier. (Benjamin Tissoires) [2166610]
- HID: hid-steam: Add rumble on Deck (Benjamin Tissoires) [2166610]
- HID: hid-steam: Add Steam Deck support (Benjamin Tissoires) [2166610]
- HID: surface-hid: Use target-ID enum instead of hard-coding values (Benjamin Tissoires) [2166610]
- HID: Ignore battery for Elan touchscreen on Asus TP420IA (Benjamin Tissoires) [2166610]
- HID: elecom: add support for TrackBall 056E:011C (Benjamin Tissoires) [2166610]
- HID: betop: check shape of output reports (Benjamin Tissoires) [2166610]
- HID: playstation: correct DualSense gyro bias handling. (Benjamin Tissoires) [2166610]
- HID: playstation: correct DualShock4 gyro bias handling. (Benjamin Tissoires) [2166610]
- HID: playstation: fix DualShock4 unreachable calibration code. (Benjamin Tissoires) [2166610]
- HID: playstation: sanity check DualSense calibration data. (Benjamin Tissoires) [2166610]
- HID: playstation: sanity check DualShock4 calibration data. (Benjamin Tissoires) [2166610]
- HID: multitouch: Add quirks for flipped axes (Benjamin Tissoires) [2166610]
- HID: i2c-hid: acpi: Unify ACPI ID tables format (Benjamin Tissoires) [2166610]
- HID: i2c-hid: acpi: Drop unneded NULL check of adev (Benjamin Tissoires) [2166610]
- HID: Recognize sensors with application collections (Benjamin Tissoires) [2166610]
- HID: uclogic: Use KUNIT_EXPECT_MEMEQ (Benjamin Tissoires) [2166610]
- HID: uclogic: Add support for XP-PEN Deco Pro MW (Benjamin Tissoires) [2166610]
- HID: uclogic: Add support for XP-PEN Deco Pro SW (Benjamin Tissoires) [2166610]
- HID: uclogic: Handle wireless device reconnection (Benjamin Tissoires) [2166610]
- HID: uclogic: Refactor UGEEv2 probe magic data (Benjamin Tissoires) [2166610]
- HID: uclogic: Add battery quirk (Benjamin Tissoires) [2166610]
- HID: uclogic: Add frame type quirk (Benjamin Tissoires) [2166610]
- HID: uclogic: Add support for XP-PEN Deco 01 V2 (Benjamin Tissoires) [2166610]
- HID: i2c-hid: use uniform debugging APIs (Benjamin Tissoires) [2166610]
- HID: i2c-hid: switch to standard debugging APIs (Benjamin Tissoires) [2166610]
- HID: check empty report_list in bigben_probe() (Benjamin Tissoires) [2166610]
- HID: check empty report_list in hid_validate_values() (Benjamin Tissoires) [2166610]
- HID: hid-sensor-custom: Fix big on-stack allocation in hid_sensor_custom_get_known() (Benjamin Tissoires) [2166610]
- HID: sony: Fix unused function warning (Benjamin Tissoires) [2166610]
- HID: Ignore HP Envy x360 eu0009nv stylus battery (Benjamin Tissoires) [2166610]
- HID: Add Mapping for System Microphone Mute (Benjamin Tissoires) [2166610]
- HID: input: map battery system charging (Benjamin Tissoires) [2166610]
- HID: hid-sensor-custom: Add LISS custom sensors (Benjamin Tissoires) [2166610]
- HID: hid-sensor-custom: Allow more custom iio sensors (Benjamin Tissoires) [2166610]
- HID: playstation: fix free of uninialized pointer for DS4 in Bluetooth. (Benjamin Tissoires) [2166610]
- Revert "HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices" (Benjamin Tissoires) [2166610]
- Revert "HID: logitech-hidpp: Remove special-casing of Bluetooth devices" (Benjamin Tissoires) [2166610]
- HID: add mapping for camera access keys (Benjamin Tissoires) [2166610]
- HID: i2c-hid: Don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610]
- HID: i2c-hid: acpi: Stop setting wakeup_capable (Benjamin Tissoires) [2166610]
- HID: i2c-hid: Use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610]
- i2c: acpi: Use ACPI wake capability bit to set wake_irq (Benjamin Tissoires) [2166610]
- HID: logitech HID++: Send SwID in GetProtocolVersion (Benjamin Tissoires) [2166610]
- HID: hid-elan: use default remove for hid device (Benjamin Tissoires) [2166610]
- HID: hid-alps: use default remove for hid device (Benjamin Tissoires) [2166610]
- HID: force HID depending on INPUT (Benjamin Tissoires) [2166610]
- HID: playstation: fix DualShock4 bluetooth CRC endian issue. (Benjamin Tissoires) [2166610]
- HID: playstation: fix DualShock4 bluetooth memory corruption bug. (Benjamin Tissoires) [2166610]
- HID: apple: Swap Control and Command keys on Apple keyboards (Benjamin Tissoires) [2166610]
- HID: uclogic: Standardize test name prefix (Benjamin Tissoires) [2166610]
- HID: hid-sensor-custom: Allow more than one hinge angle sensor (Benjamin Tissoires) [2166610]
- HID: ft260: fix 'cast to restricted' kernel CI bot warnings (Benjamin Tissoires) [2166610]
- HID: ft260: missed NACK from busy device (Benjamin Tissoires) [2166610]
- HID: ft260: fix a NULL pointer dereference in ft260_i2c_write (Benjamin Tissoires) [2166610]
- HID: ft260: wake up device from power saving mode (Benjamin Tissoires) [2166610]
- HID: ft260: missed NACK from big i2c read (Benjamin Tissoires) [2166610]
- HID: ft260: remove SMBus Quick command support (Benjamin Tissoires) [2166610]
- HID: ft260: skip unexpected HID input reports (Benjamin Tissoires) [2166610]
- HID: ft260: do not populate /dev/hidraw device (Benjamin Tissoires) [2166610]
- HID: ft260: improve i2c large reads performance (Benjamin Tissoires) [2166610]
- HID: ft260: support i2c reads greater than HID report size (Benjamin Tissoires) [2166610]
- HID: ft260: support i2c writes larger than HID report size (Benjamin Tissoires) [2166610]
- HID: ft260: improve i2c write performance (Benjamin Tissoires) [2166610]
- HID: ft260: ft260_xfer_status routine cleanup (Benjamin Tissoires) [2166610]
- HID: playstation: add DualShock4 dongle support. (Benjamin Tissoires) [2166610]
- HID: playstation: set default DualShock4 BT poll interval to 4ms. (Benjamin Tissoires) [2166610]
- HID: playstation: add DualShock4 bluetooth support. (Benjamin Tissoires) [2166610]
- HID: playstation: add option to ignore CRC in ps_get_report. (Benjamin Tissoires) [2166610]
- HID: playstation: support DualShock4 lightbar blink. (Benjamin Tissoires) [2166610]
- HID: playstation: support DualShock4 lightbar. (Benjamin Tissoires) [2166610]
- HID: playstation: make LED brightness adjustable in ps_led_register. (Benjamin Tissoires) [2166610]
- HID: playstation: Add DualShock4 rumble support. (Benjamin Tissoires) [2166610]
- HID: playstation: add DualShock4 accelerometer and gyroscope support. (Benjamin Tissoires) [2166610]
- HID: playstation: add DualShock4 touchpad support. (Benjamin Tissoires) [2166610]
- HID: playstation: add DualShock4 battery support. (Benjamin Tissoires) [2166610]
- HID: playstation: report DualShock4 hardware and firmware version. (Benjamin Tissoires) [2166610]
- HID: playstation: initial DualShock4 USB support. (Benjamin Tissoires) [2166610]
- HID: uclogic: Add support for XP-PEN Deco LW (Benjamin Tissoires) [2166610]
- HID: wiimote: Add support for the DJ Hero turntable (Benjamin Tissoires) [2166610]
- HID: asus: Remove unused variable in asus_report_tool_width() (Benjamin Tissoires) [2166610]
- HID: mcp2221: correct undefined references when CONFIG_GPIOLIB isn't defined (Benjamin Tissoires) [2166610]
- HID: mcp2221: fix 'cast to restricted __le16' sparse warnings (Benjamin Tissoires) [2166610]
- HID: mcp2221: fix usage of tmp variable in mcp2221_raw_event() (Benjamin Tissoires) [2166610]
- HID: i2c: use simple i2c probe (Benjamin Tissoires) [2166610]
- HID: mcp2221: add ADC/DAC support via iio subsystem (Benjamin Tissoires) [2166610]
- HID: mcp2221: change 'select GPIOLIB' to imply (Benjamin Tissoires) [2166610]
- HID: mcp2221: switch i2c registration to devm functions (Benjamin Tissoires) [2166610]
- HID: lenovo: Make array tp10ubkbd_led static const (Benjamin Tissoires) [2166610]
- HID: playstation: support updated DualSense rumble mode. (Benjamin Tissoires) [2166610]
- HID: wacom: add three styli to wacom_intuos_get_tool_type (Benjamin Tissoires) [2166610]
- HID: amd_sfh: Change dev_err to dev_dbg for additional debug info (Benjamin Tissoires) [2166610]
- Input: add ABS_PROFILE to uapi and documentation (Benjamin Tissoires) [2166610]
- HID: nintendo: deregister home LED when it fails (Benjamin Tissoires) [2166610]
- HID: convert defines of HID class requests into a proper enum (Benjamin Tissoires) [2166610]
- HID: export hid_report_type to uapi (Benjamin Tissoires) [2166610]
- HID: core: store the unique system identifier in hid_device (Benjamin Tissoires) [2166610]
- HID: Add driver for PhoenixRC Flight Controller (Benjamin Tissoires) [2166610]
- HID: Add driver for VRC-2 Car Controller (Benjamin Tissoires) [2166610]
- MAINTAINERS: Add Wacom driver maintainers (Benjamin Tissoires) [2166610]
- HID: sony: Fix double word in comments (Benjamin Tissoires) [2166610]
- hid: hid-logitech-hidpp: avoid unnecessary assignments in hidpp_connect_event (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Detect hi-res scrolling support (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Remove hard-coded "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Fix "Sw. Id." for HID++ 2.0 commands (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Remove special-casing of Bluetooth devices (Benjamin Tissoires) [2166610]
- HID: logitech-hidpp: Enable HID++ for all the Logitech Bluetooth devices (Benjamin Tissoires) [2166610]
- HID: core: Export hid_match_id() (Benjamin Tissoires) [2166610]
- HID: wacom: Add new Intuos Pro Small (PTH-460) device IDs (Benjamin Tissoires) [2166610]
- HID: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610]
- HID: vivaldi: convert to use dev_groups (Benjamin Tissoires) [2166610]
- HID: wacom: Simplify comments (Benjamin Tissoires) [2166610]
- HID: rmi: replace ternary operator with min() (Benjamin Tissoires) [2166610]
- HID: Kconfig: remove redundant "depends on HID" lines (Benjamin Tissoires) [2166610]
- HID: playstation: convert to use dev_groups (Benjamin Tissoires) [2166610]
- HID: uclogic: Add support for Parblo A610 PRO (Benjamin Tissoires) [2166610]
- HID: uclogic: Add support for XP-PEN Deco Pro S (Benjamin Tissoires) [2166610]
- HID: uclogic: Add support for UGEE v2 mouse frames (Benjamin Tissoires) [2166610]
- HID: uclogic: Add support for UGEE v2 dial frames (Benjamin Tissoires) [2166610]
- HID: uclogic: Parse the UGEE v2 frame type (Benjamin Tissoires) [2166610]
- HID: uclogic: Refactor UGEE v2 frame initialization (Benjamin Tissoires) [2166610]
- HID: uclogic: Refactor UGEE v2 string descriptor parsing (Benjamin Tissoires) [2166610]
- HID: uclogic: KUnit best practices and naming conventions (Benjamin Tissoires) [2166610]
- Input: elan_i2c_core - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610]
- Input: elan_i2c - don't set wake_capable and wake_irq (Benjamin Tissoires) [2166610]
- Input: elan_i2c - use PM subsystem to manage wake irq (Benjamin Tissoires) [2166610]
- Input: elan_i2c - convert to use dev_groups (Benjamin Tissoires) [2166610]
- Input: i8042 - add TUXEDO devices to i8042 quirk tables for partial fix (Benjamin Tissoires) [2166610]
- Input: i8042 - add quirk for Fujitsu Lifebook A574/H (Benjamin Tissoires) [2166610]
- Input: i8042 - add Clevo PCX0DX to i8042 quirk table (Benjamin Tissoires) [2166610]
- Input: i8042 - rename i8042-x86ia64io.h to i8042-acpipnpio.h (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - fix SPI device ID (Benjamin Tissoires) [2166610]
- Input: rmi_smbus - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610]
- Input: rmi_i2c - Convert to i2c's .probe_new() (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - convert to use sysfs_emit() APIs (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - drop useless gotos in rmi_f34v7_do_reflash() (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - simplify rmi_f34v7_start_reflash() (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - remove unneeded struct register_offset (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - make rmi_f34v7_erase_all() use the "erase all" command (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - reset after writing partition table (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - rewrite partition table unconditionally (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - fix command completion check for bootloader v7/v8 (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - introduce rmi_f34v7_check_command_status() helper (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - fix firmware update operations with bootloader v8 (Benjamin Tissoires) [2166610]
- Input: move from strlcpy with unused retval to strscpy (Benjamin Tissoires) [2166610]
- Input: synaptics-rmi4 - remove unnecessary flush_workqueue() (Benjamin Tissoires) [2166610]
- ASoC: Intel: sof_sdw: add quick for Dell SKU 0BDA (Jaroslav Kysela) [2217298]
- ASoC: Intel: soc-acpi: add tables for Dell SKU 0B34 (Jaroslav Kysela) [2217298]
- ASoC: Intel: sof-sdw: add Dell SKU 0B34 (Jaroslav Kysela) [2217298]
- ASoC: Intel: soc-acpi: add table for RPL Dell SKU 0BDA (Jaroslav Kysela) [2217298]
- padata: Always leave BHs disabled when running ->parallel() (Waiman Long) [2190004]
- padata: Fix list iterator in padata_do_serial() (Waiman Long) [2190004]
- padata: Convert from atomic_t to refcount_t on parallel_data->refcnt (Waiman Long) [2190004]
- cgroup: fix display of forceidle time at root (Waiman Long) [2190004]
- kselftests: cgroup: update kmem test precision tolerance (Waiman Long) [2190004]
- docs: admin-guide: cgroup-v1: update description of inactive_file (Waiman Long) [2190004]
- cgroup: remove rcu_read_lock()/rcu_read_unlock() in critical section of spin_lock_irq() (Waiman Long) [2190004]
- selftests: cgroup: fix unsigned comparison with less than zero (Waiman Long) [2190004]
- device_cgroup: Roll back to original exceptions after copy failure (Waiman Long) [2190004]
- cgroup: cgroup refcnt functions should be exported when CONFIG_DEBUG_CGROUP_REF (Waiman Long) [2190004]
- redhat/configs: Add CONFIG_DEBUG_CGROUP_REF=n (Waiman Long) [2190004]
- cgroup: Implement DEBUG_CGROUP_REF (Waiman Long) [2190004]
- mm: cgroup: fix comments for get from fd/file helpers (Waiman Long) [2190004]
- mm: memcontrol: drop dead CONFIG_MEMCG_SWAP config symbol (Waiman Long) [2190004]
- mm: memcontrol: use do_memsw_account() in a few more places (Waiman Long) [2190004]
- mm: memcontrol: deprecate swapaccounting=0 mode (Waiman Long) [2190004]
- mm: memcontrol: make cgroup_memory_noswap a static key (Waiman Long) [2190004]
- mm/memcontrol: use kstrtobool for swapaccount param parsing (Waiman Long) [2190004]
- cgroup: use strscpy() is more robust and safer (Waiman Long) [2190004]
- cgroup: simplify code in cgroup_apply_control (Waiman Long) [2190004]
- cgroup: Remove CFTYPE_PRESSURE (Waiman Long) [2190004]
- cgroup: Improve cftype add/rm error handling (Waiman Long) [2190004]
- cgroup: add pids.peak interface for pids controller (Waiman Long) [2190004]
- cgroup: Remove data-race around cgrp_dfl_visible (Waiman Long) [2190004]
- cgroup: Replace cgroup->ancestor_ids[] with ->ancestors[] (Waiman Long) [2190004]
- selftests: memcg: expect no low events in unprotected sibling (Waiman Long) [2190004]
- cgroup: remove the superfluous judgment (Waiman Long) [2190004]
- cgroup: Make cgroup_debug static (Waiman Long) [2190004]
- kseltest/cgroup: Make test_stress.sh work if run interactively (Waiman Long) [2190004]
- cgroup: account for memory_recursiveprot in test_memcg_low() (Waiman Long) [2190004]
- kselftest/cgroup: fix test_stress.sh to use OUTPUT dir (Waiman Long) [2190004]
- kselftests: memcg: speed up the memory.high test (Waiman Long) [2190004]
- kselftests: memcg: update the oom group leaf events test (Waiman Long) [2190004]
- cgroup: Add config file to cgroup selftest suite (Waiman Long) [2190004]
- Docs: admin/kernel-parameters: edit a few boot options (Waiman Long) [2190004]
- cgroup: clarify cgroup_css_set_fork() (Waiman Long) [2190004]
- cgroup: Fix cgroup_can_fork() and cgroup_post_fork() kernel-doc comment (Waiman Long) [2190004]
- cgroup: rstat: retrieve current bstat to delta directly (Waiman Long) [2190004]
- cgroup: rstat: use same convention to assign cgroup_base_stat (Waiman Long) [2190004]
- cgroup: get the wrong css for css_alloc() during cgroup_init_subsys() (Waiman Long) [2190004]
- cgroup: rstat: Mark benign data race to silence KCSAN (Waiman Long) [2190004]
- docs/cgroup: add entry for misc.events (Waiman Long) [2190004]
- misc_cgroup: remove error log to avoid log flood (Waiman Long) [2190004]
- misc_cgroup: introduce misc.events to count failures (Waiman Long) [2190004]
- cpufreq: amd-pstate: Update policy->cur in amd_pstate_adjust_perf() (David Arcari) [2218689]
- cpufreq: amd-pstate: Add ->fast_switch() callback (David Arcari) [2218689]
- cpufreq: amd-pstate: Make varaiable mode_state_machine static (David Arcari) [2218689]
- cpufreq: amd-pstate: Add guided mode control support via sysfs (David Arcari) [2218689]
- cpufreq: amd-pstate: Add guided autonomous mode (David Arcari) [2218689]
- ACPI: CPPC: Add auto select register read/write support (David Arcari) [2218689]
- Documentation: cpufreq: amd-pstate: Move amd_pstate param to alphabetical order (David Arcari) [2218689]
- Documentation: introduce amd pstate active mode kernel command line options (David Arcari) [2218689]
- Documentation: add amd-pstate kernel command line options (David Arcari) [2218689]
Resolves: rhbz#2123542, rhbz#2153362, rhbz#2165367, rhbz#2166610, rhbz#2188082, rhbz#2190004, rhbz#2212495, rhbz#2214358, rhbz#2217298, rhbz#2218130, rhbz#2218635, rhbz#2218689, rhbz#2218898, rhbz#2219326, rhbz#2219411, rhbz#2219606, rhbz#2219612, rhbz#2219775, rhbz#2220884, rhbz#2220944, rhbz#2221401

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-21 17:50:09 +02:00
Jan Stancek
2c2948cd6d kernel-5.14.0-342.el9
* Thu Jul 20 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-342.el9]
- cgroup: cgroup-v1: do not exclude cgrp_dfl_root (Waiman Long) [2222987]
- Revert "wifi: mark the support for WiFi on aarch64 architecture as tech preview" (Jose Ignacio Tornos Martinez) [2208365]
- driver core: bus: move documentation for lock_key to proper location. (Mark Langsdorf) [2178302]
- driver core: fw_devlink: Print full path and name of fwnode (Mark Langsdorf) [2178302]
- driver core: fw_devlink: Avoid spurious error message (Mark Langsdorf) [2178302]
- driver core: bus: Handle early calls to bus_to_subsys() (Mark Langsdorf) [2178302]
- driver core: class: move EXPORT_SYMBOL_GPL() lines to the correct place (Mark Langsdorf) [2178302]
- driver core: cpu: don't hand-override the uevent bus_type callback. (Mark Langsdorf) [2178302]
- driver core: bus: update my copyright notice (Mark Langsdorf) [2178302]
- driver core: bus: add bus_get_dev_root() function (Mark Langsdorf) [2178302]
- driver core: bus: constify bus_unregister() (Mark Langsdorf) [2178302]
- driver core: bus: constify some internal functions (Mark Langsdorf) [2178302]
- driver core: bus: constify bus_get_kset() (Mark Langsdorf) [2178302]
- driver core: bus: constify bus_register/unregister_notifier() (Mark Langsdorf) [2178302]
- driver core: remove private pointer from struct bus_type (Mark Langsdorf) [2178302]
- driver core: create bus_is_registered() (Mark Langsdorf) [2178302]
- driver core: bus: clean up driver_find() (Mark Langsdorf) [2178302]
- driver core: move driver_find() to bus.c (Mark Langsdorf) [2178302]
- driver core: bus: clean up bus_sort_breadthfirst() (Mark Langsdorf) [2178302]
- driver core: bus: bus iterator cleanups (Mark Langsdorf) [2178302]
- driver core: bus: bus_add/remove_driver() cleanups (Mark Langsdorf) [2178302]
- driver core: bus: bus_register/unregister_notifier() cleanups (Mark Langsdorf) [2178302]
- driver core: bus: bus_get_kset() cleanup (Mark Langsdorf) [2178302]
- driver core: bus: subsys_interface_register/unregister() cleanups (Mark Langsdorf) [2178302]
- driver core: bus: bus_register/unregister() cleanups (Mark Langsdorf) [2178302]
- driver core: bus: bus_add/probe/remove_device() cleanups (Mark Langsdorf) [2178302]
- driver core: bus: sysfs function cleanups (Mark Langsdorf) [2178302]
- driver core: bus: convert bus_create/remove_file to be constant (Mark Langsdorf) [2178302]
- driver core: bus: constantify the bus_find_* functions (Mark Langsdorf) [2178302]
- driver core: bus: implement bus_get/put() without the private pointer (Mark Langsdorf) [2178302]
- driver core: add local subsys_get and subsys_put functions (Mark Langsdorf) [2178302]
- driver core: change to_subsys_private() to use container_of_const() (Mark Langsdorf) [2178302]
- driver core: fw_devlink: Make cycle detection more robust (Mark Langsdorf) [2178302]
- driver core: fw_devlink: Consolidate device link flag computation (Mark Langsdorf) [2178302]
- driver core: fw_devlink: Allow marking a fwnode link as being part of a cycle (Mark Langsdorf) [2178302]
- driver core: fw_devlink: Add DL_FLAG_CYCLE support to device links (Mark Langsdorf) [2178302]
- driver core: fw_devlink: Improve check for fwnode with no device/driver (Mark Langsdorf) [2178302]
- driver core: fw_devlink: Don't purge child fwnode's consumer links (Mark Langsdorf) [2178302]
- drivers: base: dd: fix memory leak with using debugfs_lookup() (Mark Langsdorf) [2178302]
- drivers: base: component: fix memory leak with using debugfs_lookup() (Mark Langsdorf) [2178302]
- drivers/base: Remove CONFIG_SRCU (Mark Langsdorf) [2178302]
- devtmpfs: convert to pr_fmt (Mark Langsdorf) [2178302]
- driver core: bus: move lock_class_key into dynamic structure (Mark Langsdorf) [2178302]
- driver core: platform: simplify __platform_driver_probe() (Mark Langsdorf) [2178302]
- driver core: platform: removed unneeded variable from __platform_driver_probe() (Mark Langsdorf) [2178302]
- regmap: apply reg_base and reg_downshift for single register ops (Mark Langsdorf) [2178302]
- driver core: soc: remove layering violation for the soc_bus (Mark Langsdorf) [2178302]
- driver core: device_get_devnode() should take a const * (Mark Langsdorf) [2178302]
- driver core: make struct device_type.devnode() take a const * (Mark Langsdorf) [2178302]
- driver core: class: Clear private pointer on registration failures (Mark Langsdorf) [2178302]
- cacheinfo: Fix shared_cpu_map to handle shared caches at different levels (Mark Langsdorf) [2178302]
- drivers: base: transport_class: fix resource leak when transport_add_device() fails (Mark Langsdorf) [2178302]
- driver core: location: Free struct acpi_pld_info *pld before return false (Mark Langsdorf) [2178302]
- driver core: fix resource leak in device_add() (Mark Langsdorf) [2178302]
- drivers/base/memory: Fix comments for phys_index_show() (Mark Langsdorf) [2178302]
- driver core: Fix test_async_probe_init saves device in wrong array (Mark Langsdorf) [2178302]
- device property: fix of node refcount leak in fwnode_graph_get_next_endpoint() (Mark Langsdorf) [2178302]
- driver core: bus: move bus notifier logic into bus.c (Mark Langsdorf) [2178302]
- driver core: bus.h: document bus notifiers better (Mark Langsdorf) [2178302]
- platform: remove useless if-branch in __platform_get_irq_byname() (Mark Langsdorf) [2178302]
- platform: Document platform_add_devices() return value (Mark Langsdorf) [2178302]
- software node: Remove unused APIs (Mark Langsdorf) [2178302]
- software node: Switch property entry test to a new API (Mark Langsdorf) [2178302]
- platform: Provide a remove callback that returns no value (Mark Langsdorf) [2178302]
- regmap: Rework regmap_mdio_c45_{read|write} for new C45 API. (Mark Langsdorf) [2178302]
- net: Convert more users of mdiobus_* to mdiodev_* (Mark Langsdorf) [2178302]
- PM: runtime: Document that force_suspend() is incompatible with SMART_SUSPEND (Mark Langsdorf) [2178302]
- cpuidle, ARM: OMAP2+: powerdomain: Remove trace_.*_rcuidle() (Mark Langsdorf) [2178302]
- driver core: Make driver_deferred_probe_timeout a static variable (Mark Langsdorf) [2178302]
- Revert "driver core: Set default deferred_probe_timeout back to 0." (Mark Langsdorf) [2178302]
- driver core: fix potential null-ptr-deref in device_add() (Mark Langsdorf) [2178302]
- PM: domains: Allow a genpd consumer to require a synced power off (Mark Langsdorf) [2178302]
- driver core: move struct subsys_dev_iter to a local file (Mark Langsdorf) [2178302]
- driver core: make subsys_dev_iter_exit() static (Mark Langsdorf) [2178302]
- driver core: make subsys_dev_iter_next() static (Mark Langsdorf) [2178302]
- driver core: make subsys_dev_iter_init() static (Mark Langsdorf) [2178302]
- driver core: remove subsys_find_device_by_id() (Mark Langsdorf) [2178302]
- driver core: make bus_get_device_klist() static (Mark Langsdorf) [2178302]
- platform/mellanox: mlxbf-pmc: Fix event typo (Mark Langsdorf) [2178302]
- firmware_loader: remove #include <generated/utsrelease.h> (Mark Langsdorf) [2178302]
- regmap-irq: Add handle_mask_sync() callback (Mark Langsdorf) [2178302]
- PM: runtime: Adjust white space in the core code (Mark Langsdorf) [2178302]
- device property: Fix documentation for fwnode_get_next_parent() (Mark Langsdorf) [2178302]
- PM: runtime: Relocate rpm_callback() right after __rpm_callback() (Mark Langsdorf) [2178302]
- PM: runtime: Do not call __rpm_callback() from rpm_idle() (Mark Langsdorf) [2178302]
- regmap: Add FSI bus support (Mark Langsdorf) [2178302]
- PM: domains: Reverse the order of performance and enabling ops (Mark Langsdorf) [2178302]
- xen/xenbus: move to_xenbus_device() to use container_of_const() (Mark Langsdorf) [2178302]
- virtio: move dev_to_virtio() to use container_of_const() (Mark Langsdorf) [2178302]
- firmware_loader: fix up to_fw_sysfs() to preserve const (Mark Langsdorf) [2178302]
- driver core: make struct class.dev_uevent() take a const * (Mark Langsdorf) [2178302]
- device property: Add a blank line in Kconfig of tests (Mark Langsdorf) [2178302]
- device property: Rename goto label to be more precise (Mark Langsdorf) [2178302]
- regmap: add regmap_might_sleep() (Mark Langsdorf) [2178302]
- platform: use fwnode_irq_get_byname instead of of_irq_get_byname to get irq (Mark Langsdorf) [2178302]
- driver core: Fix bus_type.match() error handling in __driver_attach() (Mark Langsdorf) [2178302]
- driver core: Use kstrtobool() instead of strtobool() (Mark Langsdorf) [2178302]
- driver core: mark driver_allows_async_probing static (Mark Langsdorf) [2178302]
- driver core: remove devm_device_remove_group() (Mark Langsdorf) [2178302]
- driver core: remove devm_device_remove_groups() (Mark Langsdorf) [2178302]
- regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Mark Langsdorf) [2178302]
- driver core: class: make namespace and get_ownership take const * (Mark Langsdorf) [2178302]
- devres: Use kmalloc_size_roundup() to match ksize() usage (Mark Langsdorf) [2178302]
- class: fix possible memory leak in __class_register() (Mark Langsdorf) [2178302]
- PM: domains: Power off[on] domain in hibernate .freeze[thaw]_noirq hook (Mark Langsdorf) [2178302]
- PM: domains: Consolidate genpd_restore_noirq() and genpd_resume_noirq() (Mark Langsdorf) [2178302]
- PM: domains: Pass generic PM noirq hooks to genpd_finish_suspend() (Mark Langsdorf) [2178302]
- PM: domains: Drop genpd status manipulation for hibernate restore (Mark Langsdorf) [2178302]
- PM: domains: Fix handling of unavailable/disabled idle states (Mark Langsdorf) [2178302]
- device property: Fix documentation for *_match_string() APIs (Mark Langsdorf) [2178302]
- PM: domains: log failures to register always-on domains (Mark Langsdorf) [2178302]
- dt-bindings: irqchip: Describe the IMX MU block as a MSI controller (Mark Langsdorf) [2178302]
- PM: runtime: Return -EINPROGRESS from rpm_resume() in the RPM_NOWAIT case (Mark Langsdorf) [2178302]
- driver core: use IS_ERR_OR_NULL() helper in device_create_groups_vargs() (Mark Langsdorf) [2178302]
- devcoredump : Serialize devcd_del work (Mark Langsdorf) [2178302]
- regmap: mmio: replace return 0 with break in switch statement (Mark Langsdorf) [2178302]
- mm: kill is_memblock_offlined() (Mark Langsdorf) [2178302]
- driver core: remove make_class_name declaration (Mark Langsdorf) [2178302]
- regmap: spi-avmm: Use swabXX_array() helpers (Mark Langsdorf) [2178302]
- swab: Add array operations (Mark Langsdorf) [2178302]
- regmap/hexagon: Properly fix the generic IO helpers (Mark Langsdorf) [2178302]
- regmap: mmio: Use swabXX_array() helpers (Mark Langsdorf) [2178302]
- regmap: trace: Remove unneeded blank lines (Mark Langsdorf) [2178302]
- regmap: trace: Remove explicit castings (Mark Langsdorf) [2178302]
- regmap: trace: Remove useless check for NULL for bulk ops (Mark Langsdorf) [2178302]
- PM: wakeup: Add extra debugging statement for multiple active IRQs (Mark Langsdorf) [2178302]
- devres: Slightly optimize alloc_dr() (Mark Langsdorf) [2178302]
- drivers: base: Print error code on synthetic uevent failure (Mark Langsdorf) [2178302]
- class: use IS_ERR_OR_NULL() helper in class_unregister() (Mark Langsdorf) [2178302]
- driver_core: move from strlcpy with unused retval to strscpy (Mark Langsdorf) [2178302]
- regmap: mmio: Fix rebase error (Mark Langsdorf) [2178302]
- regmap: check right noinc bounds in debug print (Mark Langsdorf) [2178302]
- regmap: introduce value tracing for regmap bulk operations (Mark Langsdorf) [2178302]
- regmap: mmio: Support accelerared noinc operations (Mark Langsdorf) [2178302]
- regmap: Support accelerated noinc operations (Mark Langsdorf) [2178302]
- regmap: Make use of get_unaligned_be24(), put_unaligned_be24() (Mark Langsdorf) [2178302]
- regmap: mmio: Fix MMIO accessors to avoid talking to IO port (Mark Langsdorf) [2178302]
- regmap: mmio: Introduce IO accessors that can talk to IO port (Mark Langsdorf) [2178302]
- regmap: mmio: Get rid of broken 64-bit IO (Mark Langsdorf) [2178302]
- regmap: mmio: Remove mmio_relaxed member from context (Mark Langsdorf) [2178302]
- nvme-pci: clamp max_hw_sectors based on DMA optimized limitation (Ewan D. Milne) [2168169]
- seccomp: Move copy_seccomp() to no failure path. (Viktor Malik) [2218682]
- perf/amlogic: Fix config1/config2 parsing issue (Mark Salter) [2217547]
- docs: perf: Include hns3-pmu.rst in toctree to fix 'htmldocs' WARNING (Mark Salter) [2217547]
- drivers/perf: hisi: Don't migrate perf to the CPU going to teardown (Mark Salter) [2217547]
- docs: fix 'make htmldocs' warning in perf (Mark Salter) [2217547]
- perf/arm-cmn: Move overlapping wp_combine field (Mark Salter) [2217547]
- redhat/configs: Add some new disabled PMU drivers (Mark Salter) [2217547]
- perf/amlogic: adjust register offsets (Mark Salter) [2217547]
- perf: arm_spe: Support new SPEv1.2/v8.7 'not taken' event (Mark Salter) [2217547]
- perf: arm_spe: Use new PMSIDR_EL1 register enums (Mark Salter) [2217547]
- perf/arm-cmn: Reset DTM_PMU_CONFIG at probe (Mark Salter) [2217547]
- drivers/perf: hisi: Extract initialization of "cpa_pmu->pmu" (Mark Salter) [2217547]
- drivers/perf: hisi: Simplify the parameters of hisi_pmu_init() (Mark Salter) [2217547]
- drivers/perf: hisi: Advertise the PERF_PMU_CAP_NO_EXCLUDE capability (Mark Salter) [2217547]
- Partially revert "perf/arm-cmn: Optimise DTC counter accesses" (Mark Salter) [2217547]
- arm_pmu: Drop redundant armpmu->map_event() in armpmu_event_init() (Mark Salter) [2217547]
- drivers/perf: hisi: Add TLP filter support (Mark Salter) [2217547]
- Documentation: perf: Indent filter options list of hisi-pcie-pmu (Mark Salter) [2217547]
- docs: perf: Fix PMU instance name of hisi-pcie-pmu (Mark Salter) [2217547]
- drivers/perf: hisi: Fix some event id for hisi-pcie-pmu (Mark Salter) [2217547]
- perf/amlogic: Remove unused header inclusions of <linux/version.h> (Mark Salter) [2217547]
- perf/amlogic: Fix build error for x86_64 allmodconfig (Mark Salter) [2217547]
- dt-binding: perf: Add Amlogic DDR PMU (Mark Salter) [2217547]
- docs/perf: Add documentation for the Amlogic G12 DDR PMU (Mark Salter) [2217547]
- perf/amlogic: Add support for Amlogic meson G12 SoC DDR PMU driver (Mark Salter) [2217547]
- MAINTAINERS: Update HiSilicon PMU maintainers (Mark Salter) [2217547]
- perf/smmuv3: Fix hotplug callback leak in arm_smmu_pmu_init() (Mark Salter) [2217547]
- perf/arm_dmc620: Fix hotplug callback leak in dmc620_pmu_init() (Mark Salter) [2217547]
- perf: arm_dsu: Fix hotplug callback leak in dsu_pmu_init() (Mark Salter) [2217547]
- drivers/perf: ALIBABA_UNCORE_DRW_PMU should depend on ACPI (Mark Salter) [2217547]
- drivers/perf: fix return value check in ali_drw_pmu_probe() (Mark Salter) [2217547]
- drivers/perf: add DDR Sub-System Driveway PMU driver for Yitian 710 SoC (Mark Salter) [2217547]
- drivers/perf: Add Apple icestorm/firestorm CPU PMU driver (Mark Salter) [2217547]
- perf/arm-cmn: Add more bits to child node address offset field (Mark Salter) [2217547]
- drivers/perf: hisi: add driver for HNS3 PMU (Mark Salter) [2217547]
- drivers/perf: hisi: Add description for HNS3 PMU driver (Mark Salter) [2217547]
- perf/arm-cci: Use the bitmap API to allocate bitmaps (Mark Salter) [2217547]
- perf: hisi: Extract hisi_pmu_init (Mark Salter) [2217547]
- perf/arm-cci: fix typo in comment (Mark Salter) [2217547]
- drivers/perf:Directly use ida_alloc()/free() (Mark Salter) [2217547]
- drivers/perf: hisi: Add Support for CPA PMU (Mark Salter) [2217547]
- drivers/perf: hisi: Associate PMUs in SICL with CPUs online (Mark Salter) [2217547]
- drivers/perf: arm_spe: Expose saturating counter to 16-bit (Mark Salter) [2217547]
- perf: check return value of armpmu_request_irq() (Mark Salter) [2217547]
- arm_pmu: Validate single/group leader events (Mark Salter) [2217547]
- perf: qcom_l2_pmu: fix an incorrect NULL check on list iterator (Mark Salter) [2217547]
- irqchip/apple-aic: Move PMU-specific registers to their own include file (Mark Salter) [2217547]
- irqchip/apple-aic: Wire PMU interrupts (Mark Salter) [2217547]
- irqchip/apple-aic: Parse FIQ affinities from device-tree (Mark Salter) [2217547]
- dt-bindings: apple,aic: Add CPU PMU per-cpu pseudo-interrupts (Mark Salter) [2217547]
- dt-bindings: arm-pmu: Document Apple PMU compatible strings (Mark Salter) [2217547]
- Documentation: arm64: Document PMU counters access from userspace (Mark Salter) [2217547]
- perf: replace bitmap_weight with bitmap_empty where appropriate (Mark Salter) [2217547]
- perf: Replace acpi_bus_get_device() (Mark Salter) [2217547]
- perf/arm-ccn: Use platform_get_irq() to get the interrupt (Mark Salter) [2217547]
- perf/smmuv3: Fix unused variable warning when CONFIG_OF=n (Mark Salter) [2217547]
- drivers/perf: hisi: Add driver for HiSilicon PCIe PMU (Mark Salter) [2217547]
- docs: perf: Add description for HiSilicon PCIe PMU driver (Mark Salter) [2217547]
- dt-bindings: Add Arm SMMUv3 PMCG binding (Mark Salter) [2217547]
- perf/smmuv3: Synthesize IIDR from CoreSight ID registers (Mark Salter) [2217547]
- perf/smmuv3: Add devicetree support (Mark Salter) [2217547]
- drivers/perf: hisi: Fix PA PMU counter offset (Mark Salter) [2217547]
- drivers/perf: thunderx2_pmu: Change data in size tx2_uncore_event_update() (Mark Salter) [2217547]
- x86/retbleed: Mark call depth tracking mitigation as tech preview (Waiman Long) [2190342]
- scripts/gdb: fix 'lx-current' for x86 (Waiman Long) [2190342]
- samples: ftrace: Include the nospec-branch.h only for x86 (Waiman Long) [2190342]
- ftrace: Export ftrace_free_filter() to modules (Waiman Long) [2190342]
- selftests/bpf: Temporarily disable part of btf_dump:var_data test. (Waiman Long) [2190342]
- x86/calldepth: Fix incorrect init section references (Waiman Long) [2190342]
- x86: Unconfuse CONFIG_ and X86_FEATURE_ namespaces (Waiman Long) [2190342]
- x86/retpoline: Fix crash printing warning (Waiman Long) [2190342]
- x86/paravirt: Fix a !PARAVIRT build warning (Waiman Long) [2190342]
- x86/bugs: Add retbleed=force (Waiman Long) [2190342]
- x86/retbleed: Add call depth tracking mitigation (Waiman Long) [2190342]
- x86/ftrace: Make it call depth tracking aware (Waiman Long) [2190342]
- x86/ftrace: Rebalance RSB (Waiman Long) [2190342]
- x86/ftrace: Remove ftrace_epilogue() (Waiman Long) [2190342]
- x86/bpf: Emit call depth accounting if required (Waiman Long) [2190342]
- x86/orc: Make it callthunk aware (Waiman Long) [2190342]
- static_call: Add call depth tracking support (Waiman Long) [2190342]
- x86/calldepth: Add ret/call counting for debug (Waiman Long) [2190342]
- x86/retbleed: Add SKL call thunk (Waiman Long) [2190342]
- x86/retpoline: Add SKL retthunk retpolines (Waiman Long) [2190342]
- x86/retbleed: Add SKL return thunk (Waiman Long) [2190342]
- x86/asm: Provide ALTERNATIVE_3 (Waiman Long) [2190342]
- x86/returnthunk: Allow different return thunks (Waiman Long) [2190342]
- x86/modules: Add call patching (Waiman Long) [2190342]
- redhat/configs: Enable CONFIG_CALL_DEPTH_TRACKING (Waiman Long) [2190342]
- x86/callthunks: Add call patching for call depth tracking (Waiman Long) [2190342]
- x86/paravirt: Make struct paravirt_call_site unconditionally available (Waiman Long) [2190342]
- x86/entry: Make some entry symbols global (Waiman Long) [2190342]
- x86/alternatives: Provide text_poke_copy_locked() (Waiman Long) [2190342]
- x86/retbleed: Add X86_FEATURE_CALL_DEPTH (Waiman Long) [2190342]
- x86/Kconfig: Introduce function padding (Waiman Long) [2190342]
- x86/putuser: Provide room for padding (Waiman Long) [2190342]
- x86/entry: Make sync_regs() invocation a tail call (Waiman Long) [2190342]
- objtool: Allow STT_NOTYPE -> STT_FUNC+0 sibling-calls (Waiman Long) [2190342]
- objtool: Rework instruction -> symbol mapping (Waiman Long) [2190342]
- objtool: Allow symbol range comparisons for IBT/ENDBR (Waiman Long) [2190342]
- objtool: Fix find_{symbol,func}_containing() (Waiman Long) [2190342]
- objtool: Add --hacks=skylake (Waiman Long) [2190342]
- objtool: Add .call_sites section (Waiman Long) [2190342]
- objtool: Track init section (Waiman Long) [2190342]
- objtool: Allow !PC relative relocations (Waiman Long) [2190342]
- x86: Fixup asm-offsets duplicate (Waiman Long) [2190342]
- x86/softirq: Move softirq pending next to current task (Waiman Long) [2190342]
- x86/percpu: Move irq_stack variables next to current_task (Waiman Long) [2190342]
- x86/percpu: Move current_top_of_stack next to current_task (Waiman Long) [2190342]
- x86/percpu: Move cpu_number next to current_task (Waiman Long) [2190342]
- x86/percpu: Move preempt_count next to current_task (Waiman Long) [2190342]
- x86: Put hot per CPU variables into a struct (Waiman Long) [2190342]
- crypto: x86/poly1305: Remove custom function alignment (Waiman Long) [2190342]
- crypto: twofish: Remove redundant alignments (Waiman Long) [2190342]
- crypto: x86/sha256: Remove custom alignments (Waiman Long) [2190342]
- crypto: x86/sha1: Remove custom alignments (Waiman Long) [2190342]
- crypto: x86/serpent: Remove redundant alignments (Waiman Long) [2190342]
- crypto: x86/crct10dif-pcl: Remove redundant alignments (Waiman Long) [2190342]
- crypto: x86/cast5: Remove redundant alignments (Waiman Long) [2190342]
- crypto: x86/camellia: Remove redundant alignments (Waiman Long) [2190342]
- x86/entry: Align SYM_CODE_START() variants (Waiman Long) [2190342]
- x86/paravirt: Properly align PV functions (Waiman Long) [2190342]
- x86/error_inject: Align function properly (Waiman Long) [2190342]
- x86/asm: Differentiate between code and function alignment (Waiman Long) [2190342]
- arch: Introduce CONFIG_FUNCTION_ALIGNMENT (Waiman Long) [2190342]
- x86: Sanitize linker script (Waiman Long) [2190342]
- x86/vdso: Ensure all kernel code is seen by objtool (Waiman Long) [2190342]
- x86/modules: Set VM_FLUSH_RESET_PERMS in module_alloc() (Waiman Long) [2190342]
- x86/cpu: Re-enable stackprotector (Waiman Long) [2190342]
- x86/cpu: Get rid of redundant switch_to_new_gdt() invocations (Waiman Long) [2190342]
- x86/cpu: Remove segment load from switch_to_new_gdt() (Waiman Long) [2190342]
- x86/cacheinfo: Add a cpu_llc_shared_mask() UP variant (Waiman Long) [2190342]
- x86/nospec: Fix i386 RSB stuffing (Waiman Long) [2190342]
- x86/nospec: Unwreck the RSB stuffing (Waiman Long) [2190342]
- x86/entry: Build thunk_$(BITS) only if CONFIG_PREEMPTION=y (Waiman Long) [2190342]
- x86/speculation: Make all RETbleed mitigations 64-bit only (Waiman Long) [2190342]
- kbuild: clean .tmp_* pattern by make clean (Waiman Long) [2190342]
- x86: Always inline on_thread_stack() and current_top_of_stack() (Waiman Long) [2190342]
- x86/32: Remove lazy GS macros (Waiman Long) [2190342]
- static_call: Don't make __static_call_return0 static (Waiman Long) [2190342]
- kbuild: fix empty ${PYTHON} in scripts/link-vmlinux.sh (Waiman Long) [2190342]
- lib/Kconfig.debug: add ARCH dependency for FUNCTION_ALIGN option (Waiman Long) [2190342]
- x86/ibt,ftrace: Add ENDBR to samples/ftrace (Waiman Long) [2190342]
- tracing: Fix selftest config check for function graph start up test (Waiman Long) [2190342]
- ftrace/samples: Add missing prototypes direct functions (Waiman Long) [2190342]
- ftrace/samples: Add module to test multi direct modify interface (Waiman Long) [2190342]
- ftrace/samples: add s390 support for ftrace direct multi sample (Waiman Long) [2190342]
- tracing: Fix selftest config check for function graph start up test (Waiman Long) [2190342]
- samples: add s390 support for ftrace direct call samples (Waiman Long) [2190342]
- ext4: allow concurrent unaligned dio overwrites (Brian Foster) [2151952]
- arm64/signal: Restore TPIDR2 register rather than memory state (Mark Salter) [2188323]
- arm64: signal: include asm/exception.h (Mark Salter) [2188323]
- arm64/signal: Alloc tpidr2 sigframe after checking system_supports_tpidr2() (Mark Salter) [2188323]
- arm64/signal: Use system_supports_tpidr2() to check TPIDR2 (Mark Salter) [2188323]
- arm64: efi: Make efi_rt_lock a raw_spinlock (Mark Salter) [2188323]
- arm64: compat: Work around uninitialized variable warning (Mark Salter) [2188323]
- arm64: efi: Set NX compat flag in PE/COFF header (Mark Salter) [2188323]
- arm64/fpsimd: Remove warning for SME without SVE (Mark Salter) [2188323]
- arm64: head: Move all finalise_el2 calls to after __enable_mmu (Mark Salter) [2188323]
- arm64/sme: Fix __finalise_el2 SMEver check (Mark Salter) [2188323]
- arm64/signal: Only read new data when parsing the ZT context (Mark Salter) [2188323]
- arm64/signal: Only read new data when parsing the ZA context (Mark Salter) [2188323]
- arm64/signal: Only read new data when parsing the SVE context (Mark Salter) [2188323]
- arm64/signal: Avoid rereading context frame sizes (Mark Salter) [2188323]
- arm64/signal: Make interface for restore_fpsimd_context() consistent (Mark Salter) [2188323]
- arm64/signal: Remove redundant size validation from parse_user_sigframe() (Mark Salter) [2188323]
- arm64/signal: Don't redundantly verify FPSIMD magic (Mark Salter) [2188323]
- arm64/cpufeature: Use helper macros to specify hwcaps (Mark Salter) [2188323]
- arm64/cpufeature: Always use symbolic name for feature value in hwcaps (Mark Salter) [2188323]
- arm64/sysreg: Initial unsigned annotations for ID registers (Mark Salter) [2188323]
- arm64/sysreg: Initial annotation of signed ID registers (Mark Salter) [2188323]
- arm64/sysreg: Allow enumerations to be declared as signed or unsigned (Mark Salter) [2188323]
- arm64: irqflags: use alternative branches for pseudo-NMI logic (Mark Salter) [2188323]
- arm64: add ARM64_HAS_GIC_PRIO_RELAXED_SYNC cpucap (Mark Salter) [2188323]
- arm64: make ARM64_HAS_GIC_PRIO_MASKING depend on ARM64_HAS_GIC_CPUIF_SYSREGS (Mark Salter) [2188323]
- arm64: rename ARM64_HAS_IRQ_PRIO_MASKING to ARM64_HAS_GIC_PRIO_MASKING (Mark Salter) [2188323]
- arm64: rename ARM64_HAS_SYSREG_GIC_CPUIF to ARM64_HAS_GIC_CPUIF_SYSREGS (Mark Salter) [2188323]
- arm64: unify asm-arch manipulation (Mark Salter) [2188323]
- arm64/signal: Include TPIDR2 in the signal context (Mark Salter) [2188323]
- arm64/sme: Document ABI for TPIDR2 signal information (Mark Salter) [2188323]
- arm64/sme: Add hwcaps for SME 2 and 2.1 features (Mark Salter) [2188323]
- arm64/sme: Implement ZT0 ptrace support (Mark Salter) [2188323]
- arm64/sme: Implement signal handling for ZT (Mark Salter) [2188323]
- arm64/sme: Implement context switching for ZT0 (Mark Salter) [2188323]
- arm64/sme: Provide storage for ZT0 (Mark Salter) [2188323]
- arm64/sme: Add basic enumeration for SME2 (Mark Salter) [2188323]
- arm64/sme: Enable host kernel to access ZT0 (Mark Salter) [2188323]
- arm64/sme: Manually encode ZT0 load and store instructions (Mark Salter) [2188323]
- arm64/esr: Document ISS for ZT0 being disabled (Mark Salter) [2188323]
- arm64/sme: Document SME 2 and SME 2.1 ABI (Mark Salter) [2188323]
- arm64/ptrace: Document extension of NT_ARM_TLS to cover TPIDR2_EL0 (Mark Salter) [2188323]
- arm64/sve: Document our actual ABI for clearing registers on syscall (Mark Salter) [2188323]
- arm64/sysreg: Update system registers for SME 2 and 2.1 (Mark Salter) [2188323]
- arm64: Document boot requirements for SME 2 (Mark Salter) [2188323]
- arm64/sme: Rename za_state to sme_state (Mark Salter) [2188323]
- Documentation: arm64: correct spelling (Mark Salter) [2188323]
- arm64: traps: attempt to dump all instructions (Mark Salter) [2188323]
- arm64: el2_setup.h: fix spelling typo in comments (Mark Salter) [2188323]
- arm64: Kconfig: fix spelling (Mark Salter) [2188323]
- arm64: cpufeature: Use kstrtobool() instead of strtobool() (Mark Salter) [2188323]
- arm64: patching: Add aarch64_insn_write_literal_u64() (Mark Salter) [2188323]
- arm64: insn: Add helpers for BTI (Mark Salter) [2188323]
- arm64: Add compat hwcap SSBS (Mark Salter) [2188323]
- arm64: Add compat hwcap SB (Mark Salter) [2188323]
- arm64: Add compat hwcap I8MM (Mark Salter) [2188323]
- arm64: Add compat hwcap ASIMDBF16 (Mark Salter) [2188323]
- arm64: Add compat hwcap ASIMDFHM (Mark Salter) [2188323]
- arm64: Add compat hwcap ASIMDDP (Mark Salter) [2188323]
- arm64: Add compat hwcap FPHP and ASIMDHP (Mark Salter) [2188323]
- arm64: Stash shadow stack pointer in the task struct on interrupt (Mark Salter) [2188323]
- arm64: Always load shadow stack pointer directly from the task struct (Mark Salter) [2188323]
- arm64/sme: Optimise SME exit on syscall entry (Mark Salter) [2188323]
- arm64/sme: Don't use streaming mode to probe the maximum SME VL (Mark Salter) [2188323]
- arm64/ptrace: Use system_supports_tpidr2() to check for TPIDR2 support (Mark Salter) [2188323]
- arm64/cpufeature: Remove 4 bit assumption in ARM64_FEATURE_MASK() (Mark Salter) [2188323]
- arm64: efi: Account for the EFI runtime stack in stack unwinder (Mark Salter) [2188323]
- arm64: efi: Avoid workqueue to check whether EFI runtime is live (Mark Salter) [2188323]
- efi: rt-wrapper: Add missing include (Mark Salter) [2188323]
- arm64: efi: Recover from synchronous exceptions occurring in firmware (Mark Salter) [2188323]
- arm64: efi: Execute runtime services from a dedicated stack (Mark Salter) [2188323]
- arm64: efi: Limit allocations to 48-bit addressable physical region (Mark Salter) [2188323]
- arm64: Prohibit instrumentation on arch_stack_walk() (Mark Salter) [2188323]
- pinctrl: amd: Don't show `Invalid config param` errors (David Arcari) [2222141]
- pinctrl: amd: remove MODULE_LICENSE in non-modules (David Arcari) [2222141]
- pinctrl: amd: Unify debounce handling into amd_pinconf_set() (David Arcari) [2222141]
- pinctrl: amd: Drop pull up select configuration (David Arcari) [2222141]
- pinctrl: amd: Use amd_pinconf_set() for all config options (David Arcari) [2222141]
- pinctrl: amd: Only use special debounce behavior for GPIO 0 (David Arcari) [2222141]
- pinctrl: amd: Use pm_pr_dbg to show debugging messages (David Arcari) [2222141]
- pinctrl: amd: Revert "pinctrl: amd: disable and mask interrupts on probe" (David Arcari) [2222141]
- pinctrl: amd: Detect and mask spurious interrupts (David Arcari) [2222141]
- pinctrl: amd: Fix mistake in handling clearing pins at startup (David Arcari) [2222141]
- pinctrl: amd: Detect internal GPIO0 debounce handling (David Arcari) [2222141]
- pinctrl: amd: Add fields for interrupt status and wake status (David Arcari) [2222141]
- pinctrl: amd: Adjust debugfs output (David Arcari) [2222141]
- pinctrl: amd: Fix debug output for debounce time (David Arcari) [2222141]
- pinctrl: amd: Add Z-state wake control bits (David Arcari) [2222141]
- pinctrl: amd: Add dynamic debugging for active GPIOs (David Arcari) [2222141]
- pinctrl: amd: change dev_warn to dev_dbg for additional feature support (David Arcari) [2222141]
- pinctrl: amd: Pick some different unicode symbols (David Arcari) [2222141]
- pinctrl: amd: Fix an unused variable (David Arcari) [2222141]
- pinctrl: amd: Use unicode for debugfs output (David Arcari) [2222141]
- pinctrl: amd: Fix newline declaration in debugfs output (David Arcari) [2222141]
- pinctrl: amd: Remove contact information (David Arcari) [2222141]
- pinctrl: amd: Use devm_platform_get_and_ioremap_resource (David Arcari) [2222141]
- pinctrl: amd: Implement pinmux functionality (David Arcari) [2222141]
- pinctrl: amd: Add amd_get_iomux_res function (David Arcari) [2222141]
- pinctrl: amd: Define and use AMD_PINS macro (David Arcari) [2222141]
- pinctrl: amd: Use PINCTRL_PINGROUP to manage pingroups (David Arcari) [2222141]
- pinctrl: amd: Remove amd_pingroup and use pingroup (David Arcari) [2222141]
- pinctrl: Get rid of duplicate of_node assignment in the drivers (David Arcari) [2222141]
- net/ncsi: use proper "mellanox" DT vendor prefix (Joel Slebodnick) [2183338]
- niu: read property length only if we use it (Joel Slebodnick) [2183338]
- net: fec: add stop mode support for imx8 platform (Joel Slebodnick) [2183338]
- fec: Restart PPS after link state change (Joel Slebodnick) [2183338]
- can: esd_usb: Improve readability on decoding ESD_EV_CAN_ERROR_EXT messages (Joel Slebodnick) [2183338]
- can: esd_usb: Make use of can_change_state() and relocate checking skb for NULL (Joel Slebodnick) [2183338]
- can: esd_usb: Allow REC and TEC to return to zero (Joel Slebodnick) [2183338]
- can: esd_usb: Move mislocated storage of SJA1000_ECC_SEG bits in case of a bus error (Joel Slebodnick) [2183338]
- can: ctucanfd: ctucan_platform_probe(): use devm_platform_ioremap_resource() (Joel Slebodnick) [2183338]
- can: bittiming: can_validate_bitrate(): report error via netlink (Joel Slebodnick) [2183338]
- can: bittiming: can_calc_bittiming(): convert from netdev_err() to NL_SET_ERR_MSG_FMT() (Joel Slebodnick) [2183338]
- can: bittiming: can_calc_bittiming(): clean up SJW handling (Joel Slebodnick) [2183338]
- can: bittiming: can_sjw_set_default(): use Phase Seg2 / 2 as default for SJW (Joel Slebodnick) [2183338]
- can: bittiming: can_sjw_check(): check that SJW is not longer than either Phase Buffer Segment (Joel Slebodnick) [2183338]
- can: bittiming: can_sjw_check(): report error via netlink and harmonize error value (Joel Slebodnick) [2183338]
- can: bittiming: can_fixup_bittiming(): report error via netlink and harmonize error value (Joel Slebodnick) [2183338]
- can: bittiming: factor out can_sjw_set_default() and can_sjw_check() (Joel Slebodnick) [2183338]
- can: bittiming: can_changelink() pass extack down callstack (Joel Slebodnick) [2183338]
- can: netlink: can_changelink(): convert from netdev_err() to NL_SET_ERR_MSG_FMT() (Joel Slebodnick) [2183338]
- can: netlink: can_validate(): validate sample point for CAN and CAN-FD (Joel Slebodnick) [2183338]
- can: dev: register_candev(): bail out if both fixed bit rates and bit timing constants are provided (Joel Slebodnick) [2183338]
- can: dev: register_candev(): ensure that bittiming const are valid (Joel Slebodnick) [2183338]
- can: bittiming: can_get_bittiming(): use direct return and remove unneeded else (Joel Slebodnick) [2183338]
- can: bittiming: can_fixup_bittiming(): use CAN_SYNC_SEG instead of 1 (Joel Slebodnick) [2183338]
- can: bittiming(): replace open coded variants of can_bit_time() (Joel Slebodnick) [2183338]
- can: peak_usb: Reorder include directives alphabetically (Joel Slebodnick) [2183338]
- can: peak_usb: align CAN channel ID format in log with sysfs attribute (Joel Slebodnick) [2183338]
- can: peak_usb: export PCAN CAN channel ID as sysfs device attribute (Joel Slebodnick) [2183338]
- can: peak_usb: add ethtool interface to user-configurable CAN channel identifier (Joel Slebodnick) [2183338]
- can: peak_usb: replace unregister_netdev() with unregister_candev() (Joel Slebodnick) [2183338]
- can: peak_usb: allow flashing of the CAN channel ID (Joel Slebodnick) [2183338]
- can: peak_usb: add callback to read CAN channel ID of PEAK CAN-FD devices (Joel Slebodnick) [2183338]
- can: peak_usb: rename device_id to CAN channel ID (Joel Slebodnick) [2183338]
- can: ems_pci: Add myself as module author (Joel Slebodnick) [2183338]
- can: ems_pci: Deassert hardware reset (Joel Slebodnick) [2183338]
- can: ems_pci: Add IRQ enable (Joel Slebodnick) [2183338]
- can: ems_pci: Initialize CAN controller base addresses (Joel Slebodnick) [2183338]
- can: ems_pci: Add read/write register and post irq functions (Joel Slebodnick) [2183338]
- can: ems_pci: Initialize BAR registers (Joel Slebodnick) [2183338]
- can: ems_pci: Add Asix AX99100 definitions (Joel Slebodnick) [2183338]
- can: ems_pci: Fix code style, copyright and email address (Joel Slebodnick) [2183338]
- can: rcar_canfd: Add helper variable dev (Joel Slebodnick) [2183338]
- can: rcar_canfd: Use devm_reset_control_get_optional_exclusive (Joel Slebodnick) [2183338]
- can: rcar_canfd: Use dev_err_probe() to simplify code and better handle -EPROBE_DEFER (Joel Slebodnick) [2183338]
- can: rcar_canfd: Sort included header files (Joel Slebodnick) [2183338]
- can: rcar_canfd: Fix R-Car Gen4 CFCC.CFTML field width (Joel Slebodnick) [2183338]
- can: rcar_canfd: Fix R-Car Gen4 DCFG.DSJW field width (Joel Slebodnick) [2183338]
- can: rcar_canfd: Add support for R-Car Gen4 (Joel Slebodnick) [2183338]
- can: rcar_canfd: Abstract out DCFG address differences (Joel Slebodnick) [2183338]
- can: rcar_canfd: Fix R-Car V3U GAFLCFG field accesses (Joel Slebodnick) [2183338]
- can: rcar_canfd: Fix R-Car V3U CAN mode selection (Joel Slebodnick) [2183338]
- can: mcp251xfd: regmap: optimizing transfer size for CRC transfers size 1 (Joel Slebodnick) [2183338]
- can: mcp251xfd: mcp251xfd_ring_set_ringparam(): assign missing tx_obj_num_coalesce_irq (Joel Slebodnick) [2183338]
- can: kvaser_usb: hydra: help gcc-13 to figure out cmd_len (Joel Slebodnick) [2183338]
- can: tcan4x5x: Specify separate read/write ranges (Joel Slebodnick) [2183338]
- can: tcan4x5x: Fix register range of first two blocks (Joel Slebodnick) [2183338]
- can: tcan4x5x: Fix use of register error status mask (Joel Slebodnick) [2183338]
- can: tcan4x5x: Remove invalid write in clear_interrupts (Joel Slebodnick) [2183338]
- can: m_can: Batch acknowledge rx fifo (Joel Slebodnick) [2183338]
- can: m_can: Batch acknowledge transmit events (Joel Slebodnick) [2183338]
- can: m_can: Count read getindex in the driver (Joel Slebodnick) [2183338]
- can: m_can: Count TXE FIFO getidx in the driver (Joel Slebodnick) [2183338]
- can: m_can: Read register PSR only on error (Joel Slebodnick) [2183338]
- can: m_can: Avoid reading irqstatus twice (Joel Slebodnick) [2183338]
- can: m_can: Eliminate double read of TXFQS in tx_handler (Joel Slebodnick) [2183338]
- can: m_can: Call the RAM init directly from m_can_chip_config (Joel Slebodnick) [2183338]
- can: ucan: remove unused ucan_priv::intf (Joel Slebodnick) [2183338]
- can: ucan: use strscpy() to instead of strncpy() (Joel Slebodnick) [2183338]
- can: etas_es58x: remove es58x_get_product_info() (Joel Slebodnick) [2183338]
- can: etas_es58x: export product information through devlink_ops::info_get() (Joel Slebodnick) [2183338]
- can: c_can: use devm_platform_get_and_ioremap_resource() (Joel Slebodnick) [2183338]
- can: etas_es58x: add devlink port support (Joel Slebodnick) [2183338]
- can: etas_es58x: es58x_init_netdev(): free netdev when register_candev() (Joel Slebodnick) [2183338]
- can: etas_es58x: add devlink support (Joel Slebodnick) [2183338]
- can: etas_es58x: sort the includes by alphabetic order (Joel Slebodnick) [2183338]
- can: ctucanfd: Drop obsolete dependency on COMPILE_TEST (Joel Slebodnick) [2183338]
- can: rcar_canfd: Add multi_channel_irqs to struct rcar_canfd_hw_info (Joel Slebodnick) [2183338]
- can: rcar_canfd: Add postdiv to struct rcar_canfd_hw_info (Joel Slebodnick) [2183338]
- can: rcar_canfd: Add shared_global_irqs to struct rcar_canfd_hw_info (Joel Slebodnick) [2183338]
- can: rcar_canfd: Add max_channels to struct rcar_canfd_hw_info (Joel Slebodnick) [2183338]
- can: m_can: sort header inclusion alphabetically (Joel Slebodnick) [2183338]
- can: rcar_canfd: rcar_canfd_probe: Add struct rcar_canfd_hw_info to driver data (Joel Slebodnick) [2183338]
Resolves: rhbz#2151952, rhbz#2168169, rhbz#2178302, rhbz#2183338, rhbz#2188323, rhbz#2190342, rhbz#2208365, rhbz#2217547, rhbz#2218682, rhbz#2222141, rhbz#2222987

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-20 10:41:52 +02:00
Jan Stancek
427efe4561 kernel-5.14.0-341.el9
* Wed Jul 19 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-341.el9]
- sfc: fix XDP queues mode with legacy IRQ (Íñigo Huguet) [2213158]
- x86/sev: Change snp_guest_issue_request()'s fw_err argument (John Allen) [2152249]
- virt/coco/sev-guest: Double-buffer messages (John Allen) [2152249]
- virt/coco/sev-guest: Add throttling awareness (John Allen) [2152249]
- virt/coco/sev-guest: Convert the sw_exit_info_2 checking to a switch-case (John Allen) [2152249]
- virt/coco/sev-guest: Do some code style cleanups (John Allen) [2152249]
- virt/coco/sev-guest: Carve out the request issuing logic into a helper (John Allen) [2152249]
- virt/coco/sev-guest: Remove the disable_vmpck label in handle_guest_request() (John Allen) [2152249]
- virt/coco/sev-guest: Simplify extended guest request handling (John Allen) [2152249]
- virt/coco/sev-guest: Check SEV_SNP attribute at probe time (John Allen) [2152249]
- virt/sev-guest: Return -EIO if certificate buffer is not large enough (John Allen) [2152249]
- virt/sev-guest: Prevent IV reuse in the SNP guest driver (John Allen) [2152249]
- x86/sev: Don't use cc_platform_has() for early SEV-SNP calls (John Allen) [2152249]
- thunderbolt: Increase DisplayPort Connection Manager handshake timeout (Desnes Nunes) [2168851]
- thunderbolt: Increase timeout of DP OUT adapter handshake (Desnes Nunes) [2168851]
- redhat: make libperf-devel require libperf %%{version}-%%{release} (Jan Stancek) [2216448]
- dmaengine: tegra-apb: remove unused tdma_read function (Mark Salter) [2215342]
- serial: tegra: Add missing clk_disable_unprepare() in tegra_uart_hw_init() (Mark Salter) [2215342]
- serial: tegra: Read DMA status before terminating (Mark Salter) [2215342]
- serial: tegra: Remove custom frame size calculation (Mark Salter) [2215342]
- tty: serial: make use of UART_LCR_WLEN() + tty_get_char_size() (Mark Salter) [2215342]
- serial: tegra-tcu: Use uart_xmit_advance(), fixes icount.tx accounting (Mark Salter) [2215342]
- serial: tegra: Use uart_xmit_advance(), fixes icount.tx accounting (Mark Salter) [2215342]
- serial: Create uart_xmit_advance() (Mark Salter) [2215342]
- drivers: tty: serial: Add missing of_node_put() in serial-tegra.c (Mark Salter) [2215342]
- serial: tegra: fix typos in comments (Mark Salter) [2215342]
- serial: tegra: Change lower tolerance baud rate limit for tegra20 and tegra30 (Mark Salter) [2215342]
- serial: tegra: Use of_device_get_match_data (Mark Salter) [2215342]
- dmaengine: tegra20-apb: stop checking config->slave_id (Mark Salter) [2215342]
- redhat/configs: arm: enable SERIAL_TEGRA UART for RHEL (Mark Salter) [2215342]
- net: openvswitch: fix upcall counter access before allocation (Eelco Chaudron) [2203263]
- undo Revert "signal: Don't disable preemption in ptrace_stop() on PREEMPT_RT." (Oleg Nesterov) [2174325]
- signal handling: don't use BUG_ON() for debugging (Oleg Nesterov) [2174325]
- ptrace: fix clearing of JOBCTL_TRACED in ptrace_unfreeze_traced() (Oleg Nesterov) [2174325]
- sched,signal,ptrace: Rework TASK_TRACED, TASK_STOPPED state (Oleg Nesterov) [2174325]
- ptrace: Always take siglock in ptrace_resume (Oleg Nesterov) [2174325]
- ptrace: Don't change __state (Oleg Nesterov) [2174325]
- ptrace: Document that wait_task_inactive can't fail (Oleg Nesterov) [2174325]
- ptrace: Admit ptrace_stop can generate spuriuos SIGTRAPs (Oleg Nesterov) [2174325]
- ptrace: Reimplement PTRACE_KILL by always sending SIGKILL (Oleg Nesterov) [2174325]
- signal: Use lockdep_assert_held instead of assert_spin_locked (Oleg Nesterov) [2174325]
- ptrace: Remove arch_ptrace_attach (Oleg Nesterov) [2174325]
- ptrace/xtensa: Replace PT_SINGLESTEP with TIF_SINGLESTEP (Oleg Nesterov) [2174325]
- ptrace/um: Replace PT_DTRACE with TIF_SINGLESTEP (Oleg Nesterov) [2174325]
- signal: Replace __group_send_sig_info with send_signal_locked (Oleg Nesterov) [2174325]
- signal: Rename send_signal send_signal_locked (Oleg Nesterov) [2174325]
- ptrace: Return the signal to continue with from ptrace_stop (Oleg Nesterov) [2174325]
- ptrace: Move setting/clearing ptrace_message into ptrace_stop (Oleg Nesterov) [2174325]
- Revert "signal: Don't disable preemption in ptrace_stop() on PREEMPT_RT." (Oleg Nesterov) [2174325]
- writeback: fix dereferencing NULL mapping->host on writeback_page_template (Aristeu Rozanski) [2144772]
Resolves: rhbz#2144772, rhbz#2152249, rhbz#2168851, rhbz#2174325, rhbz#2203263, rhbz#2213158, rhbz#2215342, rhbz#2216448

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-19 08:58:21 +02:00
Jan Stancek
018655b2fa kernel-5.14.0-340.el9
* Mon Jul 17 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-340.el9]
- soc/tegra: fuse: Remove nvmem root only access (Steve Best) [2218664]
- Revert "net/mlx5e: Don't use termination table when redundant" (Mohammad Kabat) [2165363]
- net/mlx5e: xsk: Set napi_id to support busy polling on XSK RQ (Mohammad Kabat) [2165363]
- net/mlx5: Query hca_cap_2 only when supported (Mohammad Kabat) [2165363]
- net/mlx5: fw_tracer, Zero consumer index when reloading the tracer (Mohammad Kabat) [2165363]
- net/mlx5: fw_tracer, Clear load bit when freeing string DBs buffers (Mohammad Kabat) [2165363]
- net/mlx5: Expose SF firmware pages counter (Mohammad Kabat) [2165363]
- net/mlx5: Store page counters in a single array (Mohammad Kabat) [2165363]
- net/mlx5e: IPoIB, Show unknown speed instead of error (Mohammad Kabat) [2165363]
- net/mlx5: Bridge, fix ageing of peer FDB entries (Mohammad Kabat) [2165363]
- net/mlx5: DR, Fix potential race in dr_rule_create_rule_nic (Mohammad Kabat) [2165363]
- net/mlx5e: Update rx ring hw mtu upon each rx-fcs flag change (Mohammad Kabat) [2165363]
- net/mlx5: E-switch, Coverity: overlapping copy (Mohammad Kabat) [2165363]
- net/mlx5e: Don't support encap rules with gbp option (Mohammad Kabat) [2165363]
- net/mlx5e: Fix memory leak on updating vport counters (Mohammad Kabat) [2165363]
- net/mlx5: DR, Fix 'stack frame size exceeds limit' error in dr_rule (Mohammad Kabat) [2164764 2165363]
- net/mlx5: Expose steering dropped packets counter (Mohammad Kabat) [2165363]
- net/mlx5: Refactor and expand rep vport stat group (Mohammad Kabat) [2164764 2165363]
- net/mlx5e: multipath, support routes with more than 2 nexthops (Mohammad Kabat) [2165363]
- RDMA/mlx5: Remove not-used IB_FLOW_SPEC_IB define (Mohammad Kabat) [2165363]
- net/mlx5: E-Switch, Implement devlink port function cmds to control migratable (Mohammad Kabat) [2165363]
- net/mlx5: E-Switch, Implement devlink port function cmds to control RoCE (Mohammad Kabat) [2165363]
- net/mlx5: Add generic getters for other functions caps (Mohammad Kabat) [2165363]
- net/mlx5: Introduce IFC bits for migratable (Mohammad Kabat) [2165363]
- net/mlx5: Introduce ifc bits for pre_copy (Mohammad Kabat) [2165363]
- RDMA/mlx5: no need to kfree NULL pointer (Mohammad Kabat) [2165363]
- net/mlx5e: Support devlink reload of IPsec core (Mohammad Kabat) [2165363]
- net/mlx5e: TC, Add offload support for trap with additional actions (Mohammad Kabat) [2165363]
- net/mlx5e: Do early return when setup vports dests for slow path flow (Mohammad Kabat) [2165363]
- net/mlx5: Remove redundant check (Mohammad Kabat) [2165363]
- net/mlx5e: Delete always true DMA check (Mohammad Kabat) [2165363]
- net/mlx5e: Don't access directly DMA device pointer (Mohammad Kabat) [2165363]
- net/mlx5e: Don't use termination table when redundant (Mohammad Kabat) [2165363]
- net/mlx5: Use generic definition for UMR KLM alignment (Mohammad Kabat) [2165363]
- net/mlx5: Generalize name of UMR alignment definition (Mohammad Kabat) [2165363]
- net/mlx5: Remove unused UMR MTT definitions (Mohammad Kabat) [2165363]
- net/mlx5e: Add padding when needed in UMR WQEs (Mohammad Kabat) [2165363]
- net/mlx5: Remove unused ctx variables (Mohammad Kabat) [2165363]
- net/mlx5e: Remove unneeded io-mapping.h #include (Mohammad Kabat) [2165363]
- net/mlx5e: ethtool: get_link_ext_stats for PHY down events (Mohammad Kabat) [2165363]
- net/mlx5e: CT, optimize pre_ct table lookup (Mohammad Kabat) [2165363]
- net/mlx5e: kTLS, Use a single async context object per a callback bulk (Mohammad Kabat) [2165363]
- net/mlx5e: kTLS, Remove unnecessary per-callback completion (Mohammad Kabat) [2165363]
- net/mlx5e: kTLS, Remove unused work field (Mohammad Kabat) [2165363]
- net/mlx5e: TC, Remove redundant WARN_ON() (Mohammad Kabat) [2165363]
- net/mlx5e: Add error flow when failing update_rx (Mohammad Kabat) [2165363]
- net/mlx5e: Move params kernel log print to probe function (Mohammad Kabat) [2165363]
- net/mlx5e: Support enhanced CQE compression (Mohammad Kabat) [2165363]
- net/mlx5e: Use clamp operation instead of open coding it (Mohammad Kabat) [2165363]
- net/mlx5e: remove unused list in arfs (Mohammad Kabat) [2165363]
- net/mlx5: Expose vhca_id to debugfs (Mohammad Kabat) [2165363]
- net/mlx5: Fix spelling mistake "destoy" -> "destroy" (Mohammad Kabat) [2165363]
- net/mlx5: Bridge, Use debug instead of warn if entry doesn't exists (Mohammad Kabat) [2165363]
- ptp: mlx5: convert to .adjfine and adjust_by_scaled_ppm (Mohammad Kabat) [2165363]
- net/mlx5: DR, Remove the buddy used_list (Mohammad Kabat) [2165363]
- net/mlx5: DR, Keep track of hot ICM chunks in an array instead of list (Mohammad Kabat) [2165363]
- net/mlx5: DR, Lower sync threshold for ICM hot memory (Mohammad Kabat) [2165363]
- net/mlx5: DR, Allocate htbl from its own slab allocator (Mohammad Kabat) [2165363]
- net/mlx5: DR, Allocate icm_chunks from their own slab allocator (Mohammad Kabat) [2165363]
- net/mlx5: DR, Manage STE send info objects in pool (Mohammad Kabat) [2165363]
- net/mlx5: DR, In rehash write the line in the entry immediately (Mohammad Kabat) [2165363]
- net/mlx5: DR, Handle domain memory resources init/uninit separately (Mohammad Kabat) [2165363]
- net/mlx5: DR, Initialize chunk's ste_arrays at chunk creation (Mohammad Kabat) [2165363]
- net/mlx5: DR, For short chains of STEs, avoid allocating ste_arr dynamically (Mohammad Kabat) [2165363]
- net/mlx5: DR, Remove unneeded argument from dr_icm_chunk_destroy (Mohammad Kabat) [2165363]
- net/mlx5: DR, Check device state when polling CQ (Mohammad Kabat) [2165363]
- net/mlx5: DR, Fix the SMFS sync_steering for fast teardown (Mohammad Kabat) [2165363]
- net/mlx5: DR, In destroy flow, free resources even if FW command failed (Mohammad Kabat) [2165363]
- net/mlx5e: Overcome slow response for first macsec ASO WQE (Mohammad Kabat) [2165323]
- RHEL-only: redhat/configs: Enable CONFIG_MLX5_EN_MACSEC on all archs (Mohammad Kabat) [2165355]
- net/mlx5e: Fix macsec ASO context alignment (Mohammad Kabat) [2165355]
- net/mlx5e: Remove redundant xsk pointer check in mlx5e_mpwrq_validate_xsk (Mohammad Kabat) [2165355]
- net/mlx5e: Fix macsec possible null dereference when updating MAC security entity (SecY) (Mohammad Kabat) [2165355]
- net/mlx5e: Fix macsec ssci attribute handling in offload path (Mohammad Kabat) [2165355]
- net/mlx5: Lag, fix failure to cancel delayed bond work (Mohammad Kabat) [2165355]
- net/mlx5e: Fix RX reporter for XSK RQs (Mohammad Kabat) [2165355]
- Revert "net/mlx5e: MACsec, remove replay window size limitation in offload path" (Mohammad Kabat) [2165355]
- net/mlx5e: MACsec, block offload requests with encrypt off (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: MACsec, fix Tx SA active field update (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: MACsec, remove replay window size limitation in offload path (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: MACsec, fix add Rx security association (SA) rule memory leak (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: MACsec, fix mlx5e_macsec_update_rxsa bail condition and functionality (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: MACsec, fix update Rx secure channel active field (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: MACsec, fix memory leak when MACsec device is deleted (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: MACsec, fix RX data path 16 RX security channel limit (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Use kvfree() in mlx5e_accel_fs_tcp_create() (Mohammad Kabat) [2165355]
- net/mlx5e: Fix a couple error codes (Mohammad Kabat) [2165355]
- net/mlx5e: Fix possible race condition in macsec extended packet number update routine (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Fix MACsec update SecY (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Fix MACsec SA initialization routine (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Remove leftovers from old XSK queues enumeration (Mohammad Kabat) [2165355]
- net/mlx5e: Fix missing alignment in size of MTT/KLM entries (Mohammad Kabat) [2165355]
- net/mlx5: Lag, avoid lockdep warnings (Mohammad Kabat) [2165355]
- net/mlx5e: Fix usage of DMA sync API (Mohammad Kabat) [2165355]
- net/mlx5e: Fix macsec sci endianness at rx sa update (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Fix wrong bitwise comparison usage in macsec_fs_rx_add_rule function (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Fix macsec rx security association (SA) update/delete (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Fix macsec coverity issue at rx sa update (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Cleanup MACsec uninitialization routine (Mohammad Kabat) [2165355]
- net/mlx5: Make ASO poll CQ usable in atomic context (Mohammad Kabat) [2165355]
- net/mlx5: E-Switch, Return EBUSY if can't get mode lock (Mohammad Kabat) [2165355]
- net/mlx5: E-switch, Don't update group if qos is not enabled (Mohammad Kabat) [2165355]
- net/mlx5: Set default grace period based on function type (Mohammad Kabat) [2165355]
- net/mlx5: Start health poll at earlier stage of driver load (Mohammad Kabat) [2165355]
- net/mlx5e: Expose rx_oversize_pkts_buffer counter (Mohammad Kabat) [2165355]
- net/mlx5e: xsk: Optimize for unaligned mode with 3072-byte frames (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Print a warning in slow configurations (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use KLM to protect frame overrun in unaligned mode (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Improve MTT/KSM alignment (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use umr_mode to calculate striding RQ parameters (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Improve need_wakeup logic (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Include XSK skb_from_cqe callbacks in INDIRECT_CALL (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Set napi_id to support busy polling (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Flush RQ on XSK activation to save memory (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use queue indices starting from 0 for XSK queues (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Introduce the mlx5e_flush_rq function (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Support XDP metadata on XSK RQs (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Optimize RQ page deallocation (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Call mlx5e_page_release_dynamic directly where possible (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Use non-XSK page allocator in SHAMPO (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use xsk_buff_alloc_batch on striding RQ (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use xsk_buff_alloc_batch on legacy RQ (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Split out WQE allocation for legacy XSK RQ (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Remove the outer loop when allocating legacy RQ WQEs (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use partial batches in legacy RQ with XSK (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Use partial batches in legacy RQ (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Make the wqe_index_mask calculation more exact (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Introduce wqe_index_mask for legacy RQ (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Drop the check for XSK state in mlx5e_xsk_wakeup (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use mlx5e_trigger_napi_icosq for XSK wakeup (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Move repeating clear_bit in mlx5e_rx_reporter_err_rq_cqe_recover (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Split out channel (de)activation in rx_res (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Remove mlx5e_xsk_page_alloc_pool (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Convert struct mlx5e_alloc_unit to a union (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Remove DMA address from mlx5e_alloc_unit (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Rename mlx5e_dma_info to prepare for removal of DMA address (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Optimize the page cache reducing its size 2x (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use KSM for unaligned XSK (Mohammad Kabat) [2164750 2165355]
- net/mlx5: Add MLX5_FLEXIBLE_INLEN to safely calculate cmd inlen (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Keep a separate MKey for striding RQ (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Use XSK frame size as striding RQ page size (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Use runtime page_shift for striding RQ (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Use runtime values of striding RQ parameters in datapath (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Make dma_info array dynamic in struct mlx5e_mpw_info (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Improve the MTU change shortcut (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Fix SKB headroom calculation in validation (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: xsk: Remove dead code in validation (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Simplify stride size calculation for linear RQ (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: kTLS, Check ICOSQ WQE size in advance (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Use the aligned max TX MPWQE size (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Use mlx5e_stop_room_for_max_wqe where appropriate (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Let mlx5e_get_sw_max_sq_mpw_wqebbs accept mdev (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Validate striding RQ before enabling XDP (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Make mlx5e_verify_rx_mpwqe_strides static (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Remove unused fields from datapath structs (Mohammad Kabat) [2164750 2165355]
- net/mlx5e: Convert mlx5e_get_max_sq_wqebbs to u8 (Mohammad Kabat) [2164750 2165355]
- net/mlx5: Add the log_min_mkey_entity_size capability (Mohammad Kabat) [2164750 2165355]
- net/mlx5: Remove from FPGA IFC file not-needed definitions (Mohammad Kabat) [2165355]
- net/mlx5: Remove unused structs (Mohammad Kabat) [2165355]
- net/mlx5: Remove unused functions (Mohammad Kabat) [2165355]
- net/mlx5: detect and enable bypass port select flow table (Mohammad Kabat) [2165355]
- net/mlx5: Lag, enable hash mode by default for all NICs (Mohammad Kabat) [2165355]
- net/mlx5: Lag, set active ports if support bypass port select flow table (Mohammad Kabat) [2165355]
- RDMA/mlx5: Don't set tx affinity when lag is in hash mode (Mohammad Kabat) [2165355]
- net/mlx5: add IFC bits for bypassing port select flow table (Mohammad Kabat) [2165355]
- net/mlx5: Add support for NPPS with real time mode (Mohammad Kabat) [2165355]
- net/mlx5: Expose NPPS related registers (Mohammad Kabat) [2165355]
- net/mlx5e: macsec: remove checks on the prepare phase (Mohammad Kabat) [2165355]
- net/mlx5e: Support MACsec offload replay window (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Support MACsec offload extended packet number (EPN) (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Move MACsec initialization from profile init stage to profile enable stage (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Create advanced steering operation (ASO) object for MACsec (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Expose memory key creation (mkey) function (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Add ifc bits for MACsec extended packet number (EPN) and replay protection (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Fix MACsec initial packet number (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Fix MACsec initialization error path (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Fix fields name prefix in MACsec (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Ensure macsec_rule is always initiailized in macsec_fs_{r,t}x_add_rule() (Mohammad Kabat) [2165355]
- net/mlx5e: Switch to kmemdup() when allocate dev_addr (Mohammad Kabat) [2165355]
- net/mlx5e: add missing error code in error path (Mohammad Kabat) [2165355]
- RDMA/mlx5: Remove duplicate assignment in umr_rereg_pas() (Mohammad Kabat) [2165355]
- net/mlx5e: Add support to configure more than one macsec offload device (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Add MACsec stats support for Rx/Tx flows (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Add MACsec offload SecY support (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Implement MACsec Rx data path using MACsec skb_metadata_dst (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Add MACsec RX steering rules (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Add MACsec Rx tables support to fs_core (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Add MACsec offload Rx command support (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Implement MACsec Tx data path using MACsec skb_metadata_dst (Mohammad Kabat) [2165323 2165355]
- net/mlx5e: Add MACsec TX steering rules (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Add MACsec Tx tables support to fs_core (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Add MACsec offload Tx command support (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Introduce MACsec Connect-X offload hardware bits and structures (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Generalize Flow Context for new crypto fields (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Removed esp_id from struct mlx5_flow_act (Mohammad Kabat) [2165323 2165355]
- net/mlx5: Query ADV_VIRTUALIZATION capabilities (Mohammad Kabat) [2165355]
- net/mlx5: Introduce ifc bits for page tracker (Mohammad Kabat) [2165355]
- RDMA/mlx5: Move function mlx5_core_query_ib_ppcnt() to mlx5_ib (Mohammad Kabat) [2165355]
- IB/mlx5: Support querying eswitch functions from DEVX (Mohammad Kabat) [2165355]
- net/mlx5e: Do not use err uninitialized in mlx5e_rep_add_meta_tunnel_rule() (Mohammad Kabat) [2165355]
- IB/mlx5: Remove duplicate header inclusion related to ODP (Mohammad Kabat) [2165355]
- net/mlx5: TC, Add support for SF tunnel offload (Mohammad Kabat) [2165355]
- net/mlx5: E-Switch, Move send to vport meta rule creation (Mohammad Kabat) [2165355]
- net/mlx5: E-Switch, Split creating fdb tables into smaller chunks (Mohammad Kabat) [2165355]
- net/mlx5: E-Switch, Add default drop rule for unmatched packets (Mohammad Kabat) [2165355]
- net/mlx5e: Completely eliminate priv from fs.h (Mohammad Kabat) [2165355]
- net/mlx5e: Make all ttc functions of en_fs get fs struct as argument (Mohammad Kabat) [2165355]
- net/mlx5e: Make flow steering arfs independent of priv (Mohammad Kabat) [2165355]
- net/mlx5e: Introduce flow steering debug macros (Mohammad Kabat) [2165355]
- net/mlx5e: Separate ethtool_steering from fs.h and make private (Mohammad Kabat) [2165355]
- net/mlx5e: Directly get flow_steering struct as input when init/cleanup ethtool steering (Mohammad Kabat) [2165355]
- net/mlx5e: Convert ethtool_steering member of flow_steering struct to pointer (Mohammad Kabat) [2165355]
- net/mlx5e: Drop priv argument of ptp function in en_fs (Mohammad Kabat) [2165355]
- net/mlx5e: Decouple fs_tcp from en.h (Mohammad Kabat) [2165355]
- net/mlx5e: Decouple fs_tt_redirect from en.h (Mohammad Kabat) [2165355]
- net/mlx5e: Introduce flow steering API (Mohammad Kabat) [2165355]
- IB/mlx5: Call io_stop_wc() after writing to WC MMIO (Mohammad Kabat) [2165355]
- net: fix stack overflow when LRO is disabled for virtual interfaces (Hangbin Liu) [2218139]
- ipv6: prevent router_solicitations for team port (Hangbin Liu) [2218139]
- ipv6: Don't send rs packets to the interface of ARPHRD_TUNNEL (Hangbin Liu) [2218139]
- teaming: deliver link-local packets with the link they arrive on (Hangbin Liu) [2218139]
- cifs: fix negotiate context parsing (Ronnie Sahlberg) [2218388]
- cifs: fix dentry lookups in directory handle cache (Ronnie Sahlberg) [2218388]
- cifs: use the least loaded channel for sending requests (Ronnie Sahlberg) [2218388]
- cifs: Simplify SMB2_open_init() (Ronnie Sahlberg) [2218388]
- cifs: Simplify SMB2_open_init() (Ronnie Sahlberg) [2218388]
- cifs: Simplify SMB2_open_init() (Ronnie Sahlberg) [2218388]
- smb3: fix unusable share after force unmount failure (Ronnie Sahlberg) [2218388]
- cifs: print session id while listing open files (Ronnie Sahlberg) [2218388]
- cifs: dump pending mids for all channels in DebugData (Ronnie Sahlberg) [2218388]
- cifs: empty interface list when server doesn't support query interfaces (Ronnie Sahlberg) [2218388]
- cifs: do not poll server interfaces too regularly (Ronnie Sahlberg) [2218388]
- cifs: use tcon allocation functions even for dummy tcon (Ronnie Sahlberg) [2218388]
- cifs: use bvec_set_page to initialize bvecs (Ronnie Sahlberg) [2218388]
- cifs: generate signkey for the channel that's reconnecting (Ronnie Sahlberg) [2218388]
- cifs: Fix smb2_set_path_size() (Ronnie Sahlberg) [2218388]
- cifs: Move the in_send statistic to __smb_send_rqst() (Ronnie Sahlberg) [2218388]
- cifs: don't try to use rdma offload on encrypted connections (Ronnie Sahlberg) [2218388]
- cifs: split out smb3_use_rdma_offload() helper (Ronnie Sahlberg) [2218388]
- cifs: introduce cifs_io_parms in smb2_async_writev() (Ronnie Sahlberg) [2218388]
- use less confusing names for iov_iter direction initializers (Ronnie Sahlberg) [2218388]
- cifs: do not include page data when checking signature (Ronnie Sahlberg) [2218388]
- cifs: Fix race between hole punch and page fault (Ronnie Sahlberg) [2218388]
- redhat/Makefile: Fix RHJOBS grep warning (Eric Chanudet)
- NFSv4.1: freeze the session table upon receiving NFS4ERR_BADSESSION (Benjamin Coddington) [2217964]
- sfc: use budget for TX completions (Íñigo Huguet) [2179545]
- arm64: kaslr: don't pretend KASLR is enabled if offset < MIN_KIMG_ALIGN (Jennifer Berringer) [2190491]
- KVM: x86/mmu: Refresh CR0.WP prior to checking for emulated permission faults (Paolo Bonzini) [2210042]
- KVM: x86: Add helpers to query individual CR0/CR4 bits (Paolo Bonzini) [2210042]
- KVM: x86: Preserve TDP MMU roots until they are explicitly invalidated (Paolo Bonzini) [2210042]
- KVM: VMX: Make CR0.WP a guest owned bit (Paolo Bonzini) [2210042]
- KVM: x86: Make use of kvm_read_cr*_bits() when testing bits (Paolo Bonzini) [2210042]
- KVM: x86: Ignore CR0.WP toggles in non-paging mode (Paolo Bonzini) [2210042]
- KVM: x86: Do not unload MMU roots when only toggling CR0.WP with TDP enabled (Paolo Bonzini) [2210042]
- igc: Fix possible system crash when loading module (Corinna Vinschen) [2153371]
- igc: Clean the TX buffer and TX descriptor ring (Corinna Vinschen) [2153371]
- igc: Avoid transmit queue timeout for XDP (Corinna Vinschen) [2153371]
- igc: read before write to SRRCTL register (Corinna Vinschen) [2153371]
- igc: Enable and fix RX hash usage by netstack (Corinna Vinschen) [2153371]
- igc: Remove obsolete DMA coalescing code (Corinna Vinschen) [2153371]
- igc: fix the validation logic for taprio's gate list (Corinna Vinschen) [2153371]
- igc: Add ndo_tx_timeout support (Corinna Vinschen) [2153371]
- igc: return an error if the mac type is unknown in igc_ptp_systim_to_hwtstamp() (Corinna Vinschen) [2153371]
- igc: Remove redundant pci_enable_pcie_error_reporting() (Corinna Vinschen) [2153371]
- igc: Fix PPS delta between two synchronized end-points (Corinna Vinschen) [2153371]
- igc: Remove reset adapter task for i226 during disable tsn config (Corinna Vinschen) [2153371]
- igc: enable Qbv configuration for 2nd GCL (Corinna Vinschen) [2153371]
- igc: remove I226 Qbv BaseTime restriction (Corinna Vinschen) [2153371]
- igc: Set Qbv start_time and end_time to end_time if not being configured in GCL (Corinna Vinschen) [2153371]
- igc: recalculate Qbv end_time by considering cycle time (Corinna Vinschen) [2153371]
- igc: allow BaseTime 0 enrollment for Qbv (Corinna Vinschen) [2153371]
- igc: Add checking for basetime less than zero (Corinna Vinschen) [2153371]
- igc: Use strict cycles for Qbv scheduling (Corinna Vinschen) [2153371]
- igc: Enhance Qbv scheduling by using first flag bit (Corinna Vinschen) [2153371]
- xfrm: Linearize the skb after offloading if needed. (Sabrina Dubroca) [2218900]
- xfrm: fix inbound ipv4/udp/esp packets to UDPv6 dualstack sockets (Sabrina Dubroca) [2218900]
- xfrm: add missed call to delete offloaded policies (Sabrina Dubroca) [2218900]
- af_key: Reject optional tunnel/BEET mode templates in outbound policies (Sabrina Dubroca) [2218900]
- xfrm: Reject optional tunnel/BEET mode templates in outbound policies (Sabrina Dubroca) [2218900]
- xfrm: Fix leak of dev tracker (Sabrina Dubroca) [2218900]
- xfrm: release all offloaded policy memory (Sabrina Dubroca) [2218900]
- xfrm: don't check the default policy if the policy allows the packet (Sabrina Dubroca) [2218900]
- x86/bugs: Workaround for incorrectly set X86_BUG_RETBLEED under VMware (Waiman Long) [2189577]
- sched/fair: Don't balance task to its current running CPU (Valentin Schneider) [2095206]
Resolves: rhbz#2095206, rhbz#2153371, rhbz#2164750, rhbz#2164764, rhbz#2165323, rhbz#2165355, rhbz#2165363, rhbz#2179545, rhbz#2189577, rhbz#2190491, rhbz#2210042, rhbz#2217964, rhbz#2218139, rhbz#2218388, rhbz#2218664, rhbz#2218900

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-17 09:01:13 +02:00
Jan Stancek
57c1e20a29 kernel-5.14.0-338.el9
* Wed Jul 12 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-338.el9]
- netfilter: snat: evict closing tcp entries on reply tuple collision (Florian Westphal) [2218543]
- fuse: fix deadlock between atomic O_TRUNC and page invalidation (Miklos Szeredi) [2207472]
- fuse: truncate pagecache on atomic_o_trunc (Miklos Szeredi) [2207472]
- bonding: do not assume skb mac_header is set (Hangbin Liu) [2218142]
- blk-mq: fix NULL dereference on q->elevator in blk_mq_elv_switch_none (Ming Lei) [2214456]
- blk-mq: don't insert passthrough request into sw queue (Ming Lei) [2214456]
- scsi: storvsc: Always set no_report_opcodes (Cathy Avery) [2217554]
- scsi: storvsc: Don't pass unused PFNs to Hyper-V host (Cathy Avery) [2217554]
- scsi: storvsc: Handle BlockSize change in Hyper-V VHD/VHDX file (Cathy Avery) [2217554]
- KVM: selftests: arm64: Fix pte encode/decode for PA bits > 48 (Eric Auger) [2203922]
- KVM: Protect vcpu->pid dereference via debugfs with RCU (Eric Auger) [2203922]
- kvm: kvm_main: Remove unnecessary (void*) conversions (Eric Auger) [2203922]
- KVM: Fix comments that refer to the non-existent install_new_memslots() (Eric Auger) [2203922]
- KVM: selftests: Fix spelling mistake "KVM_HYPERCAL_EXIT_SMC" -> "KVM_HYPERCALL_EXIT_SMC" (Eric Auger) [2203922]
- KVM: arm64: Test that SMC64 arch calls are reserved (Eric Auger) [2203922]
- KVM: arm64: Prevent guests from enabling HA/HD on Ampere1 (Eric Auger) [2203922]
- KVM: arm64: Refactor HFGxTR configuration into separate helpers (Eric Auger) [2203922]
- redhat/configs: Enable CONFIG_AMPERE_ERRATUM_AC03_CPU_38 (Eric Auger) [2203922]
- arm64: errata: Mitigate Ampere1 erratum AC03_CPU_38 at stage-2 (Eric Auger) [2203922]
- arm64/sysreg: Convert HFG[RW]TR_EL2 to automatic generation (Eric Auger) [2203922]
- KVM: arm64: timers: Fix resource leaks in kvm_timer_hyp_init() (Eric Auger) [2203922]
- KVM: arm64: Relax trapping of CTR_EL0 when FEAT_EVT is available (Eric Auger) [2203922]
- KVM: Fix vcpu_array[0] races (Eric Auger) [2203922]
- KVM: Don't enable hardware after a restart/shutdown is initiated (Eric Auger) [2203922]
- KVM: Use syscore_ops instead of reboot_notifier to hook restart/shutdown (Eric Auger) [2203922]
- arm64/sysreg: clean up some inconsistent indenting (Eric Auger) [2203922]
- KVM: selftests: arm64: Fix ttbr0_el1 encoding for PA bits > 48 (Eric Auger) [2203922]
- KVM: selftests: Comment newly defined aarch64 ID registers (Eric Auger) [2203922]
- KVM: selftests: Add test for SMCCC filter (Eric Auger) [2203922]
- KVM: selftests: Add a helper for SMCCC calls with SMC instruction (Eric Auger) [2203922]
- arm64: perf: Mark all accessor functions inline (Eric Auger) [2203922]
- perf/core: Drop __weak attribute from arch_perf_update_userpage() prototype (Eric Auger) [2203922]
- tools headers kvm: Sync uapi/{asm/linux} kvm.h headers with the kernel sources (Eric Auger) [2203922]
- tools kvm headers arm64: Update KVM header from the kernel sources (Eric Auger) [2203922]
- KVM: Avoid illegal stage2 mapping on invalid memory slot (Eric Auger) [2203922 2217329]
- KVM: arm64: Use raw_smp_processor_id() in kvm_pmu_probe_armpmu() (Eric Auger) [2203922]
- KVM: arm64: Restore GICv2-on-GICv3 functionality (Eric Auger) [2203922]
- KVM: arm64: PMU: Don't overwrite PMUSERENR with vcpu loaded (Eric Auger) [2203922]
- KVM: arm64: PMU: Restore the host's PMUSERENR_EL0 (Eric Auger) [2203922]
- arm64: kvm: add prototypes for functions called in asm (Eric Auger) [2203922]
- arm64: spectre: provide prototypes for internal functions (Eric Auger) [2203922]
- KVM: arm64: vgic: Add Apple M2 PRO/MAX cpus to the list of broken SEIS implementations (Eric Auger) [2203922]
- KVM: arm64: Clarify host SME state management (Eric Auger) [2203922]
- KVM: arm64: Restructure check for SVE support in FP trap handler (Eric Auger) [2203922]
- KVM: arm64: Document check for TIF_FOREIGN_FPSTATE (Eric Auger) [2203922]
- KVM: arm64: Fix repeated words in comments (Eric Auger) [2203922]
- KVM: arm64: Constify start/end/phys fields of the pgtable walker data (Eric Auger) [2203922]
- KVM: arm64: Infer PA offset from VA in hyp map walker (Eric Auger) [2203922]
- KVM: arm64: Infer the PA offset from IPA in stage-2 map walker (Eric Auger) [2203922]
- KVM: arm64: Ensure CPU PMU probes before pKVM host de-privilege (Eric Auger) [2203922]
- ARM: perf: Allow the use of the PMUv3 driver on 32bit ARM (Eric Auger) [2203922]
- ARM: Make CONFIG_CPU_V7 valid for 32bit ARMv8 implementations (Eric Auger) [2203922]
- perf: pmuv3: Change GENMASK to GENMASK_ULL (Eric Auger) [2203922]
- perf: pmuv3: Move inclusion of kvm_host.h to the arch-specific helper (Eric Auger) [2203922]
- perf: pmuv3: Abstract PMU version checks (Eric Auger) [2203922]
- arm64: perf: Abstract system register accesses away (Eric Auger) [2203922]
- arm64: perf: Move PMUv3 driver to drivers/perf (Eric Auger) [2203922]
- arm64/perf: Replace PMU version number '0' with ID_AA64DFR0_EL1_PMUVer_NI (Eric Auger) [2203922]
- KVM: arm64: Use the bitmap API to allocate bitmaps (Eric Auger) [2203922]
- KVM: arm64: Slightly optimize flush_context() (Eric Auger) [2203922]
- KVM: arm64: Prevent userspace from handling SMC64 arch range (Eric Auger) [2203922]
- KVM: arm64: Expose SMC/HVC width to userspace (Eric Auger) [2203922]
- KVM: arm64: Let errors from SMCCC emulation to reach userspace (Eric Auger) [2203922]
- KVM: arm64: Return NOT_SUPPORTED to guest for unknown PSCI version (Eric Auger) [2203922]
- KVM: arm64: Introduce support for userspace SMCCC filtering (Eric Auger) [2203922]
- KVM: arm64: Add support for KVM_EXIT_HYPERCALL (Eric Auger) [2203922]
- KVM: arm64: Use a maple tree to represent the SMCCC filter (Eric Auger) [2203922]
- KVM: arm64: Refactor hvc filtering to support different actions (Eric Auger) [2203922]
- KVM: arm64: Start handling SMCs from EL1 (Eric Auger) [2203922]
- KVM: arm64: Rename SMC/HVC call handler to reflect reality (Eric Auger) [2203922]
- KVM: arm64: Add vm fd device attribute accessors (Eric Auger) [2203922]
- KVM: arm64: Add a helper to check if a VM has ran once (Eric Auger) [2203922]
- KVM: x86: Redefine 'longmode' as a flag for KVM_EXIT_HYPERCALL (Eric Auger) [2203922]
- KVM: arm64: Document default vPMU behavior on heterogeneous systems (Eric Auger) [2203922]
- KVM: arm64: Iterate arm_pmus list to probe for default PMU (Eric Auger) [2203922]
- KVM: arm64: Drop last page ref in kvm_pgtable_stage2_free_removed() (Eric Auger) [2203922]
- KVM: arm64: Populate fault info for watchpoint (Eric Auger) [2203922]
- KVM: arm64: Reload PTE after invoking walker callback on preorder traversal (Eric Auger) [2203922]
- KVM: arm64: Handle trap of tagged Set/Way CMOs (Eric Auger) [2203922]
- arm64: Add missing Set/Way CMO encodings (Eric Auger) [2203922]
- KVM: arm64: Prevent unconditional donation of unmapped regions from the host (Eric Auger) [2203922]
- KVM: arm64: vgic: Fix a comment (Eric Auger) [2203922]
- KVM: arm64: vgic: Fix locking comment (Eric Auger) [2203922]
- KVM: arm64: vgic: Wrap vgic_its_create() with config_lock (Eric Auger) [2203922]
- KVM: arm64: vgic: Fix a circular locking issue (Eric Auger) [2203922]
- KVM: arm64: Make vcpu flag updates non-preemptible (Eric Auger) [2203922]
- KVM: arm64: Have kvm_psci_vcpu_on() use WRITE_ONCE() to update mp_state (Eric Auger) [2203922]
- KVM: arm64: Acquire mp_state_lock in kvm_arch_vcpu_ioctl_vcpu_init() (Eric Auger) [2203922]
- KVM: arm64: Fix buffer overflow in kvm_arm_set_fw_reg() (Eric Auger) [2203922]
- KVM: arm64: vhe: Drop extra isb() on guest exit (Eric Auger) [2203922]
- KVM: arm64: vhe: Synchronise with page table walker on MMU update (Eric Auger) [2203922]
- KVM: arm64: pkvm: Document the side effects of kvm_flush_dcache_to_poc() (Eric Auger) [2203922]
- KVM: arm64: nvhe: Synchronise with page table walker on TLBI (Eric Auger) [2203922]
- KVM: arm64: Handle 32bit CNTPCTSS traps (Eric Auger) [2203922]
- KVM: arm64: nvhe: Synchronise with page table walker on vcpu run (Eric Auger) [2203922]
- KVM: arm64: vgic: Don't acquire its_lock before config_lock (Eric Auger) [2203922]
- KVM: arm64: Advertise ID_AA64PFR0_EL1.CSV2/3 to protected VMs (Eric Auger) [2203922]
- KVM: arm64: PMU: Restore the guest's EL0 event counting after migration (Eric Auger) [2203922]
- KVM: arm64: Use config_lock to protect vgic state (Eric Auger) [2203922]
- KVM: arm64: Use config_lock to protect data ordered against KVM_RUN (Eric Auger) [2203922]
- KVM: arm64: Avoid lock inversion when setting the VM register width (Eric Auger) [2203922]
- KVM: arm64: Avoid vcpu->mutex v. kvm->lock inversion in CPU_ON (Eric Auger) [2203922]
- KVM: arm64: selftests: Augment existing timer test to handle variable offset (Eric Auger) [2203922]
- KVM: arm64: selftests: Deal with spurious timer interrupts (Eric Auger) [2203922]
- KVM: arm64: selftests: Add physical timer registers to the sysreg list (Eric Auger) [2203922]
- KVM: arm64: nv: timers: Support hyp timer emulation (Eric Auger) [2203922]
- KVM: arm64: nv: timers: Add a per-timer, per-vcpu offset (Eric Auger) [2203922]
- KVM: arm64: Document KVM_ARM_SET_CNT_OFFSETS and co (Eric Auger) [2203922]
- KVM: arm64: timers: Abstract the number of valid timers per vcpu (Eric Auger) [2203922]
- KVM: arm64: timers: Fast-track CNTPCT_EL0 trap handling (Eric Auger) [2203922]
- KVM: arm64: Elide kern_hyp_va() in VHE-specific parts of the hypervisor (Eric Auger) [2203922]
- KVM: arm64: timers: Move the timer IRQs into arch_timer_vm_data (Eric Auger) [2203922]
- KVM: arm64: timers: Abstract per-timer IRQ access (Eric Auger) [2203922]
- KVM: arm64: timers: Rationalise per-vcpu timer init (Eric Auger) [2203922]
- KVM: arm64: timers: Allow save/restoring of the physical timer (Eric Auger) [2203922]
- KVM: arm64: timers: Allow userspace to set the global counter offset (Eric Auger) [2203922]
- KVM: arm64: Expose {un,}lock_all_vcpus() to the rest of KVM (Eric Auger) [2203922]
- KVM: arm64: timers: Allow physical offset without CNTPOFF_EL2 (Eric Auger) [2203922]
- KVM: arm64: timers: Use CNTPOFF_EL2 to offset the physical timer (Eric Auger) [2203922]
- arm64: Add HAS_ECV_CNTPOFF capability (Eric Auger) [2203922]
- arm64: Add CNTPOFF_EL2 register definition (Eric Auger) [2203922]
- KVM: arm64: timers: Use a per-vcpu, per-timer accumulator for fractional ns (Eric Auger) [2203922]
- KVM: arm64: timers: Convert per-vcpu virtual offset to a global value (Eric Auger) [2203922]
- KVM: arm64: nv: Use reg_to_encoding() to get sysreg ID (Eric Auger) [2203922]
- KVM: arm64: nv: Only toggle cache for virtual EL2 when SCTLR_EL2 changes (Eric Auger) [2203922]
- KVM: arm64: nv: Filter out unsupported features from ID regs (Eric Auger) [2203922]
- KVM: arm64: nv: Emulate EL12 register accesses from the virtual EL2 (Eric Auger) [2203922]
- KVM: arm64: nv: Allow a sysreg to be hidden from userspace only (Eric Auger) [2203922]
- KVM: arm64: nv: Emulate PSTATE.M for a guest hypervisor (Eric Auger) [2203922]
- KVM: arm64: nv: Add accessors for SPSR_EL1, ELR_EL1 and VBAR_EL1 from virtual EL2 (Eric Auger) [2203922]
- KVM: arm64: nv: Handle SMCs taken from virtual EL2 (Eric Auger) [2203922]
- KVM: arm64: nv: Handle trapped ERET from virtual EL2 (Eric Auger) [2203922]
- KVM: arm64: nv: Inject HVC exceptions to the virtual EL2 (Eric Auger) [2203922]
- KVM: arm64: nv: Support virtual EL2 exceptions (Eric Auger) [2203922]
- KVM: arm64: nv: Handle HCR_EL2.NV system register traps (Eric Auger) [2203922]
- KVM: arm64: nv: Add nested virt VCPU primitives for vEL2 VCPU state (Eric Auger) [2203922]
- KVM: arm64: nv: Add EL2 system registers to vcpu context (Eric Auger) [2203922]
- KVM: arm64: nv: Allow userspace to set PSR_MODE_EL2x (Eric Auger) [2203922]
- KVM: arm64: nv: Reset VCPU to EL2 registers if VCPU nested virt is set (Eric Auger) [2203922]
- KVM: arm64: nv: Introduce nested virtualization VCPU feature (Eric Auger) [2203922]
- KVM: arm64: Use the S2 MMU context to iterate over S2 table (Eric Auger) [2203922]
- arm64: Add ARM64_HAS_NESTED_VIRT cpufeature (Eric Auger) [2203922]
- KVM: arm64: Check for kvm_vma_mte_allowed in the critical section (Eric Auger) [2203922]
- KVM: arm64: Disable interrupts while walking userspace PTs (Eric Auger) [2203922]
- KVM: arm64: Retry fault if vma_lookup() results become invalid (Eric Auger) [2203922]
- KVM: arm64: Limit length in kvm_vm_ioctl_mte_copy_tags() to INT_MAX (Eric Auger) [2203922]
- Documentation: admin-guide: Document side effects when pKVM is enabled (Eric Auger) [2203922]
- KVM: arm64: Mark some VM-scoped allocations as __GFP_ACCOUNT (Eric Auger) [2203922]
- KVM: arm64: Fix non-kerneldoc comments (Eric Auger) [2203922]
- KVM: arm64: Allow no running vcpu on saving vgic3 pending table (Eric Auger) [2203922]
- KVM: arm64: Allow no running vcpu on restoring vgic3 LPI pending status (Eric Auger) [2203922]
- KVM: arm64: Add helper vgic_write_guest_lock() (Eric Auger) [2203922]
- KVM: arm64: vgic-v3: Use kstrtobool() instead of strtobool() (Eric Auger) [2203922]
- KVM: arm64: vgic-v3: Limit IPI-ing when accessing GICR_{C,S}ACTIVER0 (Eric Auger) [2203922]
- KVM: arm64: Synchronize SMEN on vcpu schedule out (Eric Auger) [2203922]
- KVM: arm64: vgic: Allow registration of a non-maskable maintenance interrupt (Eric Auger) [2203922]
- KVM: arm64: Kill CPACR_EL1_TTA definition (Eric Auger) [2203922]
- arm64/mm: Drop unused restore_ttbr1 (Eric Auger) [2203922]
- KVM: selftests: aarch64: Construct DEFAULT_MAIR_EL1 using sysreg.h macros (Eric Auger) [2203922]
- KVM: arm64: Enable ring-based dirty memory tracking (Eric Auger) [2203922]
- sctp: fix potential deadlock on &net->sctp.addr_wq_lock (Xin Long) [2219170]
- sctp: fix an error code in sctp_sf_eat_auth() (Xin Long) [2219170]
- sctp: handle invalid error codes without calling BUG() (Xin Long) [2219170]
- sctp: fix an issue that plpmtu can never go to complete state (Xin Long) [2219170]
- sctp: add bpf_bypass_getsockopt proto callback (Xin Long) [2219170]
- sctp: fix a potential OOB access in sctp_sched_set_sched() (Xin Long) [2219170]
- bnxt_en: Fix a possible NULL pointer dereference in unload path (Ken Cox) [2209648]
- bnxt_en: Fix the double free during device removal (Ken Cox) [2209648]
- bnxt_en: Remove runtime interrupt vector allocation (Ken Cox) [2209648]
- RDMA/bnxt_re: Remove the sriov config callback (Ken Cox) [2209648]
- bnxt_en: Remove struct bnxt access from RoCE driver (Ken Cox) [2209648]
- bnxt_en: Use auxiliary bus calls over proprietary calls (Ken Cox) [2209648]
- bnxt_en: Use direct API instead of indirection (Ken Cox) [2209648]
- bnxt_en: Remove usage of ulp_id (Ken Cox) [2209648]
- RDMA/bnxt_re: Use auxiliary driver interface (Ken Cox) [2209648]
- bnxt_en: Add auxiliary driver support (Ken Cox) [2209648]
- virt/sev-guest: Add a MODULE_ALIAS (Vitaly Kuznetsov) [2216883]
- audit: avoid missing-prototype warnings (Richard Guy Briggs) [2175323]
- audit: update the mailing list in MAINTAINERS (Richard Guy Briggs) [2175323]
- audit: fix undefined behavior in bit shift for AUDIT_BIT (Richard Guy Briggs) [2175323]
- dm: use op specific max_sectors when splitting abnormal io (Benjamin Marzinski) [2214084]
- dm thin: fix issue_discard to pass GFP_NOIO to __blkdev_issue_discard (Benjamin Marzinski) [2215416]
- dm thin metadata: check fail_io before using data_sm (Benjamin Marzinski) [2215410]
- dm: don't lock fs when the map is NULL during suspend or resume (Benjamin Marzinski) [2215410]
- redhat: rpminspect: fix perf coresight tests paths (Jan Stancek)
Resolves: rhbz#2175323, rhbz#2203922, rhbz#2207472, rhbz#2209648, rhbz#2214084, rhbz#2214456, rhbz#2215410, rhbz#2215416, rhbz#2216883, rhbz#2217329, rhbz#2217554, rhbz#2218142, rhbz#2218543, rhbz#2219170

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-12 10:00:44 +02:00
Jan Stancek
cb52092d7e kernel-5.14.0-336.el9
* Fri Jul 07 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-336.el9]
- nvme-core: fix dev_pm_qos memleak (Chris Leech) [RHEL-647]
- nvme-core: add missing fault-injection cleanup (Chris Leech) [RHEL-647]
- nvme-core: fix memory leak in dhchap_ctrl_secret (Chris Leech) [RHEL-647]
- nvme-core: fix memory leak in dhchap_secret_store (Chris Leech) [RHEL-647]
- x86/MCE/AMD: Clear DFR errors found in THR handler (David Arcari) [2190284]
- fuse: allow non-extending parallel direct writes on the same file (Miklos Szeredi) [2216046]
- selftests: rtnetlink: remove netdevsim device after ipsec offload test (Hangbin Liu) [2218131]
- selftests: forwarding: hw_stats_l3: Set addrgenmode in a separate step (Hangbin Liu) [2218131]
- selftests: fib_tests: mute cleanup error message (Hangbin Liu) [2218131]
- selftets: seg6: disable rp_filter by default in srv6_end_dt4_l3vpn_test (Hangbin Liu) [2218131]
- selftests: seg6: disable DAD on IPv6 router cfg for srv6_end_dt4_l3vpn_test (Hangbin Liu) [2218131]
- selftests: srv6: make srv6_end_dt46_l3vpn_test more robust (Hangbin Liu) [2218131]
- ipvlan: fix bound dev checking for IPv6 l3s mode (Hangbin Liu) [2196710]
- s390/ipl: add eckd dump support (Tobias Huschle) [2160053]
- net: add vlan_get_protocol_and_depth() helper (Paolo Abeni) [2217529]
- net: fix skb leak in __skb_tstamp_tx() (Paolo Abeni) [2217529]
- tcp/udp: Fix memleaks of sk and zerocopy skbs with TX timestamp. (Paolo Abeni) [2217529]
- skbuff: Fix a race between coalescing and releasing SKBs (Paolo Abeni) [2217529]
- x86/platform/uv: Update UV[23] platform code for SNC (Frank Ramsay) [2163466]
- x86/platform/uv: Remove remaining BUG_ON() and BUG() calls (Frank Ramsay) [2163466]
- x86/platform/uv: UV support for sub-NUMA clustering (Frank Ramsay) [2163466]
- x86/platform/uv: Helper functions for allocating and freeing conversion tables (Frank Ramsay) [2163466]
- x86/platform/uv: When searching for minimums, start at INT_MAX not 99999 (Frank Ramsay) [2163466]
- x86/platform/uv: Fix printed information in calc_mmioh_map (Frank Ramsay) [2163466]
- x86/platform/uv: Introduce helper function uv_pnode_to_socket. (Frank Ramsay) [2163466]
- x86/platform/uv: Add platform resolving #defines for misc GAM_MMIOH_REDIRECT* (Frank Ramsay) [2163466]
- nvme-tcp: fence TCP socket on receive error (Chris Leech) [2139643 2159909 2173109 2175038]
- tcp: deny tcp_disconnect() when threads are waiting (Paolo Abeni) [2217511]
- tcp: tcp_make_synack() can be called from process context (Paolo Abeni) [2217511]
- vdpa/mlx5: should not activate virtq object when suspended (Cindy Lu) [RHEL-407]
- CI: provide kpet_tree_name for non-RHEL pipelines (Michael Hofmann)
- Enable CONFIG_BT on aarch64 (Charles Mirabile) [2187856]
Resolves: rhbz#2139643, rhbz#2159909, rhbz#2160053, rhbz#2163466, rhbz#2173109, rhbz#2175038, rhbz#2187856, rhbz#2190284, rhbz#2196710, rhbz#2216046, rhbz#2217511, rhbz#2217529, rhbz#2218131, RHEL-407, RHEL-647

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-07 07:47:20 +02:00
Jan Stancek
f4ef30fd5c kernel-5.14.0-335.el9
* Tue Jul 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-335.el9]
- r8152: fix the autosuspend doesn't work (Jose Ignacio Tornos Martinez) [2159265]
- r8152: move setting r8153b_rx_agg_chg_indicate() (Jose Ignacio Tornos Martinez) [2159265]
- r8152: fix the poor throughput for 2.5G devices (Jose Ignacio Tornos Martinez) [2159265]
- r8152: fix flow control issue of RTL8156A (Jose Ignacio Tornos Martinez) [2159265]
- r8152: Add __GFP_NOWARN to big allocations (Jose Ignacio Tornos Martinez) [2159265]
- r8152: reduce the control transfer of rtl8152_get_version() (Jose Ignacio Tornos Martinez) [2159265]
- r8152: remove rtl_vendor_mode function (Jose Ignacio Tornos Martinez) [2159265]
- r8152: avoid to change cfg for all devices (Jose Ignacio Tornos Martinez) [2159265]
- r8152: add vendor/device ID pair for Microsoft Devkit (Jose Ignacio Tornos Martinez) [2159265]
- cdc_ether: no need to blacklist any r8152 devices (Jose Ignacio Tornos Martinez) [2159265]
- r8152: add USB device driver for config selection (Jose Ignacio Tornos Martinez) [2159265]
- net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem (Jose Ignacio Tornos Martinez) [2159265]
- net: usb: cdc_ether: add u-blox 0x1343 composition (Jose Ignacio Tornos Martinez) [2159265]
- r8152: Add MAC passthrough support for Lenovo Travel Hub (Jose Ignacio Tornos Martinez) [2159265]
- r8152: allow userland to disable multicast (Jose Ignacio Tornos Martinez) [2159265]
- r8152: add PID for the Lenovo OneLink+ Dock (Jose Ignacio Tornos Martinez) [2159265]
- r8152: fix the RX FIFO settings when suspending (Jose Ignacio Tornos Martinez) [2159265]
- r8152: fix the units of some registers for RTL8156A (Jose Ignacio Tornos Martinez) [2159265]
- r8152: fix a WOL issue (Jose Ignacio Tornos Martinez) [2159265]
- r8152: fix accessing unset transport header (Jose Ignacio Tornos Martinez) [2159265]
- net: usb: r8152: Add in new Devices that are supported for Mac-Passthru (Jose Ignacio Tornos Martinez) [2159265]
- USB: zaurus: support another broken Zaurus (Jose Ignacio Tornos Martinez) [2159265]
- Revert "net: usb: r8152: Add MAC passthrough support for more Lenovo Docks" (Jose Ignacio Tornos Martinez) [2159265]
- r8152: sync ocp base (Jose Ignacio Tornos Martinez) [2159265]
- r8152: fix the force speed doesn't work for RTL8156 (Jose Ignacio Tornos Martinez) [2159265]
- net: usb: r8152: Add MAC passthrough support for more Lenovo Docks (Jose Ignacio Tornos Martinez) [2159265]
- net: usb: use eth_hw_addr_set() for dev->addr_len cases (Jose Ignacio Tornos Martinez) [2159265]
- r8152: avoid to resubmit rx immediately (Jose Ignacio Tornos Martinez) [2159265]
- udplite: Fix NULL pointer dereference in __sk_mem_raise_allocated(). (Paolo Abeni) [2217518]
- fsdax: force clear dirty mark if CoW (Bill O'Donnell) [2192730]
- fsdax: dedupe should compare the min of two iters' length (Bill O'Donnell) [2192730]
- fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN (Bill O'Donnell) [2192730]
- fsdax: dax_unshare_iter() should return a valid length (Bill O'Donnell) [2192730]
- xfs: remove restrictions for fsdax and reflink (Bill O'Donnell) [2192730]
- fsdax,xfs: port unshare to fsdax (Bill O'Donnell) [2192730]
- xfs: use dax ops for zero and truncate in fsdax mode (Bill O'Donnell) [2192730]
- fsdax: dedupe: iter two files at the same time (Bill O'Donnell) [2192730]
- fsdax,xfs: set the shared flag when file extent is shared (Bill O'Donnell) [2192730]
- fsdax: zero the edges if source is HOLE or UNWRITTEN (Bill O'Donnell) [2192730]
- fsdax: invalidate pages when CoW (Bill O'Donnell) [2192730]
- fsdax: introduce page->share for fsdax in reflink mode (Bill O'Donnell) [2192730]
- xfs: fix incorrect return type for fsdax fault handlers (Bill O'Donnell) [2192730]
- xfs: on memory failure, only shut down fs after scanning all mappings (Bill O'Donnell) [2192730]
- mm/memory-failure: fall back to vma_address() when ->notify_failure() fails (Bill O'Donnell) [2192730]
- mm/memory-failure: fix detection of memory_failure() handlers (Bill O'Donnell) [2192730]
- xfs: fix SB_BORN check in xfs_dax_notify_failure() (Bill O'Donnell) [2192730]
- xfs: quiet notify_failure EOPNOTSUPP cases (Bill O'Donnell) [2192730]
- xfs: add dax dedupe support (Bill O'Donnell) [2192730]
- xfs: support CoW in fsdax mode (Bill O'Donnell) [2192730]
- fsdax: dedup file range to use a compare function (Bill O'Donnell) [2192730]
- fsdax: add dax_iomap_cow_copy() for dax zero (Bill O'Donnell) [2192730]
- fsdax: replace mmap entry in case of CoW (Bill O'Donnell) [2192730]
- fsdax: introduce dax_iomap_cow_copy() (Bill O'Donnell) [2192730]
- fsdax: output address in dax_iomap_pfn() and rename it (Bill O'Donnell) [2192730]
- fsdax: set a CoW flag when associate reflink mappings (Bill O'Donnell) [2192730]
- xfs: implement ->notify_failure() for XFS (Bill O'Donnell) [2192730]
- mm: introduce mf_dax_kill_procs() for fsdax case (Bill O'Donnell) [2192730]
- fsdax: introduce dax_lock_mapping_entry() (Bill O'Donnell) [2192730]
- pagemap,pmem: introduce ->memory_failure() (Bill O'Donnell) [2192730]
- dax: introduce holder for dax_device (Bill O'Donnell) [2192730]
- Documentation: net: net.core.txrehash is not specific to listening sockets (Antoine Tenart) [2214966]
- net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV (Antoine Tenart) [2214966]
- net: tcp: make the txhash available in TIME_WAIT sockets for IPv4 too (Antoine Tenart) [2214966]
- tcp: fix possible sk_priority leak in tcp_v4_send_reset() (Antoine Tenart) [2214966]
- net: ipv6: fix skb hash for some RST packets (Antoine Tenart) [2214966]
- ipv6: tcp: send consistent autoflowlabel in RST packets (Antoine Tenart) [2214966]
- ipv6: tcp: send consistent autoflowlabel in SYN_RECV state (Antoine Tenart) [2214966]
- ALSA: Update kernel configuration for 9.3 (Jaroslav Kysela) [2179848]
- ASoC: tegra: Fix Master Volume Control (Jaroslav Kysela) [2179848]
- soundwire: dmi-quirks: add new mapping for HP Spectre x360 (Jaroslav Kysela) [2179848]
- soundwire: qcom: add proper error paths in qcom_swrm_startup() (Jaroslav Kysela) [2179848]
- soundwire: stream: Add missing clear of alloc_slave_rt (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa883x: do not set can_multi_write flag (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa881x: do not set can_multi_write flag (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd938x-sdw: do not set can_multi_write flag (Jaroslav Kysela) [2179848]
- ASoC: simple-card: Add missing of_node_put() in case of error (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: fix for acp_lock access in pdm driver (Jaroslav Kysela) [2179848]
- ASoC: nau8824: Add quirk to active-high jack-detect (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add Thinkpad Neo14 to quirks list for acp6x (Jaroslav Kysela) [2179848]
- ASoC: mediatek: mt8195: fix use-after-free in driver remove path (Jaroslav Kysela) [2179848]
- ASoC: max98363: Removed 32bit support (Jaroslav Kysela) [2179848]
- ASoC: max98363: limit the number of channel to 1 (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Remove NULL check from cs35l56_sdw_dai_set_stream() (Jaroslav Kysela) [2179848]
- ASoC: simple-card-utils: fix PCM constraint error check (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: Enable BCI bit if SAI works on synchronous mode with BYP asserted (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01 (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add Lenovo P3 Tower platform (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add "Intel Reference board" and "NUC 13" SSID in the ALC256 (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using CS35L41 (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for Clevo NS50AU (Jaroslav Kysela) [2179848]
- ALSA: ice1712,ice1724: fix the kcontrol->id initialization (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Fix kctl->id initialization (Jaroslav Kysela) [2179848]
- ALSA: cmipci: Fix kctl->id initialization (Jaroslav Kysela) [2179848]
- ALSA: gus: Fix kctl->id initialization (Jaroslav Kysela) [2179848]
- ALSA: hda: Fix kctl->id initialization (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Enable 4 amplifiers instead of 2 on a HP platform (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Enable headset onLenovo M70/M90 (Jaroslav Kysela) [2179848]
- regmap: sdw: check for invalid multi-register writes config (Jaroslav Kysela) [2179848]
- ALSA: hda/ca0132: add quirk for EVGA X299 DARK (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add missing checks on FE startup (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Fix avs_path_module::instance_id size (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Account for UID of ACPI device (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Fix declaration of enum avs_channel_config (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Access path components under lock (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Fix module lookup (Jaroslav Kysela) [2179848]
- ALSA: hda: Fix unhandled register update during auto-suspend period (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm: test if a BE can be prepared (Jaroslav Kysela) [2179848]
- ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table (Jaroslav Kysela) [2179848]
- ALSA: oss: avoid missing-prototype warnings (Jaroslav Kysela) [2179848]
- ALSA: cs46xx: mark snd_cs46xx_download_image as static (Jaroslav Kysela) [2179848]
- ALSA: hda: Fix Oops by 9.1 surround channel names (Jaroslav Kysela) [2179848]
- ASoC: rt5682: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848]
- ASoC: SOF: Separate the tokens for input and output pin index (Jaroslav Kysela) [2179848]
- ASoC: SOF: topology: Fix tuples array allocation (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helper to program SoundWire PCMSyCM registers (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: initialize instance_offset member (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: use 'ml_addr' parameter consistently (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: fix base_ptr computation (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helper to get SoundWire hlink (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: fix sublink refcounting (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Prevent unbalanced pm_runtime in dsp_work() on SoundWire (Jaroslav Kysela) [2179848]
- ASoC: SOF: topology: Fix logic for copying tuples (Jaroslav Kysela) [2179848]
- ASoC: dwc: move DMA init to snd_soc_dai_driver probe() (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent in dai_config (Jaroslav Kysela) [2179848]
- ASoC: SOF: pm: save io region state in case of errors in resume (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848]
- ASoC: SOF: pcm: fix pm_runtime imbalance in error handling (Jaroslav Kysela) [2179848]
- ASoC: SOF: debug: conditionally bump runtime_pm counter on exceptions (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V (Jaroslav Kysela) [2179848]
- ASoC: lpass: Fix for KASAN use_after_free out of bounds (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops (Jaroslav Kysela) [2179848]
- tools include UAPI: Sync the sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848]
- ASoC: ssm2602: Add workaround for playback distortions (Jaroslav Kysela) [2179848]
- ASoC: fsl_micfil: Fix error handler with pm_runtime_enable (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data function (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for Clevo L140AU (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12 (Jaroslav Kysela) [2179848]
- ALSA: pcm: use exit controlled loop in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848]
- ALSA: pcm: simplify top-up mode init in snd_pcm_playback_silence() (Jaroslav Kysela) [2179848]
- ALSA: pcm: playback silence - move silence variable updates to separate function (Jaroslav Kysela) [2179848]
- ALSA: pcm: playback silence - remove extra code (Jaroslav Kysela) [2179848]
- ALSA: pcm: fix playback silence - correct incremental silencing (Jaroslav Kysela) [2179848]
- ALSA: pcm: fix playback silence - use the actual new_hw_ptr for the threshold mode (Jaroslav Kysela) [2179848]
- ALSA: pcm: Revert "ALSA: pcm: rewrite snd_pcm_playback_silence()" (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop (Jaroslav Kysela) [2179848]
- ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd938x: fix accessing regmap on unattached devices (Jaroslav Kysela) [2179848]
- ALSA: docs: Fix code block indentation in ALSA driver example (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add Asus VivoBook Pro 14 OLED M6400RC to the quirks list for acp6x (Jaroslav Kysela) [2179848]
- ALSA: docs: Extend module parameters description (Jaroslav Kysela) [2179848]
- ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: use more existing defines instead of open-coded numbers (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add ASUS M3402RA into DMI table (Jaroslav Kysela) [2179848]
- ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor IDs. (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: use high-level I/O in set_filterQ() (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: fix error handling in snd_audigy_i2c_volume_put() (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: don't stop DSP in _snd_emu10k1_{,audigy_}init_efx() (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: skip Sound Blaster-specific hacks for E-MU cards (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: fixup DSP defines (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: use high-level I/O functions also during init (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: fix SNDRV_EMU10K1_IOCTL_SINGLE_STEP (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: pull in some register definitions from kX-project (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: remove some bogus defines (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: eliminate some unused defines (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: fix lineup of EMU_HANA_* defines (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: comment updates (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: fix snd_emu1010_fpga_read() input masking for rev2 cards (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: remove unused emu->pcm_playback_efx_substream field (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: remove unused `resume` parameter from snd_emu10k1_init() (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: minor optimizations (Jaroslav Kysela) [2179848]
- ASoC: max98090: make it selectable (Jaroslav Kysela) [2179848]
- ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Rate limit usb_set_interface error reporting (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: remove remaining cruft from snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: clean up P16V part somewhat (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: clarify various fx8010.*_mask fields (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: remove apparently pointless EMU_HANA_OPTION_CARDS reads (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: remove apparently pointless FPGA reads (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: remove unused snd_emu10k1_voice.emu field (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: stop doing weird things with HCFG in snd_emu10k1_emu1010_init() (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: remove obsolete card type variable and defines (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: fix access to Audigy GPIO port (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_pointer() (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: properly assert E-MU FPGA access constaints (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: drop redundant snd_emu10k1_efx_playback_hw_free() (Jaroslav Kysela) [2179848]
- ALSA: docs: writing-an-alsa-driver.rst: polishing (Jaroslav Kysela) [2179848]
- ASoC: fsl: imx-audmix: remove dummy dai_link->platform (Jaroslav Kysela) [2179848]
- ASoC: fsl: imx-audmix: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848]
- ASoC: fsl: imx-spdif: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848]
- ASoC: fsl: imx-es8328: cleanup platform which is using Generic DMA (Jaroslav Kysela) [2179848]
- ASoC: SOF: pcm: Add an option to skip platform trigger during stop (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Do not stop/start DMA during pause/release (Jaroslav Kysela) [2179848]
- ALSA: pcm: rewrite snd_pcm_playback_silence() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: Split the set_power_op for IPC3 and IPC4 (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Remove duplicate mbox log messages (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_sdw: append codec type to dai link name (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_sdw: support different devices on the same sdw link (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_sdw: set codec_num = 1 if the device is not aggregated (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_sdw_max98373: change sof_sdw_mx8373_late_probe to static call (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_sdw: remove late_probe flag in struct sof_sdw_codec_info (Jaroslav Kysela) [2179848]
- ASoC: Intel: soc-acpi: Add entry for rt711-sdca-sdw at link 2 in RPL match table (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_cirrus_common: Guard against missing buses (Jaroslav Kysela) [2179848]
- ASoC: SOF: Use no_reply calls for TX (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc: Add no reply inline calls (Jaroslav Kysela) [2179848]
- ASoC: es8316: Don't use ranges based register lookup for a single register (Jaroslav Kysela) [2179848]
- ASoC: fsl: Restore configuration of platform (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Remove specific patch for Dell Precision 3260 (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Rename mixer source defines for SoundWire DP1 (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Remove SDW2RX1 mixer source (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Remove SDW1 TX5 and TX6 (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Update comment on masking of EINT20 interrupts (Jaroslav Kysela) [2179848]
- ASoC: nau8825: fix bounds check for adc_delay (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: Fix pins setting for i.MX8QM platform (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd938x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd934x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd934x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd9335: Simplify with dev_err_probe (Jaroslav Kysela) [2179848]
- ASoC: fsl_asrc_dma: fix potential null-ptr-deref (Jaroslav Kysela) [2179848]
- ALSA: hda/hdmi: Remove some dead code (Jaroslav Kysela) [2179848]
- ASoC: fsl: Simplify an error message (Jaroslav Kysela) [2179848]
- ASoC: cs35l41: Fix default regmap values for some registers (Jaroslav Kysela) [2179848]
- ASoC: ssm2602: Add support for CLKDIV2 (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Don't return a value from cs35l56_remove() (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Remove redundant dsp_ready_completion (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Wait for init_complete in cs35l56_component_probe() (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Allow a wider range for reset pulse width (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Rework IRQ allocation (Jaroslav Kysela) [2179848]
- ASoC: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: nau8825: Add delay control for input path (Jaroslav Kysela) [2179848]
- ALSA: hda/hdmi: disable KAE for Intel DG2 (Jaroslav Kysela) [2179848]
- ASoC: da7218: Use devm_clk_get_optional() (Jaroslav Kysela) [2179848]
- ASoC: da7219: Improve the relability of AAD IRQ process (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 (Jaroslav Kysela) [2179848]
- ASoC: amd: Add check for acp config flags (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: mtl: fix page fault in dspless mode when DSP is disabled (Jaroslav Kysela) [2179848]
- ASoC: tas5720: add missing unwind goto in tas5720_codec_probe (Jaroslav Kysela) [2179848]
- ASoC: ep93xx: Add OF support (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Re-patch firmware after system suspend (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Add basic system suspend handling (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Always wait for firmware boot in runtime-resume (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Skip first init_completion wait in dsp_work if init_done (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Use DAPM widget for firmware PLAY/PAUSE (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Remove quick-cancelling of dsp_work() (Jaroslav Kysela) [2179848]
- ASoC: ep93xx: i2s: Make it individually selectable (Jaroslav Kysela) [2179848]
- ASoC: cs4271: flat regcache, trivial simplifications (Jaroslav Kysela) [2179848]
- ASoC: ep93xx: i2s: move enable call to startup callback (Jaroslav Kysela) [2179848]
- ASoC: amd: Add Dell G15 5525 to quirks list (Jaroslav Kysela) [2179848]
- ASoC: mediatek: mt8186: set variable aud_pinctrl to static (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Print the format_val as hexadecimal number (Jaroslav Kysela) [2179848]
- ASoC: max98373: change power down sequence for smart amp (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: MTL: conditionally wake WPIO1PG domain (Jaroslav Kysela) [2179848]
- ASoC: Intel: Add rpl_mx98360_rt5682 driver (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: add __func__ in SoundWire lcount() error logs (Jaroslav Kysela) [2179848]
- ALSA: hda: patch_realtek: add quirk for Asus N7601ZM (Jaroslav Kysela) [2179848]
- ALSA: hda: LNL: add HD Audio PCI ID (Jaroslav Kysela) [2179848]
- ASoC: max98363: Make soc_codec_dev_max98363 static (Jaroslav Kysela) [2179848]
- soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow (Jaroslav Kysela) [2179848]
- ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() (Jaroslav Kysela) [2179848]
- ALSA: document that struct __snd_pcm_mmap_control64 is messed up (Jaroslav Kysela) [2179848]
- ASoC: rt712-sdca: Add RT712 SDCA driver for Mic topology (Jaroslav Kysela) [2179848]
- ASoC: add snd_soc_card_mutex_lock/unlock() (Jaroslav Kysela) [2179848]
- ASoC: expand snd_soc_dpcm_mutex_lock/unlock() (Jaroslav Kysela) [2179848]
- ASoC: expand snd_soc_dapm_mutex_lock/unlock() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: tidyup dapm_connect_dai_pair() (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: documentation updates (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: update label & help in config system (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: don't create old pass-through playback device on Audigy (Jaroslav Kysela) [2179848]
- ALSA: emu10k1: fix capture interrupt handler unlinking (Jaroslav Kysela) [2179848]
- ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards (Jaroslav Kysela) [2179848]
- ALSA: i2c/cs8427: fix iec958 mixer control deactivation (Jaroslav Kysela) [2179848]
- ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard (Jaroslav Kysela) [2179848]
- ALSA: pcm: fix wait_time calculations (Jaroslav Kysela) [2179848]
- ASoC: SOF: pm: Tear down pipelines only if DSP was active (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helper to retrieve eml_lock (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helper to offload link ownership (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helpers to retrieve DMIC/SSP hlink (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: program SoundWire LSDIID registers (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helper to check cmdsync (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helpers for sync_arm/sync_go (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helpers to set link SYNC frequency (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helpers to enable/check interrupts (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helper to return sublink count (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add convenience helpers for SoundWire PM (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: introduce helpers for 'extended links' PM (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: special-case HDaudio regular links (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add structures to parse ALT links (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: move to a dedicated module (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add return value for hda_bus_ml_get_capabilities() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: improve hda_bus_ml_free() helper (Jaroslav Kysela) [2179848]
- ALSA: hda: add HDaudio Extended link definitions (Jaroslav Kysela) [2179848]
- Documentation: sound: add description of Intel HDaudio multi-links (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-tgl: Allow DSPless mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-skl: Allow DSPless mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-mtl: Allow DSPless mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-icl: Allow DSPless mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-cnl: Allow DSPless mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-apl: Allow DSPless mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: make DSPless mode work with DSP disabled in BIOS (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Add support for DSPless mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Skip interfaces not supported on a platform (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add support for DSPless mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add flag and state which will be used for DSP-less mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-stream: Do not dereference hstream until it is safe (Jaroslav Kysela) [2179848]
- ASoC: tegra20_ac97: Add missing unwind goto in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2179848]
- ASoC: fsl_mqs: move of_node_put() to the correct location (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Clarify bind failure caused by missing fw_module (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: refactor dmic codec platform device creation (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: refactor error checks in probe call (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: refactor get_chip_info callback (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: remove unused variables (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: remove acp_dai_probe() function (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: remove unused code (Jaroslav Kysela) [2179848]
- ASoC: soc.h: remove unused params/num_params (Jaroslav Kysela) [2179848]
- ASoC: samsung: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848]
- ASoC: meson: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848]
- ASoC: audio-graph-card2: switch to use c2c_params instead of params (Jaroslav Kysela) [2179848]
- ASoC: soc.h: clarify Codec2Codec params (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for Clevo X370SNW (Jaroslav Kysela) [2179848]
- ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: update the acp clock source. (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: max98363: add soundwire amplifier (Jaroslav Kysela) [2179848]
- ASoC: max98363: add soundwire amplifier driver (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-va-macro: Add missing NPL clock (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4/intel: Fix spelling mistake "schduler" -> "scheduler" (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4/intel: Add missing mutex_unlock() (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4/intel: Add support for chained DMA (Jaroslav Kysela) [2179848]
- ASoC: SOF: avoid a NULL dereference with unsupported widgets (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Use register macro in place of integer literal (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Use u16 consistently for old_legacy_ctrl (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Store additional legacy registers on suspend (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Store saved legacy registers in an array (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Move allocation of saved registers to struct snd_ymfpci (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Switch to DEFINE_SIMPLE_DEV_PM_OPS() (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Add error messages for abritrary IO ports on older chips (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Fix BUG_ON in probe function (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: Create card with device-managed snd_devm_card_new() (Jaroslav Kysela) [2179848]
- ASoC: soc-topology.c: dai_link->platform again (Jaroslav Kysela) [2179848]
- firmware: cs_dsp: Add a debugfs entry containing control details (Jaroslav Kysela) [2179848]
- ASoC: wm8903: Remove outdated DMIC comment (Jaroslav Kysela) [2179848]
- ASoC: es8316: Handle optional IRQ assignment (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z (Jaroslav Kysela) [2179848]
- ASoC: da7213.c: add missing pm_runtime_disable() (Jaroslav Kysela) [2179848]
- ASoC: qcom: audioreach: drop stray 'get' from error message (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-va-macro: Add SM8550 VA macro (Jaroslav Kysela) [2179848]
- ASoC: audio-graph-card2-custom-sample.dtsi: use card->name to avoid long name (Jaroslav Kysela) [2179848]
- ASoC: soc-core.c: add snd_soc_add_pcm_runtimes() (Jaroslav Kysela) [2179848]
- ALSA: asihpi: remove unused loop_count variable (Jaroslav Kysela) [2179848]
- ASoC: meson: Use the devm_clk_get_optional() helper (Jaroslav Kysela) [2179848]
- ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() (Jaroslav Kysela) [2179848]
- ASoC: dapm: Sort speakers after other outputs (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Remove redundant return statement in cs35l56_spi_probe() (Jaroslav Kysela) [2179848]
- ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Fix regression on detection of Roland VS-100 (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Fix an unsigned comparison which can never be negative (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt5682-sdw: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt715-sdca: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt715: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt712-sdca: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt711-sdca: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt711-sdw: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt700-sdw: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt1318-sdw: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt1316-sdw: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt1308-sdw: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: max98373-sdw: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: sdw-mockup: simplify set_stream (Jaroslav Kysela) [2179848]
- ASoC: codecs: cs42l42-sdw: clear stream (Jaroslav Kysela) [2179848]
- ASoC: simple-card.c: add missing of_node_put() (Jaroslav Kysela) [2179848]
- ASoC: soc-topology.c: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848]
- ASoC: ti: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848]
- ASoC: atmel: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848]
- ASoC: fsl: remove unnecessary dai_link->platform (Jaroslav Kysela) [2179848]
- ALSA: hdspm: remove unused copy_u32_le function (Jaroslav Kysela) [2179848]
- ASoC: qcom: sdw: do not restart soundwire ports for every prepare (Jaroslav Kysela) [2179848]
- ASoC: qcom: q6apm-lpass-dai: close graphs before opening a new one (Jaroslav Kysela) [2179848]
- mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass: fix the order or clks turn off during suspend (Jaroslav Kysela) [2179848]
- ALSA: docs: A few more words for PCM XRUN handling and stream locks (Jaroslav Kysela) [2179848]
- ALSA: docs: Add description about ack callback -EPIPE error handling (Jaroslav Kysela) [2179848]
- ALSA: pcm: Improved XRUN handling for indirect PCM helpers (Jaroslav Kysela) [2179848]
- soundwire: intel_auxdevice: improve pm_prepare step (Jaroslav Kysela) [2179848]
- soundwire: bus: Update sdw_nread/nwrite_no_pm to handle page boundaries (Jaroslav Kysela) [2179848]
- soundwire: bus: Update kernel doc for no_pm functions (Jaroslav Kysela) [2179848]
- soundwire: bus: Remove now outdated comments on no_pm IO (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Fix support for Dell Precision 3260 (Jaroslav Kysela) [2179848]
- ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 (Jaroslav Kysela) [2179848]
- ASoC: SOF: pcm: Improve the pcm trigger sequence (Jaroslav Kysela) [2179848]
- ASoC: SOF: pcm: Make hw_params reset conditional for IPC3 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Do not perform DMA cleanup during stop (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: Remove conditional dpcm_capture setting (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: Update BT offload config for MTL RVP (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: Update BT offload config for Rex (Jaroslav Kysela) [2179848]
- soundwire: stream: uniquify dev_err() logs (Jaroslav Kysela) [2179848]
- soundwire: stream: remove bus->dev from logs on multiple buses (Jaroslav Kysela) [2179848]
- ASoC: tegra: remove unneeded semicolon (Jaroslav Kysela) [2179848]
- ASoC: simple-card: add comment to indicate don't remove platforms (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-control: Return on error in sof_ipc4_widget_kcontrol_setup() (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Add macros for chain-dma message bits (Jaroslav Kysela) [2179848]
- ASoC: SOF: topology: Set pipeline widget before updating IPC structures (Jaroslav Kysela) [2179848]
- soundwire: amd: add pm_prepare callback and pm ops support (Jaroslav Kysela) [2179848]
- soundwire: amd: handle SoundWire wake enable interrupt (Jaroslav Kysela) [2179848]
- soundwire: amd: add runtime pm ops for AMD SoundWire manager driver (Jaroslav Kysela) [2179848]
- soundwire: amd: add SoundWire manager interrupt handling (Jaroslav Kysela) [2179848]
- soundwire: amd: enable build for AMD SoundWire manager driver (Jaroslav Kysela) [2179848]
- soundwire: amd: register SoundWire manager dai ops (Jaroslav Kysela) [2179848]
- soundwire: amd: Add support for AMD Manager driver (Jaroslav Kysela) [2179848]
- soundwire: export sdw_compute_slave_ports() function (Jaroslav Kysela) [2179848]
- soundwire: intel: don't save hw_params for use in prepare (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Fix recursive locking at XRUN during syncing (Jaroslav Kysela) [2179848]
- ALSA: hda/conexant: Partial revert of a quirk for Lenovo (Jaroslav Kysela) [2179848]
- ASoC: cs35l45: Hibernation support (Jaroslav Kysela) [2179848]
- ASoC: cs35l45: DSP Support (Jaroslav Kysela) [2179848]
- ASoC: cs35l56: Add driver for Cirrus Logic CS35L56 (Jaroslav Kysela) [2179848]
- ASoC: wm_adsp: Simplify the logging of requested firmware files (Jaroslav Kysela) [2179848]
- ASoC: wm_adsp: Add support for loading bin files without wmfw (Jaroslav Kysela) [2179848]
- ASoC: wm_adsp: Expose the DSP boot work actions as wm_adsp_power_up() (Jaroslav Kysela) [2179848]
- ASoC: wm_adsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848]
- firmware: cs_dsp: Support DSPs that don't require firmware download (Jaroslav Kysela) [2179848]
- ASoC: wm_adsp: Use no_core_startstop to prevent creating preload control (Jaroslav Kysela) [2179848]
- firmware: cs_dsp: Introduce no_core_startstop for self-booting DSPs (Jaroslav Kysela) [2179848]
- mfd: arizona-i2c: Add the missing device table IDs for OF (Jaroslav Kysela) [2179848]
- ASoC: cs35l41: Add 12288000 clk freq to cs35l41_fs_mon clk config (Jaroslav Kysela) [2179848]
- ALSA: portman2x4: remove unused portman_read_command,data functions (Jaroslav Kysela) [2179848]
- ALSA: ymfpci: remove unused snd_ymfpci_readb function (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirks for some Clevo laptops (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Initialize in_format to NULL in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: update pipeline_params in process prepare (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: set copier output format for process module (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Add support for base config extension (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: add base module config extension structure (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: add effect widget support (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Move the kcontrol module_id update to helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: use common helper function in copier prepare (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: support multiple configs for BE DAIs (Jaroslav Kysela) [2179848]
- ASoC: fsl: Specify driver name in ASoC card (Jaroslav Kysela) [2179848]
- ASoC: fsl: define a common DRIVER_NAME (Jaroslav Kysela) [2179848]
- soundwire: stream: restore cumulative bus bandwidth when compute_params callback failed (Jaroslav Kysela) [2179848]
- ASoC: cs35l45: IRQ support (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: cs35l45: GPIOs configuration (Jaroslav Kysela) [2179848]
- ASoC: cs35l45: Support for GPIO pins configuration. (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: rembrandt: Drop if blocks with always false condition (Jaroslav Kysela) [2179848]
- ALSA: sh: aica: Drop if blocks with always false condition (Jaroslav Kysela) [2179848]
- soundwire: bandwidth allocation: Use hweight32() to calculate set bits (Jaroslav Kysela) [2179848]
- soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848]
- ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848]
- ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 (Jaroslav Kysela) [2179848]
- soundwire: cadence: change access to IP_MCP_CMD_BASE (Jaroslav Kysela) [2179848]
- soundwire: cadence: split access to IP_MCP_CMDCTRL fields (Jaroslav Kysela) [2179848]
- soundwire: cadence: split access to IP_MCP_CONTROL fields (Jaroslav Kysela) [2179848]
- soundwire: cadence: split access to IP_MCP_CONFIG fields (Jaroslav Kysela) [2179848]
- soundwire: cadence: add helpers to access IP_MCP registers (Jaroslav Kysela) [2179848]
- soundwire: cadence: remove CDNS_MCP_CONFIG_SSPMOD (Jaroslav Kysela) [2179848]
- soundwire: intel: move bank switch routine to common intel_bus_common.c (Jaroslav Kysela) [2179848]
- soundwire: intel: add abstraction for cmdsync check (Jaroslav Kysela) [2179848]
- soundwire: intel: move bus common sequences to different file (Jaroslav Kysela) [2179848]
- soundwire: intel: use indirection before moving bus start/stop sequences (Jaroslav Kysela) [2179848]
- soundwire: intel: add sync_arm/sync_go to ops (Jaroslav Kysela) [2179848]
- soundwire: intel: simplify sync_go sequence (Jaroslav Kysela) [2179848]
- soundwire: intel: remove useless abstraction (Jaroslav Kysela) [2179848]
- soundwire: intel: remove PDI-level restrictions on rates and formats (Jaroslav Kysela) [2179848]
- soundwire: intel: remove stale/misleading comment (Jaroslav Kysela) [2179848]
- soundwire: intel: move common definitions to header file (Jaroslav Kysela) [2179848]
- ASoC: mt8192: Move spammy messages to debug level (Jaroslav Kysela) [2179848]
- ASoC: mt8192: Remove function name log messages (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Search only pin 0 formats (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Add new tokens for input/output pin format count (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Remove the ref_audio_fmt field (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Modify the signature of sof_ipc4_init_audio_fmt() (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Modify the type of available input/output formats (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Always parse the output formats in topology (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Parse the SOF_COMP_TOKENS only once (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Do not parse the DMA_BUFFER_SIZE token (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Add a new field in struct sof_ipc4_available_audio_format (Jaroslav Kysela) [2179848]
- ASoC: SOF: Use input/output pin consistently (Jaroslav Kysela) [2179848]
- ASoC: SOF: rename a couple of tokens (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-control: Add support for bytes control get and put (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Add support for TPLG_CTL_BYTES (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-control: set_volume_data only applies to VOLSW family (Jaroslav Kysela) [2179848]
- ASoC: SOF: uapi: header: Update sof_abi_hdr doc for IPC4 use (Jaroslav Kysela) [2179848]
- ASoC: SOF: uapi: header: Convert sof_abi_hdr comments to kernel style (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc3-control: Merge functions to handle bytes_ext get variants (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc3-control: Rename snd_sof_refresh_control() (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: add support for setting up loopback routes (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass-wsa-macro: add support for SM8550 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-wsa-macro: Add SM8550 WSA macro (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass-tx-macro: add support for SM8550 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-tx-macro: Add SM8550 TX macro (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-tx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass-rx-macro: add support for SM8550 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-rx-macro: Add SM8550 RX macro (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-rx-macro: narrow clocks per variants (Jaroslav Kysela) [2179848]
- ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() (Jaroslav Kysela) [2179848]
- ALSA: asihpi: check pao in control_message() (Jaroslav Kysela) [2179848]
- ASoC: codecs: zl38060: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848]
- ASoC: codecs: src4xxx-i2c: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848]
- ASoC: codecs: rt1019: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848]
- ASoC: codecs: pcm179x-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848]
- ASoC: codecs: adau1977-spi: Mark OF related data as maybe unused (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: Enable Bluetooth offload on adl_rt1019_rt5682 (Jaroslav Kysela) [2179848]
- ALSA: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848]
- ALSA: ppc/tumbler: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848]
- ASoC: Use of_property_read_bool() for boolean properties (Jaroslav Kysela) [2179848]
- ASoC: Use of_property_present() for testing DT property presence (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: MTL: Don't access EM2 (Jaroslav Kysela) [2179848]
- ASoC: SMA1303: set sma_i2c_regmap storage-class-specifier to static (Jaroslav Kysela) [2179848]
- ASoC: cs35l41: Steam Deck Shared boost properties quirk (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm (Jaroslav Kysela) [2179848]
- ASoC: hdmi-codec: only startup/shutdown on supported streams (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Enable cont_update_posn variable in pcm hw_params. (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Add pcm pointer callback for amd platforms. (Jaroslav Kysela) [2179848]
- ASoC: tegra: Support MAX9808x by machine driver (Jaroslav Kysela) [2179848]
- ASoC: tegra: Support RT5631 by machine driver (Jaroslav Kysela) [2179848]
- ASoC: tegra: Support coupled mic-hp detection (Jaroslav Kysela) [2179848]
- ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro (Jaroslav Kysela) [2179848]
- ASoC: da7219: Initialize jack_det_mutex (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: remove redundant DAI config during hw_free (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Remove hda_ctrl_dai_widget_setup/free() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Unify DAI drv ops for IPC3 and IPC4 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Define DAI widget DMA trigger ops for IPC4 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Use the topology IPC dai_config op (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Add setup_hext_stream/reset_hext_stream DMA ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Define and set the HDA DAI widget DMA ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Introduce DAI widget ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Use the dai argument in ipc4_hda_dai_trigger (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Pass the CPU dai pointer (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Modify the signature of hda_link_dma_cleanup() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: remove struct hda_pipe_params (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Remove hda_link_dma_params() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: Remove BE DAI DRV ops for SSP DAI's (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: add core token in each module extended token list (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Add support for core_id for pipelines (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Add macro to set the core_id in create_pipe message (Jaroslav Kysela) [2179848]
- ASoC: SOF: loader: Remove log prefixes for snd_sof_run_firmware (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc3: Check for upper size limit for the received message (Jaroslav Kysela) [2179848]
- ASoC: SOF: topology: Fix error handling in sof_widget_ready() (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: don't squelch errors in WIDGET_SETUP phase (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: Fix broken early bclk feature for SSP (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-mtrace: process pending logs upon FW crash (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: don't allocate blob if it will not be used (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: set dmic dai index from copier (Jaroslav Kysela) [2179848]
- ASoC: SOF: IPC4: update gain ipc msg definition to align with fw (Jaroslav Kysela) [2179848]
- ASoC: Intel: soc-acpi: fix copy-paste issue in topology names (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and exiting reset (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-tng: revert invalid bar size setting (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: mtl: Access MTL_HFPWRCTL from HDA_DSP_BAR (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-loader: use SOF helper for consistency (Jaroslav Kysela) [2179848]
- ASOC: SOF: Intel: pci-tgl: Fix device description (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: SKL: Fix device description (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: HDA: Fix device description (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: MTL: Fix the device description (Jaroslav Kysela) [2179848]
- ASoC: mediatek: mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848]
- ASoC: mediatek: mt6358: fix UNINIT problem (Jaroslav Kysela) [2179848]
- ASoC: mediatek: mt9195-mt6359: fix UNINIT problem (Jaroslav Kysela) [2179848]
- ASoC: mediatek: mt8195: add dai id check before accessing array (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: aoss: Document QDU1000/QRU1000 compatible (Jaroslav Kysela) [2179848]
- ALSA: hda: intel-dsp-config: add MTL PCI id (Jaroslav Kysela) [2179848]
- ASoC: soc-core.c: remove useless dev_dbg() (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm.c: remove indirect runtime copy (Jaroslav Kysela) [2179848]
- ASoC: soc-dai.c: add missing flag check at snd_soc_pcm_dai_probe() (Jaroslav Kysela) [2179848]
- ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds (Jaroslav Kysela) [2179848]
- dt-bindings: yamllint: Require a space after a comment '#' (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Use struct_size for struct avs_modcfg_ext size (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: nau8825: Adjust clock control (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: ssm4567: Remove nau8825 bits (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt5682: Explicitly define codec format (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: da7219: Explicitly define codec format (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: max98357a: Explicitly define codec format (Jaroslav Kysela) [2179848]
- ASoC: maxim,max9867: add "mclk" support (Jaroslav Kysela) [2179848]
- ASoC: clarify that SND_SOC_IMX_SGTL5000 is the old driver (Jaroslav Kysela) [2179848]
- ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP (Jaroslav Kysela) [2179848]
- ASoC: qcom: common: add kcontrol to jack pins (Jaroslav Kysela) [2179848]
- ELF: fix all "Elf" typos (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm: add option to start DMA after DAI (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) (Jaroslav Kysela) [2179848]
- ASoC: zl38060 add gpiolib dependency (Jaroslav Kysela) [2179848]
- ASoC: mt6358: Remove undefined HPx Mux enumeration values (Jaroslav Kysela) [2179848]
- ASoC: mt6358: Validate Wake on Voice 2 writes (Jaroslav Kysela) [2179848]
- ASoC: mt6358: Fix event generation for wake on voice stage 2 switch (Jaroslav Kysela) [2179848]
- ALSA: ice1712: Delete unreachable code in aureon_add_controls() (Jaroslav Kysela) [2179848]
- ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Fix SERDES reset sequence (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Fix final status read on SERDES reset (Jaroslav Kysela) [2179848]
- ASoC: adau7118: don't disable regulators on device unbind (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Replace fake flexible arrays with flexible-array member (Jaroslav Kysela) [2179848]
- ALSA: cs35l41: Add shared boost feature (Jaroslav Kysela) [2179848]
- ASoC: cs35l41: Refactor error release code (Jaroslav Kysela) [2179848]
- ASoC: cs35l41: Only disable internal boost (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC (Jaroslav Kysela) [2179848]
- soundwire: qcom: gracefully handle too many ports in DT (Jaroslav Kysela) [2179848]
- soundwire: qcom: define hardcoded version magic numbers (Jaroslav Kysela) [2179848]
- soundwire: qcom: correct setting ignore bit on v1.5.1 (Jaroslav Kysela) [2179848]
- ASoC: tas571x: add support for TAS5733 (Jaroslav Kysela) [2179848]
- ASoC: tas571x: add tas5733 compatible (Jaroslav Kysela) [2179848]
- ASoC: jack: allow multiple interrupt per gpio (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Improve support for Dell Precision 3260 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,wcd9335: Convert to dtschema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,wcd934x: Reference dai-common (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a amplifier (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: MTL: Enable DMI L1 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Restrict DMI L1 disable workaround (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Do not re-enable L1 if disabled before suspend (Jaroslav Kysela) [2179848]
- ASoC: sh: rz-ssi: Update interrupt handling for half duplex channels (Jaroslav Kysela) [2179848]
- MAINTAINERS: add the Freescale QMC audio entry (Jaroslav Kysela) [2179848]
- ASoC: fsl: Add support for QMC audio (Jaroslav Kysela) [2179848]
- dt-bindings: sound: Add support for QMC audio (Jaroslav Kysela) [2179848]
- MAINTAINERS: add the Freescale QMC controller entry (Jaroslav Kysela) [2179848]
- soc: fsl: cpm1: Add support for QMC (Jaroslav Kysela) [2179848]
- dt-bindings: soc: fsl: cpm_qe: Add QMC controller (Jaroslav Kysela) [2179848]
- powerpc/8xx: Use a larger CPM1 command check mask (Jaroslav Kysela) [2179848]
- MAINTAINERS: add the Freescale TSA controller entry (Jaroslav Kysela) [2179848]
- soc: fsl: cpm1: Add support for TSA (Jaroslav Kysela) [2179848]
- dt-bindings: soc: fsl: cpm_qe: Add TSA controller (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: Add components prefix in structs and function names (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: Centralize strings definition (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: Move nau8821 and CPU side code up for future platform (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: Check Bit Clock rate before snd_soc_dai_set_pll (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: use for_each_rtd_components instead of for (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: remove unnecessarily included headers (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: use sizeof of variable instead of struct type (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: Small code refactor (Jaroslav Kysela) [2179848]
- ASoC: amd: vangogh: Remove unnecessary init function (Jaroslav Kysela) [2179848]
- ASoC: nau8821: Implement DRC controls (Jaroslav Kysela) [2179848]
- ASoC: SMA1303: Change the value for right output (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak (Jaroslav Kysela) [2179848]
- ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared (Jaroslav Kysela) [2179848]
- ASoC: soc-ac97: Return correct error codes (Jaroslav Kysela) [2179848]
- ASoC: cs35l45: Remove separate namespace for tables (Jaroslav Kysela) [2179848]
- ASoC: cs35l45: Remove separate tables module (Jaroslav Kysela) [2179848]
- ASoC: da7219: Improve the IRQ process to increase the stability (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dsp: Set streaming flag for d0i3 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: Enable d0i3 work for ipc4 (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Wake up dsp core before sending ipc msg (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dsp: use set_pm_gate according to ipc version (Jaroslav Kysela) [2179848]
- ASoC: SOF: Introduce a new set_pm_gate() IPC PM op (Jaroslav Kysela) [2179848]
- ASoC: tlv320adcx140: extend list of supported samplerates (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Fix struct definition (Jaroslav Kysela) [2179848]
- ASoC: imx-pcm-rpmsg: Remove unused variable (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd934x: Use min macro for comparison and assignment (Jaroslav Kysela) [2179848]
- ASoC: soc-ac97: Convert to agnostic GPIO API (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Enable Amp High Pass Filter (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Ensure firmware/tuning pairs are always loaded (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Correct error condition handling (Jaroslav Kysela) [2179848]
- ASoC: codecs: Modify error implicit declaration of function 'gpiod_set_value_cansleep' (Jaroslav Kysela) [2179848]
- ASoC: codecs: Modify the log print statement (Jaroslav Kysela) [2179848]
- ASoC: codecs: Fixed a spelling error in the function name (Jaroslav Kysela) [2179848]
- ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek - fixed wrong gpio assigned (Jaroslav Kysela) [2179848]
- ASoC: codecs: Remove unneeded semicolon (Jaroslav Kysela) [2179848]
- ALSA: hda: Fix codec device field initializan (Jaroslav Kysela) [2179848]
- ASoC: rt712-sdca: fix coding style and unconditionally return issues (Jaroslav Kysela) [2179848]
- ASoC: rt5640: Update MCLK rate in set_sysclk() (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: set copier sink format (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Print queue IDs in error (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass: remove not so useful verbose log (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass: do not reset soundwire block on clk enable (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass: fix incorrect mclk rate (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass: register mclk after runtime pm (Jaroslav Kysela) [2179848]
- ASoC: qcom: audioreach: fix ADSP ready check (Jaroslav Kysela) [2179848]
- ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag (Jaroslav Kysela) [2179848]
- ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer (Jaroslav Kysela) [2179848]
- ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2179848]
- ALSA: hda: remove redundant variable in snd_hdac_stream_start() (Jaroslav Kysela) [2179848]
- ASoC: SMA1303: Remove the sysclk setting in devicetree (Jaroslav Kysela) [2179848]
- ALSA: hda/conexant: add a new hda codec SN6180 (Jaroslav Kysela) [2179848]
- SoC: rt5682s: Disable jack detection interrupt during suspend (Jaroslav Kysela) [2179848]
- ALSA: ppc: fix unused function local variable (Jaroslav Kysela) [2179848]
- ASoC: codecs: max98090: simplify snd_soc_dai_driver (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: maxim,max98090: Convert to dtschema (Jaroslav Kysela) [2179848]
- ASoC: SOF: ops: refine parameters order in function snd_sof_dsp_update8 (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom,apr: correct qcom,intents type (Jaroslav Kysela) [2179848]
- ASoC: SMA1303: Convert the TDM slot properties in devicetree to mixer (Jaroslav Kysela) [2179848]
- ASoC: SMA1303: Remove the I2C Retry property in devicetree (Jaroslav Kysela) [2179848]
- ASoC: topology: Return -ENOMEM on memory allocation failure (Jaroslav Kysela) [2179848]
- ALSA: core: Make snd_card_free() return void (Jaroslav Kysela) [2179848]
- ALSA: core: Make snd_card_free_when_closed() return void (Jaroslav Kysela) [2179848]
- ALSA: core: Make snd_card_disconnect() return void (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add Positivo N14KP6-TG (Jaroslav Kysela) [2179848]
- ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() (Jaroslav Kysela) [2179848]
- ASoC: rt712-sdca: Add RT712 SDCA driver for Jack and Amp topology (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: fix getting version from VERID (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,wcd934x: Allow usage as IFD device (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,wcd934x: Describe slim-ifc-dev (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,wsa881x: Allow sound-name-prefix (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6apm-dai: adjust iommus for SM8550 ADSP (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 (Jaroslav Kysela) [2179848]
- MAINTAINERS: add the Infineon PEB2466 codec entry (Jaroslav Kysela) [2179848]
- ASoC: codecs: Add support for the Infineon PEB2466 codec (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Add the Infineon PEB2466 codec (Jaroslav Kysela) [2179848]
- ASoC: codecs: Fix unsigned comparison with less than zero (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 (Jaroslav Kysela) [2179848]
- ASoC: codecs: aw88395: initialize cur_scene_id to 0 (Jaroslav Kysela) [2179848]
- ASoC: codecs: es8326: Fix DTS properties reading (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Fix for handling spurious interrupts from DSP (Jaroslav Kysela) [2179848]
- ASoC: codecs: fix platform_no_drv_owner.cocci warning (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: meson: fix gx-card codec node regex (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: create component common schema (Jaroslav Kysela) [2179848]
- ALSA: fireface: add field for the number of messages copied to user space (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: add delay function support (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: mtl: add get_stream_position support (Jaroslav Kysela) [2179848]
- ASoC: SOF: add get_stream_position ops for pcm delay (Jaroslav Kysela) [2179848]
- ASoC: SOF: add delay function support in sof framework (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: add hw_params (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: allocate time info for pcm delay feature (Jaroslav Kysela) [2179848]
- ASoC: SOF: add time info structure for ipc4 path (Jaroslav Kysela) [2179848]
- ASoC: SOF: add fw_info_box support (Jaroslav Kysela) [2179848]
- ASoC: SOF: add ipc4_fw_reg header file (Jaroslav Kysela) [2179848]
- ASoC: codecs: aw88395: Fix spelling mistake "cersion" -> "version" (Jaroslav Kysela) [2179848]
- ASoC: SOF: fix intel-soundwire link failure (Jaroslav Kysela) [2179848]
- ALSA: hda: Fix the control element identification for multiple codecs (Jaroslav Kysela) [2179848]
- ASoC: amd: update ps platform acp header file (Jaroslav Kysela) [2179848]
- ASoC: topology: Set correct unload callback for graph type (Jaroslav Kysela) [2179848]
- ASoC: SOF: topology: Add missed "else" in sof_connect_dai_widget (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: use helper function (Jaroslav Kysela) [2179848]
- ALSA: pci: lx6464es: fix a debug loop (Jaroslav Kysela) [2179848]
- ASoC: sma1303: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ASoC: soc-dai.h: cleanup Playback/Capture data for snd_soc_dai (Jaroslav Kysela) [2179848]
- ASoC: soc-topology.c: use helper function (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm.c: use helper function (Jaroslav Kysela) [2179848]
- ASoC: soc-dai.c: use helper function (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: use helper function (Jaroslav Kysela) [2179848]
- ASoC: soc-core.c: use helper function (Jaroslav Kysela) [2179848]
- ASoC: ti: use helper function (Jaroslav Kysela) [2179848]
- ASoC: tegra: use helper function (Jaroslav Kysela) [2179848]
- ASoC: sof: use helper function (Jaroslav Kysela) [2179848]
- ASoC: rockchip: use helper function (Jaroslav Kysela) [2179848]
- ASoC: meson: use helper function (Jaroslav Kysela) [2179848]
- ASoC: intel: use helper function (Jaroslav Kysela) [2179848]
- ASoC: sdw-mockup: use helper function (Jaroslav Kysela) [2179848]
- ASoC: spear: use helper function (Jaroslav Kysela) [2179848]
- ASoC: cirrus: use helper function (Jaroslav Kysela) [2179848]
- ASoC: rt: use helper function (Jaroslav Kysela) [2179848]
- ASoC: max: use helper function (Jaroslav Kysela) [2179848]
- ASoC: hda: use helper function (Jaroslav Kysela) [2179848]
- ASoC: hdmi-codec: use helper function (Jaroslav Kysela) [2179848]
- ASoC: soc.h: add snd_soc_card_is_instantiated() helper (Jaroslav Kysela) [2179848]
- ASoC: soc-dai.h: add snd_soc_dai_get/set_widget_playback/capture() helper (Jaroslav Kysela) [2179848]
- ASoC: soc-dai.h: add snd_soc_dai_tdm_mask_set/get() helper (Jaroslav Kysela) [2179848]
- ASoC: soc-dai.h: add snd_soc_dai_dma_data_set/get() for low level (Jaroslav Kysela) [2179848]
- ASoC: soc-dai.h: add missing snd_soc_dai_set_widget() (Jaroslav Kysela) [2179848]
- ALSA: doc: Fix PCM interface section typos (Jaroslav Kysela) [2179848]
- ALSA: firewire-motu: fix unreleased lock warning in hwdep device (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: fix uninitialized local variable (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Refactor bit width calculation (Jaroslav Kysela) [2179848]
- ASoC: SMA1303: Fix spelling mistake "Invald" -> "Invalid" (Jaroslav Kysela) [2179848]
- ASoC: rt715-sdca: fix clock stop prepare timeout issue (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 (Jaroslav Kysela) [2179848]
- Fix up more non-executable files marked executable (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: add entry for TAS5720A-Q1 driver (Jaroslav Kysela) [2179848]
- ASoC: tas5720: set bit 7 in ANALOG_CTRL_REG for TAS5720A-Q1 during probe (Jaroslav Kysela) [2179848]
- ASoC: codecs: tas5720: add support for TAS5720A-Q1 (automotive) variant (Jaroslav Kysela) [2179848]
- ASoC: codecs: tas5720: split a tas5720_mute_soc_component() function (Jaroslav Kysela) [2179848]
- ASoC: topology: Use unload() op directly (Jaroslav Kysela) [2179848]
- ASoC: topology: Unify kcontrol removal code (Jaroslav Kysela) [2179848]
- ASoC: topology: Remove unnecessary check for EOF (Jaroslav Kysela) [2179848]
- ASoC: topology: Return an error on complete() failure (Jaroslav Kysela) [2179848]
- ASoC: topology: Pass correct pointer instead of casting (Jaroslav Kysela) [2179848]
- ASoC: topology: Remove unnecessary forward declarations (Jaroslav Kysela) [2179848]
- ASoC: topology: Rename remove_ handlers (Jaroslav Kysela) [2179848]
- ASoC: topology: Fix function name (Jaroslav Kysela) [2179848]
- ASoC: topology: Fix typo in functions name (Jaroslav Kysela) [2179848]
- ASoC: topology: Remove unused SOC_TPLG_PASS_PINS constant (Jaroslav Kysela) [2179848]
- ASoC: topology: Properly access value coming from topology file (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Wait for debounce interval after resume (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Don't set idle_bias_on (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Add SoundWire support (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Export some functions for SoundWire (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Separate ASP config from PLL config (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Ensure MCLKint is a multiple of the sample rate (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Add SOFT_RESET_REBOOT register (Jaroslav Kysela) [2179848]
- soundwire: stream: Add specific prep/deprep commands to port_prep callback (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: Do not run the trigger pipelines if no spipe is stored (Jaroslav Kysela) [2179848]
- ASoC: SOF: Protect swidget->use_count with mutex for kcontrol access race (Jaroslav Kysela) [2179848]
- ASoC: SOF: Avoid double decrementing use_count in sof_widget_setup on error (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Protect pipeline free with mutex (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: Implement pipeline trigger reference counting (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: Rename 'data' variable to trigger_list (Jaroslav Kysela) [2179848]
- ASoC: SOF: Introduce struct snd_sof_pipeline (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: Use the PCM stream's pipeline_info during trigger (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: Populate the PCM stream pipeline_info (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Add flag to skip triggering pipelines during FE DAI trigger (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-pcm: Define pcm_setup/free ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: Introduce PCM setup/free PCM IPC ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: topology: Set IPC-specific trigger order for DAI links (Jaroslav Kysela) [2179848]
- ASoC: SOF: pcm: do not free widgets during suspend trigger (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: Only process widgets in the connected widget list (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: Set up/free DAI/AIF widgets only once (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm: Export widget_in_list() (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: No need to unbind routes within a pipeline (Jaroslav Kysela) [2179848]
- Documentation: sound: correct spelling (Jaroslav Kysela) [2179848]
- treewide: fix up files incorrectly marked executable (Jaroslav Kysela) [2179848]
- ASoC: cs42l56: fix DT probe (Jaroslav Kysela) [2179848]
- ASoC: fsl-asoc-card: constify fsl_asoc_card_dai (Jaroslav Kysela) [2179848]
- scripts/spelling.txt: add "exsits" pattern and fix typo instances (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: add buffer type support (Jaroslav Kysela) [2179848]
- MAINTAINERS: add the Renesas IDT821034 codec entry (Jaroslav Kysela) [2179848]
- ASoC: codecs: Add support for the Renesas IDT821034 codec (Jaroslav Kysela) [2179848]
- ASoC: Add Renesas IDT821034 codec bindings (Jaroslav Kysela) [2179848]
- ASoC: The Iron Device SMA1303 is a boosted Class-D audio amplifier. (Jaroslav Kysela) [2179848]
- ALSA: memalloc: Workaround for Xen PV (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: use different channel mask for each sdw amp feedback (Jaroslav Kysela) [2179848]
- ASoC: Kconfig: fix spelling of "up to" (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa88xx: remove unneeded includes (Jaroslav Kysela) [2179848]
- ASoC: codecs: constify static sdw_port_config struct (Jaroslav Kysela) [2179848]
- ASoC: codecs: constify static sdw_slave_ops struct (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa883x: correct playback min/max rates (Jaroslav Kysela) [2179848]
- ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Add Acer Predator PH315-54 (Jaroslav Kysela) [2179848]
- soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() (Jaroslav Kysela) [2179848]
- soundwire: cadence: further simplify low-level xfer_msg_defer() callback (Jaroslav Kysela) [2179848]
- soundwire: cadence: use directly bus sdw_defer structure (Jaroslav Kysela) [2179848]
- soundwire: bus: Remove unused reset_page_addr() callback (Jaroslav Kysela) [2179848]
- soundwire: bus: Don't zero page registers after every transaction (Jaroslav Kysela) [2179848]
- ASoC: ts3a227e: add set_jack and get_jack_type (Jaroslav Kysela) [2179848]
- ASoC: simple-card-utils: create jack inputs for aux_devs (Jaroslav Kysela) [2179848]
- ASoC: soc-component: add get_jack_type (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Simplify probe-component implementation (Jaroslav Kysela) [2179848]
- ASoC: qcom: dt-bindings: lpass-va-macro: Update clock name (Jaroslav Kysela) [2179848]
- Docs/sound/index: Add missing SPDX License Identifier (Jaroslav Kysela) [2179848]
- ASoC: codecs/jz4760: add digital gain controls (Jaroslav Kysela) [2179848]
- Docs/subsystem-apis: Remove '[The ]Linux' prefixes from titles of listed documents (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure (Jaroslav Kysela) [2179848]
- ASoC: hdmi-codec: zero clear HDMI pdata (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() (Jaroslav Kysela) [2179848]
- ALSA: hda: Do not unset preset when cleaning up codec (Jaroslav Kysela) [2179848]
- ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() (Jaroslav Kysela) [2179848]
- ASoC: imx-hdmi: Use dev_err_probe (Jaroslav Kysela) [2179848]
- soundwire: bus: remove sdw_defer argument in sdw_transfer_defer() (Jaroslav Kysela) [2179848]
- soundwire: stream: use consistent pattern for freeing buffers (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform (Jaroslav Kysela) [2179848]
- ASoC: qcom: sdm845: add remark about unneeded compatibles (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,sm8250: use fallback for SDM845 sound cards (Jaroslav Kysela) [2179848]
- ASoC: SOF: keep prepare/unprepare widgets in sink path (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 (Jaroslav Kysela) [2179848]
- ALSA: fireface: fix locking bug in ff400_copy_msg_to_user() (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: start with the right widget type (Jaroslav Kysela) [2179848]
- ASoC: SOF: compress: Set compress data offset (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add support for compress API for stream data/offset (Jaroslav Kysela) [2179848]
- ASoC: SOF: Prepare set_stream_data_offset for compress API (Jaroslav Kysela) [2179848]
- ASoC: SOF: Prepare ipc_msg_data to be used with compress API (Jaroslav Kysela) [2179848]
- ALSA: hda/ca0132: minor fix for allocation size (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: initialize is_dsp_mode flag (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Use asoc_substream_to_rtd() to obtain rtd (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Use min_t instead of min with cast (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Correctly access topology fields (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Implement PCI shutdown (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Add schema for "awinic,aw88395" (Jaroslav Kysela) [2179848]
- ASoC: codecs: Aw88395 chip register file, data type file and Kconfig Makefile (Jaroslav Kysela) [2179848]
- ASoC: codecs: Aw88395 function for ALSA Audio Driver (Jaroslav Kysela) [2179848]
- ASoC: codecs: ACF bin parsing and check library file for aw88395 (Jaroslav Kysela) [2179848]
- ASoC: codecs: Add i2c and codec registration for aw88395 and their associated operation functions (Jaroslav Kysela) [2179848]
- regmap: sdw: Remove 8-bit value size restriction (Jaroslav Kysela) [2179848]
- regmap: sdw: Update misleading comment (Jaroslav Kysela) [2179848]
- ALSA: fireface: implement message parser for Fireface 400 (Jaroslav Kysela) [2179848]
- ALSA: fireface: add local framework to message parser (Jaroslav Kysela) [2179848]
- ALSA: fireface: update UAPI for data of knob control (Jaroslav Kysela) [2179848]
- ALSA: fireface: add helper function to parse MIDI messages transmitted by Fireface 400 (Jaroslav Kysela) [2179848]
- ALSA: fireface: pick up time stamp for request subaction of asynchronous transaction (Jaroslav Kysela) [2179848]
- ALSA: fireface: rename callback functions (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848]
- ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848]
- ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848]
- ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848]
- ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848]
- ASoC: amd: acp-es8336: Drop reference count of ACPI device after use (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: Add ADSP ready check (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: Use dev_err_probe (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add DMI support for new acer/emdoor platforms (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: compute extra delay for runtime of PCM substream (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: obsolete return value from context payload processing layer (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: move parameter for pcm frame multiplier from context payload processing layer (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: Provide debugfs_add_region_item ops for core (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: Support mt8188 platform (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: extend tracepoints event including CYCLE_TIME of 1394 OHCI (Jaroslav Kysela) [2179848]
- ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list (Jaroslav Kysela) [2179848]
- ALSA: control-led: use strscpy in set_led_id() (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Enable i2s tdm support for skyrim platforms (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Add i2s tdm support in machine driver (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Refactor i2s clocks programming sequence (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Refactor dai format implementation (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Add new cpu dai's in machine driver (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: keep history to process isochronous packet (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: use circular linked list for context payload processing layer (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: use circular linked list to enumerate packet descriptors (Jaroslav Kysela) [2179848]
- ASoC: nau8315: remove dependency on GPIOLIB (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: code refactoring for cache position in sequence replay (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: code refactoring for cache position in tx packets (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: code refactoring for pool position in rx packets (Jaroslav Kysela) [2179848]
- ALSA: firewire-lib: code refactoring for helper functions to pool sequence in rx packets (Jaroslav Kysela) [2179848]
- ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets (Jaroslav Kysela) [2179848]
- ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC (Jaroslav Kysela) [2179848]
- ASoC: fsl-asoc-card: Log error code when we fail to register (Jaroslav Kysela) [2179848]
- soc: qcom: apr: make remove callback of apr driver void returned (Jaroslav Kysela) [2179848]
- ALSA: aoa: make remove callback of soundbus driver void returned (Jaroslav Kysela) [2179848]
- ALSA: ac97: make remove callback of ac97 driver void returned (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: Fix uninitialized ret in create_acp64_platform_devs() (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl-sai: Simplify the VFxxx dmas binding (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume (Jaroslav Kysela) [2179848]
- mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: use static function (Jaroslav Kysela) [2179848]
- ASoC: nau8822: add speaker Bridge Tied Output configuration (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: nau8822: convert to the dtschema (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: remove unused variable (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: use acp_lock to protect common registers in pdm driver (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: add mutex lock for accessing common registers (Jaroslav Kysela) [2179848]
- ASoC: fsl_micfil: Correct the number of steps on SX controls (Jaroslav Kysela) [2179848]
- ASoC: fsl_xcvr: Add constraints of period size while using eDMA (Jaroslav Kysela) [2179848]
- ASoC: fsl_xcvr: Add support for i.MX93 platform (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl,xcvr: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848]
- ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_ssp_amp: remove unused variable (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof-wm8804: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848]
- ASoC: Intel: cht_bsw_rt5672: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848]
- ASoC: Intel: cht_bsw_rt5645: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848]
- ASoC: Intel: bytcht_da7213: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848]
- ASoC: Intel: bytcht_cx2072x: Replace open coded acpi_dev_put() (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: More refactoring of hw constraint rules (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Relax hw constraints for implicit fb sync (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Make sure to stop endpoints before closing EPs (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa881x: Use proper shutdown GPIO polarity (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa881x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa881x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa883x: Simplify &pdev->dev in probe (Jaroslav Kysela) [2179848]
- ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE (Jaroslav Kysela) [2179848]
- ASoC: qcom: lpass-cpu: Fix fallback SD line index handling (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek - Turn on power early (Jaroslav Kysela) [2179848]
- soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: apr: Make qcom,protection-domain optional again (Jaroslav Kysela) [2179848]
- ASoC: rt9120: Make dev PM runtime bind AsoC component PM (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add ASUS M5402RA into DMI table (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-cpu: Correct and constrain clocks, interrupts, reg (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-cpu: Fix DAI children pattern (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-cpu: Document required-opps (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-tx-macro: correct clocks on SC7280 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass-wsa-macro: correct clocks on SM8250 (Jaroslav Kysela) [2179848]
- dt-bindings: soundwire: qcom,soundwire: correct sizes related to number of ports (Jaroslav Kysela) [2179848]
- ASoC: wm8904: fix wrong outputs volume after power reactivation (Jaroslav Kysela) [2179848]
- ASoC: rt711-sdca: add jack detection mode for JD2 100K (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_nau8825: add variant with nau8318 amplifier. (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: move irq handler registration (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: update dev index value in irq handler (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: refactor platform device creation logic (Jaroslav Kysela) [2179848]
- ASoC: amd: ps: implement api to retrieve acp device config (Jaroslav Kysela) [2179848]
- ASoC: Intel: fix sof-nau8825 link failure (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof-nau8825: fix module alias overflow (Jaroslav Kysela) [2179848]
- ASoC: SOF: trace: No need to check for op pointer in sof_fw_trace_free() (Jaroslav Kysela) [2179848]
- ASoC: SOF: trace: Use sof_ipc_get_ops() in sof_fw_trace_init (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-priv: Mark fw_tracing ops optional in documentation (Jaroslav Kysela) [2179848]
- ASoC: SOF: pm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848]
- ASoC: SOF: topology: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848]
- ASoC: SOF: control: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848]
- ASoC: SOF: pcm: Extend the optionality of IPC ops to IPC as well (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add helper macro to be used to get an IPC ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: Update documentation for sof_ipc_tplg_ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: sof-audio: Treat tplg_ops->route_setup() as optional (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add FW state to debugfs (Jaroslav Kysela) [2179848]
- ASoC: SOF: pm: Always tear down pipelines before DSP suspend (Jaroslav Kysela) [2179848]
- ASoC: SOF: pm: Set target state earlier (Jaroslav Kysela) [2179848]
- dt-bindings: drop redundant part of title (end) (Jaroslav Kysela) [2179848]
- ASoC: SOF: core: Print out the value of sof_debug if it is set (Jaroslav Kysela) [2179848]
- ASoC: SOF: IPC3 topology: Print the conflicting bytes sizes (Jaroslav Kysela) [2179848]
- ASoC: wm8940: Read chip ID when wm8940 codec probing (Jaroslav Kysela) [2179848]
- ASoC: wm8940: Rewrite code to set proper clocks (Jaroslav Kysela) [2179848]
- ASoC: wm8940: Remove warning when no plat data present (Jaroslav Kysela) [2179848]
- ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810 Wireless (Jaroslav Kysela) [2179848]
- ASoC: lochnagar: Fix unused lochnagar_of_match warning (Jaroslav Kysela) [2179848]
- soundwire: intel: remove DAI startup/shutdown (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: mt8195: remove a redundant comparison of sram (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Peakvol module configuration (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Parse control tuples (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add control volume operations (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add peakvol runtime-parameter requests (Jaroslav Kysela) [2179848]
- ASoC: Intel: Add HP Stream 8 to bytcr_rt5640.c (Jaroslav Kysela) [2179848]
- ASoC: da7213: Add support for mono, set frame width to 32 when possible (Jaroslav Kysela) [2179848]
- ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071 tablet (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: initialize panic_info to zero (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Enable cache for AMD Rembrandt platform (Jaroslav Kysela) [2179848]
- ALSA: azt3328: Remove the unused function snd_azf3328_codec_outl() (Jaroslav Kysela) [2179848]
- ASoC: SOF: nocodec: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: wl1273: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: mc13783: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: cq93vc: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: bt-sco: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: adau7002: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: ac97: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: 88pm860x: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: atmel-pdmic: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: atmel-classd: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: amd: Drop empty platform remove function (Jaroslav Kysela) [2179848]
- ASoC: SOF: Revert: "core: unregister clients and machine drivers in .shutdown" (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has failed" (Jaroslav Kysela) [2179848]
- ASoC: rt5640: Allow to describe how LOUT is wired (Jaroslav Kysela) [2179848]
- ASoC: rt5640: Allow configuration of LOUT to mono differential mode (Jaroslav Kysela) [2179848]
- ALSA: hda: Error out if invalid stream is being setup (Jaroslav Kysela) [2179848]
- ASoC: qcom: lpass-platform: Use SNDRV_DMA_TYPE_NONCOHERENT page allocation (Jaroslav Kysela) [2179848]
- ASoC: soc-compress: Reposition and add pcm_mutex (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl-sai: Reinstate i.MX93 SAI compatible string (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_realtek_common: set ret = 0 as initial value (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: add jsl_rt5682 board config (Jaroslav Kysela) [2179848]
- ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle and lock. (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Workaround for XRUN at prepare (Jaroslav Kysela) [2179848]
- ALSA: pcm: Handle XRUN at trigger START (Jaroslav Kysela) [2179848]
- ASoC : SOF: amd: Add support for IPC and DSP dumps (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Use poll function instead to read ACP_SHA_DSP_FW_QUALIFIER (Jaroslav Kysela) [2179848]
- ASoC: imx-audmux: use sysfs_emit() to instead of scnprintf() (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Use SG allocation for SKL-based firmware load (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Introduce single place for pipe-config selection (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Drop pipe_config_idx (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Remove skl_tplg_is_multi_fmt() (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Update pipe_config_idx before filling BE params (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd-clsh: Remove the unused function (Jaroslav Kysela) [2179848]
- ASoC: qcom: lpass-sc7280: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: maxim,max98357a: Convert to DT schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Reference common DAI properties (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Extend name-prefix.yaml into common DAI properties (Jaroslav Kysela) [2179848]
- ASoC: rt715: Make read-only arrays capture_reg_H and capture_reg_L static const (Jaroslav Kysela) [2179848]
- ASoC: wcd938x: Make read-only array minCode_param static const (Jaroslav Kysela) [2179848]
- soundwire: cadence: Drain the RX FIFO after an IO timeout (Jaroslav Kysela) [2179848]
- soundwire: cadence: Remove wasted space in response_buf (Jaroslav Kysela) [2179848]
- soundwire: cadence: Don't overflow the command FIFOs (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Allow for dumping debug window snapshot (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Allow for dumping FW_REGS area (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Gather remaining logs on strace_release() (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Probing and firmware tracing over debugfs (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add probe machine board (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Data probing soc-component (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Probe compress operations (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add data probing requests (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Drop usage of debug members in non-debug code (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Make enable_logs() dependent on DEBUG_FS (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Introduce debug-context aware helpers (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Drop fifo_lock (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Introduce avs_log_buffer_status_locked() (Jaroslav Kysela) [2179848]
- ALSA: hda: Interrupt servicing and BDL setup for compress streams (Jaroslav Kysela) [2179848]
- ALSA: hda: Prepare for compress stream support (Jaroslav Kysela) [2179848]
- ALSA: hda: Allow for compress stream to hdac_ext_stream assignment (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: apr: document generic qcom,apr compatible (Jaroslav Kysela) [2179848]
- ALSA: dice: Remove left-over license text (Jaroslav Kysela) [2179848]
- drm: tda99x: Don't advertise non-existent capture support (Jaroslav Kysela) [2179848]
- ASoC: hdmi-codec: Allow playback and capture to be disabled (Jaroslav Kysela) [2179848]
- ALSA: dice: add support for Focusrite Saffire Pro 40 with TCD3070 ASIC (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt5682: Refactor jack handling (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt5682: Add define for codec DAI name (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Add quirk for Tascam Model 12 (Jaroslav Kysela) [2179848]
- ASoC: qcom: lpass-sc7180: Add maybe_unused tag for system PM ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add DAI configuration support for AMD platforms. (Jaroslav Kysela) [2179848]
- ASoC: qcom: lpass-sc7280: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848]
- ASoC: qcom: lpass-sc7180: Delete redundant error log from _resume() (Jaroslav Kysela) [2179848]
- ASoC: qcom: lpass-sc7180: Add system suspend/resume PM ops (Jaroslav Kysela) [2179848]
- ASoC: rt5640: Fix Jack work after system suspend (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm.c: Clear DAIs parameters after stream_active is updated (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: add shutdown callback (Jaroslav Kysela) [2179848]
- ASoC: SOF: Drop obsolete dependency on COMPILE_TEST (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt298: Refactor jack handling (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt298: Add define for codec DAI name (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt286: Refactor jack handling (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt286: Add define for codec DAI name (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt274: Refactor jack handling (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: rt274: Refer to DAI name through a constant (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: nau8825: Refactor jack handling (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: da7219: Refactor jack handling (Jaroslav Kysela) [2179848]
- ASoC: Intel: bdw_rt286: Refactor jack handling (Jaroslav Kysela) [2179848]
- ALSA: pcm: fix tracing reason in hw_ptr_error (Jaroslav Kysela) [2179848]
- soundwire: stream: Move remaining register accesses over to no_pm (Jaroslav Kysela) [2179848]
- soundwire: debugfs: Switch to sdw_read_no_pm (Jaroslav Kysela) [2179848]
- soundwire: Provide build stubs for common functions (Jaroslav Kysela) [2179848]
- soundwire: bus: export sdw_nwrite_no_pm and sdw_nread_no_pm functions (Jaroslav Kysela) [2179848]
- ASoC: qcom: cleanup and fix dependency of QCOM_COMMON (Jaroslav Kysela) [2179848]
- firmware: cs_dsp: Make the exports namespaced (Jaroslav Kysela) [2179848]
- firmware: cs_dsp: Rename KConfig symbol CS_DSP -> FW_CS_DSP (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: add compatible string for NAU8318 (Jaroslav Kysela) [2179848]
- ASoC: nau8315: add new acpi id and compatible id (Jaroslav Kysela) [2179848]
- ASoC: wm_adsp: Return whether changed when writing controls (Jaroslav Kysela) [2179848]
- firmware: cs_dsp: cs_dsp_coeff_write_ctrl() should report changed (Jaroslav Kysela) [2179848]
- ASoC: sdw-mockup: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848]
- ASoC: rt715: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848]
- ASoC: rt711: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848]
- ASoC: rt700: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848]
- ASoC: rt5682-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848]
- ASoC: rt1316-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848]
- ASoC: rt1308-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848]
- ASoC: max98373-sdw: Switch to new snd_sdw_params_to_config helper (Jaroslav Kysela) [2179848]
- sound: sdw: Add hw_params to SoundWire config helper function (Jaroslav Kysela) [2179848]
- ASoC: max9867: Implement exact integer mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: ADD HS and SP virtual DAI. (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Fix for selecting clock source as external clock. (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Fix for reading position updates from stream box. (Jaroslav Kysela) [2179848]
- ASoC: nau8825: Add a manually mechanism for detection failure (Jaroslav Kysela) [2179848]
- ASoC: nau8825: Adjust internal clock during jack detection (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add missing audio amplifier for KBL (Jaroslav Kysela) [2179848]
- ALSA: pcm: fix undefined behavior in bit shift for SNDRV_PCM_RATE_KNOT (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Fix Kconfig dependency (Jaroslav Kysela) [2179848]
- ASoC: da7219: Fix pole orientation detection on OMTP headsets when playing music (Jaroslav Kysela) [2179848]
- ASoC: codecs: tas2780: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ASoC: codecs: src4xxx-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ASoC: max98396: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ASoC: codecs: es8326: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ALSA: ppc: keywest: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ALSA: aoa: tas: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ALSA: aoa: onyx: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- mfd: arizona-i2c: Convert to i2c's .probe_new() (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Initialize private data for subsequent HDA FEs (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Fix possible UAF in acp_dma_open (Jaroslav Kysela) [2179848]
- soundwire: enable optional clock registers for SoundWire 1.2 devices (Jaroslav Kysela) [2179848]
- ASoC/soundwire: remove is_sdca boolean property (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_sdw_amp: mark coeff tables with __maybe_unused (Jaroslav Kysela) [2179848]
- ASoC: SOF: dai: move AMD_HS to end of list to restore backwards-compatibility (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier (Jaroslav Kysela) [2179848]
- ASoC: SOF: probes: Check ops before memory allocation (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Disconnect substream if suspend or resume fails (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: aoss: Add compatible for SM8550 (Jaroslav Kysela) [2179848]
- ALSA: pcm: avoid nused-but-set-variable warning (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6apm: Add SM8450 bedais node (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6apm-lpass-dais: Split to separate schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6core: Split to separate schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6prm: Split to separate schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6asm: Split to separate schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6adm: Split to separate schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6apm: Split to separate schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6afe: Split to separate schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,apr: Correct and extend example (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,apr: Split services to shared schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,apr: Add GLINK channel name for SM8450 (Jaroslav Kysela) [2179848]
- ASoC: codecs: tx-macro: add dmic support via tx macro (Jaroslav Kysela) [2179848]
- ALSA: memalloc: Allocate more contiguous pages for fallback case (Jaroslav Kysela) [2179848]
- ASoC: codecs: Remove a useless include (Jaroslav Kysela) [2179848]
- ASoC: qcom: q6prm: Correct module description (Jaroslav Kysela) [2179848]
- ASoC: audio-graph-card2: remove Experimental announce (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: read multi-link capabilities earlier (Jaroslav Kysela) [2179848]
- soundwire: intel_init: remove check on number of links (Jaroslav Kysela) [2179848]
- soundwire: intel_init: remove sdw_intel_enable_irq() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: add callback to check SoundWire lcount information (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: mtl: move SoundWire interrupt enabling to callback (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: mtl: factor interrupt enable/disable interrupt functions (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: add per-chip enable_sdw_irq() callback (Jaroslav Kysela) [2179848]
- soundwire: intel_init: remove useless interrupt enablement in interrupt thread (Jaroslav Kysela) [2179848]
- soundwire: intel: split auxdevice to different file (Jaroslav Kysela) [2179848]
- soundwire: intel: add in-band wake callbacks in hw_ops (Jaroslav Kysela) [2179848]
- soundwire: intel: add link power management callbacks in hw_ops (Jaroslav Kysela) [2179848]
- soundwire: intel: add bus management callbacks in hw_ops (Jaroslav Kysela) [2179848]
- soundwire: intel: add register_dai callback in hw_ops (Jaroslav Kysela) [2179848]
- soundwire: intel: add debugfs callbacks in hw_ops (Jaroslav Kysela) [2179848]
- soundwire: intel: start using hw_ops (Jaroslav Kysela) [2179848]
- ASoC: Intel: soc-acpi: add MTL AIC SoundWire configurations (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,wsa883x: Use correct SD_N polarity (Jaroslav Kysela) [2179848]
- ASoC: wm_adsp: Allow client to hook into pre_run callback (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa883x: Simplify with dev_err_probe (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa883x: Shutdown on error path (Jaroslav Kysela) [2179848]
- ASoC: rt1316-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848]
- ASoC: rt1308-sdw: get BQ params property and apply them (Jaroslav Kysela) [2179848]
- dt-bindings: soundwire: Convert text bindings to DT Schema (Jaroslav Kysela) [2179848]
- ASoC: tegra: Fix spelling mistake "fliter" -> "filter" (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof rt5682: remove the duplicate codes (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: add support for ALC5682I-VD with amp rt1019p (Jaroslav Kysela) [2179848]
- ASoC: core: fix wrong size kzalloc for rtd's components member (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: get pipeline instance id from pipe_widget->instance_id (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-loader: get max pipeline number (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: rename sof_ipc4_widget_free_comp (Jaroslav Kysela) [2179848]
- regmap-irq: Use the new num_config_regs property in regmap_add_irq_chip_fwnode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: set d0i3 register with d0i3_offset (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: add d0i3_offset in chip_info (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: add d0i3 definition for MTL (Jaroslav Kysela) [2179848]
- ASoC: SOF: topology: Add helper to get/put widget queue id (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add support to parse pin binding array from topology (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add support for parsing the number of sink/source pins (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: Implement tear_down_all_pipelines callback (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-loader: use small buffer for iccmax stream (Jaroslav Kysela) [2179848]
- ALSA: cs5535audio: Remove the redundant assignment (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl-sai: Sort main section properties (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl-sai: Use minItems 5 for i.MX8MN clock and similar (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl-sai: Fix mx6ul and mx7d compatible strings (Jaroslav Kysela) [2179848]
- ASoC: soc-dai: Do not call snd_soc_link_be_hw_params_fixup() twice (Jaroslav Kysela) [2179848]
- ASoC: codecs: lpass-wsa-macro: parse clock-output-names (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,lpass: do not hard-code clock-output-names (Jaroslav Kysela) [2179848]
- ASoC: Intel: cirrus-common: Make const array uid_strings static (Jaroslav Kysela) [2179848]
- ASoC: tlv320aic3x: switch to using gpiod API (Jaroslav Kysela) [2179848]
- ASoC: tlv320aic3x: remove support for platform data (Jaroslav Kysela) [2179848]
- ARM: omap2: n8x0: stop instantiating codec platform data (Jaroslav Kysela) [2179848]
- ASoC: rt5682: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848]
- ASoC: rt5682s: Support DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt5682: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt5682: Add AVDD, MICVDD and VBAT supplies (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: realtek,rt5682s: Add DBVDD and LDO1-IN supplies (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: realtek,rt5682s: Add AVDD and MICVDD supplies (Jaroslav Kysela) [2179848]
- ASoC: audio-graph-card2: check also dpcm node for convert-xxx (Jaroslav Kysela) [2179848]
- ASoC: audio-graph-card2-custom-sample.dtsi: add convert-rate for DPCM (MIXer) (Jaroslav Kysela) [2179848]
- ASoC: simple-card-utils: remove asoc_simple_convert_fixup() (Jaroslav Kysela) [2179848]
- soundwire: cadence: use dai_runtime_array instead of dma_data (Jaroslav Kysela) [2179848]
- soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime (Jaroslav Kysela) [2179848]
- ASoC: cs42xx8-i2c.c: add module device table for of (Jaroslav Kysela) [2179848]
- ALSA: hda: clarify comments on SCF changes (Jaroslav Kysela) [2179848]
- ASoC: SOF: fix compilation issue with readb/writeb helpers (Jaroslav Kysela) [2179848]
- ASoC: codecs: da7219: Do not export internal symbols (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848]
- ASoC: amd: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848]
- ASoC: rockchip: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848]
- ASoC: mediatek: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848]
- ASoC: Intel: Drop da7219_aad_jack_det() usage (Jaroslav Kysela) [2179848]
- ASoC: codecs: da7219: Introduce set_jack() callback (Jaroslav Kysela) [2179848]
- ASoC: SOF: IPC4: probes: Implement IPC4 ops for probes client device (Jaroslav Kysela) [2179848]
- ASoC: SOF: client: Add sof_client_ipc4_find_module() function (Jaroslav Kysela) [2179848]
- ASoC: SOF: client: Add sof_client_ipc_set_get_data() (Jaroslav Kysela) [2179848]
- ASoC: SOF: probes: Separate IPC3 operations to a separate file (Jaroslav Kysela) [2179848]
- ASoC: SOF: probes: Replace [0] union members with DECLARE_FLEX_ARRAY() (Jaroslav Kysela) [2179848]
- ASoC: simple-mux: add read function (Jaroslav Kysela) [2179848]
- ASoC: adau1372: correct PGA enable & mute bit (Jaroslav Kysela) [2179848]
- ASoC: adau1372: add support for S24_LE mode (Jaroslav Kysela) [2179848]
- ASoC: adau1372: fix mclk (Jaroslav Kysela) [2179848]
- ASoC: fsl_micfil: Add support when using eDMA (Jaroslav Kysela) [2179848]
- ASoC: fsl_micfil: Add support for i.MX93 platform (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl,micfil: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848]
- ASoC: fsl_xcvr: Add Counter registers (Jaroslav Kysela) [2179848]
- ASoC: SOF: introduce new DEBUG_NOCODEC mode (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-codec: use GPL-2.0-only license (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: clarify Kconfig dependencies (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: add hda_bus_ml_free helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-mlink: add helpers to suspend/resume links (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: clarify bus_init and bus_exit sequences (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-codec: add helpers to suspend and resume cmd_io (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dsp: clarify dependencies on SND_SOC_SOF_HDA (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-ctrl: use helper to clear RIRB status (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-codec: add hda_codec_check_rirb_status() helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-stream: always allocate CORB/RIRB buffer (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-codec: add stop_cmd_io helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-codec: add hda_codec_device_remove() helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-ctrl: add codec wakeup helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: move all RIRB/CMD_IO helpers to hda-codec.c (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: add multi-link helper for LOSVID (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: start moving multi-link handling in dedicated file (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: move codec state change to hda-codec.c (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-codec: simplify SND_SOC_SOF_HDA_AUDIO_CODEC handling (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: remove all dependencies on SND_SOC_HDAC_HDMI (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: remove option to disable the common_hdmi handling (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Enact power gating policy (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Power and clock gating policy overriding (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Standby power-state support (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Count low power streams (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Restart instead of resuming HDA capture streams (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Handle SUSPEND and RESUME triggers (Jaroslav Kysela) [2179848]
- ALSA: hda: Introduce snd_hdac_stream_wait_drsm() (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Introduce PCM power management routines (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Split pcm pages freeing operation from hw_free() (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: add support to enable module command (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: add support for MFC Module (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: add support to enable SAL Module (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: add support for more port connections (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: simplify module_list sz calculation (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: Simplify handing FE and BE graph connections (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: update dapm kcontrol private data (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: remove unused connection_list (Jaroslav Kysela) [2179848]
- ASoC: qdsp6: audioreach: topology use idr_alloc_u32 (Jaroslav Kysela) [2179848]
- ASoC: core: Exit all links before removing their components (Jaroslav Kysela) [2179848]
- ASoC: tas5805m: add missing page switch. (Jaroslav Kysela) [2179848]
- ASoC: tas5805m: rework to avoid scheduling while atomic. (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: wcd9335: fix reset line polarity in example (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: Specify the maxburst to 8 on i.MX93 platform (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: Add support for i.MX93 platform (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl,sai: Add compatible string for i.MX93 platform (Jaroslav Kysela) [2179848]
- soundwire: qcom: add support for v1.7 Soundwire Controller (Jaroslav Kysela) [2179848]
- dt-bindings: soundwire: qcom: add v1.7.0 support (Jaroslav Kysela) [2179848]
- soundwire: qcom: make reset optional for v1.6 controller (Jaroslav Kysela) [2179848]
- soundwire: qcom: remove unused SWRM_SPECIAL_CMD_ID (Jaroslav Kysela) [2179848]
- ASoC: dapm: Don't use prefix for regulator name (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-loader: Return ssize_t from sof_ipc4_fw_parse_ext_man() (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: realtek,rt5682s: Add #sound-dai-cells (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: boards: Fix typo in comments (Jaroslav Kysela) [2179848]
- ASoC: Intel: boards: Fix typo in comments (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-stream: use readb/writeb for stream registers (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-stream: use snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: use SOF helper for consistency (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-stream: rename CL_SD_CTL registers as SD_CTL (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-stream: use SOF helpers for consistency (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: remove useless check on GCTL (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: always do a full reset (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-ctrl: remove useless sleep (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: remove useless members in hda_pipe_params (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: use component_get_drvdata to find hdac_bus (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: start removing the use of runtime->private_data in BE (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dsp: use SOF helpers for consistency (Jaroslav Kysela) [2179848]
- ASoC: SOF: ops: add snd_sof_dsp_updateb() helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: ops: add readb/writeb helpers (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: use mmio fallback for all platforms (Jaroslav Kysela) [2179848]
- ASoC: SOF: ops: fallback to mmio in helpers (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: MTL: fix comment error (Jaroslav Kysela) [2179848]
- ALSA: asihpi: remove variable loops (Jaroslav Kysela) [2179848]
- ALSA: rawmidi: remove variable dest_frames (Jaroslav Kysela) [2179848]
- mfd: arizona: Remove #ifdef guards for PM related functions (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-codec: fix possible memory leak in hda_codec_device_init() (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: fix possible memory leak in skl_codec_device_init() (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-loader: Support for loading external libraries (Jaroslav Kysela) [2179848]
- ASoC: SOF: loader: Remove the query_fw_configuration ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Stop using the query_fw_configuration fw_loader ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: loader: Add support for IPC dependent post firmware boot ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: Add ipc4 library loading implementation (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Add flag to indicate that the firmware is IMR booted (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Define platform dependent library loading callback (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: Set the default firmware library path for IPC4 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Add path definition for external firmware libraries (Jaroslav Kysela) [2179848]
- ASoC: SOF: IPC4: Add helper for looking up module by UUID (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Convert the firmware handling (loader) to library convention (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-loader: Save the maximum number of libraries supported (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc: ops: Add support for optional init and exit callbacks (Jaroslav Kysela) [2179848]
- ASoC: SOF: Drop the firmware and fw_offset from snd_sof_pdata (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-loader-skl: Use the basefw firmware container directly (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-loader: Use the basefw firmware container directly (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Use the basefw firmware container directly (Jaroslav Kysela) [2179848]
- ASoC: SOF: Introduce container struct for SOF firmware (Jaroslav Kysela) [2179848]
- ASoC: SOF: loader: Set complete state before post_fw_run op (Jaroslav Kysela) [2179848]
- ALSA/ASoC: hda: move SPIB/DRMS functionality from ext layer (Jaroslav Kysela) [2179848]
- ALSA: hda: hdac_ext_controller: remove useless loop (Jaroslav Kysela) [2179848]
- ALSA: hda: ext: reduce ambiguity between 'multi-link' and 'link' DMA (Jaroslav Kysela) [2179848]
- ALSA/ASoC: hda: ext: add 'bus' prefix for multi-link stream setting (Jaroslav Kysela) [2179848]
- ALSA/ASoC: hda: ext: remove 'link' prefix for stream-related operations (Jaroslav Kysela) [2179848]
- ALSA/ASoC: hda: ext: add 'ext' prefix to snd_hdac_link_free_all (Jaroslav Kysela) [2179848]
- ALSA/ASoC: hda: clarify bus_get_link() and bus_link_get() helpers (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dai: use hlink variable/parameter (Jaroslav Kysela) [2179848]
- ALSA: hda: ext: hda_ext_controller: use hlink variable/parameter (Jaroslav Kysela) [2179848]
- ALSA: hda: ext: hdac_ext_controller: use helpers in loop (Jaroslav Kysela) [2179848]
- ASoC: rt1308-sdw: update the preset settings (Jaroslav Kysela) [2179848]
- ASoC: simple-card: Fix up checks for HW param fixups (Jaroslav Kysela) [2179848]
- ASoC: soc-dpcm.h: remove snd_soc_dpcm::hw_param (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.h: fixup comment for snd_soc_dapm_widget_for_each_path() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.h: cleanup white space (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: numerical order for dapm_up_seq (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: cleanup snd_soc_dapm_new_dai() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: merge dapm_power_one_widget() and dapm_widget_set_power() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: cleanup dapm_widget_set_power() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: ignore parameter NULL at snd_soc_dapm_free_widget() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: remove no meaning variable from snd_soc_dapm_add_path() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: tidyup error handling on snd_soc_dapm_add_route() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: replace snd_soc_dapm_wcache to snd_soc_dapm_widget (Jaroslav Kysela) [2179848]
- ASoC: twl4030: make read-only array ramp_base static const (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc3: Log the tx message before sending it (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: ipc4: Ack a received reply or notification separately (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: ipc4: Wait for channel to be free before sending a message (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: ipc4: Read the interrupt reason registers at the same time (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Log the tx message before sending it (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Add TDM slots setting support for ACP I2S controller (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-mtrace: protect per-core nodes against multiple open (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dsp: simplify S3 resume flows (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: simplify S3 resume flows (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: simplify S3 resume flows (Jaroslav Kysela) [2179848]
- ASoC: codecs: jz4725b: add missed microphone widgets (Jaroslav Kysela) [2179848]
- ASoC: codecs: jz4725b: add missed Mixer inputs (Jaroslav Kysela) [2179848]
- ASoC: codecs: jz4725b: use right control for Master Playback (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: aoss: Add sc8280xp compatible (Jaroslav Kysela) [2179848]
- ASoC: qcom: SND_SOC_SC7180 optionally depends on SOUNDWIRE (Jaroslav Kysela) [2179848]
- ALSA: hda/realtek: simplify the return of comp_bind() (Jaroslav Kysela) [2179848]
- ASoC: ak4458: add optional reset control to instead of gpio (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Support System Suspend (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Remove suspend/resume hda hooks (Jaroslav Kysela) [2179848]
- ALSA: hda/cs_dsp_ctl: Fix mutex inversion when creating controls (Jaroslav Kysela) [2179848]
- ALSA: hda: hda_cs_dsp_ctl: Ensure pwr_lock is held before reading/writing controls (Jaroslav Kysela) [2179848]
- ALSA: hda: hda_cs_dsp_ctl: Minor clean and redundant code removal (Jaroslav Kysela) [2179848]
- ASoC: codecs: hda: Fix spelling error in log message (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Simplify log control for SKL (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Simplify ignore_fw_version description (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Do not print IPC error message twice (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Do not treat unsupported IPCs as invalid (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Do not reuse msg between different IPC handlers (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add missing include to HDA board (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Simplify d0ix disabling routine (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add missing SKL-based device IDs (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Support da7219 on both KBL and APL (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Support AML with rt286 configuration (Jaroslav Kysela) [2179848]
- ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe" (Jaroslav Kysela) [2179848]
- ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe" (Jaroslav Kysela) [2179848]
- ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe" (Jaroslav Kysela) [2179848]
- ASoC: amd: Update Pink Sardine platform ACP register header (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Avoid superfluous endpoint setup (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Correct the return code from snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Apply mutex around snd_usb_endpoint_set_params() (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Avoid unnecessary interface change at EP close (Jaroslav Kysela) [2179848]
- ASoC: cx2072x: fix spelling typo in comment (Jaroslav Kysela) [2179848]
- ALSA: hda: Update register polling macros (Jaroslav Kysela) [2179848]
- Revert "ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync" (Jaroslav Kysela) [2179848]
- ASoC: codecs: allow WM8961 to be selected by the user (Jaroslav Kysela) [2179848]
- ASoC: wm8961: add support for devicetree (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: add schema for WM8961 (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Load max98927 on target platform (Jaroslav Kysela) [2179848]
- ASoC: Intel: avs: Add max98927 machine board (Jaroslav Kysela) [2179848]
- ASoC: samsung: remove unused drivers (Jaroslav Kysela) [2179848]
- ASoC: qcom: fix unmet direct dependencies for SND_SOC_QDSP6 (Jaroslav Kysela) [2179848]
- ASoC: nau8825: Add TDM support (Jaroslav Kysela) [2179848]
- ASoC: imx-rpmsg: Assign platform driver used by machine driver to link with (Jaroslav Kysela) [2179848]
- ASoC: fsl_rpmsg: Multi-channel support in CPU DAI driver (Jaroslav Kysela) [2179848]
- ASoC: fsl_rpmsg: Register different ASoC machine devices (Jaroslav Kysela) [2179848]
- ASoC: imx-pcm-rpmsg: Multi-channel support for sound card based on rpmsg (Jaroslav Kysela) [2179848]
- ASoC: imx-pcm-rpmsg: Register different platform drivers (Jaroslav Kysela) [2179848]
- ASoC: imx-audio-rpmsg: Create rpmsg channel for MICFIL (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl_rpmsg: Add a property to assign the rpmsg channel (Jaroslav Kysela) [2179848]
- ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848]
- ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848]
- ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848]
- ASoC: core: clarify the driver name initialization (Jaroslav Kysela) [2179848]
- ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe (Jaroslav Kysela) [2179848]
- ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe (Jaroslav Kysela) [2179848]
- ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe (Jaroslav Kysela) [2179848]
- ALSA: asihpi - Remove unused struct hpi_subsys_response (Jaroslav Kysela) [2179848]
- ALSA: sb: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848]
- ASoC: ti: davinci-mcasp: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848]
- ASoC: rsnd: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848]
- ASoC: wm8978: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848]
- ASoC: cs35l36: Use DIV_ROUND_UP() instead of open-coding it (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Fallback to headphones for type detect (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Adjust timing of component unregister (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Remove stale release of DMA channels (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Trigger, not deassert, the peripheral reset (Jaroslav Kysela) [2179848]
- ASoC: uapi: Replace zero-length arrays with __DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: control.h: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848]
- usb: gadget: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ASoC: sh: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ASoC: intel: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ALSA: usx2y: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ALSA: asihpi: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ALSA: hda: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ALSA: firewire: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ALSA: aloop: Replace runtime->status->state reference to runtime->state (Jaroslav Kysela) [2179848]
- ALSA: pcm: Make mmap status read-only (Jaroslav Kysela) [2179848]
- ALSA: pcm: Avoid reference to status->state (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd934x: Fix Kconfig dependency (Jaroslav Kysela) [2179848]
- ALSA: Remove some left-over license text in include/uapi/sound/ (Jaroslav Kysela) [2179848]
- ALSA: firewire: Remove some left-over license text in sound/firewire (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: mt8195: Add pcm_pointer callback (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: mt8195: Add pcm_hw_params callback (Jaroslav Kysela) [2179848]
- ASoC: MAINTAINERS: add bindings and APR to Qualcomm Audio entry (Jaroslav Kysela) [2179848]
- ALSA: memalloc: use __GFP_RETRY_MAYFAIL for DMA mem allocs (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Call snd_sof_handle_fw_exception() in case of timeout (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Only dump firmware registers for IPC3 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: mtl: Print relevant register in ipc_dump (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: skl: Use the ipc4 version of the ipc_dump (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: cnl: Add separate ops for ipc_dump for IPC4 (Jaroslav Kysela) [2179848]
- ASoC: fsl_asrc_dma: fully initialize structs (Jaroslav Kysela) [2179848]
- ASoC: fsl_micfil: Add Hardware Voice Activity Detector support (Jaroslav Kysela) [2179848]
- ASoC: fsl: Remove unused inline function imx_pcm_dma_params_init_data() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: MTL: remove the unnecessary snd_sof_dsp_read() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: MTL: reuse the common ops for PM (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: HDA: use IPC version-specific ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: MTL: define and set the disable_interrupts op (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: define and set the disable_interrupts op for cavs platforms (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: Add a new op for disabling interrupts (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: use power_down_dsp op in hda_dsp_remove (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: mtl: define and set power_down_dsp op (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: define and set power_down_dsp op for HDA platforms (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: introduce new op to handle dsp power down (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_da7219_mx98360a: Access num_codecs through dai_link (Jaroslav Kysela) [2179848]
- ASoC: soc-component: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2179848]
- ALSA: hda/ca0132 - remove the unneeded result variable (Jaroslav Kysela) [2179848]
- ASoC: SOF: pci-tgl: add missing PCI IDs for RPL (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: pci-tgl: reorder PCI IDs (Jaroslav Kysela) [2179848]
- ASoC: es8316: fix register sync error in suspend/resume tests (Jaroslav Kysela) [2179848]
- ASoC: cs42l83: change cs42l83_regmap to static (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: add pcm_pointer callback for mt8186 (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Add setbias level for rt5682s codec in machine driver (Jaroslav Kysela) [2179848]
- ASoC: ts3a227e: add parameters to control debounce times (Jaroslav Kysela) [2179848]
- ALSA: usb-audio: Split endpoint setups for hw_params and prepare (take#2) (Jaroslav Kysela) [2179848]
- ASoC: rt5682s: simplify the return of rt5682s_probe() (Jaroslav Kysela) [2179848]
- ASoC: SOF: don't unprepare widget used other pipelines (Jaroslav Kysela) [2179848]
- ASoC: SOF: clear prepare state when widget is unprepared (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: remove useless assignment (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-topology: clarify calculation precedence (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: add ops for SKL/KBL (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: add initial SKL/KBL hardware support (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: add SKL/KBL hardware code loader (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-dsp: expose functions for SKL support (Jaroslav Kysela) [2179848]
- ASoC: codecs: tfa989x: fix register access comments (Jaroslav Kysela) [2179848]
- ALSA: es18xx: Remove the unneeded result variable (Jaroslav Kysela) [2179848]
- ASoC: soc.h: use array instead of playback/capture_widget (Jaroslav Kysela) [2179848]
- ASoC: soc.h: use defined number instead of direct number (Jaroslav Kysela) [2179848]
- ASoC: soc.h: remove num_cpus/codecs (Jaroslav Kysela) [2179848]
- ASoC: ssm2518: switch to using gpiod API (Jaroslav Kysela) [2179848]
- ASoC: ssm2518: drop support for platform data (Jaroslav Kysela) [2179848]
- soundwire: intel: add helper to stop bus (Jaroslav Kysela) [2179848]
- soundwire: intel: introduce helpers to start bus (Jaroslav Kysela) [2179848]
- soundwire: intel: introduce intel_shim_check_wake() helper (Jaroslav Kysela) [2179848]
- soundwire: intel: simplify read ops assignment (Jaroslav Kysela) [2179848]
- soundwire: intel: remove intel_init() wrapper (Jaroslav Kysela) [2179848]
- soundwire: intel: move shim initialization before power up/down (Jaroslav Kysela) [2179848]
- soundwire: intel: remove clock_stop parameter in intel_shim_init() (Jaroslav Kysela) [2179848]
- soundwire: intel: move all PDI initialization under intel_register_dai() (Jaroslav Kysela) [2179848]
- soundwire: intel: move DAI registration and debugfs init earlier (Jaroslav Kysela) [2179848]
- soundwire: intel: simplify flow and use devm_ for DAI registration (Jaroslav Kysela) [2179848]
- ASoC: SOF: replace ipc4-loader dev_vdbg with tracepoints (Jaroslav Kysela) [2179848]
- ASoC: SOF: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: replace dev_vdbg with tracepoints (Jaroslav Kysela) [2179848]
- ASoC: SOF: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: remove unneeded dev_vdbg (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: add HDA interrupt source tracing (Jaroslav Kysela) [2179848]
- ASoC: SOF: add widget setup/free tracing (Jaroslav Kysela) [2179848]
- ALSA: hda: ext: remove always-true conditions on host and link release (Jaroslav Kysela) [2179848]
- ALSA: hda: ext: fix locking in stream_release (Jaroslav Kysela) [2179848]
- ALSA: hda: ext: simplify logic for stream assignment (Jaroslav Kysela) [2179848]
- ALSA: hda: Use hdac_ext prefix in snd_hdac_stream_free_all() for clarity (Jaroslav Kysela) [2179848]
- ALSA: hda: ext: make snd_hdac_ext_stream_init() static (Jaroslav Kysela) [2179848]
- ALSA: hda: document state machine for hdac_streams (Jaroslav Kysela) [2179848]
- ALSA: hda: make snd_hdac_stream_clear() static (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: override mclk_id after parsing NHLT SSP blob (Jaroslav Kysela) [2179848]
- ALSA: hda: intel-nhlt: add intel_nhlt_ssp_mclk_mask() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: refine SSP count support (Jaroslav Kysela) [2179848]
- soundwire: cadence: Simplify error paths in cdns_xfer_msg() (Jaroslav Kysela) [2179848]
- soundwire: cadence: Fix error check in cdns_xfer_msg() (Jaroslav Kysela) [2179848]
- soundwire: bus: Fix wrong port number in sdw_handle_slave_alerts() (Jaroslav Kysela) [2179848]
- soundwire: cadence: Write to correct address for each FIFO chunk (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: add pcm_hw_params callback for mt8186 (Jaroslav Kysela) [2179848]
- ALSA: ppc: Switch to use for_each_child_of_node() macro (Jaroslav Kysela) [2179848]
- ASoC: Intel: skylake: remove unnecessary dev_set_drvdata() (Jaroslav Kysela) [2179848]
- soundwire: qcom: do not send status of device 0 during alert (Jaroslav Kysela) [2179848]
- soundwire: qcom: update status from device id 1 (Jaroslav Kysela) [2179848]
- ASoC: qcom: add machine driver for sc8280xp (Jaroslav Kysela) [2179848]
- ASoC: qcom: sm8250: move some code to common (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,sm8250: add compatibles for sm8450 and sm8250 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom: sort compatible strings (Jaroslav Kysela) [2179848]
- ASoC: qcom: common: use EXPORT_SYMBOL_GPL instead of EXPORT_SYMBOL (Jaroslav Kysela) [2179848]
- ASoC: max98390: Fix dsm calibration reading (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_ssp_amp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848]
- ASOC: SOF: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_es8336: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_nau8825: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_cs42l42: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: use function devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: use devm_kcalloc() instead of devm_kzalloc() (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Switch to dev_err_probe() helper (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Implement 'set_bclk_ratio' (Jaroslav Kysela) [2179848]
- ASoC: cs42l83: Extend CS42L42 support to new part (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Export regmap elements to core namespace (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Split I2C identity into separate module (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Pass component and dai defs into common probe (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Split cs42l42_resume into two functions (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Split probe() and remove() into stages (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Use cs42l42->dev instead of &i2c_client->dev (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Add bitclock frequency argument to cs42l42_pll_config() (Jaroslav Kysela) [2179848]
- soundwire: bus: Don't exit early if no device IDs were programmed (Jaroslav Kysela) [2179848]
- soundwire: cadence: Fix lost ATTACHED interrupts when enumerating (Jaroslav Kysela) [2179848]
- soundwire: bus: Don't re-enumerate before status is UNATTACHED (Jaroslav Kysela) [2179848]
- soundwire: bus: Don't lose unattach notifications (Jaroslav Kysela) [2179848]
- soundwire: cadence: fix updating slave status when a bus has multiple peripherals (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848]
- ASoC: bcm2835-i2s: Switch to use dev_err_probe() helper (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: increase SRAM inbox and outbox size to 1024 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Adding amd HS functionality to the sof core (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Add support for Rembrandt plaform. (Jaroslav Kysela) [2179848]
- ASoC: SOF: amd: Make ACP core code generic for newer SOC transition (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848]
- ASoC: nau8825: Add ADCOUT IO drive strength control (Jaroslav Kysela) [2179848]
- ASoC: ak4458: Add ak4458_reset in device probe and remove (Jaroslav Kysela) [2179848]
- ASoC: Intel: sof_rt5682: remove SOF_RT1015_SPEAKER_AMP_100FS flag (Jaroslav Kysela) [2179848]
- ASoC: rt5682s: Reduce coupling of PLLB setting (Jaroslav Kysela) [2179848]
- ASoC: rt5682s: Reduce coupling of I2S1 setting (Jaroslav Kysela) [2179848]
- ASoC: rt5682s: Reduce coupling of Micbias and Vref2 settings (Jaroslav Kysela) [2179848]
- ASoC: fsl_spdif: add ALSA event on dpll locked (Jaroslav Kysela) [2179848]
- ALSA: dummy: Add customizable volume min/max. (Jaroslav Kysela) [2179848]
- ALSA: dummy: Fix trailing whitespaces. (Jaroslav Kysela) [2179848]
- soundwire: cadence: remove unused sdw_cdns_master_ops declaration (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: apr: add missing properties (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6apm-dai: adjust indentation in example (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6dsp-lpass-clocks: cleanup example (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6dsp-lpass-ports: cleanup example (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6adm: convert to dtschema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6asm: convert to dtschema (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: apr: correct service children (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6afe: remove binding (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: Add mtrace type information for IPC4 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: icl: Set IPC4-specific DSP ops (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Add support for mtrace log extraction (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Configure the debug box offset (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Add define for the outbox window index (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Add macro to get core ID from log buffer status message (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4: Only print LOG BUFFER update message info if requested (Jaroslav Kysela) [2179848]
- sound: oss: dmasound: remove software_input_volume declaration (Jaroslav Kysela) [2179848]
- ALSA: memalloc: remove snd_dma_sg_ops declaration (Jaroslav Kysela) [2179848]
- ALSA: line6: remove line6_set_raw declaration (Jaroslav Kysela) [2179848]
- ASoC: fsl_asrc: Add initialization finishing check in runtime resume (Jaroslav Kysela) [2179848]
- ASoC: hdmi-codec.c: use devm_kzalloc() for DMA data (Jaroslav Kysela) [2179848]
- ASoC: soc-core.c: setup rtd->pmdown_time at soc_new_pcm_runtime() (Jaroslav Kysela) [2179848]
- ASoC: ak4458: Remove component probe() and remove() (Jaroslav Kysela) [2179848]
- ASoC: max98390: Remove unnecessary amp on/off conrtol (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: tidyup snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: add comment for kzalloc()/kfree() on snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848]
- ASoC: sti-sas: Remove the unneeded result variable (Jaroslav Kysela) [2179848]
- ASoC: simple-card-utils: switch to using gpiod API (Jaroslav Kysela) [2179848]
- ASoC: codecs: va-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848]
- ASoC: codecs: va-macro: clear the frame sync counter before enabling (Jaroslav Kysela) [2179848]
- ASoC: codecs: rx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848]
- ASoC: codecs: tx-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa-macro: add support for sm8450 and sc8280xp (Jaroslav Kysela) [2179848]
- ASoC: qcom: dt-bindings: add sm8450 and sc8280xp compatibles (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa883x: add clock stop support (Jaroslav Kysela) [2179848]
- ASoC: codecs: tx-macro: fix active_decimator array (Jaroslav Kysela) [2179848]
- ASoC: codecs: tx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848]
- ASoC: codecs: rx-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848]
- ASoC: codecs: wsa-macro: handle swr_reset correctly (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,q6core: remove binding (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: mt8195: Add devicetree support to select topologies (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: mt8195: Add generic pcm_{open,close} callbacks (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: mt8195: Add mailbox generic callbacks for IPC (Jaroslav Kysela) [2179848]
- ASoC: fsl_audmux: Fix amixer write errors (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: fixup snd_soc_dapm_new_control_unlocked() error handling (Jaroslav Kysela) [2179848]
- ASoC: soc-dapm.c: don't use WARN_ON() at snd_soc_dai_link_event_pre_pmu() (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Utilize acpi_get_subsystem_id() (Jaroslav Kysela) [2179848]
- ALSA: hda: cs35l41: Call put_device() in the scope of get_device() (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Postpone requesting of DMA channels (Jaroslav Kysela) [2179848]
- ASoC: SOF: Remove strsplit_u32() and tokenize_input() (Jaroslav Kysela) [2179848]
- lib/string_helpers: Introduce parse_int_array_user() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848]
- MAINTAINERS: Fix file pattern for ARM/APPLE MACHINE SOUND DRIVERS (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Unselect COMMON_CLK in Kconfig (Jaroslav Kysela) [2179848]
- ALSA: asihpi - Remove useless code in hpi_meter_get_peak() (Jaroslav Kysela) [2179848]
- ASoC: codecs: rk817: drop I2C dependencies (Jaroslav Kysela) [2179848]
- ASoC: codecs: wcd934x: add Slimbus dependency (Jaroslav Kysela) [2179848]
- ASoC: codecs: rk817: fix missing I2C dependency in compile test (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm.c: check fe condition at out of loop (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm.c: add soc_pcm_ret() (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm.c: remove unnecessary codec2codec_close_delayed_work() (Jaroslav Kysela) [2179848]
- ALSA: hda/hdmi: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Jaroslav Kysela) [2179848]
- ASoC: codecs: max98088: remove redundant ret variable (Jaroslav Kysela) [2179848]
- ASoC: codecs: allow compile testing without MFD drivers (Jaroslav Kysela) [2179848]
- ASoC: max98396: Make data monitor features configurable (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: max98396: Document data monitor properties (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Modify dai_id macros to be more generic (Jaroslav Kysela) [2179848]
- ASoC: tas2562: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2179848]
- ASoC: tas2764: Export highpass filter setting (Jaroslav Kysela) [2179848]
- ASoC: tas2764: Add IRQ handling (Jaroslav Kysela) [2179848]
- ASoC: sigmadsp: switch to use kmemdup_nul() helper (Jaroslav Kysela) [2179848]
- ASoC: max98088: add support for noise gate reg (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: Use generic implementation for .ipc_msg_data field (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: add snd_sof_dsp_ops callbacks for pcm and mail box (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: Add dai driver for mt8186 (Jaroslav Kysela) [2179848]
- ASoC: codecs: add suspend and resume for ES8316 (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Add locking (Jaroslav Kysela) [2179848]
- ASoC: apple: mca: Start new platform driver (Jaroslav Kysela) [2179848]
- ASoC: SOF: imx8ulp: add missing of_node_put() in imx8ulp_probe() (Jaroslav Kysela) [2179848]
- ASoC: SOF: imx: imx8ulp: declare ops structure as static (Jaroslav Kysela) [2179848]
- ASoC: codes: src4xxx: Avoid clang -Wsometimes-uninitialized in src4xxx_hw_params() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Skip IMR boot after a firmware crash or boot failure (Jaroslav Kysela) [2179848]
- ASoC: SOF: ipc4-loader: Verify ext manifest magic number (Jaroslav Kysela) [2179848]
- ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on SND_SOC_SOF (Jaroslav Kysela) [2179848]
- ALSA: doc: Drop snd_dma_continuous_data() usages (Jaroslav Kysela) [2179848]
- ALSA: memalloc: Drop special handling of GFP for CONTINUOUS allocation (Jaroslav Kysela) [2179848]
- ASoC: Intel: sst: Switch to standard device pages (Jaroslav Kysela) [2179848]
- ALSA: pdaudiocf: Drop superfluous GFP setup (Jaroslav Kysela) [2179848]
- ALSA: vx: Drop superfluous GFP setup (Jaroslav Kysela) [2179848]
- ASoC: atmel_ssc_dai: Remove the unneeded result variable (Jaroslav Kysela) [2179848]
- soundwire: intel: cleanup WakeEnable and WakeStatus (Jaroslav Kysela) [2179848]
- soundwire: intel: cleanup AC Timing Control (Jaroslav Kysela) [2179848]
- soundwire: intel: cleanup IO control (Jaroslav Kysela) [2179848]
- soundwire: intel: cleanup PCM Stream channel map and channel count (Jaroslav Kysela) [2179848]
- soundwire: intel: cleanup PCM stream capabilities (Jaroslav Kysela) [2179848]
- soundwire: intel: add comment for control stream cap/chmap (Jaroslav Kysela) [2179848]
- soundwire: intel: remove unused PDM capabilities (Jaroslav Kysela) [2179848]
- soundwire: intel: cleanup SHIM SYNC (Jaroslav Kysela) [2179848]
- soundwire: intel: remove IPPTR unused definition (Jaroslav Kysela) [2179848]
- soundwire: intel: regroup definitions for LCTL (Jaroslav Kysela) [2179848]
- soundwire: intel: cleanup definition of LCOUNT (Jaroslav Kysela) [2179848]
- soundwire: intel: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848]
- soundwire: bus: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2179848]
- soundwire: intel: set dev_num_ida_min (Jaroslav Kysela) [2179848]
- soundwire: bus: allow device number to be unique at system level (Jaroslav Kysela) [2179848]
- soundwire: bus: rename sdw_ida as sdw_bus_ida (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: Add support multi fifo sdma script (Jaroslav Kysela) [2179848]
- ALSA: hda: cleanup definitions for multi-link registers (Jaroslav Kysela) [2179848]
- ASoC: ti: omap-mcbsp: remove useless assignment (Jaroslav Kysela) [2179848]
- ASoC: fsl: fsl-utils: remove useless assignment (Jaroslav Kysela) [2179848]
- ASoC: wcd9335: remove always-true condition (Jaroslav Kysela) [2179848]
- ASoC: wcd-mbhc-v2: remove always-true condition (Jaroslav Kysela) [2179848]
- ASoC: hdmi-codec: remove unused definitions (Jaroslav Kysela) [2179848]
- ASoC: SOF: compress: Remove dai_posn variable (Jaroslav Kysela) [2179848]
- ASoC: SOF: compress: Add copy function for capture case (Jaroslav Kysela) [2179848]
- ASoC: SOF: compress: Move sof_compr_copy functionality (Jaroslav Kysela) [2179848]
- ASoC: Intel: fix unused-variable warning in probe_codec (Jaroslav Kysela) [2179848]
- ASoC: soc-pcm.c: summarize related settings at soc_new_pcm() (Jaroslav Kysela) [2179848]
- ASoC: SOF: Fix compilation when HDA_AUDIO_CODEC config is disabled (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: add a label to make error path more clean (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: switch to use dev_err_probe() (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: remove unnecessary NULL checks (Jaroslav Kysela) [2179848]
- ASoC: Change handling of unimplemented set_bclk_ratio (Jaroslav Kysela) [2179848]
- ALSA: hda: Remove unused defines (Jaroslav Kysela) [2179848]
- ALSA: hda: Remove unused MAX_PIN_CONFIGS constant (Jaroslav Kysela) [2179848]
- ALSA: hda: Rework snd_hdac_stream_reset() to use macros (Jaroslav Kysela) [2179848]
- ALSA: hda: Move stream-register polling macros (Jaroslav Kysela) [2179848]
- ASoC: SOF: imx: Add i.MX8ULP HW support (Jaroslav Kysela) [2179848]
- ASoC: soc-utils-test: Add test for snd_soc_params_to_bclk() (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Use snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Fix comment typo in cs42l42_slow_start_put() (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Move cs42l42_supply_names to .c file (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Add include dependencies to cs42l42.h (Jaroslav Kysela) [2179848]
- ASoC: cs42l42: Don't include kernel.h (Jaroslav Kysela) [2179848]
- ASoC: codecs: es8326: change es8326_regmap_config to static (Jaroslav Kysela) [2179848]
- ASoC: SOF: compress: Add support for computing timestamps (Jaroslav Kysela) [2179848]
- ASoC: SOF: compress: Save channel count and sample bytes (Jaroslav Kysela) [2179848]
- ASoC: SOF: compress: Introduce sof_compr_stream (Jaroslav Kysela) [2179848]
- ASoC: SOF: compress: Remove byte offset computation (Jaroslav Kysela) [2179848]
- soundwire: intel: Remove unnecessary TODO (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: Remove unnecessary FIFO reset in ISR (Jaroslav Kysela) [2179848]
- ASoC: Variable type completion (Jaroslav Kysela) [2179848]
- ASoC: core: remove setting platform_max in kcontrol macros (Jaroslav Kysela) [2179848]
- ASoC: qcom: qdsp6: q6prm: add new clocks (Jaroslav Kysela) [2179848]
- ALSA: hda: Fix page fault in snd_hda_codec_shutdown() (Jaroslav Kysela) [2179848]
- ALSA: hda: Remove codec init and exit routines (Jaroslav Kysela) [2179848]
- ALSA: hda: Always free codec on the device release (Jaroslav Kysela) [2179848]
- ASoC: Intel: Drop hdac_ext usage for codec device creation (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848]
- ASoC: Intel: Skylake: Introduce HDA codec init and exit routines (Jaroslav Kysela) [2179848]
- ASoC: codecs: add support for ES8326 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Add Everest ES8326 audio CODEC (Jaroslav Kysela) [2179848]
- ASoC: Intel: Drop legacy HSW/BDW board-match information (Jaroslav Kysela) [2179848]
- ASoC: Intel: catpt: Drop SND_SOC_ACPI_INTEL_MATCH dependency (Jaroslav Kysela) [2179848]
- ASoC: Intel: bdw_rt286: Rename module (Jaroslav Kysela) [2179848]
- ASoC: Intel: hsw_rt5640: Rename module (Jaroslav Kysela) [2179848]
- ASoC: soc-utils: Improve kerneldoc for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2179848]
- ASoC: tlv320adcx140: Add a new kcontrol (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Add TDM support for acp i2s stream (Jaroslav Kysela) [2179848]
- ASoC: amd: acp: Initialize list to store acp_stream during pcm_open (Jaroslav Kysela) [2179848]
- ASoC: codecs: add support for the TI SRC4392 codec (Jaroslav Kysela) [2179848]
- ASoC: Variable type completion (Jaroslav Kysela) [2179848]
- ASoC: simple-card-utils: Fixup DAI sample format (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Add sample format conversion (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Definitions for DAI params (Jaroslav Kysela) [2179848]
- ASoC: tlv320adcx140: Fix a typo in a comment (Jaroslav Kysela) [2179848]
- ASoC: SOF: mediatek: Add sof_mt8186_machs for mt8186 (Jaroslav Kysela) [2179848]
- ASoC: SOF: Introduce function sof_of_machine_select (Jaroslav Kysela) [2179848]
- ASoC: fsl_sai: Update slots number according to bclk_ratio (Jaroslav Kysela) [2179848]
- ASoC: imx-rpmsg: Support configure sysclk for codec dai (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl,sai: Convert format to json-schema (Jaroslav Kysela) [2179848]
- ASoC: omap: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848]
- ASoC: DAPM: Replace sprintf() calls with sysfs_emit_at() (Jaroslav Kysela) [2179848]
- ASoC: core: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848]
- ASoC: Intel: skylake: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848]
- ASoC: Intel: catpt: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848]
- ASoC: Intel: sst: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848]
- ASoC: tlv320aic26: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2179848]
- ASoC: cs43130: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2179848]
- ASoC: Intel: cirrus-common: Use UID to map correct amp to prefix (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,wcd934x: use absolute path to other schema (Jaroslav Kysela) [2179848]
- ASoC: wcd938x: Remove spurious type_base from irq chip (Jaroslav Kysela) [2179848]
- ASoC: wcd9335: Convert irq chip to config regs (Jaroslav Kysela) [2179848]
- ASoC: atmel_ssc_dai: remove #ifdef CONFIG_PM (Jaroslav Kysela) [2179848]
- ASoC: codecs: show PING status on resume failures (Jaroslav Kysela) [2179848]
- soundwire: add sdw_show_ping_status() helper (Jaroslav Kysela) [2179848]
- soundwire: intel/cadence: expose PING status in manager ops (Jaroslav Kysela) [2179848]
- soundwire: add read_ping_status helper definition in manager ops (Jaroslav Kysela) [2179848]
- soundwire: qcom: Update error prints to debug prints (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda: Drop no longer used ROM state definitions (Jaroslav Kysela) [2179848]
- ASoC: SOF: Intel: hda-loader: Use the FSR state definitions during bootup (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom,sm8250: add SDM845 sound (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl-sai: Add two PLL clock source (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl_spdif: Add two PLL clock source (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Fix description for msm8916 (Jaroslav Kysela) [2179848]
- ALSA: wavefront: remove redundant assignment to pointer end (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Add WSA883x bindings (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl,micfil: Convert format to json-schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: max98396: Document adi,bypass-slot-no (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: max98396: add voltage supplies (Jaroslav Kysela) [2179848]
- dt-bindings: firmware: document Qualcomm QCS404 and SM6125 SCM (Jaroslav Kysela) [2179848]
- ASoC: qcom: apq8096: set driver name correctly (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl-sai: Add new property to configure dataline (Jaroslav Kysela) [2179848]
- dt-bindings: firmware: scm: Add compatible for SDX65 (Jaroslav Kysela) [2179848]
- ASoC: tlv320adcx140: Register a callback to disable the regulator_disable (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: fsl,micfil: Add compatible string for imx8mp (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: aoss: document qcom,sm8450-aoss-qmp (Jaroslav Kysela) [2179848]
- ASoC: cs43130: Re-use generic struct u16_fract (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt5682: Add #sound-dai-cells (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt1015p: Add #sound-dai-cells (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: max98396: add amplifier driver (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: lpass-cpu: Update clocks and power domain names for sc7280 platform (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: wcd938x: Add mic bias supply property (Jaroslav Kysela) [2179848]
- ASoC: qcom: dt-bindings: Update bindings for clocks in lpass digital codes (Jaroslav Kysela) [2179848]
- dt-bindings: soundwire: qcom: Add bindings for audio clock reset control property (Jaroslav Kysela) [2179848]
- dt-bindings: soundwire: qcom: document optional wake irq (Jaroslav Kysela) [2179848]
- ASoC: qcom: dt-bindings: Add bindings for power domains in lpass digital codecs (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: Add SC7280 lpass cpu bindings (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: realtek,rt5682s: Drop Tegra specifics from example (Jaroslav Kysela) [2179848]
- dt-bindings: google,cros-ec: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848]
- dt-bindings: display: bridge: drop Enric Balletbo i Serra from maintainers (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom: sm8250: Document "pin-switches" and "widgets" (Jaroslav Kysela) [2179848]
- dt-bindings: firmware: scm: Add SM6350 compatible (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt5682s: add AMIC delay time property (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom: Document qcom,msm8916-qdsp6-sndcard compatible (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom: apq8016-sbc: Move to qcom,sm8250 DT schema (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom: sm8250: Document "aux-devs" (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: qcom: sm8250: Drop redundant MultiMedia routes (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: add q6apm digital audio stream bindings (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: lpass-clocks: add q6prm clocks compatible (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: q6dsp: add q6apm-lpass-dai compatible (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: move LPASS clocks related bindings out of q6afe (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: move LPASS dai related bindings out of q6afe (Jaroslav Kysela) [2179848]
- ASoC: qcom: dt-bindings: Add compatible names for lpass sc7280 digital codecs (Jaroslav Kysela) [2179848]
- MAINTAINERS: Chrome: Drop Enric Balletbo i Serra (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt5682s: fix the device-tree schema errors (Jaroslav Kysela) [2179848]
- soc: dt-bindings: qcom: add gpr bindings (Jaroslav Kysela) [2179848]
- soc: dt-bindings: qcom: apr: deprecate qcom,apr-domain property (Jaroslav Kysela) [2179848]
- soc: dt-bindings: qcom: apr: convert to yaml (Jaroslav Kysela) [2179848]
- ASoC: q6afe: q6asm: Fix typos in qcom,q6afe.txt and qcom,q6asm.txt (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt5682s: correct several errors (Jaroslav Kysela) [2179848]
- dt-bindings: firmware: scm: Add compatible for msm8226 (Jaroslav Kysela) [2179848]
- ASoC: dt-bindings: rt5682s: add bindings for rt5682s (Jaroslav Kysela) [2179848]
- dt-bindings: Use 'enum' instead of 'oneOf' plus 'const' entries (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: aoss: Add SM6350 compatible (Jaroslav Kysela) [2179848]
- treewide: Replace open-coded flex arrays in unions (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: aoss: Convert to YAML (Jaroslav Kysela) [2179848]
- dt-bindings: soc: qcom: aoss: Add SC8180X and generic compatible (Jaroslav Kysela) [2179848]
- tools include UAPI: Sync sound/asound.h copy with the kernel sources (Jaroslav Kysela) [2179848]
- crypto: testmgr - allow ecdsa-nist-p256 and -p384 in FIPS mode (Coiby Xu) [2055205]
- redhat/configs: Enable machine keyring for IMA (Coiby Xu) [2055205]
- integrity: Remove EXPERIMENTAL from Kconfig (Coiby Xu) [2055205]
- integrity: Enforce digitalSignature usage in the ima and evm keyrings (Coiby Xu) [2055205]
- KEYS: DigitalSignature link restriction (Coiby Xu) [2055205]
- integrity: machine keyring CA configuration (Coiby Xu) [2055205]
- KEYS: CA link restriction (Coiby Xu) [2055205]
- KEYS: X.509: Parse Key Usage (Coiby Xu) [2055205]
- KEYS: X.509: Parse Basic Constraints for CA (Coiby Xu) [2055205]
- KEYS: Add missing function documentation (Coiby Xu) [2055205]
- KEYS: Create static version of public_key_verify_signature (Coiby Xu) [2055205]
- integrity: Only use machine keyring when uefi_check_trust_mok_keys is true (Coiby Xu) [2055205]
- integrity: Trust MOK keys if MokListTrustedRT found (Coiby Xu) [2055205]
- KEYS: Introduce link restriction for machine keys (Coiby Xu) [2055205]
- KEYS: store reference to machine keyring (Coiby Xu) [2055205]
- integrity: add new keyring handler for mok keys (Coiby Xu) [2055205]
- integrity: Introduce a Linux keyring called machine (Coiby Xu) [2055205]
- integrity: Fix warning about missing prototypes (Coiby Xu) [2055205]
Resolves: rhbz#2055205, rhbz#2159265, rhbz#2179848, rhbz#2192730, rhbz#2214966, rhbz#2217518

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-07-04 11:24:03 +02:00
Jan Stancek
9855b4c968 kernel-5.14.0-334.el9
* Fri Jun 30 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-334.el9]
- nfsd: move init of percpu reply_cache_stats counters back to nfsd_init_net (Jeffrey Layton) [2215429]
- ACPI: processor idle: avoid call to raw_local_irq_disable() from acpi_safe_halt() (David Arcari) [2217308]
- docs: admin-guide: Add information about intel_pstate active mode (David Arcari) [2216955]
- KVM: x86/pmu: Fix a typo in kvm_pmu_request_counter_reprogam() (Maxim Levitsky) [2177720]
- docs: kvm: x86: Fix broken field list (Maxim Levitsky) [2177720]
- KVM: nVMX: Do not report error code when synthesizing VM-Exit from Real Mode (Maxim Levitsky) [2177720]
- KVM: x86: Clear "has_error_code", not "error_code", for RM exception injection (Maxim Levitsky) [2177720]
- KVM: x86: Suppress pending MMIO write exits if emulator detects exception (Maxim Levitsky) [2177720]
- KVM: x86/ioapic: Resample the pending state of an IRQ when unmasking (Maxim Levitsky) [2177720]
- KVM: irqfd: Make resampler_list an RCU list (Maxim Levitsky) [2177720]
- KVM: SVM: Flush Hyper-V TLB when required (Maxim Levitsky) [2177720]
- KVM: selftests: Sync KVM exit reasons in selftests (Maxim Levitsky) [2177720]
- KVM: selftests: Add macro to generate KVM exit reason strings (Maxim Levitsky) [2177720]
- KVM: selftests: Print expected and actual exit reason in KVM exit reason assert (Maxim Levitsky) [2177720]
- KVM: selftests: Make vCPU exit reason test assertion common (Maxim Levitsky) [2177720]
- KVM: selftests: Add EVTCHNOP_send slow path test to xen_shinfo_test (Maxim Levitsky) [2177720]
- KVM: selftests: Use enum for test numbers in xen_shinfo_test (Maxim Levitsky) [2177720]
- KVM: selftests: Add helpers to make Xen-style VMCALL/VMMCALL hypercalls (Maxim Levitsky) [2177720]
- KVM: selftests: Move the guts of kvm_hypercall() to a separate macro (Maxim Levitsky) [2177720]
- KVM: SVM: WARN if GATag generation drops VM or vCPU ID information (Maxim Levitsky) [2177720]
- KVM: SVM: Modify AVIC GATag to support max number of 512 vCPUs (Maxim Levitsky) [2177720]
- KVM: SVM: Fix a benign off-by-one bug in AVIC physical table mask (Maxim Levitsky) [2177720]
- selftests: KVM: skip hugetlb tests if huge pages are not available (Maxim Levitsky) [2177720]
- KVM: VMX: Use tabs instead of spaces for indentation (Maxim Levitsky) [2177720]
- KVM: VMX: Fix indentation coding style issue (Maxim Levitsky) [2177720]
- KVM: nVMX: remove unnecessary #ifdef (Maxim Levitsky) [2177720]
- KVM: nVMX: add missing consistency checks for CR0 and CR4 (Maxim Levitsky) [2177720]
- KVM: SVM: hyper-v: placate modpost section mismatch error (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Make tdp_mmu_allowed static (Maxim Levitsky) [2177720]
- KVM: selftests: Remove duplicate macro definition (Maxim Levitsky) [2177720]
- KVM: selftests: Clean up misnomers in xen_shinfo_test (Maxim Levitsky) [2177720]
- selftests: KVM: Replace optarg with arg in guest_modes_cmdline (Maxim Levitsky) [2177720]
- KVM: update code comment in struct kvm_vcpu (Maxim Levitsky) [2177720]
- KVM: selftests: Assign guest page size in sync area early in memslot_perf_test (Maxim Levitsky) [2177720]
- KVM: SVM: Fix potential overflow in SEV's send|receive_update_data() (Maxim Levitsky) [2177720]
- KVM: nVMX: Simplify the setting of SECONDARY_EXEC_ENABLE_VMFUNC for nested. (Maxim Levitsky) [2177720]
- KVM: VMX: Do not trap VMFUNC instructions for L1 guests. (Maxim Levitsky) [2177720]
- KVM: selftests: Enable USERFAULTFD (Maxim Levitsky) [2177720]
- KVM: x86: Simplify msr_io() (Maxim Levitsky) [2177720]
- KVM: x86: Remove unnecessary initialization in kvm_vm_ioctl_set_msr_filter() (Maxim Levitsky) [2177720]
- KVM: x86: Explicitly state lockdep condition of msr_filter update (Maxim Levitsky) [2177720]
- KVM: x86: Simplify msr_filter update (Maxim Levitsky) [2177720]
- KVM: x86: Optimize kvm->lock and SRCU interaction (KVM_X86_SET_MSR_FILTER) (Maxim Levitsky) [2177720]
- KVM: x86: Optimize kvm->lock and SRCU interaction (KVM_SET_PMU_EVENT_FILTER) (Maxim Levitsky) [2177720]
- KVM: x86/emulator: Fix comment in __load_segment_descriptor() (Maxim Levitsky) [2177720]
- KVM: x86/emulator: Fix segment load privilege level validation (Maxim Levitsky) [2177720]
- KVM: selftests: Remove redundant setbuf() (Maxim Levitsky) [2177720]
- scripts/spelling.txt: add `permitted' (Maxim Levitsky) [2177720]
- Documentation: KVM: Update AMD memory encryption link (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Add PRIR++ and PDist support for SPR and later models (Maxim Levitsky) [2177720]
- KVM: selftests: Verify APIC_ID is set when forcing x2APIC=>xAPIC transition (Maxim Levitsky) [2177720]
- KVM: x86: Reinitialize xAPIC ID when userspace forces x2APIC => xAPIC (Maxim Levitsky) [2177720]
- KVM: selftests: Test Hyper-V extended hypercall exit to userspace (Maxim Levitsky) [2177720]
- KVM: selftests: Replace hardcoded Linux OS id with HYPERV_LINUX_OS_ID (Maxim Levitsky) [2177720]
- KVM: selftests: Test Hyper-V extended hypercall enablement (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Add extended hypercall support in Hyper-v (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Use common code for hypercall userspace exit (Maxim Levitsky) [2177720]
- KVM: Destroy target device if coalesced MMIO unregistration fails (Maxim Levitsky) [2177720]
- KVM: x86: Use emulator callbacks instead of duplicating "host flags" (Maxim Levitsky) [2177720]
- KVM: x86: Move HF_NMI_MASK and HF_IRET_MASK into "struct vcpu_svm" (Maxim Levitsky) [2177720]
- KVM: x86: Move HF_GIF_MASK into "struct vcpu_svm" as "guest_gif" (Maxim Levitsky) [2177720]
- KVM: nSVM: Don't sync tlb_ctl back to vmcb12 on nested VM-Exit (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Test read-only PT memory regions (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Fix check of dirty log PT write (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Do not default to dirty PTE pages on all S1PTWs (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Relax userfaultfd read vs. write checks (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Provide "error" semantics for unsupported-but-known PMU MSRs (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Don't tell userspace to save MSRs for non-existent fixed PMCs (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Don't tell userspace to save PMU MSRs if PMU is disabled (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Use separate array for defining "PMU MSRs to save" (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Gate all "unimplemented MSR" prints on report_ignored_msrs (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Cap kvm_pmu_cap.num_counters_gp at KVM's internal max (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Drop event_type and rename "struct kvm_event_hw_type_mapping" (Maxim Levitsky) [2177720]
- Documentation: KVM: fix typos in running-nested-guests.rst (Maxim Levitsky) [2177720]
- KVM: x86: Replace IS_ERR() with IS_ERR_VALUE() (Maxim Levitsky) [2177720]
- KVM: VMX: Handle NMI VM-Exits in noinstr region (Maxim Levitsky) [2177720]
- KVM: VMX: Provide separate subroutines for invoking NMI vs. IRQ handlers (Maxim Levitsky) [2177720]
- x86/entry: KVM: Use dedicated VMX NMI entry for 32-bit kernels too (Maxim Levitsky) [2177720]
- KVM: VMX: Always inline to_vmx() and to_kvm_vmx() (Maxim Levitsky) [2177720]
- KVM: VMX: Always inline eVMCS read/write helpers (Maxim Levitsky) [2177720]
- KVM: VMX: Allow VM-Fail path of VMREAD helper to be instrumented (Maxim Levitsky) [2177720]
- KVM: x86: Make vmx_get_exit_qual() and vmx_get_intr_info() noinstr-friendly (Maxim Levitsky) [2177720]
- KVM: VMX: don't use "unsigned long" in vmx_vcpu_enter_exit() (Maxim Levitsky) [2177720]
- KVM: VMX: Access @flags as a 32-bit value in __vmx_vcpu_run() (Maxim Levitsky) [2177720]
- KVM: SVM: Account scratch allocations used to decrypt SEV guest memory (Maxim Levitsky) [2177720]
- KVM: svm/avic: Drop "struct kvm_x86_ops" for avic_hardware_setup() (Maxim Levitsky) [2177720]
- KVM: SVM: remove redundant ret variable (Maxim Levitsky) [2177720]
- KVM: selftests: Stop assuming stats are contiguous in kvm_binary_stats_test (Maxim Levitsky) [2177720]
- KVM: x86/xen: Remove unneeded semicolon (Maxim Levitsky) [2177720]
- KVM: selftests: x86: Use host's native hypercall instruction in kvm_hypercall() (Maxim Levitsky) [2177720]
- KVM: selftests: x86: Cache host CPU vendor (AMD vs. Intel) (Maxim Levitsky) [2177720]
- KVM: selftests: x86: Use "this_cpu" prefix for cpu vendor queries (Maxim Levitsky) [2177720]
- KVM: selftests: Fix a typo in the vcpu_msrs_set assert (Maxim Levitsky) [2177720]
- KVM: selftests: kvm_vm_elf_load() and elfhdr_get() should close fd (Maxim Levitsky) [2177720]
- KVM: selftests: Test masked events in PMU filter (Maxim Levitsky) [2177720]
- KVM: selftests: Add testing for KVM_SET_PMU_EVENT_FILTER (Maxim Levitsky) [2177720]
- KVM: selftests: Add flags when creating a pmu event filter (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Introduce masked events to the pmu event filter (Maxim Levitsky) [2177720]
- KVM: x86/pmu: prepare the pmu event filter for masked events (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Remove impossible events from the pmu event filter (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Correct the mask used in a pmu event filter lookup (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Use kstrtobool() instead of strtobool() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Cleanup range-based flushing for given page (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Fix wrong gfn range of tlb flushing in validate_direct_spte() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Fix wrong start gfn of tlb flushing with range (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Reduce gfn range of tlb flushing in tdp_mmu_map_handle_target_level() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Fix wrong gfn range of tlb flushing in kvm_set_pte_rmapp() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Move round_gfn_for_level() helper into mmu_internal.h (Maxim Levitsky) [2177720]
- KVM: x86/mmu: fix an incorrect comment in kvm_mmu_new_pgd() (Maxim Levitsky) [2177720]
- kvm: x86/mmu: Don't clear write flooding for direct SP (Maxim Levitsky) [2177720]
- kvm: x86/mmu: Rename SPTE_TDP_AD_ENABLED_MASK to SPTE_TDP_AD_ENABLED (Maxim Levitsky) [2177720]
- x86/reboot: Disable SVM, not just VMX, when stopping CPUs (Maxim Levitsky) [2177720]
- x86/reboot: Disable virtualization in an emergency if SVM is supported (Maxim Levitsky) [2177720]
- x86/virt: Force GIF=1 prior to disabling SVM (for reboot flows) (Maxim Levitsky) [2177720]
- x86/crash: Disable virt in core NMI crash handler to avoid double shootdown (Maxim Levitsky) [2177720]
- KVM: x86/xen: update Xen CPUID Leaf 4 (tsc info) sub-leaves, if present (Maxim Levitsky) [2177720]
- KVM: x86/cpuid: generalize kvm_update_kvm_cpuid_base() and also capture limit (Maxim Levitsky) [2177720]
- KVM: x86: Replace cpu_dirty_logging_count with nr_memslots_dirty_logging (Maxim Levitsky) [2177720]
- KVM: x86: Replace 0-length arrays with flexible arrays (Maxim Levitsky) [2177720]
- KVM: x86: Advertise fast REP string features inherent to the CPU (Maxim Levitsky) [2177720]
- kvm_host.h: fix spelling typo in function declaration (Maxim Levitsky) [2177720]
- KVM: account allocation in generic version of kvm_arch_alloc_vm() (Maxim Levitsky) [2177720]
- KVM: x86: fire timer when it is migrated and expired, and in oneshot mode (Maxim Levitsky) [2177720]
- KVM: VMX: Intercept reads to invalid and write-only x2APIC registers (Maxim Levitsky) [2177720]
- KVM: VMX: Always intercept accesses to unsupported "extended" x2APIC regs (Maxim Levitsky) [2177720]
- KVM: x86: Split out logic to generate "readable" APIC regs mask to helper (Maxim Levitsky) [2177720]
- KVM: x86: Mark x2APIC DFR reg as non-existent for x2APIC (Maxim Levitsky) [2177720]
- KVM: x86: Inject #GP on x2APIC WRMSR that sets reserved bits 63:32 (Maxim Levitsky) [2177720]
- KVM: x86: Inject #GP if WRMSR sets reserved bits in APIC Self-IPI (Maxim Levitsky) [2177720]
- KVM: x86: remove redundant ret variable (Maxim Levitsky) [2177720]
- KVM: MMU: Make the definition of 'INVALID_GPA' common (Maxim Levitsky) [2177720]
- KVM: x86: Add helpers to recalc physical vs. logical optimized APIC maps (Maxim Levitsky) [2177720]
- KVM: x86: Allow APICv APIC ID inhibit to be cleared (Maxim Levitsky) [2177720]
- KVM: x86: Track required APICv inhibits with variable, not callback (Maxim Levitsky) [2177720]
- Revert "KVM: SVM: Do not throw warning when calling avic_vcpu_load on a running vcpu" (Maxim Levitsky) [2177720]
- KVM: SVM: Ignore writes to Remote Read Data on AVIC write traps (Maxim Levitsky) [2177720]
- KVM: SVM: Handle multiple logical targets in AVIC kick fastpath (Maxim Levitsky) [2177720]
- KVM: SVM: Require logical ID to be power-of-2 for AVIC entry (Maxim Levitsky) [2177720]
- KVM: SVM: Update svm->ldr_reg cache even if LDR is "bad" (Maxim Levitsky) [2177720]
- KVM: SVM: Always update local APIC on writes to logical dest register (Maxim Levitsky) [2177720]
- KVM: SVM: Inhibit AVIC if vCPUs are aliased in logical mode (Maxim Levitsky) [2177720]
- KVM: x86: Inhibit APICv/AVIC if the optimized physical map is disabled (Maxim Levitsky) [2177720]
- KVM: x86: Honor architectural behavior for aliased 8-bit APIC IDs (Maxim Levitsky) [2177720]
- KVM: x86: Disable APIC logical map if vCPUs are aliased in logical mode (Maxim Levitsky) [2177720]
- KVM: x86: Disable APIC logical map if logical ID covers multiple MDAs (Maxim Levitsky) [2177720]
- KVM: x86: Skip redundant x2APIC logical mode optimized cluster setup (Maxim Levitsky) [2177720]
- KVM: x86: Explicitly track all possibilities for APIC map's logical modes (Maxim Levitsky) [2177720]
- KVM: x86: Explicitly skip optimized logical map setup if vCPU's LDR==0 (Maxim Levitsky) [2177720]
- KVM: SVM: Add helper to perform final AVIC "kick" of single vCPU (Maxim Levitsky) [2177720]
- KVM: SVM: Document that vCPU ID == APIC ID in AVIC kick fastpatch (Maxim Levitsky) [2177720]
- Revert "KVM: SVM: Use target APIC ID to complete x2AVIC IRQs when possible" (Maxim Levitsky) [2177720]
- KVM: SVM: Fix x2APIC Logical ID calculation for avic_kick_target_vcpus_fast (Maxim Levitsky) [2177720]
- KVM: SVM: Compute dest based on sender's x2APIC status for AVIC kick (Maxim Levitsky) [2177720]
- KVM: SVM: Replace "avic_mode" enum with "x2avic_enabled" boolean (Maxim Levitsky) [2177720]
- KVM: x86: Inhibit APIC memslot if x2APIC and AVIC are enabled (Maxim Levitsky) [2177720]
- KVM: x86: Move APIC access page helper to common x86 code (Maxim Levitsky) [2177720]
- KVM: x86: Handle APICv updates for APIC "mode" changes via request (Maxim Levitsky) [2177720]
- KVM: SVM: Don't put/load AVIC when setting virtual APIC mode (Maxim Levitsky) [2177720]
- KVM: x86: Don't inhibit APICv/AVIC if xAPIC ID mismatch is due to 32-bit ID (Maxim Levitsky) [2177720]
- KVM: x86: Don't inhibit APICv/AVIC on xAPIC ID "change" if APIC is disabled (Maxim Levitsky) [2177720]
- KVM: SVM: Process ICR on AVIC IPI delivery failure due to invalid target (Maxim Levitsky) [2177720]
- KVM: SVM: Flush the "current" TLB when activating AVIC (Maxim Levitsky) [2177720]
- KVM: x86: Purge "highest ISR" cache when updating APICv state (Maxim Levitsky) [2177720]
- KVM: x86: Blindly get current x2APIC reg value on "nodecode write" traps (Maxim Levitsky) [2177720]
- KVM: Clean up error labels in kvm_init() (Maxim Levitsky) [2177720]
- KVM: Opt out of generic hardware enabling on s390 and PPC (Maxim Levitsky) [2177720]
- KVM: Register syscore (suspend/resume) ops early in kvm_init() (Maxim Levitsky) [2177720]
- KVM: Make hardware_enable_failed a local variable in the "enable all" path (Maxim Levitsky) [2177720]
- KVM: Use a per-CPU variable to track which CPUs have enabled virtualization (Maxim Levitsky) [2177720]
- KVM: Remove on_each_cpu(hardware_disable_nolock) in kvm_exit() (Maxim Levitsky) [2177720]
- KVM: Drop kvm_count_lock and instead protect kvm_usage_count with kvm_lock (Maxim Levitsky) [2177720]
- KVM: Ensure CPU is stable during low level hardware enable/disable (Maxim Levitsky) [2177720]
- KVM: Disable CPU hotplug during hardware enabling/disabling (Maxim Levitsky) [2177720]
- KVM: Rename and move CPUHP_AP_KVM_STARTING to ONLINE section (Maxim Levitsky) [2177720]
- KVM: x86: Do compatibility checks when onlining CPU (Maxim Levitsky) [2177720]
- KVM: x86: Move CPU compat checks hook to kvm_x86_ops (from kvm_x86_init_ops) (Maxim Levitsky) [2177720]
- KVM: SVM: Check for SVM support in CPU compatibility checks (Maxim Levitsky) [2177720]
- KVM: VMX: Shuffle support checks and hardware enabling code around (Maxim Levitsky) [2177720]
- KVM: x86: Do VMX/SVM support checks directly in vendor code (Maxim Levitsky) [2177720]
- KVM: VMX: Use current CPU's info to perform "disabled by BIOS?" checks (Maxim Levitsky) [2177720]
- KVM: x86: Unify pr_fmt to use module name for all KVM modules (Maxim Levitsky) [2177720]
- KVM: x86: Use KBUILD_MODNAME to specify vendor module name (Maxim Levitsky) [2177720]
- KVM: Drop kvm_arch_check_processor_compat() hook (Maxim Levitsky) [2177720]
- KVM: x86: Do CPU compatibility checks in x86 code (Maxim Levitsky) [2177720]
- KVM: VMX: Make VMCS configuration/capabilities structs read-only after init (Maxim Levitsky) [2177720]
- KVM: Drop kvm_arch_{init,exit}() hooks (Maxim Levitsky) [2177720]
- KVM: s390: Mark __kvm_s390_init() and its descendants as __init (Maxim Levitsky) [2177720]
- KVM: s390: Do s390 specific init without bouncing through kvm_init() (Maxim Levitsky) [2177720]
- KVM: PPC: Move processor compatibility check to module init (Maxim Levitsky) [2177720]
- KVM: MIPS: Register die notifier prior to kvm_init() (Maxim Levitsky) [2177720]
- KVM: MIPS: Setup VZ emulation? directly from kvm_mips_init() (Maxim Levitsky) [2177720]
- KVM: MIPS: Hardcode callbacks to hardware virtualization extensions (Maxim Levitsky) [2177720]
- KVM: arm64: Mark kvm_arm_init() and its unique descendants as __init (Maxim Levitsky) [2177720]
- KVM: arm64: Do arm/arch initialization without bouncing through kvm_init() (Maxim Levitsky) [2177720]
- KVM: arm64: Unregister perf callbacks if hypervisor finalization fails (Maxim Levitsky) [2177720]
- KVM: arm64: Free hypervisor allocations if vector slot init fails (Maxim Levitsky) [2177720]
- KVM: arm64: Simplify the CPUHP logic (Maxim Levitsky) [2177720]
- KVM: x86: Serialize vendor module initialization (hardware setup) (Maxim Levitsky) [2177720]
- KVM: VMX: Do _all_ initialization before exposing /dev/kvm to userspace (Maxim Levitsky) [2177720]
- KVM: x86: Move guts of kvm_arch_init() to standalone helper (Maxim Levitsky) [2177720]
- KVM: VMX: Move Hyper-V eVMCS initialization to helper (Maxim Levitsky) [2177720]
- KVM: VMX: Don't bother disabling eVMCS static key on module exit (Maxim Levitsky) [2177720]
- KVM: VMX: Reset eVMCS controls in VP assist page during hardware disabling (Maxim Levitsky) [2177720]
- KVM: Drop arch hardware (un)setup hooks (Maxim Levitsky) [2177720]
- KVM: x86: Move hardware setup/unsetup to init/exit (Maxim Levitsky) [2177720]
- KVM: x86: Do timer initialization after XCR0 configuration (Maxim Levitsky) [2177720]
- KVM: s390: Move hardware setup/unsetup to init/exit (Maxim Levitsky) [2177720]
- KVM: s390: Unwind kvm_arch_init() piece-by-piece() if a step fails (Maxim Levitsky) [2177720]
- KVM: Teardown VFIO ops earlier in kvm_exit() (Maxim Levitsky) [2177720]
- KVM: Allocate cpus_hardware_enabled after arch hardware setup (Maxim Levitsky) [2177720]
- KVM: Initialize IRQ FD after arch hardware setup (Maxim Levitsky) [2177720]
- KVM: Register /dev/kvm as the _very_ last thing during initialization (Maxim Levitsky) [2177720]
- KVM: selftests: Test Hyper-V invariant TSC control (Maxim Levitsky) [2177720]
- KVM: selftests: Test that values written to Hyper-V MSRs are preserved (Maxim Levitsky) [2177720]
- KVM: selftests: Convert hyperv_features test to using KVM_X86_CPU_FEATURE() (Maxim Levitsky) [2177720]
- KVM: selftests: Rename 'msr->available' to 'msr->fault_exepected' in hyperv_features test (Maxim Levitsky) [2177720]
- KVM: x86: Hyper-V invariant TSC control (Maxim Levitsky) [2177720]
- KVM: x86: Add a KVM-only leaf for CPUID_8000_0007_EDX (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Pivot on "TDP MMU enabled" when handling direct page faults (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Pivot on "TDP MMU enabled" to check if active MMU is TDP MMU (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Replace open coded usage of tdp_mmu_page with is_tdp_mmu_page() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Rename __direct_map() to direct_map() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Fix race condition in direct_page_fault (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Stop needlessly making MMU pages available for TDP MMU faults (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Split out TDP MMU page fault handling (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Initialize fault.{gfn,slot} earlier for direct MMUs (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Handle no-slot faults in kvm_faultin_pfn() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Avoid memslot lookup during KVM_PFN_ERR_HWPOISON handling (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Handle error PFNs in kvm_faultin_pfn() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Grab mmu_invalidate_seq in kvm_faultin_pfn() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Move TDP MMU VM init/uninit behind tdp_mmu_enabled (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Change tdp_mmu to a read-only parameter (Maxim Levitsky) [2177720]
- KVM: selftests: x86: Use TAP interface in the tsc_msrs_test (Maxim Levitsky) [2177720]
- KVM: selftests: Use TAP interface in the kvm_binary_stats_test (Maxim Levitsky) [2177720]
- kvm: x86/mmu: Warn on linking when sp->unsync_children (Maxim Levitsky) [2177720]
- KVM: VMX: Resurrect vmcs_conf sanitization for KVM-on-Hyper-V (Maxim Levitsky) [2177720]
- KVM: nVMX: Prepare to sanitize tertiary execution controls with eVMCS (Maxim Levitsky) [2177720]
- KVM: nVMX: Invert 'unsupported by eVMCSv1' check (Maxim Levitsky) [2177720]
- KVM: nVMX: Sanitize primary processor-based VM-execution controls with eVMCS too (Maxim Levitsky) [2177720]
- kvm: initialize all of the kvm_debugregs structure before sending it to userspace (Maxim Levitsky) [2177720]
- Documentation/hw-vuln: Fix rST warning (Maxim Levitsky) [2177720]
- Documentation/hw-vuln: Add documentation for Cross-Thread Return Predictions (Maxim Levitsky) [2177720]
- KVM: x86: Mitigate the cross-thread return address predictions bug (Maxim Levitsky) [2177720]
- x86/speculation: Identify processors vulnerable to SMT RSB predictions (Maxim Levitsky) [2177720]
- KVM: selftests: Make reclaim_period_ms input always be positive (Maxim Levitsky) [2177720]
- KVM: x86/vmx: Do not skip segment attributes if unusable bit is set (Maxim Levitsky) [2177720]
- selftests: kvm: move declaration at the beginning of main() (Maxim Levitsky) [2177720]
- KVM: x86/xen: Avoid deadlock by adding kvm->arch.xen.xen_lock leaf node lock (Maxim Levitsky) [2177720]
- KVM: x86/xen: Fix potential deadlock in kvm_xen_update_runstate_guest() (Maxim Levitsky) [2177720]
- KVM: x86/xen: Fix lockdep warning on "recursive" gpc locking (Maxim Levitsky) [2177720]
- Documentation: kvm: fix SRCU locking order docs (Maxim Levitsky) [2177720]
- KVM: x86: Do not return host topology information from KVM_GET_SUPPORTED_CPUID (Maxim Levitsky) [2177720]
- KVM: nSVM: clarify recalc_intercepts() wrt CR8 (Maxim Levitsky) [2177720]
- KVM: arm64: Document the behaviour of S1PTW faults on RO memslots (Maxim Levitsky) [2177720]
- KVM: selftests: restore special vmmcall code layout needed by the harness (Maxim Levitsky) [2177720]
- Documentation: kvm: clarify SRCU locking order (Maxim Levitsky) [2177720]
- KVM: x86: fix deadlock for KVM_XEN_EVTCHN_RESET (Maxim Levitsky) [2177720]
- KVM: x86/xen: Documentation updates and clarifications (Maxim Levitsky) [2177720]
- KVM: x86/xen: Add KVM_XEN_INVALID_GPA and KVM_XEN_INVALID_GFN to uapi (Maxim Levitsky) [2177720]
- KVM: x86/xen: Simplify eventfd IOCTLs (Maxim Levitsky) [2177720]
- KVM: x86/xen: Fix SRCU/RCU usage in readers of evtchn_ports (Maxim Levitsky) [2177720]
- KVM: x86/xen: Use kvm_read_guest_virt() instead of open-coding it badly (Maxim Levitsky) [2177720]
- KVM: x86/xen: Fix memory leak in kvm_xen_write_hypercall_page() (Maxim Levitsky) [2177720]
- KVM: Delete extra block of "};" in the KVM API documentation (Maxim Levitsky) [2177720]
- kvm: x86/mmu: Remove duplicated "be split" in spte.h (Maxim Levitsky) [2177720]
- kvm: Remove the unused macro KVM_MMU_READ_{,UN}LOCK() (Maxim Levitsky) [2177720]
- KVM: selftests: Mark correct page as mapped in virt_map() (Maxim Levitsky) [2177720]
- KVM: arm64: selftests: Don't identity map the ucall MMIO hole (Maxim Levitsky) [2177720]
- KVM: selftests: document the default implementation of vm_vaddr_populate_bitmap (Maxim Levitsky) [2177720]
- KVM: selftests: Use magic value to signal ucall_alloc() failure (Maxim Levitsky) [2177720]
- KVM: selftests: Disable "gnu-variable-sized-type-not-at-end" warning (Maxim Levitsky) [2177720]
- KVM: selftests: Include lib.mk before consuming $(CC) (Maxim Levitsky) [2177720]
- KVM: selftests: Explicitly disable builtins for mem*() overrides (Maxim Levitsky) [2177720]
- KVM: selftests: Probe -no-pie with actual CFLAGS used to compile (Maxim Levitsky) [2177720]
- KVM: selftests: Use proper function prototypes in probing code (Maxim Levitsky) [2177720]
- KVM: selftests: Rename UNAME_M to ARCH_DIR, fill explicitly for x86 (Maxim Levitsky) [2177720]
- KVM: selftests: Fix a typo in x86-64's kvm_get_cpu_address_width() (Maxim Levitsky) [2177720]
- KVM: selftests: Use pattern matching in .gitignore (Maxim Levitsky) [2177720]
- KVM: selftests: Delete dead code in x86_64/vmx_tsc_adjust_test.c (Maxim Levitsky) [2177720]
- KVM: selftests: Define literal to asm constraint in aarch64 as unsigned long (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Don't install TDP MMU SPTE if SP has unexpected level (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Re-check under lock that TDP MMU SP hugepage is disallowed (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Map TDP MMU leaf SPTE iff target level is reached (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Don't attempt to map leaf if target TDP MMU SPTE is frozen (Maxim Levitsky) [2177720]
- KVM: nVMX: Don't stuff secondary execution control if it's not supported (Maxim Levitsky) [2177720]
- KVM: nVMX: Properly expose ENABLE_USR_WAIT_PAUSE control to L1 (Maxim Levitsky) [2177720]
- KVM: nVMX: Document that ignoring memory failures for VMCLEAR is deliberate (Maxim Levitsky) [2177720]
- KVM: selftests: Zero out valid_bank_mask for "all" case in Hyper-V IPI test (Maxim Levitsky) [2177720]
- KVM: x86: Sanity check inputs to kvm_handle_memory_failure() (Maxim Levitsky) [2177720]
- KVM: x86: Simplify kvm_apic_hw_enabled (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Fix 'using uninitialized value' Coverity warning (Maxim Levitsky) [2177720]
- KVM: x86: ioapic: Fix level-triggered EOI and userspace I/OAPIC reconfigure race (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Prevent zero period event from being repeatedly released (Maxim Levitsky) [2177720]
- KVM: x86: Add proper ReST tables for userspace MSR exits/flags (Maxim Levitsky) [2177720]
- KVM: selftests: Allocate ucall pool from MEM_REGION_DATA (Maxim Levitsky) [2177720]
- KVM: arm64: selftests: Align VA space allocator with TTBR0 (Maxim Levitsky) [2177720]
- KVM: x86: remove unnecessary exports (Maxim Levitsky) [2177720]
- KVM: selftests: Fix spelling mistake "probabalistic" -> "probabilistic" (Maxim Levitsky) [2177720]
- tools: KVM: selftests: Convert clear/set_bit() to actual atomics (Maxim Levitsky) [2177720]
- tools: Drop "atomic_" prefix from atomic test_and_set_bit() (Maxim Levitsky) [2177720]
- tools: Drop conflicting non-atomic test_and_{clear,set}_bit() helpers (Maxim Levitsky) [2177720]
- KVM: selftests: Use non-atomic clear/set bit helpers in KVM tests (Maxim Levitsky) [2177720]
- KVM: arm64: selftests: Enable single-step without a "full" ucall() (Maxim Levitsky) [2177720]
- KVM: x86: fix APICv/x2AVIC disabled when vm reboot by itself (Maxim Levitsky) [2177720]
- KVM: Remove stale comment about KVM_REQ_UNHALT (Maxim Levitsky) [2177720]
- KVM: Add missing arch for KVM_CREATE_DEVICE and KVM_{SET,GET}_DEVICE_ATTR (Maxim Levitsky) [2177720]
- KVM: Delete all references to removed KVM_SET_MEMORY_ALIAS ioctl (Maxim Levitsky) [2177720]
- KVM: Delete all references to removed KVM_SET_MEMORY_REGION ioctl (Maxim Levitsky) [2177720]
- KVM: selftests: Define and use a custom static assert in lib headers (Maxim Levitsky) [2177720]
- KVM: selftests: Do kvm_cpu_has() checks before creating VM+vCPU (Maxim Levitsky) [2177720]
- KVM: selftests: Disallow "get supported CPUID" before REQ_XCOMP_GUEST_PERM (Maxim Levitsky) [2177720]
- KVM: selftests: Move __vm_xsave_require_permission() below CPUID helpers (Maxim Levitsky) [2177720]
- KVM: selftests: Move XFD CPUID checking out of __vm_xsave_require_permission() (Maxim Levitsky) [2177720]
- KVM: selftests: Restore assert for non-nested VMs in access tracking test (Maxim Levitsky) [2177720]
- KVM: selftests: Fix inverted "warning" in access tracking perf test (Maxim Levitsky) [2177720]
- KVM: x86: Use current rather than snapshotted TSC frequency if it is constant (Maxim Levitsky) [2177720]
- KVM: selftests: Verify userspace can stuff IA32_FEATURE_CONTROL at will (Maxim Levitsky) [2177720]
- KVM: VMX: Move MSR_IA32_FEAT_CTL.LOCKED check into "is valid" helper (Maxim Levitsky) [2177720]
- KVM: VMX: Allow userspace to set all supported FEATURE_CONTROL bits (Maxim Levitsky) [2177720]
- KVM: VMX: Make vmread_error_trampoline() uncallable from C code (Maxim Levitsky) [2177720]
- KVM: nVMX: Reword comments about generating nested CR0/4 read shadows (Maxim Levitsky) [2177720]
- KVM: x86: Clean up KVM_CAP_X86_USER_SPACE_MSR documentation (Maxim Levitsky) [2177720]
- KVM: x86: Reword MSR filtering docs to more precisely define behavior (Maxim Levitsky) [2177720]
- KVM: x86: Delete documentation for READ|WRITE in KVM_X86_SET_MSR_FILTER (Maxim Levitsky) [2177720]
- KVM: VMX: Guest usage of IA32_SPEC_CTRL is likely (Maxim Levitsky) [2177720]
- KVM: SVM: Replace kmap_atomic() with kmap_local_page() (Maxim Levitsky) [2177720]
- KVM: SVM: Skip WRMSR fastpath on VM-Exit if next RIP isn't valid (Maxim Levitsky) [2177720]
- KVM: x86: Fail emulation during EMULTYPE_SKIP on any exception (Maxim Levitsky) [2177720]
- KVM: x86: Keep the lock order consistent between SRCU and gpc spinlock (Maxim Levitsky) [2177720]
- KVM: VMX: Resume guest immediately when injecting #GP on ECREATE (Maxim Levitsky) [2177720]
- KVM: Skip unnecessary "unmap" if gpc is already valid during refresh (Maxim Levitsky) [2177720]
- KVM: Drop @gpa from exported gfn=>pfn cache check() and refresh() helpers (Maxim Levitsky) [2177720]
- KVM: Do not partially reinitialize gfn=>pfn cache during activation (Maxim Levitsky) [2177720]
- KVM: Drop KVM's API to allow temporarily unmapping gfn=>pfn cache (Maxim Levitsky) [2177720]
- KVM: Use gfn_to_pfn_cache's immutable "kvm" in kvm_gpc_refresh() (Maxim Levitsky) [2177720]
- KVM: Clean up hva_to_pfn_retry() (Maxim Levitsky) [2177720]
- KVM: Use gfn_to_pfn_cache's immutable "kvm" in kvm_gpc_check() (Maxim Levitsky) [2177720]
- KVM: Store immutable gfn_to_pfn_cache properties (Maxim Levitsky) [2177720]
- KVM: x86/xen: add support for 32-bit guests in SCHEDOP_poll (Maxim Levitsky) [2177720]
- KVM: x86: Remove unused argument in gpc_unmap_khva() (Maxim Levitsky) [2177720]
- KVM: Shorten gfn_to_pfn_cache function names (Maxim Levitsky) [2177720]
- KVM: x86/xen: Add runstate tests for 32-bit mode and crossing page boundary (Maxim Levitsky) [2177720]
- KVM: x86/xen: Allow XEN_RUNSTATE_UPDATE flag behaviour to be configured (Maxim Levitsky) [2177720]
- KVM: x86/xen: Compatibility fixes for shared runstate area (Maxim Levitsky) [2177720]
- KVM: selftests: Have perf_test_util signal when to stop vCPUs (Maxim Levitsky) [2177720]
- KVM: x86: Advertise PREFETCHIT0/1 CPUID to user space (Maxim Levitsky) [2177720]
- KVM: x86: Advertise AVX-NE-CONVERT CPUID to user space (Maxim Levitsky) [2177720]
- x86: KVM: Advertise AVX-IFMA CPUID to user space (Maxim Levitsky) [2177720]
- x86: KVM: Advertise AMX-FP16 CPUID to user space (Maxim Levitsky) [2177720]
- x86: KVM: Advertise CMPccXADD CPUID to user space (Maxim Levitsky) [2177720]
- KVM: x86: Add BUILD_BUG_ON() to detect bad usage of "scattered" flags (Maxim Levitsky) [2177720]
- KVM: x86/xen: Add CPL to Xen hypercall tracepoint (Maxim Levitsky) [2177720]
- KVM: always declare prototype for kvm_arch_irqchip_in_kernel (Maxim Levitsky) [2177720]
- KVM: selftests: Rename 'evmcs_test' to 'hyperv_evmcs' (Maxim Levitsky) [2177720]
- KVM: selftests: hyperv_svm_test: Introduce L2 TLB flush test (Maxim Levitsky) [2177720]
- KVM: selftests: evmcs_test: Introduce L2 TLB flush test (Maxim Levitsky) [2177720]
- KVM: selftests: Introduce rdmsr_from_l2() and use it for MSR-Bitmap tests (Maxim Levitsky) [2177720]
- KVM: selftests: Stuff RAX/RCX with 'safe' values in vmmcall()/vmcall() (Maxim Levitsky) [2177720]
- KVM: selftests: Allocate Hyper-V partition assist page (Maxim Levitsky) [2177720]
- KVM: selftests: Create a vendor independent helper to allocate Hyper-V specific test pages (Maxim Levitsky) [2177720]
- KVM: selftests: Split off load_evmcs() from load_vmcs() (Maxim Levitsky) [2177720]
- KVM: selftests: Move Hyper-V VP assist page enablement out of evmcs.h (Maxim Levitsky) [2177720]
- KVM: selftests: Sync 'struct hv_vp_assist_page' definition with hyperv-tlfs.h (Maxim Levitsky) [2177720]
- KVM: selftests: Sync 'struct hv_enlightened_vmcs' definition with hyperv-tlfs.h (Maxim Levitsky) [2177720]
- KVM: selftests: Hyper-V PV TLB flush selftest (Maxim Levitsky) [2177720]
- KVM: selftests: Export vm_vaddr_unused_gap() to make it possible to request unmapped ranges (Maxim Levitsky) [2177720]
- KVM: selftests: Fill in vm->vpages_mapped bitmap in virt_map() too (Maxim Levitsky) [2177720]
- KVM: selftests: Hyper-V PV IPI selftest (Maxim Levitsky) [2177720]
- KVM: selftests: Move the function doing Hyper-V hypercall to a common header (Maxim Levitsky) [2177720]
- KVM: selftests: Move HYPERV_LINUX_OS_ID definition to a common header (Maxim Levitsky) [2177720]
- KVM: selftests: Better XMM read/write helpers (Maxim Levitsky) [2177720]
- KVM: x86: Expose Hyper-V L2 TLB flush feature (Maxim Levitsky) [2177720]
- KVM: nSVM: hyper-v: Enable L2 TLB flush (Maxim Levitsky) [2177720]
- KVM: x86: Make kvm_hv_get_assist_page() return 0/-errno (Maxim Levitsky) [2177720]
- KVM: nVMX: hyper-v: Enable L2 TLB flush (Maxim Levitsky) [2177720]
- KVM: nVMX: hyper-v: Cache VP assist page in 'struct kvm_vcpu_hv' (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Introduce fast guest_hv_cpuid_has_l2_tlb_flush() check (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: L2 TLB flush (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Introduce kvm_hv_is_tlb_flush_hcall() (Maxim Levitsky) [2177720]
- KVM: x86: Introduce .hv_inject_synthetic_vmexit_post_tlb_flush() nested hook (Maxim Levitsky) [2177720]
- KVM: nSVM: Keep track of Hyper-V hv_vm_id/hv_vp_id (Maxim Levitsky) [2177720]
- KVM: nVMX: Keep track of hv_vm_id/hv_vp_id when eVMCS is in use (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Use preallocated buffer in 'struct kvm_vcpu_hv' instead of on-stack 'sparse_banks' (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Create a separate fifo for L2 TLB flush (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Don't use sparse_set_to_vcpu_mask() in kvm_hv_send_ipi() (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Use HV_MAX_SPARSE_VCPU_BANKS/HV_VCPUS_PER_SPARSE_BANK instead of raw '64' (Maxim Levitsky) [2177720]
- KVM: x86: Prepare kvm_hv_flush_tlb() to handle L2's GPAs (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Handle HVCALL_FLUSH_VIRTUAL_ADDRESS_LIST{,EX} calls gently (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Add helper to read hypercall data for array (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Introduce TLB flush fifo (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Resurrect dedicated KVM_REQ_HV_TLB_FLUSH flag (Maxim Levitsky) [2177720]
- KVM: x86: Move clearing of TLB_FLUSH_CURRENT to kvm_vcpu_flush_tlb_all() (Maxim Levitsky) [2177720]
- KVM: VMX: Rename "vmx/evmcs.{ch}" to "vmx/hyperv.{ch}" (Maxim Levitsky) [2177720]
- KVM: x86: Rename 'enable_direct_tlbflush' to 'enable_l2_tlb_flush' (Maxim Levitsky) [2177720]
- x86/hyperv: KVM: Rename "hv_enlightenments" to "hv_vmcb_enlightenments" (Maxim Levitsky) [2177720]
- KVM: SVM: Add a proper field for Hyper-V VMCB enlightenments (Maxim Levitsky) [2177720]
- KVM: selftests: Move "struct hv_enlightenments" to x86_64/svm.h (Maxim Levitsky) [2177720]
- KVM: x86: avoid memslot check in NX hugepage recovery if it cannot succeed (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Do not recover dirty-tracked NX Huge Pages (Maxim Levitsky) [2177720]
- KVM: x86/mmu: simplify kvm_tdp_mmu_map flow when guest has to retry (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Split huge pages mapped by the TDP MMU on fault (Maxim Levitsky) [2177720]
- KVM: selftests: Assert in prepare_eptp() that nEPT is supported (Maxim Levitsky) [2177720]
- KVM: selftests: Check for KVM nEPT support using "feature" MSRs (Maxim Levitsky) [2177720]
- KVM: selftests: Drop helpers for getting specific KVM supported CPUID entry (Maxim Levitsky) [2177720]
- KVM: selftests: Add and use KVM helpers for x86 Family and Model (Maxim Levitsky) [2177720]
- KVM: selftests: Add dedicated helpers for getting x86 Family and Model (Maxim Levitsky) [2177720]
- KVM: selftests: Add PMU feature framework, use in PMU event filter test (Maxim Levitsky) [2177720]
- KVM: selftests: Convert vmx_pmu_caps_test to use X86_PROPERTY_* (Maxim Levitsky) [2177720]
- KVM: selftests: Assert that XTILE is XSAVE-enabled (Maxim Levitsky) [2177720]
- KVM: selftests: Convert AMX test to use X86_PROPRETY_XXX (Maxim Levitsky) [2177720]
- KVM: selftests: Add kvm_cpu_*() support for X86_PROPERTY_* (Maxim Levitsky) [2177720]
- KVM: selftests: Refactor kvm_cpuid_has() to prep for X86_PROPERTY_* support (Maxim Levitsky) [2177720]
- KVM: selftests: Use X86_PROPERTY_MAX_KVM_LEAF in CPUID test (Maxim Levitsky) [2177720]
- KVM: selftests: Add X86_PROPERTY_* framework to retrieve CPUID values (Maxim Levitsky) [2177720]
- KVM: selftests: Refactor X86_FEATURE_* framework to prep for X86_PROPERTY_* (Maxim Levitsky) [2177720]
- KVM: selftests: Add X86_FEATURE_PAE and use it calc "fallback" MAXPHYADDR (Maxim Levitsky) [2177720]
- KVM: selftests: Add a test for KVM_CAP_EXIT_ON_EMULATION_FAILURE (Maxim Levitsky) [2177720]
- KVM: selftests: Expect #PF(RSVD) when TDP is disabled (Maxim Levitsky) [2177720]
- KVM: selftests: Provide error code as a KVM_ASM_SAFE() output (Maxim Levitsky) [2177720]
- KVM: selftests: Avoid JMP in non-faulting path of KVM_ASM_SAFE() (Maxim Levitsky) [2177720]
- KVM: selftests: Copy KVM PFERR masks into selftests (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Use BIT{,_ULL}() for PFERR masks (Maxim Levitsky) [2177720]
- KVM: selftests: Move flds instruction emulation failure handling to header (Maxim Levitsky) [2177720]
- KVM: selftests: Delete dead ucall code (Maxim Levitsky) [2177720]
- KVM: selftests: Explicitly require instructions bytes (Maxim Levitsky) [2177720]
- KVM: selftests: Rename emulator_error_test to smaller_maxphyaddr_emulation_test (Maxim Levitsky) [2177720]
- KVM: selftests: Don't assume vcpu->id is '0' in xAPIC state test (Maxim Levitsky) [2177720]
- KVM: selftests: Add arch specific post vm creation hook (Maxim Levitsky) [2177720]
- KVM: selftests: Add arch specific initialization (Maxim Levitsky) [2177720]
- KVM: selftests: Play nice with huge pages when getting PTEs/GPAs (Maxim Levitsky) [2177720]
- KVM: selftests: Use vm_get_page_table_entry() in addr_arch_gva2gpa() (Maxim Levitsky) [2177720]
- KVM: selftests: Use virt_get_pte() when getting PTE pointer (Maxim Levitsky) [2177720]
- KVM: selftests: Verify parent PTE is PRESENT when getting child PTE (Maxim Levitsky) [2177720]
- KVM: selftests: Remove useless shifts when creating guest page tables (Maxim Levitsky) [2177720]
- KVM: selftests: Drop reserved bit checks from PTE accessor (Maxim Levitsky) [2177720]
- KVM: selftests: Drop helpers to read/write page table entries (Maxim Levitsky) [2177720]
- KVM: selftests: Fix spelling mistake "begining" -> "beginning" (Maxim Levitsky) [2177720]
- KVM: selftests: Add ucall pool based implementation (Maxim Levitsky) [2177720]
- KVM: selftests: Drop now-unnecessary ucall_uninit() (Maxim Levitsky) [2177720]
- KVM: selftests: Make arm64's MMIO ucall multi-VM friendly (Maxim Levitsky) [2177720]
- tools: Add atomic_test_and_set_bit() (Maxim Levitsky) [2177720]
- KVM: selftests: Automatically do init_ucall() for non-barebones VMs (Maxim Levitsky) [2177720]
- KVM: selftests: Consolidate boilerplate code in get_ucall() (Maxim Levitsky) [2177720]
- KVM: selftests: Consolidate common code for populating ucall struct (Maxim Levitsky) [2177720]
- KVM: selftests: Rename perf_test_util symbols to memstress (Maxim Levitsky) [2177720]
- KVM: selftests: Rename pta (short for perf_test_args) to args (Maxim Levitsky) [2177720]
- KVM: selftests: Rename perf_test_util.[ch] to memstress.[ch] (Maxim Levitsky) [2177720]
- KVM: selftests: randomize page access order (Maxim Levitsky) [2177720]
- KVM: selftests: randomize which pages are written vs read (Maxim Levitsky) [2177720]
- KVM: selftests: create -r argument to specify random seed (Maxim Levitsky) [2177720]
- KVM: selftests: implement random number generator for guest code (Maxim Levitsky) [2177720]
- KVM: selftests: Allowing running dirty_log_perf_test on specific CPUs (Maxim Levitsky) [2177720]
- KVM: selftests: Add atoi_positive() and atoi_non_negative() for input validation (Maxim Levitsky) [2177720]
- KVM: selftests: Shorten the test args in memslot_modification_stress_test.c (Maxim Levitsky) [2177720]
- KVM: selftests: Use SZ_* macros from sizes.h in max_guest_memory_test.c (Maxim Levitsky) [2177720]
- KVM: selftests: Add atoi_paranoid() to catch errors missed by atoi() (Maxim Levitsky) [2177720]
- KVM: selftests: Put command line options in alphabetical order in dirty_log_perf_test (Maxim Levitsky) [2177720]
- KVM: selftests: Add missing break between -e and -g option in dirty_log_perf_test (Maxim Levitsky) [2177720]
- KVM: Push dirty information unconditionally to backup bitmap (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Add mix of tests into page_fault_test (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Add readonly memslot tests into page_fault_test (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Add dirty logging tests into page_fault_test (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Add userfaultfd tests into page_fault_test (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Add aarch64/page_fault_test (Maxim Levitsky) [2177720]
- KVM: selftests: aarch64: Add virt_get_pte_hva() library function (Maxim Levitsky) [2177720]
- KVM: selftests: Use the right memslot for code, page-tables, and data allocations (Maxim Levitsky) [2177720]
- KVM: selftests: Fix alignment in virt_arch_pgd_alloc() and vm_vaddr_alloc() (Maxim Levitsky) [2177720]
- KVM: selftests: Add vm->memslots[] and enum kvm_mem_region_type (Maxim Levitsky) [2177720]
- KVM: selftests: Stash backing_src_type in struct userspace_mem_region (Maxim Levitsky) [2177720]
- KVM: selftests: Add missing close and munmap in __vm_mem_region_delete() (Maxim Levitsky) [2177720]
- KVM: selftests: Add a userfaultfd library (Maxim Levitsky) [2177720]
- KVM: selftests: Automate choosing dirty ring size in dirty_log_test (Maxim Levitsky) [2177720]
- KVM: selftests: Clear dirty ring states between two modes in dirty_log_test (Maxim Levitsky) [2177720]
- KVM: selftests: Use host page size to map ring buffer in dirty_log_test (Maxim Levitsky) [2177720]
- KVM: Support dirty ring in conjunction with bitmap (Maxim Levitsky) [2177720]
- KVM: Move declaration of kvm_cpu_dirty_log_size() to kvm_dirty_ring.h (Maxim Levitsky) [2177720]
- KVM: x86: Introduce KVM_REQ_DIRTY_RING_SOFT_FULL (Maxim Levitsky) [2177720]
- KVM: replace direct irq.h inclusion (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Defer counter emulated overflow via pmc->prev_counter (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Defer reprogram_counter() to kvm_pmu_handle_event() (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Clear "reprogram" bit if counter is disabled or disallowed (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Force reprogramming of all counters on PMU filter change (Maxim Levitsky) [2177720]
- KVM: x86/mmu: WARN if TDP MMU SP disallows hugepage after being zapped (Maxim Levitsky) [2177720]
- KVM: x86/mmu: explicitly check nx_hugepage in disallowed_hugepage_adjust() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Add helper to convert SPTE value to its shadow page (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Track the number of TDP MMU pages, but not the actual pages (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Set disallowed_nx_huge_page in TDP MMU before setting SPTE (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Properly account NX huge page workaround for nonpaging MMUs (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Rename NX huge pages fields/functions for consistency (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Tag disallowed NX huge pages even if they're not tracked (Maxim Levitsky) [2177720]
- selftests: kvm/x86: Test the flags in MSR filtering and MSR exiting (Maxim Levitsky) [2177720]
- KVM: x86: Add a VALID_MASK for the flags in kvm_msr_filter_range (Maxim Levitsky) [2177720]
- KVM: x86: Add a VALID_MASK for the flag in kvm_msr_filter (Maxim Levitsky) [2177720]
- KVM: x86: Add a VALID_MASK for the MSR exit reason flags (Maxim Levitsky) [2177720]
- tools headers kvm: Sync uapi/{asm/linux} kvm.h headers with the kernel sources (Maxim Levitsky) [2177720]
- tools headers UAPI: Sync linux/kvm.h with the kernel sources (Maxim Levitsky) [2177720]
- tools headers UAPI: Sync linux/kvm.h with the kernel sources (Maxim Levitsky) [2177720]
- tools headers UAPI: Sync x86's asm/kvm.h with the kernel sources (Maxim Levitsky) [2177720]
- KVM: Reference to kvm_userspace_memory_region in doc and comments (Maxim Levitsky) [2177720]
- KVM: x86: Disallow the use of KVM_MSR_FILTER_DEFAULT_ALLOW in the kernel (Maxim Levitsky) [2177720]
- kvm: x86: Allow to respond to generic signals during slow PF (Maxim Levitsky) [2177720]
- kvm: Add interruptible flag to __gfn_to_pfn_memslot() (Maxim Levitsky) [2177720]
- kvm: Add KVM_PFN_ERR_SIGPENDING (Maxim Levitsky) [2177720]
- mm/gup: Add FOLL_INTERRUPTIBLE (Maxim Levitsky) [2177720]
- KVM: x86: do not define SMM-related constants if SMM disabled (Maxim Levitsky) [2177720]
- KVM: zero output of KVM_GET_VCPU_EVENTS before filling in the struct (Maxim Levitsky) [2177720]
- KVM: x86: do not define KVM_REQ_SMI if SMM disabled (Maxim Levitsky) [2177720]
- KVM: x86: remove SMRAM address space if SMM is not supported (Maxim Levitsky) [2177720]
- KVM: x86: compile out vendor-specific code if SMM is disabled (Maxim Levitsky) [2177720]
- KVM: allow compiling out SMM support (Maxim Levitsky) [2177720]
- KVM: SVM: Name and check reserved fields with structs offset (Maxim Levitsky) [2177720]
- x86/kvm: Remove unused virt to phys translation in kvm_guest_cpu_init() (Maxim Levitsky) [2177720]
- KVM: x86: Insert "AMD" in KVM_X86_FEATURE_PSFD (Maxim Levitsky) [2177720]
- KVM: x86/mmu: use helper macro SPTE_ENT_PER_PAGE (Maxim Levitsky) [2177720]
- KVM: x86/mmu: fix some comment typos (Maxim Levitsky) [2177720]
- KVM: x86: remove obsolete kvm_mmu_gva_to_gpa_fetch() (Maxim Levitsky) [2177720]
- KVM: x86: Directly query supported PERF_CAPABILITIES for WRMSR checks (Maxim Levitsky) [2177720]
- KVM: x86: Handle PERF_CAPABILITIES in common x86's kvm_get_msr_feature() (Maxim Levitsky) [2177720]
- KVM: x86: Init vcpu->arch.perf_capabilities in common x86 code (Maxim Levitsky) [2177720]
- KVM: x86: Track supported PERF_CAPABILITIES in kvm_caps (Maxim Levitsky) [2177720]
- tools headers cpufeatures: Sync with the kernel sources (Maxim Levitsky) [2177720]
- KVM/VMX: Allow exposing EDECCSSA user leaf function to KVM guest (Maxim Levitsky) [2177720]
- x86/sgx: Allow enclaves to use Asynchrounous Exit Notification (Maxim Levitsky) [2177720]
- rcu: Remove unused 'cpu' in rcu_virt_note_context_switch() (Maxim Levitsky) [2177720]
- KVM: Document the interaction between KVM_CAP_HALT_POLL and halt_poll_ns (Maxim Levitsky) [2177720]
- KVM: Move halt-polling documentation into common directory (Maxim Levitsky) [2177720]
- KVM: Update gfn_to_pfn_cache khva when it moves within the same page (Maxim Levitsky) [2177720]
- KVM: x86/xen: Only do in-kernel acceleration of hypercalls for guest CPL0 (Maxim Levitsky) [2177720]
- KVM: x86/xen: Validate port number in SCHEDOP_poll (Maxim Levitsky) [2177720]
- KVM: x86: remove exit_int_info warning in svm_handle_exit (Maxim Levitsky) [2177720]
- KVM: selftests: add svm part to triple_fault_test (Maxim Levitsky) [2177720]
- KVM: x86: fix uninitialized variable use on KVM_REQ_TRIPLE_FAULT (Maxim Levitsky) [2177720]
- KVM: x86: allow L1 to not intercept triple fault (Maxim Levitsky) [2177720]
- kvm: selftests: add svm nested shutdown test (Maxim Levitsky) [2177720]
- KVM: selftests: move idt_entry to header (Maxim Levitsky) [2177720]
- KVM: x86: forcibly leave nested mode on vCPU reset (Maxim Levitsky) [2177720]
- KVM: x86: add kvm_leave_nested (Maxim Levitsky) [2177720]
- KVM: x86: nSVM: harden svm_free_nested against freeing vmcb02 while still in use (Maxim Levitsky) [2177720]
- KVM: x86: nSVM: leave nested mode on vCPU free (Maxim Levitsky) [2177720]
- KVM: Obey kvm.halt_poll_ns in VMs not using KVM_CAP_HALT_POLL (Maxim Levitsky) [2177720]
- KVM: Avoid re-reading kvm->max_halt_poll_ns during halt-polling (Maxim Levitsky) [2177720]
- KVM: Cap vcpu->halt_poll_ns before halting rather than after (Maxim Levitsky) [2177720]
- KVM: x86/mmu: Block all page faults during kvm_zap_gfn_range() (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Limit the maximum number of supported AMD GP counters (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Limit the maximum number of supported Intel GP counters (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Do not speculatively query Intel GP PMCs that don't exist yet (Maxim Levitsky) [2177720]
- tools/kvm_stat: update exit reasons for vmx/svm/aarch64/userspace (Maxim Levitsky) [2177720]
- tools/kvm_stat: fix incorrect detection of debugfs (Maxim Levitsky) [2177720]
- x86, KVM: remove unnecessary argument to x86_virt_spec_ctrl and callers (Maxim Levitsky) [2177720]
- KVM: SVM: move MSR_IA32_SPEC_CTRL save/restore to assembly (Maxim Levitsky) [2177720]
- KVM: SVM: restore host save area from assembly (Maxim Levitsky) [2177720]
- KVM: SVM: move guest vmsave/vmload back to assembly (Maxim Levitsky) [2177720]
- KVM: SVM: do not allocate struct svm_cpu_data dynamically (Maxim Levitsky) [2177720]
- KVM: SVM: remove dead field from struct svm_cpu_data (Maxim Levitsky) [2177720]
- KVM: SVM: remove unused field from struct vcpu_svm (Maxim Levitsky) [2177720]
- KVM: SVM: retrieve VMCB from assembly (Maxim Levitsky) [2177720]
- KVM: SVM: adjust register allocation for __svm_vcpu_run() (Maxim Levitsky) [2177720]
- KVM: SVM: replace regs argument of __svm_vcpu_run() with vcpu_svm (Maxim Levitsky) [2177720]
- KVM: x86: use a separate asm-offsets.c file (Maxim Levitsky) [2177720]
- KVM: x86: Fix a typo about the usage of kvcalloc() (Maxim Levitsky) [2177720]
- KVM: x86: Use SRCU to protect zap in __kvm_set_or_clear_apicv_inhibit() (Maxim Levitsky) [2177720]
- KVM: VMX: Ignore guest CPUID for host userspace writes to DEBUGCTL (Maxim Levitsky) [2177720]
- KVM: VMX: Fold vmx_supported_debugctl() into vcpu_supported_debugctl() (Maxim Levitsky) [2177720]
- KVM: x86/xen: Fix eventfd error handling in kvm_xen_eventfd_assign() (Maxim Levitsky) [2177720]
- KVM: selftests: Mark "guest_saw_irq" as volatile in xen_shinfo_test (Maxim Levitsky) [2177720]
- KVM: selftests: Add tests in xen_shinfo_test to detect lock races (Maxim Levitsky) [2177720]
- KVM: Reject attempts to consume or refresh inactive gfn_to_pfn_cache (Maxim Levitsky) [2177720]
- KVM: Initialize gfn_to_pfn_cache locks in dedicated helper (Maxim Levitsky) [2177720]
- KVM: x86: Exempt pending triple fault from event injection sanity check (Maxim Levitsky) [2177720]
- KVM: debugfs: Return retval of simple_attr_open() if it fails (Maxim Levitsky) [2177720]
- KVM: x86: Reduce refcount if single_open() fails in kvm_mmu_rmaps_stat_open() (Maxim Levitsky) [2177720]
- KVM: x86: Mask off reserved bits in CPUID.8000001FH (Maxim Levitsky) [2177720]
- KVM: x86: Mask off reserved bits in CPUID.8000001AH (Maxim Levitsky) [2177720]
- KVM: x86: Mask off reserved bits in CPUID.80000008H (Maxim Levitsky) [2177720]
- KVM: x86: Mask off reserved bits in CPUID.80000006H (Maxim Levitsky) [2177720]
- KVM: x86: Mask off reserved bits in CPUID.80000001H (Maxim Levitsky) [2177720]
- KVM: x86: Add compat handler for KVM_X86_SET_MSR_FILTER (Maxim Levitsky) [2177720]
- KVM: x86: Copy filter arg outside kvm_vm_ioctl_set_msr_filter() (Maxim Levitsky) [2177720]
- kvm: Add support for arch compat vm ioctls (Maxim Levitsky) [2177720]
- kvm: vmx: keep constant definition format consistent (Maxim Levitsky) [2177720]
- kvm: mmu: fix typos in struct kvm_arch (Maxim Levitsky) [2177720]
- KVM: selftests: Fix nx_huge_pages_test on TDP-disabled hosts (Maxim Levitsky) [2177720]
- KVM: selftests: Add helpers to read kvm_{intel,amd} boolean module parameters (Maxim Levitsky) [2177720]
- KVM: selftests: Tell the compiler that code after TEST_FAIL() is unreachable (Maxim Levitsky) [2177720]
- Revert "KVM: selftests: Fix nested SVM tests when built with clang" (Maxim Levitsky) [2177720]
- KVM: selftests: Dedup subtests of fix_hypercall_test (Maxim Levitsky) [2177720]
- KVM: selftests: Explicitly verify KVM doesn't patch hypercall if quirk==off (Maxim Levitsky) [2177720]
- KVM: selftests: Hardcode VMCALL/VMMCALL opcodes in "fix hypercall" test (Maxim Levitsky) [2177720]
- KVM: selftests: Remove unnecessary register shuffling in fix_hypercall_test (Maxim Levitsky) [2177720]
- KVM: selftests: Compare insn opcodes directly in fix_hypercall_test (Maxim Levitsky) [2177720]
- KVM: selftests: Implement memcmp(), memcpy(), and memset() for guest use (Maxim Levitsky) [2177720]
- KVM: x86/svm/pmu: Rewrite get_gp_pmc_amd() for more counters scalability (Maxim Levitsky) [2177720]
- KVM: x86/svm/pmu: Direct access pmu->gp_counter[] to implement amd_*_to_pmc() (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Avoid using PEBS perf_events for normal counters (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Refactor PERF_GLOBAL_CTRL update helper for reuse by PEBS (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Don't generate PEBS records for emulated instructions (Maxim Levitsky) [2177720]
- KVM: x86/pmu: Avoid setting BIT_ULL(-1) to pmu->host_cross_mapped_mask (Maxim Levitsky) [2177720]
- KVM: selftests: Don't set reserved bits for invalid Hyper-V hypercall number (Maxim Levitsky) [2177720]
- KVM: selftests: Load RAX with -EFAULT before Hyper-V hypercall (Maxim Levitsky) [2177720]
- KVM: selftests: Check result in hyperv_features for successful hypercalls (Maxim Levitsky) [2177720]
- Delete duplicate words from kernel docs (Maxim Levitsky) [2177720]
- KVM: selftests: Skip tests that require EPT when it is not available (Maxim Levitsky) [2177720]
- KVM: remove KVM_REQ_UNHALT (Maxim Levitsky) [2177720]
- KVM: mips, x86: do not rely on KVM_REQ_UNHALT (Maxim Levitsky) [2177720]
- KVM: x86: never write to memory from kvm_vcpu_check_block() (Maxim Levitsky) [2177720]
- KVM: x86: Don't snapshot pending INIT/SIPI prior to checking nested events (Maxim Levitsky) [2177720]
- KVM: nVMX: Make event request on VMXOFF iff INIT/SIPI is pending (Maxim Levitsky) [2177720]
- KVM: nVMX: Make an event request if INIT or SIPI is pending on VM-Enter (Maxim Levitsky) [2177720]
- KVM: SVM: Make an event request if INIT or SIPI is pending when GIF is set (Maxim Levitsky) [2177720]
- KVM: x86: lapic does not have to process INIT if it is blocked (Maxim Levitsky) [2177720]
- KVM: x86: Rename kvm_apic_has_events() to make it INIT/SIPI specific (Maxim Levitsky) [2177720]
- KVM: nVMX: Make an event request when pending an MTF nested VM-Exit (Maxim Levitsky) [2177720]
- KVM: x86: make vendor code check for all nested events (Maxim Levitsky) [2177720]
- KVM: x86: Allow force_emulation_prefix to be written without a reload (Maxim Levitsky) [2177720]
- KVM: selftests: Add an x86-only test to verify nested exception queueing (Maxim Levitsky) [2177720]
- KVM: selftests: Use uapi header to get VMX and SVM exit reasons/codes (Maxim Levitsky) [2177720]
- KVM: x86: Rename inject_pending_events() to kvm_check_and_inject_events() (Maxim Levitsky) [2177720]
- KVM: VMX: Update MTF and ICEBP comments to document KVM's subtle behavior (Maxim Levitsky) [2177720]
- KVM: x86: Treat pending TRIPLE_FAULT requests as pending exceptions (Maxim Levitsky) [2177720]
- KVM: x86: Morph pending exceptions to pending VM-Exits at queue time (Maxim Levitsky) [2177720]
- KVM: nVMX: Document priority of all known events on Intel CPUs (Maxim Levitsky) [2177720]
- KVM: nVMX: Add a helper to identify low-priority #DB traps (Maxim Levitsky) [2177720]
- KVM: x86: Evaluate ability to inject SMI/NMI/IRQ after potential VM-Exit (Maxim Levitsky) [2177720]
- KVM: x86: Hoist nested event checks above event injection logic (Maxim Levitsky) [2177720]
- KVM: x86: Use kvm_queue_exception_e() to queue #DF (Maxim Levitsky) [2177720]
- KVM: x86: Formalize blocking of nested pending exceptions (Maxim Levitsky) [2177720]
- KVM: x86: Make kvm_queued_exception a properly named, visible struct (Maxim Levitsky) [2177720]
- KVM: x86: Rename kvm_x86_ops.queue_exception to inject_exception (Maxim Levitsky) [2177720]
- KVM: VMX: Inject #PF on ENCLS as "emulated" #PF (Maxim Levitsky) [2177720]
- KVM: nVMX: Unconditionally clear mtf_pending on nested VM-Exit (Maxim Levitsky) [2177720]
- KVM: nVMX: Ignore SIPI that arrives in L2 when vCPU is not in WFS (Maxim Levitsky) [2177720]
- KVM: x86: Use DR7_GD macro instead of open coding check in emulator (Maxim Levitsky) [2177720]
- KVM: x86: Treat #DBs from the emulator as fault-like (code and DR7.GD=1) (Maxim Levitsky) [2177720]
- KVM: nVMX: Prioritize TSS T-flag #DBs over Monitor Trap Flag (Maxim Levitsky) [2177720]
- KVM: nVMX: Treat General Detect #DB (DR7.GD=1) as fault-like (Maxim Levitsky) [2177720]
- KVM: x86: Suppress code #DBs on Intel if MOV/POP SS blocking is active (Maxim Levitsky) [2177720]
- KVM: x86: Allow clearing RFLAGS.RF on forced emulation to test code #DBs (Maxim Levitsky) [2177720]
- KVM: x86: Don't check for code breakpoints when emulating on exception (Maxim Levitsky) [2177720]
- KVM: VMX: Drop bits 31:16 when shoving exception error code into VMCS (Maxim Levitsky) [2177720]
- KVM: nVMX: Unconditionally purge queued/injected events on nested "exit" (Maxim Levitsky) [2177720]
- KVM: x86: Add missing trace points for RDMSR/WRMSR in emulator path (Maxim Levitsky) [2177720]
- KVM: x86: Return emulator error if RDMSR/WRMSR emulation failed (Maxim Levitsky) [2177720]
- KVM: x86/mmu: fix repeated words in comments (Maxim Levitsky) [2177720]
- KVM: nVMX: Use cached host MSR_IA32_VMX_MISC value for setting up nested MSR (Maxim Levitsky) [2177720]
- KVM: VMX: Cache MSR_IA32_VMX_MISC in vmcs_config (Maxim Levitsky) [2177720]
- KVM: nVMX: Use sanitized allowed-1 bits for VMX control MSRs (Maxim Levitsky) [2177720]
- KVM: nVMX: Always set required-1 bits of pinbased_ctls to PIN_BASED_ALWAYSON_WITHOUT_TRUE_MSR (Maxim Levitsky) [2177720]
- KVM: VMX: Move LOAD_IA32_PERF_GLOBAL_CTRL errata handling out of setup_vmcs_config() (Maxim Levitsky) [2177720]
- KVM: x86: VMX: Replace some Intel model numbers with mnemonics (Maxim Levitsky) [2177720]
- KVM: VMX: Adjust CR3/INVPLG interception for EPT=y at runtime, not setup (Maxim Levitsky) [2177720]
- KVM: VMX: Add missing CPU based VM execution controls to vmcs_config (Maxim Levitsky) [2177720]
- KVM: VMX: Add missing VMEXIT controls to vmcs_config (Maxim Levitsky) [2177720]
- KVM: VMX: Move CPU_BASED_CR8_{LOAD,STORE}_EXITING filtering out of setup_vmcs_config() (Maxim Levitsky) [2177720]
- KVM: VMX: Extend VMX controls macro shenanigans (Maxim Levitsky) [2177720]
- KVM: VMX: Don't toggle VM_ENTRY_IA32E_MODE for 32-bit kernels/KVM (Maxim Levitsky) [2177720]
- KVM: VMX: Tweak the special handling of SECONDARY_EXEC_ENCLS_EXITING in setup_vmcs_config() (Maxim Levitsky) [2177720]
- KVM: VMX: Check CPU_BASED_{INTR,NMI}_WINDOW_EXITING in setup_vmcs_config() (Maxim Levitsky) [2177720]
- KVM: VMX: Check VM_ENTRY_IA32E_MODE in setup_vmcs_config() (Maxim Levitsky) [2177720]
- KVM: nVMX: Always emulate PERF_GLOBAL_CTRL VM-Entry/VM-Exit controls (Maxim Levitsky) [2177720]
- KVM: nVMX: Don't propagate vmcs12's PERF_GLOBAL_CTRL settings to vmcs02 (Maxim Levitsky) [2177720]
- KVM: VMX: Get rid of eVMCS specific VMX controls sanitization (Maxim Levitsky) [2177720]
- KVM: nVMX: Support PERF_GLOBAL_CTRL with enlightened VMCS (Maxim Levitsky) [2177720]
- KVM: nVMX: WARN once and fail VM-Enter if eVMCS sees VMFUNC[63:32] != 0 (Maxim Levitsky) [2177720]
- KVM: selftests: Switch to updated eVMCSv1 definition (Maxim Levitsky) [2177720]
- KVM: selftests: Add ENCLS_EXITING_BITMAP{,HIGH} VMCS fields (Maxim Levitsky) [2177720]
- KVM: x86: hyper-v: Cache HYPERV_CPUID_NESTED_FEATURES CPUID leaf (Maxim Levitsky) [2177720]
- KVM: nVMX: Support several new fields in eVMCSv1 (Maxim Levitsky) [2177720]
- KVM: VMX: Define VMCS-to-EVMCS conversion for the new fields (Maxim Levitsky) [2177720]
- KVM: nVMX: Use CC() macro to handle eVMCS unsupported controls checks (Maxim Levitsky) [2177720]
- KVM: nVMX: Refactor unsupported eVMCS controls logic to use 2-d array (Maxim Levitsky) [2177720]
- KVM: nVMX: Treat eVMCS as enabled for guest iff Hyper-V is also enabled (Maxim Levitsky) [2177720]
- KVM: x86: Report error when setting CPUID if Hyper-V allocation fails (Maxim Levitsky) [2177720]
- KVM: x86: Check for existing Hyper-V vCPU in kvm_hv_vcpu_init() (Maxim Levitsky) [2177720]
- KVM: x86: Zero out entire Hyper-V CPUID cache before processing entries (Maxim Levitsky) [2177720]
- KVM: selftests: Require DISABLE_NX_HUGE_PAGES cap for NX hugepage test (Maxim Levitsky) [2177720]
- KVM: VMX: Do not declare vmread_error() asmlinkage (Maxim Levitsky) [2177720]
- KVM:x86: Clean up ModR/M "reg" initialization in reg op decoding (Maxim Levitsky) [2177720]
- KVM: x86: Print guest pgd in kvm_nested_vmenter() (Maxim Levitsky) [2177720]
- KVM: nVMX: Add tracepoint for nested VM-Enter (Maxim Levitsky) [2177720]
- KVM: x86: Update trace function for nested VM entry to support VMX (Maxim Levitsky) [2177720]
- KVM: x86: Use u64 for address and error code in page fault tracepoint (Maxim Levitsky) [2177720]
- KVM: Add extra information in kvm_page_fault trace point (Maxim Levitsky) [2177720]
- KVM: SVM: remove unnecessary check on INIT intercept (Maxim Levitsky) [2177720]
- KVM/VMX: Avoid stack engine synchronization uop in __vmx_vcpu_run (Maxim Levitsky) [2177720]
- KVM: fix memoryleak in kvm_init() (Maxim Levitsky) [2177720]
- KVM: x86/mmu: fix memoryleak in kvm_mmu_vendor_module_init() (Maxim Levitsky) [2177720]
- KVM: x86/emulator: Fix handing of POP SS to correctly set interruptibility (Maxim Levitsky) [2177720]
- kvm: x86: Do proper cleanup if kvm_x86_ops->vm_init() fails (Maxim Levitsky) [2177720]
- x86: Fix various duplicate-word comment typos (Maxim Levitsky) [2177720]
- tests: fix idmapped mount_setattr test (Alex Gladkov) [2179877]
- Revert "Disable idmapped mounts" (Alex Gladkov) [2132410]
- platform/x86: intel-uncore-freq: add Emerald Rapids support (Michael Petlan) [2156827]
- nfsd: fix double fget() bug in __write_ports_addfd() (Scott Mayhew) [2215091]
- SUNRPC: Don't change task->tk_status after the call to rpc_exit_task (Scott Mayhew) [2215091]
- NFSD: Remove open coding of string copy (Scott Mayhew) [2215091]
- SUNRPC: Fix trace_svc_register() call site (Scott Mayhew) [2215091]
- SUNRPC: always free ctxt when freeing deferred request (Scott Mayhew) [2215091]
- SUNRPC: double free xprt_ctxt while still in use (Scott Mayhew) [2215091]
- NFSD: Clean up xattr memory allocation flags (Scott Mayhew) [2215091]
- SUNRPC: return proper error from get_expiry() (Scott Mayhew) [2215091]
- NFS: Cleanup unused rpc_clnt variable (Scott Mayhew) [2215091]
- SUNRPC: remove the maximum number of retries in call_bind_status (Scott Mayhew) [2215091]
- NFSv4.1: Always send a RECLAIM_COMPLETE after establishing lease (Scott Mayhew) [2215091]
- net: stmmac: propagate feature flags to vlan (Corinna Vinschen) [2213160]
Resolves: rhbz#2132410, rhbz#2156827, rhbz#2177720, rhbz#2179877, rhbz#2213160, rhbz#2215091, rhbz#2215429, rhbz#2216955, rhbz#2217308

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-30 14:26:37 +02:00
Jan Stancek
c374f9d913 kernel-5.14.0-333.el9
* Wed Jun 28 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-333.el9]
- redhat: rpminspect: ignore perf coresight tests (Jan Stancek)
- redhat: rpminspect: ignore perf coresight tests (Jan Stancek)
- PCI: hv: Add a per-bus mutex state_lock (Vitaly Kuznetsov) [2182619]
- Revert "PCI: hv: Fix a timing issue which causes kdump to fail occasionally" (Vitaly Kuznetsov) [2182619]
- PCI: hv: Remove the useless hv_pcichild_state from struct hv_pci_dev (Vitaly Kuznetsov) [2182619]
- PCI: hv: Fix a race condition in hv_irq_unmask() that can cause panic (Vitaly Kuznetsov) [2182619]
- PCI: hv: Fix a race condition bug in hv_pci_query_relations() (Vitaly Kuznetsov) [2182619]
- bpf: Free struct bpf_cpumask in call_rcu handler (Viktor Malik) [2178930]
- bpf: Only allocate one bpf_mem_cache for bpf_cpumask_ma (Viktor Malik) [2178930]
- bpf: netdev: init the offload table earlier (Felix Maurer) [2178930]
- spec: remove resolve_btfids from kernel-devel (Viktor Malik) [2178930]
- tools/resolve_btfids: Fix setting HOSTCFLAGS (Viktor Malik) [2178930]
- tools/resolve_btfids: Pass HOSTCFLAGS as EXTRA_CFLAGS to prepare targets (Viktor Malik) [2178930]
- tools/resolve_btfids: Tidy HOST_OVERRIDES (Viktor Malik) [2178930]
- tools/resolve_btfids: Compile resolve_btfids as host program (Viktor Malik) [2178930]
- tools/resolve_btfids: Alter how HOSTCC is forced (Viktor Malik) [2178930]
- tools/resolve_btfids: Install subcmd headers (Viktor Malik) [2178930]
- powerpc/bpf: populate extable entries only during the last pass (Viktor Malik) [2178930]
- powerpc/bpf: Only pad length-variable code at initial pass (Viktor Malik) [2178930]
- powerpc/bpf: Perform complete extra passes to update addresses (Viktor Malik) [2178930]
- powerpc: Remove __kernel_text_address() in show_instructions() (Viktor Malik) [2178930]
- selftests/bpf: Adjust bpf_xdp_metadata_rx_hash for new arg (Felix Maurer) [2178930]
- veth: bpf_xdp_metadata_rx_hash add xdp rss hash type (Felix Maurer) [2178930]
- xdp: rss hash types representation (Felix Maurer) [2178930]
- selftests/bpf: xdp_hw_metadata remove bpf_printk and add counters (Felix Maurer) [2178930]
- xdp: bpf_xdp_metadata use EOPNOTSUPP for no driver support (Felix Maurer) [2178930]
- bpf: tcp: Use sock_gen_put instead of sock_put in bpf_iter_tcp (Felix Maurer) [2178930]
- xsk: Add missing overflow check in xdp_umem_reg (Felix Maurer) [2178930]
- selftests/bpf: Fix flaky fib_lookup test (Felix Maurer) [2178930]
- selftests/bpf: Fix merge conflict due to SYS() macro change. (Felix Maurer) [2178930]
- selftests/bpf: move SYS() macro into the test_progs.h (Felix Maurer) [2178930]
- bpf, sockmap: Fix an infinite loop error when len is 0 in tcp_bpf_recvmsg_parser() (Felix Maurer) [2178930]
- xsk: add linux/vmalloc.h to xsk.c (Felix Maurer) [2178930]
- xsk: support use vaddr as ring (Felix Maurer) [2178930]
- selftests/bpf: Add bpf_fib_lookup test (Felix Maurer) [2178930]
- bpf: Add BPF_FIB_LOOKUP_SKIP_NEIGH for bpf_fib_lookup (Felix Maurer) [2178930]
- bpf: bpf_fib_lookup should not return neigh in NUD_FAILED state (Felix Maurer) [2178930]
- bpf: Disable bh in bpf_test_run for xdp and tc prog (Felix Maurer) [2178930]
- xsk: check IFF_UP earlier in Tx path (Felix Maurer) [2178930]
- net: stmmac: fix call trace when stmmac_xdp_xmit() is invoked (Felix Maurer) [2178930]
- bonding: add xdp_features support (Felix Maurer) [2178930]
- veth: take into account peer device for NETDEV_XDP_ACT_NDO_XMIT xdp_features flag (Felix Maurer) [2178930]
- selftests/bpf: fix xdp_redirect xdp-features selftest for veth driver (Felix Maurer) [2178930]
- net: xdp: don't call notifiers during driver init (Felix Maurer) [2178930]
- veth: rely on rtnl_dereference() instead of on rcu_dereference() in veth_set_xdp_features() (Felix Maurer) [2178930]
- net/mlx5e: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930]
- veth: take into account device reconfiguration for xdp_features flag (Felix Maurer) [2178930]
- net: ena: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930]
- net: thunderx: take into account xdp_features setting tx/rx queues (Felix Maurer) [2178930]
- xdp: add xdp_set_features_flag utility routine (Felix Maurer) [2178930]
- libbpf: Fix bpf_xdp_query() in old kernels (Felix Maurer) [2178930]
- netdev-genl: fix repeated typo oflloading -> offloading (Felix Maurer) [2178930]
- hv_netvsc: add missing NETDEV_XDP_ACT_NDO_XMIT xdp-features flag (Felix Maurer) [2178930]
- net: stmmac: add missing NETDEV_XDP_ACT_XSK_ZEROCOPY bit to xdp_features (Felix Maurer) [2178930]
- net, xdp: Add missing xdp_features description (Felix Maurer) [2178930]
- virtio_net: Update xdp_features with xdp multi-buff (Felix Maurer) [2178930]
- libbpf: Always use libbpf_err to return an error in bpf_xdp_query() (Felix Maurer) [2178930]
- selftests/bpf: Fix spelling mistake "detecion" -> "detection" (Felix Maurer) [2178930]
- selftests/bpf: introduce XDP compliance test tool (Felix Maurer) [2178930]
- selftests/bpf: add test for bpf_xdp_query xdp-features support (Felix Maurer) [2178930]
- bpf: devmap: check XDP features in __xdp_enqueue routine (Felix Maurer) [2178930]
- libbpf: add API to get XDP/XSK supported features (Felix Maurer) [2178930]
- libbpf: add the capability to specify netlink proto in libbpf_netlink_send_recv (Felix Maurer) [2178930]
- xsk: add usage of XDP features flags (Felix Maurer) [2178930]
- drivers: net: turn on XDP features (Felix Maurer) [2178930]
- netdev-genl: create a simple family for netdev stuff (Felix Maurer) [2178930]
- bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930]
- Revert "bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES" (Felix Maurer) [2178930]
- bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES (Felix Maurer) [2178930]
- configs: Enable CONFIG_PAGE_POOL_STATS for common/generic (Felix Maurer) [2178930]
- page_pool: add a comment explaining the fragment counter usage (Felix Maurer) [2178930]
- net: page_pool: use in_softirq() instead (Felix Maurer) [2178930]
- selftests/bpf: Initialize tc in xdp_synproxy (Felix Maurer) [2178930]
- selftests/bpf: Calls bpf_setsockopt() on a ktls enabled socket. (Felix Maurer) [2178930]
- bpf: Check the protocol of a sock to agree the calls to bpf_setsockopt(). (Felix Maurer) [2178930]
- kallsyms: add kallsyms_seqs_of_names to list of special symbols (Viktor Malik) [2178930]
- bpf: Fix incorrect verifier pruning due to missing register precision taints (Viktor Malik) [2178930]
- s390/bpf: Fix bpf_arch_text_poke() with new_addr == NULL (Viktor Malik) [2178930]
- bpf, arm64: Fixed a BTI error on returning to patched function (Viktor Malik) [2178930]
- bpf: Adjust insufficient default bpf_jit_limit (Viktor Malik) [2178930]
- selftests/bpf: Fix progs/find_vma_fail1.c build error. (Viktor Malik) [2178930]
- libbpf: Revert poisoning of strlcpy (Viktor Malik) [2178930]
- selftests/bpf: check that modifier resolves after pointer (Viktor Malik) [2178930]
- btf: fix resolving BTF_KIND_VAR after ARRAY, STRUCT, UNION, PTR (Viktor Malik) [2178930]
- bpf, doc: Link to submitting-patches.rst for general patch submission info (Viktor Malik) [2178930]
- bpf, doc: Do not link to docs.kernel.org for kselftest link (Viktor Malik) [2178930]
- libbpf: Fix arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930]
- bpf, docs: Fix link to BTF doc (Viktor Malik) [2178930]
- selftests/bpf: Tests for uninitialized stack reads (Viktor Malik) [2178930]
- bpf: Allow reads from uninit stack (Viktor Malik) [2178930]
- bpf: add missing header file include (Viktor Malik) [2178930]
- selftests/bpf: Add global subprog context passing tests (Viktor Malik) [2178930]
- selftests/bpf: Convert test_global_funcs test to test_loader framework (Viktor Malik) [2178930]
- bpf: Fix global subprog context argument resolution logic (Viktor Malik) [2178930]
- Fix typos in selftest/bpf files (Viktor Malik) [2178930]
- selftests/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930]
- samples/bpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930]
- bpftool: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930]
- libbpf: Use bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930]
- libbpf: Introduce bpf_{btf,link,map,prog}_get_info_by_fd() (Viktor Malik) [2178930]
- selftest/bpf/benchs: Add benchmark for hashmap lookups (Viktor Malik) [2178930]
- selftest/bpf/benchs: Print less if the quiet option is set (Viktor Malik) [2178930]
- selftest/bpf/benchs: Make quiet option common (Viktor Malik) [2178930]
- selftest/bpf/benchs: Remove an unused header (Viktor Malik) [2178930]
- selftest/bpf/benchs: Enhance argp parsing (Viktor Malik) [2178930]
- selftest/bpf/benchs: Make a function static in bpf_hashmap_full_update (Viktor Malik) [2178930]
- selftest/bpf/benchs: Fix a typo in bpf_hashmap_full_update (Viktor Malik) [2178930]
- selftests/bpf: check if BPF_ST with variable offset preserves STACK_ZERO (Viktor Malik) [2178930]
- bpf: BPF_ST with variable offset should preserve STACK_ZERO marks (Viktor Malik) [2178930]
- selftests/bpf: check if verifier tracks constants spilled by BPF_ST_MEM (Viktor Malik) [2178930]
- bpf: track immediate values written to stack by BPF_ST instruction (Viktor Malik) [2178930]
- selftests/bpf: Fix map_kptr test. (Viktor Malik) [2178930]
- selftests/bpf: Cross-compile bpftool (Viktor Malik) [2178930]
- Documentation: bpf: Add missing line break separator in node_data struct code block (Viktor Malik) [2178930]
- Revert "bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25" (Viktor Malik) [2178930]
- selftests/bpf: Clean up dynptr prog_tests (Viktor Malik) [2178930]
- selftests/bpf: Clean up user_ringbuf, cgrp_kfunc, kfunc_dynptr_param tests (Viktor Malik) [2178930]
- bpf, documentation: Add graph documentation for non-owning refs (Viktor Malik) [2178930]
- selftests/bpf: Add rbtree selftests (Viktor Malik) [2178930]
- bpf: Add bpf_rbtree_{add,remove,first} decls to bpf_experimental.h (Viktor Malik) [2178930]
- bpf: Special verifier handling for bpf_rbtree_{remove, first} (Viktor Malik) [2178930]
- bpf: Add callback validation to kfunc verifier logic (Viktor Malik) [2178930]
- bpf: Add support for bpf_rb_root and bpf_rb_node in kfunc args (Viktor Malik) [2178930]
- bpf: Add bpf_rbtree_{add,remove,first} kfuncs (Viktor Malik) [2178930]
- bpf: Add basic bpf_rb_{root,node} support (Viktor Malik) [2178930]
- bpf: Migrate release_on_unlock logic to non-owning ref semantics (Viktor Malik) [2178930]
- bpf: Add --skip_encoding_btf_inconsistent_proto, --btf_gen_optimized to pahole flags for v1.25 (Viktor Malik) [2178930]
- bpf: allow to disable bpf prog memory accounting (Viktor Malik) [2178930]
- bpf: allow to disable bpf map memory accounting (Viktor Malik) [2178930]
- bpf: use bpf_map_kvcalloc in bpf_local_storage (Viktor Malik) [2178930]
- mm: memcontrol: add new kernel parameter cgroup.memory=nobpf (Viktor Malik) [2178930]
- libbpf: Fix alen calculation in libbpf_nla_dump_errormsg() (Viktor Malik) [2178930]
- selftests/bpf: Attach to fopen()/fclose() in attach_probe (Viktor Malik) [2178930]
- selftests/bpf: Attach to fopen()/fclose() in uprobe_autoattach (Viktor Malik) [2178930]
- selftests/bpf: Forward SAN_CFLAGS and SAN_LDFLAGS to runqslower and libbpf (Viktor Malik) [2178930]
- selftests/bpf: Split SAN_CFLAGS and SAN_LDFLAGS (Viktor Malik) [2178930]
- tools: runqslower: Add EXTRA_CFLAGS and EXTRA_LDFLAGS support (Viktor Malik) [2178930]
- selftests/bpf: Quote host tools (Viktor Malik) [2178930]
- samples/bpf: Add openat2() enter/exit tracepoint to syscall_tp sample (Viktor Malik) [2178930]
- libbpf: Add sample_period to creation options (Viktor Malik) [2178930]
- bpf, docs: Add note about type convention (Viktor Malik) [2178930]
- bpf/docs: Update design QA to be consistent with kfunc lifecycle docs (Viktor Malik) [2178930]
- bpf, docs: Use consistent names for the same field (Viktor Malik) [2178930]
- libbpf: Correctly set the kernel code version in Debian kernel. (Viktor Malik) [2178930]
- bpf: fix typo in header for bpf_perf_prog_read_value (Viktor Malik) [2178930]
- bpf/docs: Document kfunc lifecycle / stability expectations (Viktor Malik) [2178930]
- bpftool: profile online CPUs instead of possible (Viktor Malik) [2178930]
- selftests/bpf: Use semicolon instead of comma in test_verifier.c (Viktor Malik) [2178930]
- tools/bpf: Use tab instead of white spaces to sync bpf.h (Viktor Malik) [2178930]
- bpf: Drop always true do_idr_lock parameter to bpf_map_free_id (Viktor Malik) [2178930]
- docs/bpf: Add description of register liveness tracking algorithm (Viktor Malik) [2178930]
- selftests/bpf: Add testcase for static kfunc with unused arg (Viktor Malik) [2178930]
- bpf: Add __bpf_kfunc tag to all kfuncs (Viktor Malik) [2178930]
- bpf: Document usage of the new __bpf_kfunc macro (Viktor Malik) [2178930]
- bpf: Add __bpf_kfunc tag for marking kernel functions as kfuncs (Viktor Malik) [2178930]
- selftests/bpf: Trim DENYLIST.s390x (Viktor Malik) [2178930]
- selftests/bpf: Remove duplicate include header in xdp_hw_metadata (Felix Maurer) [2178930]
- selftests/bpf: Don't refill on completion in xdp_metadata (Felix Maurer) [2178930]
- selftests/bpf: xdp_hw_metadata use strncpy for ifname (Felix Maurer) [2178930]
- selftests/bpf: xdp_hw_metadata correct status value in error(3) (Felix Maurer) [2178930]
- selftests/bpf: xdp_hw_metadata cleanup cause segfault (Felix Maurer) [2178930]
- selftests/bpf: xdp_hw_metadata clear metadata when -EOPNOTSUPP (Felix Maurer) [2178930]
- selftests/bpf: Fix unmap bug in prog_tests/xdp_metadata.c (Felix Maurer) [2178930]
- selftests/bpf: Properly enable hwtstamp in xdp_hw_metadata (Felix Maurer) [2178930]
- selftests/bpf: Simple program to dump XDP RX metadata (Felix Maurer) [2178930]
- xsk: Add cb area to struct xdp_buff_xsk (Felix Maurer) [2178930]
- selftests/bpf: Verify xdp_metadata xdp->af_xdp path (Felix Maurer) [2178930]
- veth: Support RX XDP metadata (Felix Maurer) [2178930]
- veth: Introduce veth_xdp_buff wrapper for xdp_buff (Felix Maurer) [2178930]
- bpf: Support consuming XDP HW metadata from fext programs (Felix Maurer) [2178930]
- bpf: XDP metadata RX kfuncs (Felix Maurer) [2178930]
- selftests/bpf: Update expected test_offload.py messages (Felix Maurer) [2178930]
- bpf: Introduce device-bound XDP programs (Felix Maurer) [2178930]
- bpf: Reshuffle some parts of bpf/offload.c (Felix Maurer) [2178930]
- bpf: Move offload initialization into late_initcall (Felix Maurer) [2178930]
- bpf: Rename bpf_{prog,map}_is_dev_bound to is_offloaded (Felix Maurer) [2178930]
- bpf: Document XDP RX metadata (Felix Maurer) [2178930]
- xdp: document xdp_do_flush() before napi_complete_done() (Felix Maurer) [2178930]
- selftests/bpf: add ipip6 and ip6ip decap to test_tc_tunnel (Felix Maurer) [2178930]
- bpf: Add ipip6 and ip6ip decap support for bpf_skb_adjust_room() (Felix Maurer) [2178930]
- selftests/xsk: automatically switch XDP programs (Felix Maurer) [2178930]
- selftests/xsk: automatically restore packet stream (Felix Maurer) [2178930]
- selftests/xsk: merge dual and single thread dispatchers (Felix Maurer) [2178930]
- selftests/xsk: add test when some packets are XDP_DROPed (Felix Maurer) [2178930]
- selftests/xsk: get rid of built-in XDP program (Felix Maurer) [2178930]
- selftests/xsk: remove unnecessary code in control path (Felix Maurer) [2178930]
- selftests/xsk: load and attach XDP program only once per mode (Felix Maurer) [2178930]
- selftests/xsk: remove namespaces (Felix Maurer) [2178930]
- selftests/xsk: replace asm acquire/release implementations (Felix Maurer) [2178930]
- selftests/xsk: add debug option for creating netdevs (Felix Maurer) [2178930]
- selftests/xsk: remove unused variable outstanding_tx (Felix Maurer) [2178930]
- selftests/xsk: print correct error codes when exiting (Felix Maurer) [2178930]
- selftests/xsk: submit correct number of frames in populate_fill_ring (Felix Maurer) [2178930]
- selftests/xsk: do not close unused file descriptors (Felix Maurer) [2178930]
- selftests/xsk: print correct payload for packet dump (Felix Maurer) [2178930]
- selftests/bpf: Add BPF_F_NO_TUNNEL_KEY test (Felix Maurer) [2178930]
- bpf: Add flag BPF_F_NO_TUNNEL_KEY to bpf_skb_set_tunnel_key() (Felix Maurer) [2178930]
- selftests/bpf: Fix s390x vmlinux path (Viktor Malik) [2178930]
- s390/bpf: Implement bpf_jit_supports_kfunc_call() (Viktor Malik) [2178930]
- s390/bpf: Implement bpf_jit_supports_subprog_tailcalls() (Viktor Malik) [2178930]
- s390/bpf: Implement arch_prepare_bpf_trampoline() (Viktor Malik) [2178930]
- s390: raise minimum supported machine generation to z10 (Viktor Malik) [2178930]
- s390/bpf: encode register within extable entry (Viktor Malik) [2178930]
- s390/bpf: Implement bpf_arch_text_poke() (Viktor Malik) [2178930]
- s390/bpf: Add expoline to tail calls (Viktor Malik) [2178930]
- s390/bpf: Fix a typo in a comment (Viktor Malik) [2178930]
- s390: introduce text_poke_sync() (Viktor Malik) [2178930]
- s390/barrier: factor out bcr_serialize() (Viktor Malik) [2178930]
- bpf: btf: Add BTF_FMODEL_SIGNED_ARG flag (Viktor Malik) [2178930]
- bpf: iterators: Split iterators.lskel.h into little- and big- endian versions (Viktor Malik) [2178930]
- libbpf: Fix BPF_PROBE_READ{_STR}_INTO() on s390x (Viktor Malik) [2178930]
- libbpf: Fix unbounded memory access in bpf_usdt_arg() (Viktor Malik) [2178930]
- libbpf: Simplify barrier_var() (Viktor Malik) [2178930]
- selftests/bpf: Fix profiler on s390x (Viktor Malik) [2178930]
- selftests/bpf: Fix xdp_synproxy/tc on s390x (Viktor Malik) [2178930]
- selftests/bpf: Fix vmlinux test on s390x (Viktor Malik) [2178930]
- selftests/bpf: Fix test_xdp_adjust_tail_grow2 on s390x (Viktor Malik) [2178930]
- selftests/bpf: Fix test_lsm on s390x (Viktor Malik) [2178930]
- selftests/bpf: Add a sign-extension test for kfuncs (Viktor Malik) [2178930]
- selftests/bpf: Increase SIZEOF_BPF_LOCAL_STORAGE_ELEM on s390x (Viktor Malik) [2178930]
- selftests/bpf: Check stack_mprotect() return value (Viktor Malik) [2178930]
- selftests/bpf: Fix cgrp_local_storage on s390x (Viktor Malik) [2178930]
- selftests/bpf: Fix xdp_do_redirect on s390x (Viktor Malik) [2178930]
- selftests/bpf: Fix verify_pkcs7_sig on s390x (Viktor Malik) [2178930]
- selftests/bpf: Fix decap_sanity_ns cleanup (Viktor Malik) [2178930]
- selftests/bpf: Set errno when urand_spawn() fails (Viktor Malik) [2178930]
- selftests/bpf: Fix kfree_skb on s390x (Viktor Malik) [2178930]
- selftests/bpf: Fix symlink creation error (Viktor Malik) [2178930]
- selftests/bpf: Fix liburandom_read.so linker error (Viktor Malik) [2178930]
- selftests/bpf: Query BPF_MAX_TRAMP_LINKS using BTF (Viktor Malik) [2178930]
- bpf: Change BPF_MAX_TRAMP_LINKS to enum (Viktor Malik) [2178930]
- bpf: Use ARG_CONST_SIZE_OR_ZERO for 3rd argument of bpf_tcp_raw_gen_syncookie_ipv{4,6}() (Viktor Malik) [2178930]
- Documentation: bpf: correct spelling (Viktor Malik) [2178930]
- bpf: Build-time assert that cpumask offset is zero (Viktor Malik) [2178930]
- selftest/bpf: Make crashes more debuggable in test_progs (Viktor Malik) [2178930]
- libbpf: Add documentation to map pinning API functions (Viktor Malik) [2178930]
- libbpf: Fix malformed documentation formatting (Viktor Malik) [2178930]
- bpf/selftests: Verify struct_ops prog sleepable behavior (Viktor Malik) [2178930]
- bpf: Pass const struct bpf_prog * to .check_member (Viktor Malik) [2178930]
- libbpf: Support sleepable struct_ops.s section (Viktor Malik) [2178930]
- bpf: Allow BPF_PROG_TYPE_STRUCT_OPS programs to be sleepable (Viktor Malik) [2178930]
- bpf/docs: Document the nocast aliasing behavior of ___init (Viktor Malik) [2178930]
- bpf/docs: Document how nested trusted fields may be defined (Viktor Malik) [2178930]
- bpf/docs: Document cpumask kfuncs in a new file (Viktor Malik) [2178930]
- selftests/bpf: Add selftest suite for cpumask kfuncs (Viktor Malik) [2178930]
- selftests/bpf: Add nested trust selftests suite (Viktor Malik) [2178930]
- bpf: Enable cpumasks to be queried and used as kptrs (Viktor Malik) [2178930]
- bpf: Disallow NULLable pointers for trusted kfuncs (Viktor Malik) [2178930]
- selftests/bpf: Fix vmtest static compilation error (Viktor Malik) [2178930]
- bpf: Allow trusted args to walk struct when checking BTF IDs (Viktor Malik) [2178930]
- bpf: Enable annotating trusted nested pointers (Viktor Malik) [2178930]
- bpf, docs: Fix modulo zero, division by zero, overflow, and underflow (Viktor Malik) [2178930]
- libbpf: Clean up now not needed __PT_PARM{1-6}_SYSCALL_REG defaults (Viktor Malik) [2178930]
- selftests/bpf: Add 6-argument syscall tracing test (Viktor Malik) [2178930]
- libbpf: Define powerpc syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Define arm64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Define arm syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Define s390x syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Define i386 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Define x86-64 syscall regs spec in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Improve syscall tracing support in bpf_tracing.h (Viktor Malik) [2178930]
- selftests/bpf: Validate arch-specific argument registers limits (Viktor Malik) [2178930]
- libbpf: Add BPF_UPROBE and BPF_URETPROBE macro aliases (Viktor Malik) [2178930]
- libbpf: Complete powerpc spec in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Fix arm and arm64 specs in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Add 6th argument support for x86-64 in bpf_tracing.h (Viktor Malik) [2178930]
- libbpf: Add support for fetching up to 8 arguments in kprobes (Viktor Malik) [2178930]
- selftests/bpf: Add dynptr helper tests (Viktor Malik) [2178930]
- selftests/bpf: Add dynptr partial slot overwrite tests (Viktor Malik) [2178930]
- selftests/bpf: Add dynptr var_off tests (Viktor Malik) [2178930]
- selftests/bpf: Add dynptr pruning tests (Viktor Malik) [2178930]
- selftests/bpf: convenience macro for use with 'asm volatile' blocks (Viktor Malik) [2178930]
- bpf: Avoid recomputing spi in process_dynptr_func (Viktor Malik) [2178930]
- bpf: Combine dynptr_get_spi and is_spi_bounds_valid (Viktor Malik) [2178930]
- bpf: Allow reinitializing unreferenced dynptr stack slots (Viktor Malik) [2178930]
- bpf: Invalidate slices on destruction of dynptrs on stack (Viktor Malik) [2178930]
- bpf: Fix partial dynptr stack slot reads/writes (Viktor Malik) [2178930]
- bpf: Fix missing var_off check for ARG_PTR_TO_DYNPTR (Viktor Malik) [2178930]
- bpf: Fix state pruning for STACK_DYNPTR stack slots (Viktor Malik) [2178930]
- bpf: Change modules resolving for kprobe multi link (Viktor Malik) [2178930]
- selftests/bpf: Add serial_test_kprobe_multi_bench_attach_kernel/module tests (Viktor Malik) [2178930]
- bpf/selftests: Add verifier tests for loading sleepable programs (Viktor Malik) [2178930]
- bpf: Do not allow to load sleepable BPF_TRACE_RAW_TP program (Viktor Malik) [2178930]
- samples/bpf: change _kern suffix to .bpf with BPF test programs (Viktor Malik) [2178930]
- samples/bpf: use vmlinux.h instead of implicit headers in BPF test program (Viktor Malik) [2178930]
- samples/bpf: replace BPF programs header with net_shared.h (Viktor Malik) [2178930]
- samples/bpf: split common macros to net_shared.h (Viktor Malik) [2178930]
- samples/bpf: replace legacy map with the BTF-defined map (Viktor Malik) [2178930]
- samples/bpf: replace broken overhead microbenchmark with fib_table_lookup (Viktor Malik) [2178930]
- samples/bpf: fix broken cgroup socket testing (Viktor Malik) [2178930]
- samples/bpf: fix broken lightweight tunnel testing (Viktor Malik) [2178930]
- samples/bpf: refactor BPF functionality testing scripts (Viktor Malik) [2178930]
- samples/bpf: ensure ipv6 is enabled before running tests (Viktor Malik) [2178930]
- selftests/bpf: Fix missing space error (Viktor Malik) [2178930]
- libbpf: Replace '.' with '_' in legacy kprobe event name (Viktor Malik) [2178930]
- bpftool: Always disable stack protection for BPF objects (Viktor Malik) [2178930]
- bpftool: fix output for skipping kernel config check (Viktor Malik) [2178930]
- bpf: btf: limit logging of ignored BTF mismatches (Viktor Malik) [2178930]
- bpf, x86: Simplify the parsing logic of structure parameters (Viktor Malik) [2178930]
- bpf: Replace 0-length arrays with flexible arrays (Viktor Malik) [2178930]
- bpftool: Add missing quotes to libbpf bootstrap submake vars (Viktor Malik) [2178930]
- bpf: Remove the unnecessary insn buffer comparison (Viktor Malik) [2178930]
- libbpf: Poison strlcpy() (Viktor Malik) [2178930]
- libbpf: Return -ENODATA for missing btf section (Viktor Malik) [2178930]
- libbpf: Restore errno after pr_warn. (Viktor Malik) [2178930]
- libbpf: Added the description of some API functions (Viktor Malik) [2178930]
- libbpf: Fix invalid return address register in s390 (Viktor Malik) [2178930]
- samples/bpf: Use BPF_KSYSCALL macro in syscall tracing programs (Viktor Malik) [2178930]
- samples/bpf: Fix tracex2 by using BPF_KSYSCALL macro (Viktor Malik) [2178930]
- samples/bpf: Change _kern suffix to .bpf with syscall tracing program (Viktor Malik) [2178930]
- samples/bpf: Use vmlinux.h instead of implicit headers in syscall tracing program (Viktor Malik) [2178930]
- samples/bpf: Use kyscall instead of kprobe in syscall tracing program (Viktor Malik) [2178930]
- bpf: rename list_head -> graph_root in field info types (Viktor Malik) [2178930]
- libbpf: fix errno is overwritten after being closed. (Viktor Malik) [2178930]
- bpf: fix regs_exact() logic in regsafe() to remap IDs correctly (Viktor Malik) [2178930]
- bpf: perform byte-by-byte comparison only when necessary in regsafe() (Viktor Malik) [2178930]
- bpf: reject non-exact register type matches in regsafe() (Viktor Malik) [2178930]
- bpf: generalize MAYBE_NULL vs non-MAYBE_NULL rule (Viktor Malik) [2178930]
- bpf: reorganize struct bpf_reg_state fields (Viktor Malik) [2178930]
- bpf: teach refsafe() to take into account ID remapping (Viktor Malik) [2178930]
- bpf: Remove unused field initialization in bpf's ctl_table (Viktor Malik) [2178930]
- selftests/bpf: Add jit probe_mem corner case tests to s390x denylist (Viktor Malik) [2178930]
- libbpf: start v1.2 development cycle (Viktor Malik) [2178930]
- selftests/bpf: Add verifier test exercising jit PROBE_MEM logic (Viktor Malik) [2178930]
- bpf, x86: Improve PROBE_MEM runtime load check (Viktor Malik) [2178930]
- bpf: Reduce smap->elem_size (Viktor Malik) [2178930]
- bpf: makefiles: Do not generate empty vmlinux.h (Viktor Malik) [2178930]
- libbpf: Show error info about missing ".BTF" section (Viktor Malik) [2178930]
- libbpf: Fix build warning on ref_ctr_off for 32-bit architectures (Viktor Malik) [2178930]
- docs: BPF_MAP_TYPE_SOCK[MAP|HASH] (Viktor Malik) [2178930]
- samples/bpf: fix uninitialized warning with test_current_task_under_cgroup (Viktor Malik) [2178930]
- samples/bpf: replace meaningless counter with tracex4 (Viktor Malik) [2178930]
- samples/bpf: remove unused function with test_lru_dist (Viktor Malik) [2178930]
- bpf: Remove trace_printk_lock (Viktor Malik) [2178930]
- bpf: Do cleanup in bpf_bprintf_cleanup only when needed (Viktor Malik) [2178930]
- bpf: Add struct for bin_args arg in bpf_bprintf_prepare (Viktor Malik) [2178930]
- tools/resolve_btfids: Use pkg-config to locate libelf (Viktor Malik) [2178930]
- libbpf: Fix btf_dump's packed struct determination (Viktor Malik) [2178930]
- selftests/bpf: Add few corner cases to test padding handling of btf_dump (Viktor Malik) [2178930]
- libbpf: Fix BTF-to-C converter's padding logic (Viktor Malik) [2178930]
- libbpf: Fix btf__align_of() by taking into account field offsets (Viktor Malik) [2178930]
- selftests/bpf: Add non-standardly sized enum tests for btf_dump (Viktor Malik) [2178930]
- libbpf: Handle non-standardly sized enums better in BTF-to-C dumper (Viktor Malik) [2178930]
- libbpf: Optimized return value in libbpf_strerror when errno is libbpf errno (Viktor Malik) [2178930]
- mm/memcg: Free percpu stats memory of dying memcg's (Waiman Long) [2176388]
- ASoC: Fix warning related to 'sound-name-prefix' binding (Mark Salter) [2186348]
- arm64: tegra: Bump CBB ranges property on Tegra194 and Tegra234 (Mark Salter) [2186348]
- dt-bindings: phy: tegra-xusb: Add support for Tegra234 (Mark Salter) [2186348]
- dt-bindings: phy: tegra-xusb: Convert to json-schema (Mark Salter) [2186348]
- dt-bindings: tegra: Allow #{address,size}-cells = <2> (Mark Salter) [2186348]
- arm64: tegra: Drop I2C iommus and dma-coherent properties (Mark Salter) [2186348]
- arm64: tegra: Mark host1x as dma-coherent on Tegra194/234 (Mark Salter) [2186348]
- arm64: tegra: Populate the XUDC node for Tegra234 (Mark Salter) [2186348]
- arm64: tegra: Add dma-coherent property for Tegra194 XUDC (Mark Salter) [2186348]
- arm64: tegra: Populate Jetson AGX Orin EEPROMs (Mark Salter) [2186348]
- arm64: tegra: Populate address/size cells for Tegra234 I2C (Mark Salter) [2186348]
- arm64: tegra: Enable XUSB host function on Jetson AGX Orin (Mark Salter) [2186348]
- arm64: tegra: Sort nodes by unit-address, then alphabetically (Mark Salter) [2186348]
- arm64: tegra: Bump #address-cells and #size-cells (Mark Salter) [2186348]
- arm64: tegra: Sort includes (Mark Salter) [2186348]
- arm64: tegra: Fix duplicate regulator on Jetson TX1 (Mark Salter) [2186348]
- arm64: tegra: Fix typo in gpio-ranges property (Mark Salter) [2186348]
- dt-bindings: usb: tegra-xudc: Add Tegra234 XUDC support (Mark Salter) [2186348]
- dt-bindings: usb: tegra-xudc: Add dma-coherent for Tegra194 (Mark Salter) [2186348]
- dt-bindings: usb: Add NVIDIA Tegra234 XUSB host controller binding (Mark Salter) [2186348]
- dt-bindings: usb: tegra-xusb: Remove path references (Mark Salter) [2186348]
- dt-bindings: dmaengine: Add dma-channel-mask to Tegra GPCDMA (Mark Salter) [2186348]
- arm64: tegra: Remove unneeded clock-names for Tegra132 PWM (Mark Salter) [2186348]
- arm64: tegra: Fix up compatible string for SDMMC1 on Tegra234 (Mark Salter) [2186348]
- arm64: tegra: Remove unused reset-names for QSPI (Mark Salter) [2186348]
- arm64: tegra: Fixup pinmux node names (Mark Salter) [2186348]
- arm64: tegra: Remove reset-names for QSPI (Mark Salter) [2186348]
- arm64: tegra: Use correct compatible string for Tegra234 HDA (Mark Salter) [2186348]
- arm64: tegra: Use correct compatible string for Tegra194 HDA (Mark Salter) [2186348]
- arm64: tegra: Use vbus-gpios property (Mark Salter) [2186348]
- arm64: tegra: Restructure Tegra210 PMC pinmux nodes (Mark Salter) [2186348]
- arm64: tegra: Update cache properties (Mark Salter) [2186348]
- arm64: tegra: Remove 'enable-active-low' (Mark Salter) [2186348]
- arm64: tegra: Add dma-channel-mask in GPCDMA node (Mark Salter) [2186348]
- arm64: tegra: Fix non-prefetchable aperture of PCIe C3 controller (Mark Salter) [2186348]
- arm64: tegra: Add missing compatible string to Ethernet USB device (Mark Salter) [2186348]
- arm64: tegra: Separate AON pinmux from main pinmux on Tegra194 (Mark Salter) [2186348]
- arm64: tegra: Add ECAM aperture info for all the PCIe controllers (Mark Salter) [2186348]
- arm64: tegra: Remove clock-names from PWM nodes (Mark Salter) [2186348]
- arm64: tegra: Enable GTE nodes (Mark Salter) [2186348]
- arm64: tegra: Update console for Jetson Xavier and Orin (Mark Salter) [2186348]
- arm64: tegra: Enable PWM users on Jetson AGX Orin (Mark Salter) [2186348]
- arm64: tegra: Add missing whitespace (Mark Salter) [2186348]
- arm64: tegra: Sort nodes by unit-address (Mark Salter) [2186348]
- arm64: tegra: Add Tegra234 SDMMC1 device tree node (Mark Salter) [2186348]
- arm64: tegra: Add SBSA UART for Tegra234 (Mark Salter) [2186348]
- arm64: tegra: Remove unused property for I2C (Mark Salter) [2186348]
- arm64: tegra: Fix Prefetchable aperture ranges of Tegra234 PCIe controllers (Mark Salter) [2186348]
- arm64: tegra: Add NVDEC on Tegra234 (Mark Salter) [2186348]
- arm64: tegra: Fix ranges for host1x nodes (Mark Salter) [2186348]
- dt-bindings: usb: tegra-xusb: Convert to json-schema (Mark Salter) [2186348]
- dt-bindings: pinctrl: tegra194: Separate instances (Mark Salter) [2186348]
- dt-bindings: pinctrl: tegra: Convert to json-schema (Mark Salter) [2186348]
- dt-bindings: PCI: tegra234: Add ECAM support (Mark Salter) [2186348]
- dt-bindings: Add bindings for Tegra234 NVDEC (Mark Salter) [2186348]
- spi: dt-bindings: nvidia,tegra210-quad-peripheral-props: correct additional properties (Mark Salter) [2186348]
- spi: dt-bindings: split peripheral prods (Mark Salter) [2186348]
- dt-bindings: arm: nvidia,tegra20-pmc: Move fixed string property names under 'properties' (Mark Salter) [2186348]
- dt-bindings: host1x: Fix bracketing in example (Mark Salter) [2186348]
- dt-bindings: Add bindings for Tegra234 Host1x and VIC (Mark Salter) [2186348]
- dt-bindings: host1x: Add iommu-map property (Mark Salter) [2186348]
- dt-bindings: display: tegra: Convert to json-schema (Mark Salter) [2186348]
- dt-bindings: tegra-ccplex-cluster: Remove status from required properties (Mark Salter) [2186348]
- dt-bindings: timer: Add Tegra186 & Tegra234 Timer (Mark Salter) [2186348]
- dt-bindings: arm: tegra: Add NVIDIA Tegra194 AXI2APB binding (Mark Salter) [2186348]
- dt-bindings: arm: tegra: Add NVIDIA Tegra194 CBB 1.0 binding (Mark Salter) [2186348]
- spi: dt-bindings: Add compatible for Tegra241 QSPI (Mark Salter) [2186348]
- arm64: tegra: add node for tegra234 cpufreq (Mark Salter) [2186348]
- dt-bindings: clock: drop useless consumer example (Mark Salter) [2186348]
- dt-bindings: Improve phandle-array schemas (Mark Salter) [2186348]
- spi: Fix Tegra QSPI example (Mark Salter) [2186348]
- dt-bindings: timer: Tegra: Convert text bindings to yaml (Mark Salter) [2186348]
- spi: Add Tegra234 QUAD SPI compatible (Mark Salter) [2186348]
- dt-bindings: memory: Document Tegra210 EMC table (Mark Salter) [2186348]
- dt-bindings: i2c: tegra: Convert to json-schema (Mark Salter) [2186348]
- dt-bindings: i2c: tegra-bpmp: Convert to json-schema (Mark Salter) [2186348]
- ASoC: tegra-audio-rt5677: Correct example (Mark Salter) [2186348]
- dt-bindings: host1x: Document Memory Client resets of Host1x, GR2D and GR3D (Mark Salter) [2186348]
- dt-bindings: host1x: Document OPP and power domain properties (Mark Salter) [2186348]
- dt-bindings: thermal: tegra186-bpmp: Convert to json-schema (Mark Salter) [2186348]
- dt-bindings: Add YAML bindings for NVENC and NVJPG (Mark Salter) [2186348]
- ASoC: nvidia,tegra-audio: Convert multiple txt bindings to yaml (Mark Salter) [2186348]
- ASoC: dt-bindings: tegra: Document interconnects property (Mark Salter) [2186348]
- dt-bindings: Add YAML bindings for NVDEC (Mark Salter) [2186348]
- ASoC: Use schema reference for sound-name-prefix (Mark Salter) [2186348]
- nouveau: fix client work fence deletion race (Mika Penttilä) [2160457]
- drm/mgag200: Fix gamma lut not initialized. (Mika Penttilä) [2160457]
- accel: Link to compute accelerator subsystem intro (Mika Penttilä) [2160457]
- gpu: host1x: Fix memory leak of device names (Mika Penttilä) [2160457]
- gpu: host1x: Fix potential double free if IOMMU is disabled (Mika Penttilä) [2160457]
- drm/dsc: fix drm_edp_dsc_sink_output_bpp() DPCD high byte usage (Mika Penttilä) [2160457]
- drm/i915/dg2: Drop one PCI ID (Mika Penttilä) [2160457]
- drm/amd/display: Fix hang when skipping modeset (Mika Penttilä) [2160457]
- drm/amd/display: Lowering min Z8 residency time (Mika Penttilä) [2160457]
- drm/amd/display: Update minimum stutter residency for DCN314 Z8 (Mika Penttilä) [2160457]
- drm/amd/display: Add minimum Z8 residency debug option (Mika Penttilä) [2160457]
- drm/i915: disable sampler indirect state in bindless heap (Mika Penttilä) [2160457]
- drm/i915/mtl: Add Wa_14017856879 (Mika Penttilä) [2160457]
- drm/i915/mtl: Add workarounds Wa_14017066071 and Wa_14017654203 (Mika Penttilä) [2160457]
- drm/i915: Add _PICK_EVEN_2RANGES() (Mika Penttilä) [2160457]
- drm/amd/display: hpd rx irq not working with eDP interface (Mika Penttilä) [2160457]
- drm/amd/display: merge dc_link.h into dc.h and dc_types.h (Mika Penttilä) [2160457]
- drm/amd/pm: avoid potential UBSAN issue on legacy asics (Mika Penttilä) [2160457]
- drm/amdgpu: disable sdma ecc irq only when sdma RAS is enabled in suspend (Mika Penttilä) [2160457]
- drm/amdgpu: drop gfx_v11_0_cp_ecc_error_irq_funcs (Mika Penttilä) [2160457]
- drm/amd/pm: parse pp_handle under appropriate conditions (Mika Penttilä) [2160457]
- drm/amd/display: Enforce 60us prefetch for 200Mhz DCFCLK modes (Mika Penttilä) [2160457]
- drm/amdgpu: Fix vram recover doesn't work after whole GPU reset (v2) (Mika Penttilä) [2160457]
- drm/amdgpu: change gfx 11.0.4 external_id range (Mika Penttilä) [2160457]
- drm/amdgpu/jpeg: Remove harvest checking for JPEG3 (Mika Penttilä) [2160457]
- drm/amdgpu/gfx: disable gfx9 cp_ecc_error_irq only when enabling legacy gfx ras (Mika Penttilä) [2160457]
- drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v11_0_hw_fini (Mika Penttilä) [2160457]
- drm/amdgpu: fix an amdgpu_irq_put() issue in gmc_v9_0_hw_fini() (Mika Penttilä) [2160457]
- drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v10_0_hw_fini (Mika Penttilä) [2160457]
- drm/amd/display: Change default Z8 watermark values (Mika Penttilä) [2160457]
- drm/amdgpu: drop redundant sched job cleanup when cs is aborted (Mika Penttilä) [2160457]
- drm/amd/display: fix flickering caused by S/G mode (Mika Penttilä) [2160457]
- drm/amd/display: fix access hdcp_workqueue assert (Mika Penttilä) [2160457]
- drm/amd/display: filter out invalid bits in pipe_fuses (Mika Penttilä) [2160457]
- drm/amd/display: Fix 4to1 MPC black screen with DPP RCO (Mika Penttilä) [2160457]
- drm/amd/display: Add NULL plane_state check for cursor disable logic (Mika Penttilä) [2160457]
- drm/panel: otm8009a: Set backlight parent to panel device (Mika Penttilä) [2160457]
- drm/i915/dsi: Use unconditional msleep() instead of intel_dsi_msleep() (Mika Penttilä) [2160457]
- drm/i915: Check pipe source size when using skl+ scalers (Mika Penttilä) [2160457]
- drm/i915/color: Fix typo for Plane CSC indexes (Mika Penttilä) [2160457]
- drm/bridge: lt8912b: Fix DSI Video Mode (Mika Penttilä) [2160457]
- drm/amdgpu: add a missing lock for AMDGPU_SCHED (Mika Penttilä) [2160457]
- drm/i915/mtl: Add the missing CPU transcoder mask in intel_device_info (Mika Penttilä) [2160457]
- drm/i915/guc: Actually return an error if GuC version range check fails (Mika Penttilä) [2160457]
- drm/i915/guc: More debug print updates - UC firmware (Mika Penttilä) [2160457]
- drm/amd/display: Update bounding box values for DCN321 (Mika Penttilä) [2160457]
- drm/amd/display: Do not clear GPINT register when releasing DMUB from reset (Mika Penttilä) [2160457]
- drm/amd/display: Reset OUTBOX0 r/w pointer on DMUB reset (Mika Penttilä) [2160457]
- drm/amd/display: Fixes for dcn32_clk_mgr implementation (Mika Penttilä) [2160457]
- drm/amd/display: Return error code on DSC atomic check failure (Mika Penttilä) [2160457]
- drm/amd/display: Add missing WA and MCLK validation (Mika Penttilä) [2160457]
- drm/panel: novatek-nt35950: Only unregister DSI1 if it exists (Mika Penttilä) [2160457]
- drm/panel: novatek-nt35950: Improve error handling (Mika Penttilä) [2160457]
- drm/i915: Fix memory leaks in i915 selftests (Mika Penttilä) [2160457]
- drm/i915: Make intel_get_crtc_new_encoder() less oopsy (Mika Penttilä) [2160457]
- drm/amd/display: Fix potential null dereference (Mika Penttilä) [2160457]
- drm/ttm/pool: Fix ttm_pool_alloc error path (Mika Penttilä) [2160457]
- drm/i915/pxp: limit drm-errors or warning on firmware API failures (Mika Penttilä) [2160457]
- drm/i915/pxp: Invalidate all PXP fw sessions during teardown (Mika Penttilä) [2160457]
- drm/amd/display/dc/dce60/Makefile: Fix previous attempt to silence known override-init warnings (Mika Penttilä) [2160457]
- drm/bridge: adv7533: Fix adv7533_mode_valid for adv7533 and adv7535 (Mika Penttilä) [2160457]
- drm/probe-helper: Cancel previous job before starting new one (Mika Penttilä) [2160457]
- drm/vgem: add missing mutex_destroy (Mika Penttilä) [2160457]
- drm/amd/pm: re-enable the gfx imu when smu resume (Mika Penttilä) [2160457]
- drm/vmwgfx: Fix Legacy Display Unit atomic drm support (Mika Penttilä) [2160457]
- drm/fb-helper: set x/yres_virtual in drm_fb_helper_check_var (Mika Penttilä) [2160457]
- drm/nouveau: fix data overrun (Mika Penttilä) [2160457]
- Add new config defaults (Mika Penttilä) [2160457]
- Add needed modules to the internal list. (Mika Penttilä) [2160457]
- Partial revert of "drm/tegra: Add Tegra234 support to NVDEC driver" (Mika Penttilä) [2160457]
- Merge tegra DRM and host1x DRM changes from upstream v6.0.8..v6.3 (Mika Penttilä) [2160457]
- kunit: drm: make DRM buddy test compatible with other pages sizes (Mika Penttilä) [2160457]
- kunit: rename base KUNIT_ASSERTION macro to _KUNIT_FAILED (Mika Penttilä) [2160457]
- kunit: Introduce KUNIT_EXPECT_MEMEQ and KUNIT_EXPECT_MEMNEQ macros (Mika Penttilä) [2160457]
- Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457]
- Revert "drm: tda99x: Don't advertise non-existent capture support" (Mika Penttilä) [2160457]
- Add missing #include <linux/module.h> for compile on s390x (Mika Penttilä) [2160457]
- Partial revert of "mm: replace vma->vm_flags direct modifications with modifier calls" (Mika Penttilä) [2160457]
- overflow: Introduce castable_to_type() (Mika Penttilä) [2160457]
- Partial revert of "driver core: make struct bus_type.uevent() take a const *" (Mika Penttilä) [2160457]
- Merge DRM changes from upstream v6.2..v6.3 (Mika Penttilä) [2160457]
- Partial revert of get_random_u32_ changes (Mika Penttilä) [2160457]
- Replace timer_shutdown_sync() with del_timer_sync() (Mika Penttilä) [2160457]
- Revert "overflow: Introduce overflows_type() and castable_to_type()" (Mika Penttilä) [2160457]
- Merge DRM changes from upstream v6.1..v6.2 (Mika Penttilä) [2160457]
- Declare DECLARE_DYNDBG_CLASSMAP macro to enable compile after DRM backport (Mika Penttilä) [2160457]
- Revert "drm/ast: Fix drm_fb_memcpy() on rhel9" (Mika Penttilä) [2160457]
- Revert "i915: use the VMA iterator" (Mika Penttilä) [2160457]
- Revert "drm/i915/userptr: restore probe_range behaviour" (Mika Penttilä) [2160457]
- Partial revert of "i2c: Make remove callback return void" (Mika Penttilä) [2160457]
- Fix dynamic_dname() signature change build break due to DRM backport. (Mika Penttilä) [2160457]
- Merge DRM changes from upstream v6.0.8..v6.1 (Mika Penttilä) [2160457]
- mm/khugepaged: fix collapse_pte_mapped_thp() to allow anon_vma (Nico Pache) [2168372]
- mm/khugepaged: invoke MMU notifiers in shmem/file collapse paths (Nico Pache) [2168372]
- mm/khugepaged: fix GUP-fast interaction by sending IPI (Nico Pache) [2168372]
- mm/khugepaged: take the right locks for page table retraction (Nico Pache) [2168372]
- mm: hugetlb: fix UAF in hugetlb_handle_userfault (Nico Pache) [2168372]
- mm/damon/core: avoid holes in newly set monitoring target ranges (Nico Pache) [2168372]
- mm/damon/core: iterate the regions list from current point in damon_set_regions() (Nico Pache) [2168372]
- mm/damon/core-test: test damon_set_regions (Nico Pache) [2168372]
- selftests/vm: update hugetlb madvise (Nico Pache) [2168372]
- ipc/shm: call underlying open/close vm_ops (Nico Pache) [2168372]
- mm/userfaultfd: don't consider uffd-wp bit of writable migration entries (Nico Pache) [2168372]
- mm/debug_vm_pgtable: replace pte_mkhuge() with arch_make_huge_pte() (Nico Pache) [2168372]
- mm/migrate: drop pte_mkhuge() in remove_migration_pte() (Nico Pache) [2168372]
- mm/zswap: try to avoid worst-case scenario on same element pages (Nico Pache) [2168372]
- mm: cma: make kobj_type structure constant (Nico Pache) [2168372]
- mm: slub: make kobj_type structure constant (Nico Pache) [2168372]
- mm: page_alloc: skip regions with hugetlbfs pages when allocating 1G pages (Nico Pache) [2168372]
- mm/page_alloc: fix potential deadlock on zonelist_update_seq seqlock (Nico Pache) [2168372]
- writeback, cgroup: fix null-ptr-deref write in bdi_split_work_to_wbs (Nico Pache) [2168372]
- mm/khugepaged: check again on anon uffd-wp during isolation (Nico Pache) [2168372]
- mm/userfaultfd: fix uffd-wp handling for THP migration entries (Nico Pache) [2168372]
- mm/swap: fix swap_info_struct race between swapoff and get_swap_pages() (Nico Pache) [2168372]
- mm/hugetlb: fix uffd wr-protection for CoW optimization path (Nico Pache) [2168372]
- mm: kfence: fix handling discontiguous page (Nico Pache) [2168372]
- mm: kfence: fix PG_slab and memcg_data clearing (Nico Pache) [2168372]
- kfence: avoid passing -g for test (Nico Pache) [2168372]
- mm: kfence: fix using kfence_metadata without initialization in show_object() (Nico Pache) [2168372]
- Revert "kasan: drop skip_kasan_poison variable in free_pages_prepare" (Nico Pache) [2168372]
- mm, vmalloc: fix high order __GFP_NOFAIL allocations (Nico Pache) [2168372]
- mm/userfaultfd: propagate uffd-wp bit when PTE-mapping the huge zeropage (Nico Pache) [2168372]
- mm, compaction: finish pageblocks on complete migration failure (Nico Pache) [2168372]
- mm, compaction: finish scanning the current pageblock if requested (Nico Pache) [2168372]
- mm, compaction: check if a page has been captured before draining PCP pages (Nico Pache) [2168372]
- mm, compaction: rename compact_control->rescan to finish_pageblock (Nico Pache) [2168372]
- migrate: hugetlb: check for hugetlb shared PMD in node migration (Nico Pache) [2168372]
- mm: hugetlb: proc: check for hugetlb shared PMD in /proc/PID/smaps (Nico Pache) [2168372]
- Fix page corruption caused by racy check in __free_pages (Nico Pache) [2168372]
- mm, mremap: fix mremap() expanding vma with addr inside vma (Nico Pache) [2168372]
- mm: add cond_resched() in swapin_walk_pmd_entry() (Nico Pache) [2168372]
- mm/fadvise: use LLONG_MAX instead of -1 for eof (Nico Pache) [2168372]
- filemap: skip write and wait if end offset precedes start (Nico Pache) [2168372]
- mm, compaction: fix fast_isolate_around() to stay within boundaries (Nico Pache) [2168372]
- mm: rmap: rename page_not_mapped() to folio_not_mapped() (Nico Pache) [2168372]
- mm: vmscan: make rotations a secondary factor in balancing anon vs file (Nico Pache) [2168372]
- mm: Make failslab writable again (Nico Pache) [2168372]
- mm/slub: remove dead code for debug caches on deactivate_slab() (Nico Pache) [2168372]
- mm/gup: fix gup_pud_range() for dax (Nico Pache) [2168372]
- memcg: Fix possible use-after-free in memcg_write_event_control() (Nico Pache) [2168372]
- mm/cgroup/reclaim: fix dirty pages throttling on cgroup v1 (Nico Pache) [2168372]
- swapfile: fix soft lockup in scan_swap_map_slots (Nico Pache) [2168372]
- mm: correctly charge compressed memory to its memcg (Nico Pache) [2168372]
- mm: vmscan: fix extreme overreclaim and swap floods (Nico Pache) [2168372]
- mm/shmem: use page_mapping() to detect page cache for uffd continue (Nico Pache) [2168372]
- mm/memremap.c: map FS_DAX device memory as decrypted (Nico Pache) [2168372]
- mm,madvise,hugetlb: fix unexpected data loss with MADV_DONTNEED on hugetlbfs (Nico Pache) [2168372]
- mm/page_alloc: fix incorrect PGFREE and PGALLOC for high-order page (Nico Pache) [2168372]
- mm: use update_mmu_tlb() on the second thread (Nico Pache) [2168372]
- hugetlb: clean up code checking for fault/truncation races (Nico Pache) [2168372]
- hugetlb: use new vma_lock for pmd sharing synchronization (Nico Pache) [2168372]
- hugetlb: create hugetlb_unmap_file_folio to unmap single file folio (Nico Pache) [2168372]
- hugetlbfs: fix off-by-one error in hugetlb_vmdelete_list() (Nico Pache) [2168372]
- hugetlb: add vma based lock for pmd sharing (Nico Pache) [2168372]
- hugetlb: rename vma_shareable() and refactor code (Nico Pache) [2168372]
- hugetlb: create remove_inode_single_folio to remove single file folio (Nico Pache) [2168372]
- hugetlb: rename remove_huge_page to hugetlb_delete_from_page_cache (Nico Pache) [2168372]
- hugetlbfs: revert use i_mmap_rwsem for more pmd sharing synchronization (Nico Pache) [2168372]
- mm: hugetlb: eliminate memory-less nodes handling (Nico Pache) [2168372]
- mm: hugetlb: simplify per-node sysfs creation and removal (Nico Pache) [2168372]
- mm: delete unused MMF_OOM_VICTIM flag (Nico Pache) [2168372]
- mm: drop oom code from exit_mmap (Nico Pache) [2168372]
- mm: migrate: fix return value if all subpages of THPs are migrated successfully (Nico Pache) [2168372]
- mm/mempolicy: fix memory leak in set_mempolicy_home_node system call (Nico Pache) [2168372]
- arm64: mm: hugetlb: Disable HUGETLB_PAGE_OPTIMIZE_VMEMMAP (Nico Pache) [2168372]
- mm: rmap: use the correct parameter name for DEFINE_PAGE_VMA_WALK (Nico Pache) [2168372]
- tmpfs: fix data loss from failed fallocate (Nico Pache) [2168372]
- mm/damon/dbgfs: check if rm_contexts input is for a real context (Nico Pache) [2168372]
- hugetlbfs: revert use i_mmap_rwsem to address page fault/truncate race (Nico Pache) [2168372]
- hugetlb: remove meaningless BUG_ON(huge_pte_none()) (Nico Pache) [2168372]
- mm/filemap: make folio_put_wait_locked static (Nico Pache) [2168372]
- mm: use nth_page instead of mem_map_offset mem_map_next (Nico Pache) [2168372]
- Docs/admin-guide/mm/damon/usage: note DAMON debugfs interface deprecation plan (Nico Pache) [2168372]
- Docs/admin-guide/mm/damon/start: mention the dependency as sysfs instead of debugfs (Nico Pache) [2168372]
- mm/damon/Kconfig: notify debugfs deprecation plan (Nico Pache) [2168372]
- Docs/admin-guide/mm/damon: rename the title of the document (Nico Pache) [2168372]
- selftest/damon: add a test for duplicate context dirs creation (Nico Pache) [2168372]
- mm: fixup documentation regarding pte_numa() and PROT_NUMA (Nico Pache) [2168372]
- mm/gup: use gup_can_follow_protnone() also in GUP-fast (Nico Pache) [2168372]
- mm/gup: replace FOLL_NUMA by gup_can_follow_protnone() (Nico Pache) [2168372]
- mm: fix the handling Non-LRU pages returned by follow_page (Nico Pache) [2168372]
- mm/page_io: count submission time as thrashing delay for delayacct (Nico Pache) [2168372]
- delayacct: support re-entrance detection of thrashing accounting (Nico Pache) [2168372]
- migrate_pages(): fix failure counting for retry (Nico Pache) [2168372]
- migrate_pages(): fix failure counting for THP splitting (Nico Pache) [2168372]
- migrate_pages(): fix failure counting for THP on -ENOSYS (Nico Pache) [2168372]
- migrate_pages(): fix failure counting for THP subpages retrying (Nico Pache) [2168372]
- migrate_pages(): fix THP failure counting for -ENOMEM (Nico Pache) [2168372]
- migrate_pages(): remove unnecessary list_safe_reset_next() (Nico Pache) [2168372]
- migrate: fix syscall move_pages() return value for failure (Nico Pache) [2168372]
- filemap: make the accounting of thrashing more consistent (Nico Pache) [2168372]
- mm: fix PageAnonExclusive clearing racing with concurrent RCU GUP-fast (Nico Pache) [2168372]
- mm/damon: replace pmd_huge() with pmd_trans_huge() for THP (Nico Pache) [2168372]
- mm/damon: validate if the pmd entry is present before accessing (Nico Pache) [2168372]
- mm/hugetlb: make detecting shared pte more reliable (Nico Pache) [2168372]
- mm/hugetlb: fix sysfs group leak in hugetlb_unregister_node() (Nico Pache) [2168372]
- mm: hugetlb_vmemmap: add missing smp_wmb() before set_pte_at() (Nico Pache) [2168372]
- mm/hugetlb: fix missing call to restore_reserve_on_error() (Nico Pache) [2168372]
- mm/hugetlb: fix WARN_ON(!kobj) in sysfs_create_group() (Nico Pache) [2168372]
- mm/hugetlb: fix incorrect update of max_huge_pages (Nico Pache) [2168372]
- Documentation/mm: modify page_referenced to folio_referenced (Nico Pache) [2168372]
- redhat/configs: enable CONFIG_MANA_INFINIBAND for RHEL (Kamal Heib) [2189296]
- RDMA/mana_ib: Fix a bug when the PF indicates more entries for registering memory on first packet (Kamal Heib) [2189296]
- RDMA/mana_ib: Prevent array underflow in mana_ib_create_qp_raw() (Kamal Heib) [2189296]
- RDMA/mana: Remove redefinition of basic u64 type (Kamal Heib) [2189296]
- RDMA/mana_ib: Add a driver for Microsoft Azure Network Adapter (Kamal Heib) [2189296]
- net: mana: Define data structures for allocating doorbell page from GDMA (Kamal Heib) [2189296]
- redhat: include the information about builtin symbols into kernel-uki-virt package too (Vitaly Kuznetsov) [2184476]
Resolves: rhbz#2160457, rhbz#2168372, rhbz#2176388, rhbz#2178930, rhbz#2182619, rhbz#2184476, rhbz#2186348, rhbz#2189296

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-28 08:07:08 +02:00
Jan Stancek
3efe32462a kernel-5.14.0-329.el9
* Fri Jun 16 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-329.el9]
- rtla/timerlat: Fix "Previous IRQ" auto analysis' line (John Kacur) [2174943]
- rtla/timerlat: Add auto-analysis only option (John Kacur) [2174943]
- Documentation/rtla: Add timerlat-top auto-analysis options (John Kacur) [2174943]
- rtla/timerlat: Add auto-analysis support to timerlat top (John Kacur) [2174943]
- rtla/timerlat: Add auto-analysis core (John Kacur) [2174943]
- tools/tracing/rtla: osnoise_hist: display average with two-digit precision (John Kacur) [2174943]
- tools/tracing/rtla: osnoise_hist: use total duration for average calculation (John Kacur) [2174943]
- rtla: Consolidate and show all necessary libraries that failed for building (John Kacur) [2174943]
- tools/rtla: Build with EXTRA_{C,LD}FLAGS (John Kacur) [2174943]
- rtla: Define syscall numbers for riscv (John Kacur) [2174943]
- rtla/Makefile: Properly handle dependencies (John Kacur) [2174943]
- lockd: add some client-side tracepoints (Jeffrey Layton) [2063818]
- nfs: move nfs_fhandle_hash to common include file (Jeffrey Layton) [2063818]
- lockd: server should unlock lock if client rejects the grant (Jeffrey Layton) [2063818]
- lockd: fix races in client GRANTED_MSG wait logic (Jeffrey Layton) [2063818]
- lockd: move struct nlm_wait to lockd.h (Jeffrey Layton) [2063818]
- lockd: remove 2 unused helper functions (Jeffrey Layton) [2063818]
- lockd: purge resources held on behalf of nlm clients when shutting down (Jeffrey Layton) [2063818]
- Add rv subpackage for kernel-tools (John Kacur) [2188441]
- rv: Fix addition on an uninitialized variable 'run' (John Kacur) [2188441]
- tools/rv: Remove unneeded semicolon (John Kacur) [2188441]
- Documentation/rv: Add verification/rv man pages (John Kacur) [2188441]
- tools/rv: Add in-kernel monitor interface (John Kacur) [2188441]
- rv: Add rv tool (John Kacur) [2188441]
- Revert "softirq: Let ksoftirqd do its job" (Oleg Nesterov) [2196764]
- x86/cpu: Add Xeon Emerald Rapids to list of CPUs that support PPIN (David Arcari) [2215041]
- redhat/configs: add new regulator configs (Adrien Thierry) [2213574]
- regulator: mt6359: add read check for PMIC MT6359 (Adrien Thierry) [2213574]
- regulator: Fix error checking for debugfs_create_dir (Adrien Thierry) [2213574]
- regulator: pca9450: Fix BUCK2 enable_mask (Adrien Thierry) [2213574]
- regulator: core: Make regulator_lock_two() logic easier to follow (Adrien Thierry) [2213574]
- regulator: stm32-pwr: remove MODULE_LICENSE in non-modules (Adrien Thierry) [2213574]
- regulator: stm32-pwr: fix of_iomap leak (Adrien Thierry) [2213574]
- regulator: da9063: implement setter for voltage monitoring (Adrien Thierry) [2213574]
- regulator: da9063: add voltage monitoring registers (Adrien Thierry) [2213574]
- regulator: fan53555: Add support for RK860X (Adrien Thierry) [2213574]
- regulator: fan53555: Use dev_err_probe (Adrien Thierry) [2213574]
- regulator: fan53555: Improve vsel_mask computation (Adrien Thierry) [2213574]
- regulator: fan53555: Make use of the bit macros (Adrien Thierry) [2213574]
- regulator: fan53555: Remove unused *_SLEW_SHIFT definitions (Adrien Thierry) [2213574]
- regulator: sm5703: Fix missing n_voltages for fixed regulators (Adrien Thierry) [2213574]
- regulator: qcom_smd: Add MP5496 S1 regulator (Adrien Thierry) [2213574]
- regulator: fan53555: Fix wrong TCS_SLEW_MASK (Adrien Thierry) [2213574]
- regulator: fan53555: Explicitly include bits header (Adrien Thierry) [2213574]
- regulator: core: Avoid lockdep reports when resolving supplies (Adrien Thierry) [2213574]
- regulator: core: Consistently set mutex_owner when using ww_mutex_lock_slow() (Adrien Thierry) [2213574]
- regulator: Add Richtek RT4803 boost regulator (Adrien Thierry) [2213574]
- regulator: Handle deferred clk (Adrien Thierry) [2213574]
- regulator: qcom-rpmh: Revert "regulator: qcom-rpmh: Use PROBE_FORCE_SYNCHRONOUS" (Adrien Thierry) [2213574]
- regulator: qcom-rpmh: Use PROBE_FORCE_SYNCHRONOUS (Adrien Thierry) [2213574]
- regulator: arizona-micsupp: Use PROBE_FORCE_SYNCHRONOUS (Adrien Thierry) [2213574]
- regulator: arizona-ldo1: Use PROBE_FORCE_SYNCHRONOUS (Adrien Thierry) [2213574]
- regulator: wm8994: Use PROBE_FORCE_SYNCHRONOUS (Adrien Thierry) [2213574]
- regulator: Set PROBE_PREFER_ASYNCHRONOUS for drivers that are newer than 6.1 (Adrien Thierry) [2213574]
- regulator: Set PROBE_PREFER_ASYNCHRONOUS for drivers between 5.15 and 6.1 (Adrien Thierry) [2213574]
- regulator: Set PROBE_PREFER_ASYNCHRONOUS for drivers between 5.10 and 5.15 (Adrien Thierry) [2213574]
- regulator: Set PROBE_PREFER_ASYNCHRONOUS for drivers between 5.4 and 5.10 (Adrien Thierry) [2213574]
- regulator: Set PROBE_PREFER_ASYNCHRONOUS for drivers between 4.19 and 5.4 (Adrien Thierry) [2213574]
- regulator: Set PROBE_PREFER_ASYNCHRONOUS for drivers between 4.14 and 4.19 (Adrien Thierry) [2213574]
- regulator: Set PROBE_PREFER_ASYNCHRONOUS for drivers that existed in 4.14 (Adrien Thierry) [2213574]
- regulator: core: Shorten off-on-delay-us for always-on/boot-on by time since booted (Adrien Thierry) [2213574]
- regulator: mt6397-regulator: Mark OF related data as maybe unused (Adrien Thierry) [2213574]
- regulator: mp8859: Mark OF related data as maybe unused (Adrien Thierry) [2213574]
- regulator: max20086: Mark OF related data as maybe unused (Adrien Thierry) [2213574]
- regulator: lp872x: Mark OF related data as maybe unused (Adrien Thierry) [2213574]
- regulator: Use of_property_read_bool() for boolean properties (Adrien Thierry) [2213574]
- regulator: Use of_property_present() for testing DT property presence (Adrien Thierry) [2213574]
- regulator: rt5739: Spelling s/Rcihtek/Richtek/ (Adrien Thierry) [2213574]
- regulator: Add support for Richtek RT5739 voltage regulator (Adrien Thierry) [2213574]
- regulator: core: Use ktime_get_boottime() to determine how long a regulator was off (Adrien Thierry) [2213574]
- regulator: max597x: Fix error return code in max597x_get_status (Adrien Thierry) [2213574]
- regulator: max597x: Align for simple_mfd_i2c driver (Adrien Thierry) [2213574]
- regulator: max20411: Fix off-by-one for n_voltages setting (Adrien Thierry) [2213574]
- regulator: max597x: Remove unused variable (Adrien Thierry) [2213574]
- regulator: tps65219: use generic set_bypass() (Adrien Thierry) [2213574]
- regulator: s5m8767: Bounds check id indexing into arrays (Adrien Thierry) [2213574]
- regulator: max77802: Bounds check regulator id against opmode (Adrien Thierry) [2213574]
- regulator: max20411: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: scmi: Allow for zero voltage domains (Adrien Thierry) [2213574]
- regulator: max20411: Directly include bitfield.h (Adrien Thierry) [2213574]
- regulator: Introduce Maxim MAX20411 Step-Down converter (Adrien Thierry) [2213574]
- regulator: tps65219: use IS_ERR() to detect an error pointer (Adrien Thierry) [2213574]
- regulator: mcp16502: add enum MCP16502_REG_HPM description (Adrien Thierry) [2213574]
- regulator: fixed-helper: use the correct function name in comment (Adrien Thierry) [2213574]
- regulator: act8945a: fix non-kernel-doc comments (Adrien Thierry) [2213574]
- regulator: qcom-rpmh: PM8550 ldo11 regulator is an nldo (Adrien Thierry) [2213574]
- regulator: tps65219: Report regulator name if devm_regulator_register fails (Adrien Thierry) [2213574]
- regulator: core: fix deadlock on regulator enable (Adrien Thierry) [2213574]
- regulator: core: Fix resolve supply lookup issue (Adrien Thierry) [2213574]
- regulator: core: Use different devices for resource allocation and DT lookup (Adrien Thierry) [2213574]
- regulator: add mt6357 regulator (Adrien Thierry) [2213574]
- regulator: core: fix resource leak in regulator_register() (Adrien Thierry) [2213574]
- regulator: core: fix module refcount leak in set_supply() (Adrien Thierry) [2213574]
- regulator: core: fix use_count leakage when handling boot-on (Adrien Thierry) [2213574]
- regulator: da9211: Use irq handler when ready (Adrien Thierry) [2213574]
- regulator: rk808: Use dev_err_probe (Adrien Thierry) [2213574]
- regulator: rk808: reduce 'struct rk808' usage (Adrien Thierry) [2213574]
- regulator: Drop obsolete dependencies on COMPILE_TEST (Adrien Thierry) [2213574]
- regulator: pv88080-regulator: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: pfuze100-regulator: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: isl6271a-regulator: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: fan53555: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: act8865-regulator: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: tps65023-regulator: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: tps62360-regulator: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: max8973-regulator: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: max8660: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: ltc3589: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: lp872x: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: ad5398: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: core: use kfree_const() to free space conditionally (Adrien Thierry) [2213574]
- regulator: bd718x7: Use dev_err_probe() (Adrien Thierry) [2213574]
- regulator: bd71815: bd71828: bd9576: Use dev_err_probe() (Adrien Thierry) [2213574]
- regulator: bd718x7: Drop unnecessary info print (Adrien Thierry) [2213574]
- regulator: tps6286x-regulator: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: tps51632-regulator: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: rpi-panel-attiny-regulator: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: pca9450-regulator: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: max8952: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: max8649: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: max1586: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: lp8755: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: lp3972: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: da9121-regulator: Convert to i2c's .probe_new() (Adrien Thierry) [2213574]
- regulator: of: fix a NULL vs IS_ERR() check in of_regulator_bulk_get_all() (Adrien Thierry) [2213574]
- regulator: arizona-micsupp: Don't use a common regulator name (Adrien Thierry) [2213574]
- regulator: arizona-micsupp: Don't hardcode use of ARIZONA defines (Adrien Thierry) [2213574]
- regulator: twl6030: fix get status of twl6032 regulators (Adrien Thierry) [2213574]
- regulator: twl6030: re-add TWL6032_SUBCLASS (Adrien Thierry) [2213574]
- regulator: Add of_regulator_bulk_get_all (Adrien Thierry) [2213574]
- regulator: slg51000: Wait after asserting CS pin (Adrien Thierry) [2213574]
- regulator: core: fix UAF in destroy_regulator() (Adrien Thierry) [2213574]
- regulator: rt5759: fix OOB in validate_desc() (Adrien Thierry) [2213574]
- regulator: core: fix kobject release warning and memory leak in regulator_register() (Adrien Thierry) [2213574]
- regulator: core: fix unbalanced of node refcount in regulator_dev_lookup() (Adrien Thierry) [2213574]
- i2c: core: Introduce i2c_client_get_device_id helper function (Adrien Thierry) [2213574]
- regulator: userspace-consumer: Handle regulator-output DT nodes (Adrien Thierry) [2213574]
- regulator: devres: Add devm_regulator_bulk_get_exclusive() (Adrien Thierry) [2213574]
- regulator: rt6190: Add support for Richtek RT6190 regulator (Adrien Thierry) [2213574]
- regulator: gpio: Add input_supply support in gpio_regulator_config (Adrien Thierry) [2213574]
- regulator: tps65219: Fix is_enabled checking in tps65219_set_bypass (Adrien Thierry) [2213574]
- regulator: of: Fix kernel-doc (Adrien Thierry) [2213574]
- regulator: of: Fix kernel-doc (Adrien Thierry) [2213574]
- regulator: Add driver for MT6332 PMIC regulators (Adrien Thierry) [2213574]
- regulator: Add driver for MT6331 PMIC regulators (Adrien Thierry) [2213574]
- regulator: tps65219: Fix .bypass_val_on setting (Adrien Thierry) [2213574]
- regulator: core: Prevent integer underflow (Adrien Thierry) [2213574]
- regulator: bd9576: switch to using devm_fwnode_gpiod_get() (Adrien Thierry) [2213574]
- regulator: bd71815: switch to using devm_fwnode_gpiod_get() (Adrien Thierry) [2213574]
- regulator: core: Fix regulator supply registration with sysfs (Adrien Thierry) [2213574]
- regulator: tps65219: change tps65219_regulator_irq_types to static (Adrien Thierry) [2213574]
- regulator: drivers: Remove unnecessary print function dev_err() (Adrien Thierry) [2213574]
- regulator: pfuze100: Fix the global-out-of-bounds access in pfuze100_regulator_probe() (Adrien Thierry) [2213574]
- regulator: max597x: Remove the unneeded result variable (Adrien Thierry) [2213574]
- regulator: drivers: Add TI TPS65219 PMIC regulators support (Adrien Thierry) [2213574]
- regulator: core: Remove "ramp_delay not set" debug message (Adrien Thierry) [2213574]
- regulator: core: Clean up on enable failure (Adrien Thierry) [2213574]
- regulator: core: Resolve supply name earlier to prevent double-init (Adrien Thierry) [2213574]
- regulator: Add devm helpers for get and enable (Adrien Thierry) [2213574]
- regulator/drivers/max8976: Switch to new of thermal API (Adrien Thierry) [2213574]
- regulator: core: Fix missing error return from regulator_bulk_get() (Adrien Thierry) [2213574]
- regulator: core: Allow drivers to define their init data as const (Adrien Thierry) [2213574]
- regulator: core: Allow specifying an initial load w/ the bulk API (Adrien Thierry) [2213574]
- regulator: mt6380: Fix unused array warning (Adrien Thierry) [2213574]
- regulator: core: Fix off-on-delay-us for always-on/boot-on regulators (Adrien Thierry) [2213574]
- regulator: of: Fix refcount leak bug in of_get_regulation_constraints() (Adrien Thierry) [2213574]
- regulator: max597x: Don't return uninitialized variable in .probe (Adrien Thierry) [2213574]
- regulator: max597x: Remove unused including <linux/version.h> (Adrien Thierry) [2213574]
- regulator: Fix MFD_MAX597X dependency (Adrien Thierry) [2213574]
- regulator: Fix parameter declaration and spelling mistake. (Adrien Thierry) [2213574]
- regulator: max597x: Add support for max597x regulator (Adrien Thierry) [2213574]
- regulator: scmi: Add missing of_node_get() (Adrien Thierry) [2213574]
- regulator: mt6370: Use the correct header for platform_device_id (Adrien Thierry) [2213574]
- regulator: mt6370: Use 'fwnode_gpiod_get_index' to fix gpio parsing (Adrien Thierry) [2213574]
- regulator: mt6370: Add mt6370 DisplayBias and VibLDO support (Adrien Thierry) [2213574]
- regulator: rt5120: Add PMIC regulator support (Adrien Thierry) [2213574]
- regulator: rpi-panel-attiny: Use backlight helper (Adrien Thierry) [2213574]
- regulator: cros-ec: Use common cros_ec_command() (Adrien Thierry) [2213574]
- regulator: mp5416: remove kernel.h include (Adrien Thierry) [2213574]
- regulator: rt5190a: check if init_data is NULL, bypass rt5190a_of_parse_cb (Adrien Thierry) [2213574]
- regulator: mp5416: add support for MP5496 (Adrien Thierry) [2213574]
- regulator: mp5416: use OF match data (Adrien Thierry) [2213574]
- regulator: mp5416: alphabetically sort header includes (Adrien Thierry) [2213574]
- regulator: pfuze100: Use devm_register_sys_off_handler() (Adrien Thierry) [2213574]
- kernel/reboot: Introduce sys-off handler API (Adrien Thierry) [2213574]
- notifier: Add blocking/atomic_notifier_chain_register_unique_prio() (Adrien Thierry) [2213574]
- regulator: scmi: Fix refcount leak in scmi_regulator_probe (Adrien Thierry) [2213574]
- regulator: pfuze100: Fix refcount leak in pfuze_parse_regulators_dt (Adrien Thierry) [2213574]
- regulator: core: Fix enable_count imbalance with EXCLUSIVE_GET (Adrien Thierry) [2213574]
- regulator: core: Add error flags to sysfs attributes (Adrien Thierry) [2213574]
- regulator: pca9450: Enable DVS control via PMIC_STBY_REQ (Adrien Thierry) [2213574]
- regulator: pca9450: Make warm reset on WDOG_B assertion (Adrien Thierry) [2213574]
- regulator: pca9450: Make I2C Level Translator configurable (Adrien Thierry) [2213574]
- regulator: sm5703-regulator: Add regulators support for SM5703 MFD (Adrien Thierry) [2213574]
- regulator: richtek,rt4801: parse GPIOs per regulator (Adrien Thierry) [2213574]
- regulator: core: Sleep (not delay) in set_voltage() (Adrien Thierry) [2213574]
- regulator: core: Rename _regulator_enable_delay() (Adrien Thierry) [2213574]
- regulator: da9121: Fix uninit-value in da9121_assign_chip_model() (Adrien Thierry) [2213574]
- regulator: stm32-vrefbuf: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Adrien Thierry) [2213574]
- regulator: rpi-panel-attiny: Get rid of duplicate of_node assignment (Adrien Thierry) [2213574]
- regulator: mt6366: Add support for MT6366 regulator (Adrien Thierry) [2213574]
- regulator: Flag uncontrollable regulators as always_on (Adrien Thierry) [2213574]
- regulator: fixed: Remove print on allocation failure (Adrien Thierry) [2213574]
- regulator: rt5759: Add support for Richtek RT5759 DCDC converter (Adrien Thierry) [2213574]
- regulator: wm8994: Add an off-on delay for WM8994 variant (Adrien Thierry) [2213574]
- regulator: vctrl: Use min() instead of doing it manually (Adrien Thierry) [2213574]
- regulator: da9121: Fix DA914x current values (Adrien Thierry) [2213574]
- regulator: Add support for TPS6286x (Adrien Thierry) [2213574]
- regulator: sy7636a: Remove requirement on sy7636a mfd (Adrien Thierry) [2213574]
- regulator/rpi-panel-attiny: Use two transactions for I2C read (Adrien Thierry) [2213574]
- regulator/rpi-panel-attiny: Use the regmap cache (Adrien Thierry) [2213574]
- regulator: rpi-panel: Remove get_brightness hook (Adrien Thierry) [2213574]
- regulator: rpi-panel: Add GPIO control for panel and touch resets (Adrien Thierry) [2213574]
- regulator: rpi-panel: Convert to drive lines directly (Adrien Thierry) [2213574]
- regulator: rpi-panel: Ensure the backlight is off during probe. (Adrien Thierry) [2213574]
- regulator: rpi-panel: Serialise operations. (Adrien Thierry) [2213574]
- regulator: rpi-panel: Handle I2C errors/timing to the Atmel (Adrien Thierry) [2213574]
- regulator: rpi-panel: Register with a unique backlight name (Adrien Thierry) [2213574]
- notifier: Return an error when a callback has already been registered (Adrien Thierry) [2213574]
- regulator: bd718x7: Use rohm generic restricted voltage setting (Adrien Thierry) [2213574]
- regulator: da9121: Emit only one error message in .remove() (Adrien Thierry) [2213574]
- regulator: rtq6752: Enclose 'enable' gpio control by enable flag (Adrien Thierry) [2213574]
- regulator: core: resolve supply voltage deferral silently (Adrien Thierry) [2213574]
- regulator: vqmmc-ipq4019: Make use of the helper function devm_platform_ioremap_resource() (Adrien Thierry) [2213574]
- regulator: ti-abb: Kconfig: Add helper dependency on COMPILE_TEST (Adrien Thierry) [2213574]
- regulator: ti-abb: Make use of the helper function devm_ioremap related (Adrien Thierry) [2213574]
- regulator: bd718x7: Suopport configuring UVP/OVP state (Adrien Thierry) [2213574]
- nvmem: qcom-spmi-sdam: register at device init time (Adrien Thierry) [2210329]
- nvmem: qcom-spmi-sdam: fix module autoloading (Adrien Thierry) [2210329]
- redhat/configs: turn on lpuart serial port support Driver (Steve Best) [2208834]
- hwmon: (coretemp) avoid RDMSR interrupts to isolated CPUs (Marcelo Tosatti) [2182083]
- stmmac: fix changing mac address (Corinna Vinschen) [2213161]
- block: Skip destroyed blkg when restart in blkg_destroy_all() (Ming Lei) [2193077]
- blk-mq: fix race condition in active queue accounting (Ming Lei) [2208900]
- qede: avoid uninitialized entries in coal_entry array (Michal Schmidt) [2211391]
- qede: fix interrupt coalescing configuration (Michal Schmidt) [2211391]
- kernel.spec: package unstripped test_progs-no_alu32 (Felix Maurer) [RHEL-349]
- can: flexcan: avoid unbalanced pm_runtime_enable warning (Steve Best) [2182869]
- can: flexcan: add auto stop mode for IMX93 to support wakeup (Steve Best) [2182869]
- Bluetooth: btsdio: fix use after free bug in btsdio_remove due to race condition (Ricardo Robaina) [2185959] {CVE-2023-1989}
- platform/x86: ISST: Increase range of valid mail box commands (David Arcari) [2154079]
- platform/x86: ISST: Fix kernel documentation warnings (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: v1.14 release (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Adjust uncore max/min frequency (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Fix display of uncore min frequency (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Add Emerald Rapid quirk (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: turbo-freq auto mode with SMT off (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: cpufreq reads on offline CPUs (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Use null-terminated string (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Remove duplicate dup() (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Handle open() failure case (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Remove unused non_block flag (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Remove wrong check in set_isst_id() (David Arcari) [2154079]
- platform/x86: ISST: Fix typo in comments (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Release v1.13 (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Optimize CPU initialization (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Utilize cpu_map to get physical id (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Remove unused struct clos_config fields (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Enforce isst_id value (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Do not export get_physical_id (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Introduce is_cpu_in_power_domain helper (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Cleanup get_physical_id usage (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Convert more function to use isst_id (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Add pkg and die in isst_id (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Introduce struct isst_id (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Remove unused core_mask array (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Remove dead code (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Fix cpu count for TDP level display (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Remove unneeded semicolon (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Fix off by one check (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Fix warning for perf_cap.cpu (David Arcari) [2154079]
- tools/power/x86/intel-speed-select: Display error on turbo mode disabled (David Arcari) [2154079]
Resolves: rhbz#2063818, rhbz#2154079, rhbz#2174943, rhbz#2182083, rhbz#2182869, rhbz#2185959, rhbz#2188441, rhbz#2193077, rhbz#2196764, rhbz#2208834, rhbz#2208900, rhbz#2210329, rhbz#2211391, rhbz#2213161, rhbz#2213574, rhbz#2215041, RHEL-349

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-16 21:15:51 +02:00
Jan Stancek
26da50572a kernel-5.14.0-327.el9
* Tue Jun 13 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-327.el9]
- perf: Fix check before add_event_to_groups() in perf_group_detach() (Michael Petlan) [2192660] {CVE-2023-2235}
- thermal: intel: int340x: processor_thermal: Fix additional deadlock (Eric Auger) [2183350]
- thermal: intel: int340x: processor_thermal: Fix deadlock (Eric Auger) [2183350]
- thermal: gov_step_wise: Adjust code logic to match comment (Eric Auger) [2183350]
- thermal: gov_step_wise: Delete obsolete comment (Eric Auger) [2183350]
- thermal/drivers/cpuidle_cooling: Delete unmatched comments (Eric Auger) [2183350]
- thermal: cpuidle_cooling: Adjust includes to remove of_device.h (Eric Auger) [2183350]
- thermal/core: Alloc-copy-free the thermal zone parameters structure (Eric Auger) [2183350]
- thermal/of: Unexport unused OF functions (Eric Auger) [2183350]
- thermal/core: Remove thermal_bind_params structure (Eric Auger) [2183350]
- thermal/drivers/tegra-bpmp: Handle offline zones (Eric Auger) [2183350]
- thermal: core: Clean up thermal_list_lock locking (Eric Auger) [2183350]
- thermal/core: Relocate the traces definition in thermal directory (Eric Auger) [2183350]
- thermal/drivers/imx: Use the thermal framework for the trip point (Eric Auger) [2183350]
- thermal/drivers/imx: Remove get_trip_temp ops (Eric Auger) [2183350]
- thermal: Use of_property_present() for testing DT property presence (Eric Auger) [2183350]
- thermal: core: Restore behavior regarding invalid trip points (Eric Auger) [2183350]
- thermal/drivers/tegra: Remove unneeded lock when setting a trip point (Eric Auger) [2183350]
- thermal/hwmon: Do not set no_hwmon before calling thermal_add_hwmon_sysfs() (Eric Auger) [2183350]
- thermal: Remove debug or error messages in get_temp() ops (Eric Auger) [2183350]
- thermal/core: Show a debug message when get_temp() fails (Eric Auger) [2183350]
- thermal/core: Add a thermal zone 'devdata' accessor (Eric Auger) [2183350]
- thermal: core: Use sysfs_emit_at() instead of scnprintf() (Eric Auger) [2183350]
- thermal/core: Potential buffer overflow in thermal_build_list_of_policies() (Eric Auger) [2183350]
- thermal: Fail object registration if thermal class is not registered (Eric Auger) [2183350]
- thermal/core: Move the thermal trip code to a dedicated file (Eric Auger) [2183350]
- thermal/core: Remove unneeded ida_destroy() (Eric Auger) [2183350]
- thermal/core: Fix unregistering netlink at thermal init time (Eric Auger) [2183350]
- thermal: core: Use device_unregister() instead of device_del/put() (Eric Auger) [2183350]
- thermal: core: Move cdev cleanup to thermal_release() (Eric Auger) [2183350]
- thermal/drivers/imx_sc_thermal: Add iMX8QM sensors (Eric Auger) [2183350]
- thermal/drivers/imx_sc_thermal: Fix the loop condition (Eric Auger) [2183350]
- thermal/drivers/tegra: Fix set_trip_temp() deadlock (Eric Auger) [2183350]
- thermal/drivers/tegra: Fix crash when getting critical temp (Eric Auger) [2183350]
- thermal/of: Remove of_thermal_get_crit_temp() (Eric Auger) [2183350]
- thermal/of: Remove of_thermal_set_trip_hyst() (Eric Auger) [2183350]
- thermal/of: Remove of_thermal_is_trip_valid() (Eric Auger) [2183350]
- thermal/drivers/qcom/temp-alarm: Fix inaccurate warning for gen2 (Eric Auger) [2183350]
- thermal/of: Remove of_thermal_get_ntrips() (Eric Auger) [2183350]
- thermal/of: Remove unused functions (Eric Auger) [2183350]
- thermal/drivers/hisi: Use generic thermal_zone_get_trip() function (Eric Auger) [2183350]
- thermal/drivers/tegra: Use generic thermal_zone_get_trip() function (Eric Auger) [2183350]
- thermal/of: Use generic thermal_zone_get_trip() function (Eric Auger) [2183350]
- thermal/core/governors: Use thermal_zone_get_trip() instead of ops functions (Eric Auger) [2183350]
- thermal/core: Add a generic thermal_zone_set_trip() function (Eric Auger) [2183350]
- thermal/sysfs: Always expose hysteresis attributes (Eric Auger) [2183350]
- thermal/core: Add a generic thermal_zone_get_trip() function (Eric Auger) [2183350]
- thermal/drivers/imx_sc_thermal: Drop empty platform remove function (Eric Auger) [2183350]
- thermal/core/power allocator: Remove a useless include (Eric Auger) [2183350]
- thermal/of: Fix memory leak on thermal_of_zone_register() failure (Eric Auger) [2183350]
- thermal/core: Protect thermal device operations against thermal device removal (Eric Auger) [2183350]
- thermal/core: Remove thermal_zone_set_trips() (Eric Auger) [2183350]
- thermal/core: Protect sysfs accesses to thermal operations with thermal zone mutex (Eric Auger) [2183350]
- thermal/core: Protect hwmon accesses to thermal operations with thermal zone mutex (Eric Auger) [2183350]
- thermal/core: Introduce locked version of thermal_zone_device_update (Eric Auger) [2183350]
- thermal/core: Move parameter validation from __thermal_zone_get_temp to thermal_zone_get_temp (Eric Auger) [2183350]
- thermal/core: Ensure that thermal device is registered in thermal_zone_get_temp (Eric Auger) [2183350]
- thermal/core: Delete device under thermal device zone lock (Eric Auger) [2183350]
- thermal/core: Destroy thermal zone device mutex in release function (Eric Auger) [2183350]
- thermal/core: Add a check before calling set_trip_temp() (Eric Auger) [2183350]
- thermal/core: Drop valid pointer check for type (Eric Auger) [2183350]
- thermal/of: Remove the thermal_zone_of_get_sensor_id() function (Eric Auger) [2183350]
- thermal/drivers/imx_sc: Rely on the platform data to get the resource id (Eric Auger) [2183350]
- thermal: core: Increase maximum number of trip points (Eric Auger) [2183350]
- thermal: cpufreq_cooling: Check the policy first in cpufreq_cooling_register() (Eric Auger) [2183350]
- thermal: move from strlcpy() with unused retval to strscpy() (Eric Auger) [2183350]
- thermal: gov_user_space: Do not lock thermal zone mutex (Eric Auger) [2183350]
- thermal/core: Fix lockdep_assert() warning (Eric Auger) [2183350]
- thermal/core: Move the mutex inside the thermal_zone_device_update() function (Eric Auger) [2183350]
- thermal/core: Move the thermal zone lock out of the governors (Eric Auger) [2183350]
- thermal/governors: Group the thermal zone lock inside the throttle function (Eric Auger) [2183350]
- thermal/core: Rework the monitoring a bit (Eric Auger) [2183350]
- thermal/core: Rearm the monitoring only one time (Eric Auger) [2183350]
- thermal/of: Remove old OF code (Eric Auger) [2183350]
- thermal/core: Move set_trip_temp ops to the sysfs code (Eric Auger) [2183350]
- hwmon/drivers/core: Switch to new of thermal API (Eric Auger) [2183350]
- ata/drivers/ahci_imx: Switch to new of thermal API (Eric Auger) [2183350]
- thermal/drivers/broadcom: Switch to new of API (Eric Auger) [2183350]
- thermal/drivers/qoriq: Switch to new of API (Eric Auger) [2183350]
- thermal/drivers/maxim: Switch to new of API (Eric Auger) [2183350]
- thermal/drivers/hisilicon: Switch to new of API (Eric Auger) [2183350]
- thermal/drivers/imx: Switch to new of API (Eric Auger) [2183350]
- thermal/drivers/tegra: Switch to new of API (Eric Auger) [2183350]
- thermal/of: Make new code and old code co-exist (Eric Auger) [2183350]
- thermal/of: Fix free after use in thermal_of_unregister() (Eric Auger) [2183350]
- thermal/of: Return -ENODEV instead of -EINVAL if registration fails (Eric Auger) [2183350]
- thermal/of: Fix error code in of_thermal_zone_find() (Eric Auger) [2183350]
- thermal/of: Rework the thermal device tree initialization (Eric Auger) [2183350]
- dt-bindings: thermal: Fix missing required property (Eric Auger) [2183350]
- dt-bindings: thermal: Fix definition of cooling-maps contribution property (Eric Auger) [2183350]
- dt-bindings: thermal: Make trips node optional (Eric Auger) [2183350]
- kernel.spec: Fix UKI naming to comply with BLS (Philipp Rudo) [2187671]
- redhat/configs: Add CONFIG_RTC_DRV_NCT3018Y option (Gavin Shan) [2183349]
- rtc: jz4740: Make sure clock provider gets removed (Gavin Shan) [2183349]
- rtc: k3: handle errors while enabling wake irq (Gavin Shan) [2183349]
- rtc: pm8xxx: add support for nvmem offset (Gavin Shan) [2183349]
- dt-bindings: rtc: qcom-pm8xxx: add nvmem-cell offset (Gavin Shan) [2183349]
- rtc: abx80x: Add nvmem support (Gavin Shan) [2183349]
- rtc: rx6110: Remove unused of_gpio,h (Gavin Shan) [2183349]
- rtc: efi: Avoid spamming the log on RTC read failure (Gavin Shan) [2183349]
- rtc: isl12022: sort header inclusion alphabetically (Gavin Shan) [2183349]
- rtc: isl12022: Join string literals back (Gavin Shan) [2183349]
- rtc: isl12022: Drop unneeded OF guards and of_match_ptr() (Gavin Shan) [2183349]
- rtc: isl12022: Explicitly use __le16 type for ISL12022_REG_TEMP_L (Gavin Shan) [2183349]
- rtc: isl12022: Get rid of unneeded private struct isl12022 (Gavin Shan) [2183349]
- rtc: pcf85363: add support for the quartz-load-femtofarads property (Gavin Shan) [2183349]
- dt-bindings: rtc: nxp,pcf8563: move pcf85263/pcf85363 to a dedicated binding (Gavin Shan) [2183349]
- rtc: allow rtc_read_alarm without read_alarm callback (Gavin Shan) [2183349]
- rtc: rv3032: add ACPI support (Gavin Shan) [2183349]
- rtc: rv3028: add ACPI support (Gavin Shan) [2183349]
- rtc: jz4740: Register clock provider for the CLK32K pin (Gavin Shan) [2183349]
- rtc: jz4740: Use dev_err_probe() (Gavin Shan) [2183349]
- rtc: jz4740: Use readl_poll_timeout (Gavin Shan) [2183349]
- dt-bindings: rtc: Add #clock-cells property (Gavin Shan) [2183349]
- dt-bindings: rtc: moxart: use proper names for gpio properties (Gavin Shan) [2183349]
- rtc: moxart: switch to using gpiod API (Gavin Shan) [2183349]
- rtc: pm8xxx: drop error messages (Gavin Shan) [2183349]
- rtc: pm8xxx: clean up local declarations (Gavin Shan) [2183349]
- rtc: pm8xxx: refactor read_time() (Gavin Shan) [2183349]
- rtc: pm8xxx: use u32 for timestamps (Gavin Shan) [2183349]
- rtc: pm8xxx: clean up comments (Gavin Shan) [2183349]
- rtc: pm8xxx: rename alarm irq variable (Gavin Shan) [2183349]
- rtc: pm8xxx: rename struct device pointer (Gavin Shan) [2183349]
- rtc: pm8xxx: clean up time and alarm debugging (Gavin Shan) [2183349]
- rtc: pm8xxx: use unaligned le32 helpers (Gavin Shan) [2183349]
- rtc: pm8xxx: drop unused register defines (Gavin Shan) [2183349]
- rtc: pm8xxx: return IRQ_NONE on errors (Gavin Shan) [2183349]
- rtc: pm8xxx: drop bogus locking (Gavin Shan) [2183349]
- rtc: pm8xxx: use regmap_update_bits() (Gavin Shan) [2183349]
- rtc: pm8xxx: drop spmi error messages (Gavin Shan) [2183349]
- rtc: pm8xxx: fix set-alarm race (Gavin Shan) [2183349]
- dt-bindings: rtc: Convert Amlogic Meson vrtc controller binding (Gavin Shan) [2183349]
- rtc: rv8803: invalidate date/time if alarm time is invalid (Gavin Shan) [2183349]
- include/linux/bcd.h: provide bcd_is_valid() helper (Gavin Shan) [2183349]
- rtc: remove v3020 driver (Gavin Shan) [2183349]
- rtc: max8907: Drop unused i2c include (Gavin Shan) [2183349]
- rtc: rx8010: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: rv8803: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: rv3032: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: rv3029c2: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: pcf8563: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: pcf85363: use IRQ flags obtained fromfwnode (Gavin Shan) [2183349]
- rtc: pcf8523: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: pcf85063: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: pcf2123: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: m41t80: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: hym8563: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- rtc: ab-eoz9: use IRQ flags obtained from fwnode (Gavin Shan) [2183349]
- dt-bindings: rtc: pcf2127: remove pca/pcf2129 from trivial RTC devices list (Gavin Shan) [2183349]
- rtc: brcmstb-waketimer: allow use as non-wake alarm (Gavin Shan) [2183349]
- dt-bindings: rtc: brcm,brcmstb-waketimer: add alarm interrupt (Gavin Shan) [2183349]
- rtc: sun6i: Always export the internal oscillator (Gavin Shan) [2183349]
- dt-bindings: rtc: Move rv3028 from trivial-rtc.yaml into own schema file (Gavin Shan) [2183349]
- dt-bindings: rtc: Add Loongson LS2X RTC support (Gavin Shan) [2183349]
- rtc: brcmstb-waketimer: rename irq to wake_irq (Gavin Shan) [2183349]
- rtc: brcmstb-waketimer: compensate for lack of wktmr disable (Gavin Shan) [2183349]
- rtc: brcmstb-waketimer: non-functional code changes (Gavin Shan) [2183349]
- rtc: brcmstb-waketimer: introduce WKTMR_ALARM_EVENT flag (Gavin Shan) [2183349]
- rtc: sunplus: fix format string for printing resource (Gavin Shan) [2183349]
- dt-bindings: rtc: qcom-pm8xxx: allow 'wakeup-source' property (Gavin Shan) [2183349]
- rtc: ds1742: use devm_platform_get_and_ioremap_resource() (Gavin Shan) [2183349]
- rtc: mxc_v2: Add missing clk_disable_unprepare() (Gavin Shan) [2183349]
- rtc: rs5c313: correct some spelling mistakes (Gavin Shan) [2183349]
- rtc: at91rm9200: Fix syntax errors in comments (Gavin Shan) [2183349]
- rtc: remove duplicated words in comments (Gavin Shan) [2183349]
- rtc: rv3028: Use IRQ flags obtained from device tree if available (Gavin Shan) [2183349]
- rtc: ds1307: use sysfs_emit() to instead of scnprintf() (Gavin Shan) [2183349]
- rtc: isl12026: drop obsolete dependency on COMPILE_TEST (Gavin Shan) [2183349]
- dt-bindings: rtc: m41t80: Convert text schema to YAML one (Gavin Shan) [2183349]
- rtc: pcf85063: fix pcf85063_clkout_control (Gavin Shan) [2183349]
- rtc: rx6110: fix warning with !OF (Gavin Shan) [2183349]
- rtc: rk808: reduce 'struct rk808' usage (Gavin Shan) [2183349]
- rtc: msc313: Fix function prototype mismatch in msc313_rtc_probe() (Gavin Shan) [2183349]
- dt-bindings: rtc: convert rtc-meson.txt to dt-schema (Gavin Shan) [2183349]
- rtc: pic32: Move devm_rtc_allocate_device earlier in pic32_rtc_probe() (Gavin Shan) [2183349]
- rtc: st-lpc: Add missing clk_disable_unprepare in st_rtc_probe() (Gavin Shan) [2183349]
- rtc: pcf85063: Fix reading alarm (Gavin Shan) [2183349]
- rtc: pcf8523: fix for stop bit (Gavin Shan) [2183349]
- rtc: efi: Add wakeup support (Gavin Shan) [2183349]
- rtc: pcf8563: clear RTC_FEATURE_ALARM if no irq (Gavin Shan) [2183349]
- rtc: snvs: Allow a time difference on clock register read (Gavin Shan) [2183349]
- rtc: cmos: Disable ACPI RTC event on removal (Gavin Shan) [2183349]
- rtc: cmos: Rename ACPI-related functions (Gavin Shan) [2183349]
- rtc: cmos: Eliminate forward declarations of some functions (Gavin Shan) [2183349]
- rtc: cmos: Call rtc_wake_setup() from cmos_do_probe() (Gavin Shan) [2183349]
- rtc: cmos: Call cmos_wake_setup() from cmos_do_probe() (Gavin Shan) [2183349]
- rtc: class: Fix potential memleak in devm_rtc_allocate_device() (Gavin Shan) [2183349]
- rtc: ds1347: fix value written to century register (Gavin Shan) [2183349]
- rtc: Include <linux/kstrtox.h> when appropriate (Gavin Shan) [2183349]
- rtc: isl12022: add support for temperature sensor (Gavin Shan) [2183349]
- rtc: s35390a: Remove the unneeded result variable (Gavin Shan) [2183349]
- dt-bindings: rtc: convert hym8563 bindings to json-schema (Gavin Shan) [2183349]
- rtc: fsl-ftm-alarm: Use module_platform_driver replace device_initcall (Gavin Shan) [2183349]
- rtc: remove davinci rtc driver (Gavin Shan) [2183349]
- rtc: s3c: Switch to use dev_err_probe() helper (Gavin Shan) [2183349]
- rtc: ds1302: remove unnecessary spi_set_drvdata() (Gavin Shan) [2183349]
- rtc: cros-ec: Limit RTC alarm range if needed (Gavin Shan) [2183349]
- rtc: pm8xxx: drop unused pm8018 compatible (Gavin Shan) [2183349]
- dt-bindings: rtc: qcom-pm8xxx: document qcom,pm8921-rtc as fallback of qcom,pm8018-rtc (Gavin Shan) [2183349]
- rtc: rzn1: Check return value in rzn1_rtc_probe (Gavin Shan) [2183349]
- rtc: rx8025: Convert to .probe_new() (Gavin Shan) [2183349]
- rtc: rv8803: Convert to .probe_new() (Gavin Shan) [2183349]
- rtc: rs5c372: Convert to .probe_new() (Gavin Shan) [2183349]
- rtc: pcf2127: Convert to .probe_new() (Gavin Shan) [2183349]
- rtc: nct3018y: Convert to .probe_new() (Gavin Shan) [2183349]
- rtc: m41t80: Convert to .probe_new() (Gavin Shan) [2183349]
- rtc: isl1208: Convert to .probe_new() (Gavin Shan) [2183349]
- rtc: abx80x: Convert to .probe_new() (Gavin Shan) [2183349]
- rtc: cmos: fix build on non-ACPI platforms (Gavin Shan) [2183349]
- rtc: cmos: Fix wake alarm breakage (Gavin Shan) [2183349]
- rtc: rv3028: Fix codestyle errors (Gavin Shan) [2183349]
- rtc: cmos: Fix event handler registration ordering issue (Gavin Shan) [2183349]
- rtc: k3: Use devm_clk_get_enabled() helper (Gavin Shan) [2183349]
- rtc: jz4740: Use devm_clk_get_enabled() helper (Gavin Shan) [2183349]
- rtc: mpfs: Use devm_clk_get_enabled() helper (Gavin Shan) [2183349]
- rtc: ds1685: Fix spelling of function name in comment block (Gavin Shan) [2183349]
- rtc: isl12022: switch to using regmap API (Gavin Shan) [2183349]
- rtc: isl12022: drop redundant write to HR register (Gavin Shan) [2183349]
- rtc: isl12022: use dev_set_drvdata() instead of i2c_set_clientdata() (Gavin Shan) [2183349]
- rtc: isl12022: use %%ptR (Gavin Shan) [2183349]
- rtc: isl12022: simplify some expressions (Gavin Shan) [2183349]
- rtc: isl12022: drop a dev_info() (Gavin Shan) [2183349]
- rtc: isl12022: specify range_min and range_max (Gavin Shan) [2183349]
- rtc: isl12022: stop using deprecated devm_rtc_device_register() (Gavin Shan) [2183349]
- rtc: stmp3xxx: Add failure handling for stmp3xxx_wdt_register() (Gavin Shan) [2183349]
- rtc: mxc: Use devm_clk_get_enabled() helper (Gavin Shan) [2183349]
- rtc: gamecube: Always reset HW_SRNPROT after read (Gavin Shan) [2183349]
- rtc: k3: detect SoC to determine erratum fix (Gavin Shan) [2183349]
- rtc: k3: wait until the unlock field is not zero (Gavin Shan) [2183349]
- rtc: mpfs: Remove printing of stray CR (Gavin Shan) [2183349]
- x86/rtc: Rename mach_set_rtc_mmss() to mach_set_cmos_time() (Gavin Shan) [2183349]
- x86/rtc: Rewrite & simplify mach_get_cmos_time() by deleting duplicated functionality (Gavin Shan) [2183349]
- rtc: spear: set range max (Gavin Shan) [2183349]
- rtc: rtc-cmos: Do not check ACPI_FADT_LOW_POWER_S0 (Gavin Shan) [2183349]
- rtc: zynqmp: initialize fract_tick (Gavin Shan) [2183349]
- rtc: Add NCT3018Y real time clock driver (Gavin Shan) [2183349]
- dt-bindings: rtc: nuvoton: add NCT3018Y Real Time Clock (Gavin Shan) [2183349]
- dt-bindings: rtc: nxp,pcf85063: Convert to DT schema (Gavin Shan) [2183349]
- dt-bindings: rtc: microcrystal,rv3032: Add missing type to 'trickle-voltage-millivolt' (Gavin Shan) [2183349]
- rtc: rx8025: fix 12/24 hour mode detection on RX-8035 (Gavin Shan) [2183349]
- rtc: cros-ec: Only warn once in .remove() about notifier_chain problems (Gavin Shan) [2183349]
- rtc: vr41xx: remove driver (Gavin Shan) [2183349]
- rtc: mpfs: remove 'pending' variable from mpfs_rtc_wakeup_irq_handler() (Gavin Shan) [2183349]
- rtc: zynqmp: Add calibration set and get support (Gavin Shan) [2183349]
- rtc: zynqmp: Updated calibration value (Gavin Shan) [2183349]
- dt-bindings: rtc: zynqmp: Add clock information (Gavin Shan) [2183349]
- rtc: sun6i: add support for R329 RTC (Gavin Shan) [2183349]
- dt-bindings: rtc: Add EM Microelectronic EM3027 bindings (Gavin Shan) [2183349]
- dt-bindings: rtc: ds1307: Convert to json-schema (Gavin Shan) [2183349]
- dt-bindings: rtc: Add fsl,scu-rtc yaml file (Gavin Shan) [2183349]
- rtc: Introduce ti-k3-rtc (Gavin Shan) [2183349]
- dt-bindings: rtc: Add TI K3 RTC description (Gavin Shan) [2183349]
- dt-bindings: rtc: qcom-pm8xxx-rtc: Update the maintainers section (Gavin Shan) [2183349]
- rtc: Add driver for Microchip PolarFire SoC (Gavin Shan) [2183349]
- rtc: isl1208: do not advertise update interrupt feature if no interrupt specified (Gavin Shan) [2183349]
- dt-bindings: rtc: mediatek: add mt6358 and mt6366 compatible (Gavin Shan) [2183349]
- rtc: mc146818-lib: reduce RTC_UIP polling period (Gavin Shan) [2183349]
- rtc: rzn1: Fix a variable type (Gavin Shan) [2183349]
- rtc: rzn1: Fix error code in probe (Gavin Shan) [2183349]
- rtc: rzn1: Avoid mixing variables (Gavin Shan) [2183349]
- rtc: ftrtc010: Fix error handling in ftrtc010_rtc_probe (Gavin Shan) [2183349]
- rtc: mt6397: check return value after calling platform_get_resource() (Gavin Shan) [2183349]
- rtc: rzn1: fix platform_no_drv_owner.cocci warning (Gavin Shan) [2183349]
- rtc: gamecube: Add missing iounmap in gamecube_rtc_read_offset_from_sram (Gavin Shan) [2183349]
- rtc: meson: Fix email address in MODULE_AUTHOR (Gavin Shan) [2183349]
- rtc: simplify the return expression of rx8025_set_offset() (Gavin Shan) [2183349]
- dt-binding: pcf85063: Add an entry for pca85073a (Gavin Shan) [2183349]
- rtc: rzn1: Add oscillator offset support (Gavin Shan) [2183349]
- rtc: rzn1: Add alarm support (Gavin Shan) [2183349]
- rtc: rzn1: Add new RTC driver (Gavin Shan) [2183349]
- dt-bindings: rtc: rzn1: Describe the RZN1 RTC (Gavin Shan) [2183349]
- rtc: sun6i: Add NVMEM provider (Gavin Shan) [2183349]
- Revert "clk: sunxi-ng: sun6i-rtc: Add support for H6" (Gavin Shan) [2183349]
- dt-bindings: Drop empty and unreferenced binding .txt files (Gavin Shan) [2183349]
- dt-bindings: rtc: add refclk to mpfs-rtc (Gavin Shan) [2183349]
- clk: sunxi-ng: sun6i-rtc: Mark rtc-32k as critical (Gavin Shan) [2183349]
- clocksource/drivers: Add a goldfish-timer clocksource (Gavin Shan) [2183349]
- rtc: goldfish: Use gf_ioread32()/gf_iowrite32() (Gavin Shan) [2183349]
- tty: goldfish: Introduce gf_ioread32()/gf_iowrite32() (Gavin Shan) [2183349]
- clk: sunxi-ng: fix not NULL terminated coccicheck error (Gavin Shan) [2183349]
- rtc: gamecube: Fix refcount leak in gamecube_rtc_read_offset_from_sram (Gavin Shan) [2183349]
- rtc: pm8xxx: Return -ENODEV if set_time disallowed (Gavin Shan) [2183349]
- rtc: pm8xxx: Attach wake irq to device (Gavin Shan) [2183349]
- rtc: hym8563: switch to RTC_FEATURE_UPDATE_INTERRUPT (Gavin Shan) [2183349]
- rtc: hym8563: let the core handle the alarm resolution (Gavin Shan) [2183349]
- rtc: hym8563: switch to devm_rtc_allocate_device (Gavin Shan) [2183349]
- rtc: spear: fix spear_rtc_read_time (Gavin Shan) [2183349]
- rtc: spear: drop uie_unsupported (Gavin Shan) [2183349]
- rtc: spear: set range (Gavin Shan) [2183349]
- rtc: spear: switch to devm_rtc_allocate_device (Gavin Shan) [2183349]
- rtc: mpc5121: switch to RTC_FEATURE_UPDATE_INTERRUPT (Gavin Shan) [2183349]
- rtc: mpc5121: let the core handle the alarm resolution (Gavin Shan) [2183349]
- clk: sunxi-ng: sun6i-rtc: Add support for H6 (Gavin Shan) [2183349]
- clk: sunxi-ng: Add support for the sun6i RTC clocks (Gavin Shan) [2183349]
- clk: sunxi-ng: mux: Allow muxes to have keys (Gavin Shan) [2183349]
- rtc: wm8350: Handle error for wm8350_register_irq (Gavin Shan) [2183349]
- rtc: sun6i: Add Allwinner H616 support (Gavin Shan) [2183349]
- rtc: sun6i: Add support for broken-down alarm registers (Gavin Shan) [2183349]
- rtc: sun6i: Add support for linear day storage (Gavin Shan) [2183349]
- rtc: sun6i: Fix time overflow handling (Gavin Shan) [2183349]
- rtc: pl031: fix rtc features null pointer dereference (Gavin Shan) [2183349]
- rtc: mc146818-lib: fix locking in mc146818_set_time (Gavin Shan) [2183349]
- dt-bindings: rtc: add bindings for microchip mpfs rtc (Gavin Shan) [2183349]
- dt-bindings: rtc: at91: Add SAMA7G5 compatible strings list (Gavin Shan) [2183349]
- dt-bindings: rtc: convert at91sam9 bindings to json-schema (Gavin Shan) [2183349]
- rtc: max77686: Add MAX77714 support (Gavin Shan) [2183349]
- rtc: max77686: Remove unused code to read in 12-hour mode (Gavin Shan) [2183349]
- rtc: max77686: Rename day-of-month defines (Gavin Shan) [2183349]
- rtc: max77686: Convert comments to kernel-doc format (Gavin Shan) [2183349]
- mfd: max77686: Correct tab-based alignment of register addresses (Gavin Shan) [2183349]
- rtc: sun6i: Enable the bus clock when provided (Gavin Shan) [2183349]
- dt-bindings: rtc: sun6i: Add H616, R329, and D1 support (Gavin Shan) [2183349]
- dt-bindings: rtc: sun6i: Clean up repetition (Gavin Shan) [2183349]
- dt-bindings: rtc: st,stm32-rtc: Make each example a separate entry (Gavin Shan) [2183349]
- rtc: sunplus: fix return value in sp_rtc_probe() (Gavin Shan) [2183349]
- rtc: cmos: Evaluate century appropriate (Gavin Shan) [2183349]
- rtc: gamecube: Fix an IS_ERR() vs NULL check (Gavin Shan) [2183349]
- dt-bindings: rtc: qcom-pm8xxx-rtc: update register numbers (Gavin Shan) [2183349]
- rtc: pxa: fix null pointer dereference (Gavin Shan) [2183349]
- rtc: ftrtc010: Use platform_get_irq() to get the interrupt (Gavin Shan) [2183349]
- dt-bindings: rtc: Add Sunplus RTC json-schema (Gavin Shan) [2183349]
- rtc: Add driver for RTC in Sunplus SP7021 (Gavin Shan) [2183349]
- rtc: rs5c372: fix incorrect oscillation value on r2221tl (Gavin Shan) [2183349]
- rtc: rs5c372: add offset correction support (Gavin Shan) [2183349]
- rtc: cmos: avoid UIP when writing alarm time (Gavin Shan) [2183349]
- rtc: cmos: avoid UIP when reading alarm time (Gavin Shan) [2183349]
- rtc: mc146818-lib: refactor mc146818_does_rtc_work (Gavin Shan) [2183349]
- rtc: mc146818-lib: refactor mc146818_get_time (Gavin Shan) [2183349]
- rtc: gamecube: Report low battery as invalid data (Gavin Shan) [2183349]
- rtc: gamecube: Add a RTC driver for the GameCube, Wii and Wii U (Gavin Shan) [2183349]
- dt-bindings: rtc: Convert Broadcom STB waketimer to YAML (Gavin Shan) [2183349]
- dt/bindings: rtc: rx8900: Add an entry for RX8804 (Gavin Shan) [2183349]
- rtc: da9063: add as wakeup source (Gavin Shan) [2183349]
- rtc: da9063: switch to RTC_FEATURE_UPDATE_INTERRUPT (Gavin Shan) [2183349]
- rtc: rs5c372: Add RTC_VL_READ, RTC_VL_CLR ioctls (Gavin Shan) [2183349]
- rtc: rx8025: use .set_offset/.read_offset (Gavin Shan) [2183349]
- rtc: rx8025: use rtc_add_group (Gavin Shan) [2183349]
- rtc: rx8025: clear RTC_FEATURE_ALARM when alarm are not supported (Gavin Shan) [2183349]
- rtc: rx8025: set range (Gavin Shan) [2183349]
- rtc: rx8025: switch to devm_rtc_allocate_device (Gavin Shan) [2183349]
- rtc: ab8500: let the core handle the alarm resolution (Gavin Shan) [2183349]
- rtc: ab-eoz9: support UIE when available (Gavin Shan) [2183349]
- rtc: ab-eoz9: use RTC_FEATURE_UPDATE_INTERRUPT (Gavin Shan) [2183349]
- rtc: rv3032: let the core handle the alarm resolution (Gavin Shan) [2183349]
- rtc: s35390a: let the core handle the alarm resolution (Gavin Shan) [2183349]
- rtc: s3c: Add time range (Gavin Shan) [2183349]
- rtc: s3c: Extract read/write IO into separate functions (Gavin Shan) [2183349]
- rtc: s3c: Remove usage of devm_rtc_device_register() (Gavin Shan) [2183349]
- rtc: tps80031: Remove driver (Gavin Shan) [2183349]
- rtc: sun6i: Allow probing without an early clock provider (Gavin Shan) [2183349]
- MAINTAINERS: update faraday,ftrtc010.yaml reference (Gavin Shan) [2183349]
- rtc: rv3032: allow setting BSM (Gavin Shan) [2183349]
- rtc: rv3028: add BSM support (Gavin Shan) [2183349]
- rtc: s3c: remove HAVE_S3C_RTC in favor of direct dependencies (Gavin Shan) [2183349]
- rtc: rv3032: fix error handling in rv3032_clkout_set_rate() (Gavin Shan) [2183349]
- rtc: m41t80: return NULL rather than a plain 0 integer (Gavin Shan) [2183349]
- rtc: msc313: Fix unintentional sign extension issues with left shift of a u16 (Gavin Shan) [2183349]
- rtc: msc313: fix missing include (Gavin Shan) [2183349]
- rtc: Add support for the MSTAR MSC313 RTC (Gavin Shan) [2183349]
- dt-bindings: rtc: Add Mstar MSC313e RTC devicetree bindings documentation (Gavin Shan) [2183349]
- rtc: rx6110: simplify getting the adapter of a client (Gavin Shan) [2183349]
- rtc: s5m: drop unneeded MODULE_ALIAS (Gavin Shan) [2183349]
- rtc: omap: drop unneeded MODULE_ALIAS (Gavin Shan) [2183349]
- rtc: ds1302: Add SPI ID table (Gavin Shan) [2183349]
- rtc: cmos: Disable irq around direct invocation of cmos_interrupt() (Gavin Shan) [2183349]
- rtc: rx8010: select REGMAP_I2C (Gavin Shan) [2183349]
- dt-bindings: rtc: add Epson RX-8025 and RX-8035 (Gavin Shan) [2183349]
- rtc: rx8025: implement RX-8035 support (Gavin Shan) [2183349]
- rtc: cmos: remove stale REVISIT comments (Gavin Shan) [2183349]
- rtc: tps65910: Correct driver module alias (Gavin Shan) [2183349]
- rtc: s5m: set range (Gavin Shan) [2183349]
- rtc: s5m: enable wakeup only when available (Gavin Shan) [2183349]
- rtc: s5m: signal the core when alarm are not available (Gavin Shan) [2183349]
- rtc: s5m: switch to devm_rtc_allocate_device (Gavin Shan) [2183349]
- netfilter: conntrack: fix possible bug_on with enable_hooks=1 (Florian Westphal) [2193079]
- vsock: avoid to close connected socket after the timeout (Stefano Garzarella) [2209707]
- vsock/loopback: don't disable irqs for queue access (Stefano Garzarella) [2209707]
- vsock/test: update expected return values (Stefano Garzarella) [2209707]
- vsock: return errors other than -ENOMEM to socket (Stefano Garzarella) [2209707]
- vsock/vmci: convert VMCI error code to -ENOMEM on receive (Stefano Garzarella) [2209707]
- vsock/vmci: convert VMCI error code to -ENOMEM on send (Stefano Garzarella) [2209707]
- virtio/vsock: fix leaks due to missing skb owner (Stefano Garzarella) [2209707]
- test/vsock: new skbuff appending test (Stefano Garzarella) [2209707]
- virtio/vsock: WARN_ONCE() for invalid state of socket (Stefano Garzarella) [2209707]
- virtio/vsock: fix header length on skb merging (Stefano Garzarella) [2209707]
- testing/vsock: add vsock_perf to gitignore (Stefano Garzarella) [2209707]
- virtio/vsock: check argument to avoid no effect call (Stefano Garzarella) [2209707]
- virtio/vsock: allocate multiple skbuffs on tx (Stefano Garzarella) [2209707]
- vsock/loopback: use only sk_buff_head.lock to protect the packet queue (Stefano Garzarella) [2209707]
- virtio/vsock: check transport before skb allocation (Stefano Garzarella) [2209707]
- test/vsock: copy to user failure test (Stefano Garzarella) [2209707]
- virtio/vsock: don't drop skbuff on copy failure (Stefano Garzarella) [2209707]
- virtio/vsock: remove redundant 'skb_pull()' call (Stefano Garzarella) [2209707]
- virtio/vsock: don't use skbuff state to account credit (Stefano Garzarella) [2209707]
- vhost: remove unused paramete (Stefano Garzarella) [2209707]
- virtio/vsock: replace virtio_vsock_pkt with sk_buff (Stefano Garzarella) [2209707]
- test/vsock: vsock_perf utility (Stefano Garzarella) [2209707]
- test/vsock: add big message test (Stefano Garzarella) [2209707]
- test/vsock: rework message bounds test (Stefano Garzarella) [2209707]
- vsock: return errors other than -ENOMEM to socket (Stefano Garzarella) [2209707]
- config: wifi: enable RTL8852 card (Íñigo Huguet) [2100568 2127040 2208968]
- u64_stat: Remove the obsolete fetch_irq() variants. (Ivan Vecera) [2193170]
- net: Remove the obsolte u64_stats_fetch_*_irq() users (net). (Ivan Vecera) [2193170]
- net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Ivan Vecera) [2193170]
- net: ifb: support ethtools stats (Ivan Vecera) [2193170]
- spi: Remove the obsolte u64_stats_fetch_*_irq() users. (Ivan Vecera) [2193170]
- bpf: Remove the obsolte u64_stats_fetch_*_irq() users. (Ivan Vecera) [2193170]
- net: hns3: split function hns3_nic_get_stats64() (Ivan Vecera) [2193170]
- team: adopt u64_stats_t (Ivan Vecera) [2193170]
- drop_monitor: adopt u64_stats_t (Ivan Vecera) [2193170]
- net: adopt u64_stats_t in struct pcpu_sw_netstats (Ivan Vecera) [2193170]
- wireguard: receive: use dev_sw_netstats_rx_add() (Ivan Vecera) [2193170]
- ip6_tunnel: use dev_sw_netstats_rx_add() (Ivan Vecera) [2193170]
- sit: use dev_sw_netstats_rx_add() (Ivan Vecera) [2193170]
- ipvlan: adopt u64_stats_t (Ivan Vecera) [2193170]
- vlan: adopt u64_stats_t (Ivan Vecera) [2193170]
- KVM: s390: pv: fix asynchronous teardown for small VMs (Thomas Huth) [2203390]
- KVM: s390: fix race in gmap_make_secure() (Thomas Huth) [2203390]
- KVM: selftests: Compile s390 tests with -march=z10 (Thomas Huth) [2183983]
Resolves: rhbz#2100568, rhbz#2127040, rhbz#2183349, rhbz#2183350, rhbz#2183983, rhbz#2187671, rhbz#2192660, rhbz#2193079, rhbz#2193170, rhbz#2203390, rhbz#2208968, rhbz#2209707

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-13 14:21:47 +02:00
Jan Stancek
171df149af kernel-5.14.0-324.el9
* Thu Jun 08 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-324.el9]
- device-dax: Fix duplicate 'hmem' device registration (Jeff Moyer) [2207496]
- redhat/configs: turn on I3C drivers (Steve Best) [2209439]
- cpufreq: amd-pstate: Remove fast_switch_possible flag from active driver (David Arcari) [2088121]
- amd-pstate: Fix amd_pstate mode switch (David Arcari) [2088121]
- cpufreq: amd-pstate: remove MODULE_LICENSE in non-modules (David Arcari) [2088121]
- cpufreq: amd-pstate: Let user know amd-pstate is disabled (David Arcari) [2088121]
- cpufreq: amd-pstate: Fix invalid write to MSR_AMD_CPPC_REQ (David Arcari) [2088121]
- cpufreq: amd-pstate: avoid uninitialized variable use (David Arcari) [2088121]
- cpufreq: Make cpufreq_unregister_driver() return void (David Arcari) [2088121]
- cpufreq: amd-pstate: convert sprintf with sysfs_emit() (David Arcari) [2088121]
- cpufreq: amd-pstate: add driver working mode switch support (David Arcari) [2088121]
- cpufreq: amd-pstate: implement suspend and resume callbacks (David Arcari) [2088121]
- cpufreq: amd-pstate: implement amd pstate cpu online and offline callback (David Arcari) [2088121]
- cpufreq: amd-pstate: implement Pstate EPP support for the AMD processors (David Arcari) [2088121]
- cpufreq: amd-pstate: optimize driver working mode selection in amd_pstate_param() (David Arcari) [2088121]
- cpufreq: amd-pstate: fix kernel hang issue while amd-pstate unregistering (David Arcari) [2088121]
- tpm: disable hwrng for fTPM on some AMD designs (Štěpán Horáček) [2159896]
- tpm/eventlog: Don't abort tpm_read_log on faulty ACPI address (Štěpán Horáček) [2159896]
- tpm: Use managed allocation for bios event log (Štěpán Horáček) [2159896]
- efi: tpm: Avoid READ_ONCE() for accessing the event log (Štěpán Horáček) [2159896]
- tpm: Allow system suspend to continue when TPM suspend fails (Štěpán Horáček) [2159896]
- tpm: tpm_tis: Add the missed acpi_put_table() to fix memory leak (Štěpán Horáček) [2159896]
- tpm: tpm_crb: Add the missed acpi_put_table() to fix memory leak (Štěpán Horáček) [2159896]
- tpm: acpi: Call acpi_put_table() to fix memory leak (Štěpán Horáček) [2159896]
- tpm/tpm_crb: Fix error message in __crb_relinquish_locality() (Štěpán Horáček) [2159896]
- tpm: Avoid function type cast of put_device() (Štěpán Horáček) [2159896]
- char: tpm: Protect tpm_pm_suspend with locks (Štěpán Horáček) [2159896]
- efi/tpm: Pass correct address to memblock_reserve (Štěpán Horáček) [2159896]
- char: move from strlcpy with unused retval to strscpy (Štěpán Horáček) [2159896]
- tpm: eventlog: Fix section mismatch for DEBUG_SECTION_MISMATCH (Štěpán Horáček) [2159896]
- KEYS: trusted: tpm2: Fix migratable logic (Štěpán Horáček) [2159896]
- tpm: Fix buffer access in tpm2_get_tpm_pt() (Štěpán Horáček) [2159896]
- tpm: ibmvtpm: Correct the return value in tpm_ibmvtpm_probe() (Štěpán Horáček) [2159896]
- tpm: use try_get_ops() in tpm-space.c (Štěpán Horáček) [2159896]
- tpm: fix reference counting for struct tpm_chip (Štěpán Horáček) [2159896]
- tpm: Fix error handling in async work (Štěpán Horáček) [2100314]
- tpm: vtpm_proxy: Check length to avoid compiler warning (Štěpán Horáček) [2159896]
- tpm: add request_locality before write TPM_INT_ENABLE (Štěpán Horáček) [2159896]
- tpm_tis_spi: Add missing SPI ID (Štěpán Horáček) [2159896]
- tpm: Check for integer overflow in tpm2_map_response_body() (Štěpán Horáček) [2159896]
- net: rtnetlink: fix module reference count leak issue in rtnetlink_rcv_msg (Ivan Vecera) [2193176]
- net: rtnetlink: add ndm flags and state mask attributes (Ivan Vecera) [2193176]
- net: rtnetlink: add NLM_F_BULK support to rtnl_fdb_del (Ivan Vecera) [2193176]
- net: add ndo_fdb_del_bulk (Ivan Vecera) [2193176]
- net: rtnetlink: add bulk delete support flag (Ivan Vecera) [2193176]
- net: netlink: add NLM_F_BULK delete request modifier (Ivan Vecera) [2193176]
- net: rtnetlink: use BIT for flag values (Ivan Vecera) [2193176]
- net: rtnetlink: add helper to extract msg type's kind (Ivan Vecera) [2193176]
- net: rtnetlink: add msg kind names (Ivan Vecera) [2193176]
- redhat/configs: turn off IMX93 ADC Driver (Steve Best) [2193482]
Resolves: rhbz#2088121, rhbz#2100314, rhbz#2159896, rhbz#2193176, rhbz#2193482, rhbz#2207496, rhbz#2209439

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-08 08:13:58 +02:00
Jan Stancek
e6537ab118 kernel-5.14.0-323.el9
* Tue Jun 06 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-323.el9]
- platform/x86/intel/ifs: Annotate work queue on stack so object debug does not complain (David Arcari) [2209290]
- selftests: add a selftest for big tcp (Xin Long) [2203333]
- netfilter: use nf_ip6_check_hbh_len in nf_ct_skb_network_trim (Xin Long) [2203333]
- netfilter: move br_nf_check_hbh_len to utils (Xin Long) [2203333]
- netfilter: bridge: move pskb_trim_rcsum out of br_nf_check_hbh_len (Xin Long) [2203333]
- netfilter: bridge: check len before accessing more nh data (Xin Long) [2203333]
- netfilter: bridge: call pskb_may_pull in br_nf_check_hbh_len (Xin Long) [2203333]
- net: atlantic: Fix crash when XDP is enabled but no program is loaded (Íñigo Huguet) [2184650]
- net: atlantic: macsec: clear encryption keys from the stack (Íñigo Huguet) [2184650]
- net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Íñigo Huguet) [2184650]
- net: atlantic: fix potential memory leak in aq_ndev_close() (Íñigo Huguet) [2184650]
- net: atlantic: fix aq_vec index out of range error (Íñigo Huguet) [2184650]
- net: atlantic:fix repeated words in comments (Íñigo Huguet) [2184650]
- net: atlantic: verify hw_head_ lies within TX buffer ring (Íñigo Huguet) [2184650]
- net: atlantic: add check for MAX_SKB_FRAGS (Íñigo Huguet) [2184650]
- net: atlantic: reduce scope of is_rsc_complete (Íñigo Huguet) [2184650]
- net: atlantic: fix "frag[0] not initialized" (Íñigo Huguet) [2184650]
- net: atlantic: Implement .ndo_xdp_xmit handler (Íñigo Huguet) [2184650]
- net: atlantic: Implement xdp data plane (Íñigo Huguet) [2184650]
- net: atlantic: Implement xdp control plane (Íñigo Huguet) [2184650]
- net: atlantic: Avoid out-of-bounds indexing (Íñigo Huguet) [2184650]
- net: atlantic: Use the bitmap API instead of hand-writing it (Íñigo Huguet) [2184650]
- atlantic: Fix buff_ring OOB in aq_ring_rx_clean (Íñigo Huguet) [2184650]
- atlantic: Remove warn trace message. (Íñigo Huguet) [2184650]
- atlantic: Fix statistics logic for production hardware (Íñigo Huguet) [2184650]
- Remove Half duplex mode speed capabilities. (Íñigo Huguet) [2184650]
- atlantic: Add missing DIDs and fix 115c. (Íñigo Huguet) [2184650]
- atlantic: Fix to display FW bundle version instead of FW mac version. (Íñigo Huguet) [2184650]
- atlatnic: enable Nbase-t speeds with base-t (Íñigo Huguet) [2184650]
- atlantic: Increase delay for fw transactions (Íñigo Huguet) [2184650]
- atlantic: fix double-free in aq_ring_tx_clean (Íñigo Huguet) [2184650]
- atlantic: Fix OOB read and write in hw_atl_utils_fw_rpc_wait (Íñigo Huguet) [2184650]
- ethernet: aquantia: use eth_hw_addr_set() (Íñigo Huguet) [2184650]
- ethernet: constify references to netdev->dev_addr in drivers (Íñigo Huguet) [2184650]
- net: atlantic: switch from 'pci_' to 'dma_' API (Íñigo Huguet) [2184650]
- sfc: Fix module EEPROM reporting for QSFP modules (Íñigo Huguet) [2203188]
- blk-mq: don't plug for head insertions in blk_execute_rq_nowait (Ming Lei) [2208172]
- blk-mq: don't queue plugged passthrough requests into scheduler (Ming Lei) [2208172]
- blk-mq: fold blk_mq_sched_insert_requests into blk_mq_dispatch_plug_list (Ming Lei) [2208172]
- blk-mq: move more logic into blk_mq_insert_requests (Ming Lei) [2208172]
- arm64: dts: qcom: sa8775p: mark the UFS controller as dma-coherent (Andrew Halaney) [2208307]
- arm64: dts: qcom: sa8775p-ride: enable UFS (Andrew Halaney) [2208307]
- arm64: dts: qcom: sa8775p: add UFS nodes (Andrew Halaney) [2208307]
- redhat/configs: Enable Marvell CN10k TAD PMU driver (Bharat Bhushan) [2042240]
- perf/marvell: Add ACPI support to TAD uncore driver (Bharat Bhushan) [2042240]
- drivers: perf: marvell_cn10k: Fix hotplug callback leak in tad_pmu_init() (Bharat Bhushan) [2042240]
- perf/marvell_cn10k: Fix TAD PMU register offset (Bharat Bhushan) [2042240]
- perf/marvell_cn10k: Remove useless license text when SPDX-License-Identifier is already used (Bharat Bhushan) [2042240]
- perf/marvell_cn10k: Fix tad_pmu_event_init() to check pmu type first (Bharat Bhushan) [2042240]
- perf/marvell_cn10k: Fix unused variable warning when W=1 and CONFIG_OF=n (Bharat Bhushan) [2042240]
- drivers: perf: marvell_cn10k: fix an IS_ERR() vs NULL check (Bharat Bhushan) [2042240]
- perf: MARVELL_CN10K_TAD_PMU should depend on ARCH_THUNDER (Bharat Bhushan) [2042240]
- dt-bindings: perf: Add YAML schemas for Marvell CN10K LLC-TAD pmu bindings (Bharat Bhushan) [2042240]
- drivers: perf: Add LLC-TAD perf counter support (Bharat Bhushan) [2042240]
- xfs: fix off-by-one-block in xfs_discard_folio() (Bill O'Donnell) [2167832]
- xfs: fix memory leak in xfs_errortag_init (Bill O'Donnell) [2167832]
- xfs: Fix unreferenced object reported by kmemleak in xfs_sysfs_init() (Bill O'Donnell) [2167832]
- xfs: fix uninitialized list head in struct xfs_refcount_recovery (Bill O'Donnell) [2167832]
- xfs: initialize the check_owner object fully (Bill O'Donnell) [2167832]
- xfs: load rtbitmap and rtsummary extent mapping btrees at mount time (Bill O'Donnell) [2167832]
- xfs: make rtbitmap ILOCKing consistent when scanning the rt bitmap file (Bill O'Donnell) [2167832]
- xfs: fix incorrect error-out in xfs_remove (Bill O'Donnell) [2167832]
- xfs: fix sb write verify for lazysbcount (Bill O'Donnell) [2167832]
- xfs: fix incorrect i_nlink caused by inode racing (Bill O'Donnell) [2167832]
- xfs: wait iclog complete before tearing down AIL (Bill O'Donnell) [2167832]
- xfs: get rid of assert from xfs_btree_islastblock (Bill O'Donnell) [2167832]
- xfs: fix off-by-one error in xfs_btree_space_to_height (Bill O'Donnell) [2167832]
- xfs: get root inode correctly at bulkstat (Bill O'Donnell) [2167832]
- xfs: allow setting full range of panic tags (Bill O'Donnell) [2167832]
- xfs: fix inode reservation space for removing transaction (Bill O'Donnell) [2167832]
- xfs: Fix false ENOSPC when performing direct write on a delalloc extent in cow fork (Bill O'Donnell) [2167832]
- xfs: fix intermittent hang during quotacheck (Bill O'Donnell) [2167832]
- xfs: check return codes when flushing block devices (Bill O'Donnell) [2167832]
- xfs: delete extra space and tab in blank line (Bill O'Donnell) [2167832]
- xfs: fix NULL pointer dereference in xfs_getbmap() (Bill O'Donnell) [2167832]
- xfs: fail dax mount if reflink is enabled on a partition (Bill O'Donnell) [2167832]
- xfs: Fix typo 'the the' in comment (Bill O'Donnell) [2167832]
- xfs: Fix comment typo (Bill O'Donnell) [2167832]
- xfs: don't leak memory when attr fork loading fails (Bill O'Donnell) [2167832]
- xfs: fix for variable set but not used warning (Bill O'Donnell) [2167832]
- xfs: xfs_buf cache destroy isn't RCU safe (Bill O'Donnell) [2167832]
- xfs: delete unnecessary NULL checks (Bill O'Donnell) [2167832]
- xfs: fix comment for start time value of inode with bigtime enabled (Bill O'Donnell) [2167832]
- xfs: fix use-after-free in xattr node block inactivation (Bill O'Donnell) [2167832]
- xfs: lockless buffer lookup (Bill O'Donnell) [2167832]
- xfs: remove a superflous hash lookup when inserting new buffers (Bill O'Donnell) [2167832]
- xfs: reduce the number of atomic when locking a buffer after lookup (Bill O'Donnell) [2167832]
- xfs: merge xfs_buf_find() and xfs_buf_get_map() (Bill O'Donnell) [2167832]
- xfs: break up xfs_buf_find() into individual pieces (Bill O'Donnell) [2167832]
- xfs: add in-memory iunlink log item (Bill O'Donnell) [2167832]
- xfs: add log item precommit operation (Bill O'Donnell) [2167832]
- xfs: combine iunlink inode update functions (Bill O'Donnell) [2167832]
- xfs: clean up xfs_iunlink_update_inode() (Bill O'Donnell) [2167832]
- xfs: double link the unlinked inode list (Bill O'Donnell) [2167832]
- xfs: introduce xfs_iunlink_lookup (Bill O'Donnell) [2167832]
- xfs: refactor xlog_recover_process_iunlinks() (Bill O'Donnell) [2167832]
- xfs: track the iunlink list pointer in the xfs_inode (Bill O'Donnell) [2167832]
- xfs: factor the xfs_iunlink functions (Bill O'Donnell) [2167832]
- xfs: replace inode fork size macros with functions (Bill O'Donnell) [2167832]
- xfs: replace XFS_IFORK_Q with a proper predicate function (Bill O'Donnell) [2167832]
- xfs: use XFS_IFORK_Q to determine the presence of an xattr fork (Bill O'Donnell) [2167832]
- xfs: make inode attribute forks a permanent part of struct xfs_inode (Bill O'Donnell) [2167832]
- xfs: convert XFS_IFORK_PTR to a static inline helper (Bill O'Donnell) [2167832]
- xfs: removed useless condition in function xfs_attr_node_get (Bill O'Donnell) [2167832]
- xfs: rework xfs_buf_incore() API (Bill O'Donnell) [2167832]
- xfs: make is_log_ag() a first class helper (Bill O'Donnell) [2167832]
- xfs: replace xfs_ag_block_count() with perag accesses (Bill O'Donnell) [2167832]
- xfs: Pre-calculate per-AG agino geometry (Bill O'Donnell) [2167832]
- xfs: Pre-calculate per-AG agbno geometry (Bill O'Donnell) [2167832]
- xfs: pass perag to xfs_alloc_read_agfl (Bill O'Donnell) [2167832]
- xfs: pass perag to xfs_alloc_put_freelist (Bill O'Donnell) [2167832]
- xfs: pass perag to xfs_alloc_get_freelist (Bill O'Donnell) [2167832]
- xfs: pass perag to xfs_read_agf (Bill O'Donnell) [2167832]
- xfs: pass perag to xfs_read_agi (Bill O'Donnell) [2167832]
- xfs: pass perag to xfs_alloc_read_agf() (Bill O'Donnell) [2167832]
- xfs: kill xfs_alloc_pagf_init() (Bill O'Donnell) [2167832]
- xfs: pass perag to xfs_ialloc_read_agi() (Bill O'Donnell) [2167832]
- xfs: kill xfs_ialloc_pagi_init() (Bill O'Donnell) [2167832]
- xfs: make last AG grow/shrink perag centric (Bill O'Donnell) [2167832]
- xfs: xlog_sync() manually adjusts grant head space (Bill O'Donnell) [2167832]
- xfs: avoid cil push lock if possible (Bill O'Donnell) [2167832]
- xfs: move CIL ordering to the logvec chain (Bill O'Donnell) [2167832]
- xfs: convert log vector chain to use list heads (Bill O'Donnell) [2167832]
- xfs: convert CIL to unordered per cpu lists (Bill O'Donnell) [2167832]
- xfs: Add order IDs to log items in CIL (Bill O'Donnell) [2167832]
- xfs: convert CIL busy extents to per-cpu (Bill O'Donnell) [2167832]
- xfs: track CIL ticket reservation in percpu structure (Bill O'Donnell) [2167832]
- xfs: implement percpu cil space used calculation (Bill O'Donnell) [2167832]
- xfs: introduce per-cpu CIL tracking structure (Bill O'Donnell) [2167832]
- xfs: rework per-iclog header CIL reservation (Bill O'Donnell) [2167832]
- xfs: lift init CIL reservation out of xc_cil_lock (Bill O'Donnell) [2167832]
- xfs: use the CIL space used counter for emptiness checks (Bill O'Donnell) [2167832]
- xfs: prevent a UAF when log IO errors race with unmount (Bill O'Donnell) [2167832]
- xfs: dont treat rt extents beyond EOF as eofblocks to be cleared (Bill O'Donnell) [2167832]
- xfs: don't hold xattr leaf buffers across transaction rolls (Bill O'Donnell) [2167832]
- xfs: empty xattr leaf header blocks are not corruption (Bill O'Donnell) [2167832]
- xfs: clean up the end of xfs_attri_item_recover (Bill O'Donnell) [2167832]
- xfs: always free xattri_leaf_bp when cancelling a deferred op (Bill O'Donnell) [2167832]
- xfs: factor out the common lock flags assert (Bill O'Donnell) [2167832]
- xfs: preserve DIFLAG2_NREXT64 when setting other inode attributes (Bill O'Donnell) [2167832]
- xfs: fix variable state usage (Bill O'Donnell) [2167832]
- xfs: fix TOCTOU race involving the new logged xattrs control knob (Bill O'Donnell) [2167832]
- xfs: move xfs_attr_use_log_assist usage out of libxfs (Bill O'Donnell) [2167832]
- xfs: move xfs_attr_use_log_assist out of xfs_log.c (Bill O'Donnell) [2167832]
- xfs: warn about LARP once per mount (Bill O'Donnell) [2167832]
- xfs: implement per-mount warnings for scrub and shrink usage (Bill O'Donnell) [2167832]
- xfs: don't log every time we clear the log incompat flags (Bill O'Donnell) [2167832]
- xfs: convert buf_cancel_table allocation to kmalloc_array (Bill O'Donnell) [2167832]
- xfs: don't leak xfs_buf_cancel structures when recovery fails (Bill O'Donnell) [2167832]
- xfs: refactor buffer cancellation table allocation (Bill O'Donnell) [2167832]
- xfs: don't leak btree cursor when insrec fails after a split (Bill O'Donnell) [2167832]
- xfs: purge dquots after inode walk fails during quotacheck (Bill O'Donnell) [2167832]
- xfs: assert in xfs_btree_del_cursor should take into account error (Bill O'Donnell) [2167832]
- xfs: don't assert fail on perag references on teardown (Bill O'Donnell) [2167832]
- xfs: avoid unnecessary runtime sibling pointer endian conversions (Bill O'Donnell) [2167832]
- xfs: share xattr name and value buffers when logging xattr updates (Bill O'Donnell) [2167832]
- xfs: do not use logged xattr updates on V4 filesystems (Bill O'Donnell) [2167832]
- xfs: Remove duplicate include (Bill O'Donnell) [2167832]
- xfs: reduce IOCB_NOWAIT judgment for retry exclusive unaligned DIO (Bill O'Donnell) [2167832]
- xfs: Remove dead code (Bill O'Donnell) [2167832]
- xfs: fix typo in comment (Bill O'Donnell) [2167832]
- xfs: rename struct xfs_attr_item to xfs_attr_intent (Bill O'Donnell) [2167832]
- xfs: clean up state variable usage in xfs_attr_node_remove_attr (Bill O'Donnell) [2167832]
- xfs: put attr[id] log item cache init with the others (Bill O'Donnell) [2167832]
- xfs: remove struct xfs_attr_item.xattri_flags (Bill O'Donnell) [2167832]
- xfs: use a separate slab cache for deferred xattr work state (Bill O'Donnell) [2167832]
- xfs: put the xattr intent item op flags in their own namespace (Bill O'Donnell) [2167832]
- xfs: clean up xfs_attr_node_hasname (Bill O'Donnell) [2167832]
- xfs: free xfs_attrd_log_items correctly (Bill O'Donnell) [2167832]
- xfs: validate xattr name earlier in recovery (Bill O'Donnell) [2167832]
- xfs: reject unknown xattri log item filter flags during recovery (Bill O'Donnell) [2167832]
- xfs: reject unknown xattri log item operation flags during recovery (Bill O'Donnell) [2167832]
- xfs: don't leak the retained da state when doing a leaf to node conversion (Bill O'Donnell) [2167832]
- xfs: don't leak da state when freeing the attr intent item (Bill O'Donnell) [2167832]
- iomap: don't invalidate folios after writeback errors (Bill O'Donnell) [2167832]
- xfs: can't use kmem_zalloc() for attribute buffers (Bill O'Donnell) [2167832]
- xfs: detect empty attr leaf blocks in xfs_attr3_leaf_verify (Bill O'Donnell) [2167832]
- xfs: ATTR_REPLACE algorithm with LARP enabled needs rework (Bill O'Donnell) [2167832]
- xfs: use XFS_DA_OP flags in deferred attr ops (Bill O'Donnell) [2167832]
- xfs: remove xfs_attri_remove_iter (Bill O'Donnell) [2167832]
- xfs: switch attr remove to xfs_attri_set_iter (Bill O'Donnell) [2167832]
- xfs: introduce attr remove initial states into xfs_attr_set_iter (Bill O'Donnell) [2167832]
- xfs: xfs_attr_set_iter() does not need to return EAGAIN (Bill O'Donnell) [2167832]
- xfs: clean up final attr removal in xfs_attr_set_iter (Bill O'Donnell) [2167832]
- xfs: remote xattr removal in xfs_attr_set_iter() is conditional (Bill O'Donnell) [2167832]
- xfs: XFS_DAS_LEAF_REPLACE state only needed if !LARP (Bill O'Donnell) [2167832]
- xfs: split remote attr setting out from replace path (Bill O'Donnell) [2167832]
- xfs: consolidate leaf/node states in xfs_attr_set_iter (Bill O'Donnell) [2167832]
- xfs: kill XFS_DAC_LEAF_ADDNAME_INIT (Bill O'Donnell) [2167832]
- xfs: separate out initial attr_set states (Bill O'Donnell) [2167832]
- xfs: don't set quota warning values (Bill O'Donnell) [2167832]
- xfs: remove warning counters from struct xfs_dquot_res (Bill O'Donnell) [2167832]
- xfs: remove quota warning limit from struct xfs_quota_limits (Bill O'Donnell) [2167832]
- xfs: rework deferred attribute operation setup (Bill O'Donnell) [2167832]
- xfs: make xattri_leaf_bp more useful (Bill O'Donnell) [2167832]
- xfs: initialise attrd item to zero (Bill O'Donnell) [2167832]
- xfs: avoid empty xattr transaction when attrs are inline (Bill O'Donnell) [2167832]
- xfs: add leaf to node error tag (Bill O'Donnell) [2167832]
- xfs: add leaf split error tag (Bill O'Donnell) [2167832]
- xfs: Add helper function xfs_init_attr_trans (Bill O'Donnell) [2167832]
- xfs: Add helper function xfs_attr_leaf_addname (Bill O'Donnell) [2167832]
- xfs: Merge xfs_delattr_context into xfs_attr_item (Bill O'Donnell) [2167832]
- xfs: Add larp debug option (Bill O'Donnell) [2167832]
- xfs: Add log attribute error tag (Bill O'Donnell) [2167832]
- xfs: Remove unused xfs_attr_*_args (Bill O'Donnell) [2167832]
- xfs: Add xfs_attr_set_deferred and xfs_attr_remove_deferred (Bill O'Donnell) [2167832]
- xfs: Skip flip flags for delayed attrs (Bill O'Donnell) [2167832]
- xfs: Implement attr logging and replay (Bill O'Donnell) [2167832]
- xfs: Set up infrastructure for log attribute replay (Bill O'Donnell) [2167832]
- xfs: Return from xfs_attr_set_iter if there are no more rmtblks to process (Bill O'Donnell) [2167832]
- xfs: Fix double unlock in defer capture code (Bill O'Donnell) [2167832]
- xfs: validate v5 feature fields (Bill O'Donnell) [2167832]
- xfs: set XFS_FEAT_NLINK correctly (Bill O'Donnell) [2167832]
- xfs: detect self referencing btree sibling pointers (Bill O'Donnell) [2167832]
- xfs: intent item whiteouts (Bill O'Donnell) [2167832]
- xfs: whiteouts release intents that are not in the AIL (Bill O'Donnell) [2167832]
- xfs: add log item method to return related intents (Bill O'Donnell) [2167832]
- xfs: factor and move some code in xfs_log_cil.c (Bill O'Donnell) [2167832]
- xfs: tag transactions that contain intent done items (Bill O'Donnell) [2167832]
- xfs: add log item flags to indicate intents (Bill O'Donnell) [2167832]
- xfs: don't commit the first deferred transaction without intents (Bill O'Donnell) [2167832]
- xfs: hide log iovec alignment constraints (Bill O'Donnell) [2167832]
- xfs: fix potential log item leak (Bill O'Donnell) [2167832]
- xfs: zero inode fork buffer at allocation (Bill O'Donnell) [2167832]
- xfs: rename xfs_*alloc*_log_count to _block_count (Bill O'Donnell) [2167832]
- xfs: rewrite xfs_reflink_end_cow to use intents (Bill O'Donnell) [2167832]
- xfs: reduce transaction reservations with reflink (Bill O'Donnell) [2167832]
- xfs: reduce the absurdly large log operation count (Bill O'Donnell) [2167832]
- xfs: report "max_resp" used for min log size computation (Bill O'Donnell) [2167832]
- xfs: create shadow transaction reservations for computing minimum log size (Bill O'Donnell) [2167832]
- xfs: remove a __xfs_bunmapi call from reflink (Bill O'Donnell) [2167832]
- xfs: stop artificially limiting the length of bunmap calls (Bill O'Donnell) [2167832]
- xfs: count EFIs when deciding to ask for a continuation of a refcount update (Bill O'Donnell) [2167832]
- xfs: speed up write operations by using non-overlapped lookups when possible (Bill O'Donnell) [2167832]
- xfs: speed up rmap lookups by using non-overlapped lookups when possible (Bill O'Donnell) [2167832]
- xfs: simplify xfs_rmap_lookup_le call sites (Bill O'Donnell) [2167832]
- xfs: capture buffer ops in the xfs_buf tracepoints (Bill O'Donnell) [2167832]
- xfs: fix soft lockup via spinning in filestream ag selection loop (Bill O'Donnell) [2167832]
- xfs: improve __xfs_set_acl (Bill O'Donnell) [2167832]
- xfs: convert log ticket and iclog flags to unsigned. (Bill O'Donnell) [2167832]
- xfs: convert quota options flags to unsigned. (Bill O'Donnell) [2167832]
- xfs: convert ptag flags to unsigned. (Bill O'Donnell) [2167832]
- xfs: convert log item tracepoint flags to unsigned. (Bill O'Donnell) [2167832]
- xfs: convert dquot flags to unsigned. (Bill O'Donnell) [2167832]
- xfs: convert da btree operations flags to unsigned. (Bill O'Donnell) [2167832]
- xfs: convert buffer log item flags to unsigned. (Bill O'Donnell) [2167832]
- xfs: convert btree buffer log flags to unsigned. (Bill O'Donnell) [2167832]
- xfs: convert AGI log flags to unsigned. (Bill O'Donnell) [2167832]
- xfs: convert AGF log flags to unsigned. (Bill O'Donnell) [2167832]
- xfs: convert bmapi flags to unsigned. (Bill O'Donnell) [2167832]
- xfs: convert bmap extent type flags to unsigned. (Bill O'Donnell) [2167832]
- xfs: convert scrub type flags to unsigned. (Bill O'Donnell) [2167832]
- xfs: convert attr type flags to unsigned. (Bill O'Donnell) [2167832]
- xfs: CIL context doesn't need to count iovecs (Bill O'Donnell) [2167832]
- xfs: xlog_write() doesn't need optype anymore (Bill O'Donnell) [2167832]
- xfs: xlog_write() no longer needs contwr state (Bill O'Donnell) [2167832]
- xfs: remove xlog_verify_dest_ptr (Bill O'Donnell) [2167832]
- xfs: introduce xlog_write_partial() (Bill O'Donnell) [2167832]
- xfs: introduce xlog_write_full() (Bill O'Donnell) [2167832]
- xfs: change the type of ic_datap (Bill O'Donnell) [2167832]
- xfs: pass lv chain length into xlog_write() (Bill O'Donnell) [2167832]
- xfs: log ticket region debug is largely useless (Bill O'Donnell) [2167832]
- xfs: reserve space and initialise xlog_op_header in item formatting (Bill O'Donnell) [2167832]
- xfs: move log iovec alignment to preparation function (Bill O'Donnell) [2167832]
- xfs: log tickets don't need log client id (Bill O'Donnell) [2167832]
- xfs: embed the xlog_op_header in the commit record (Bill O'Donnell) [2167832]
- xfs: embed the xlog_op_header in the unmount record (Bill O'Donnell) [2167832]
- xfs: only CIL pushes require a start record (Bill O'Donnell) [2167832]
- xfs: factor out the CIL transaction header building (Bill O'Donnell) [2167832]
- xfs: simplify local variable assignment in file write code (Bill O'Donnell) [2167832]
- xfs: convert buffer flags to unsigned. (Bill O'Donnell) [2167832]
- xfs: Add XFS_SB_FEAT_INCOMPAT_NREXT64 to the list of supported flags (Bill O'Donnell) [2167832]
- xfs: Enable bulkstat ioctl to support 64-bit per-inode extent counters (Bill O'Donnell) [2167832]
- xfs: Decouple XFS_IBULK flags from XFS_IWALK flags (Bill O'Donnell) [2167832]
- xfs: Conditionally upgrade existing inodes to use large extent counters (Bill O'Donnell) [2167832]
- xfs: Directory's data fork extent counter can never overflow (Bill O'Donnell) [2167832]
- xfs: use a separate frextents counter for rt extent reservations (Bill O'Donnell) [2167832]
- xfs: recalculate free rt extents after log recovery (Bill O'Donnell) [2167832]
- xfs: pass explicit mount pointer to rtalloc query functions (Bill O'Donnell) [2167832]
- xfs: Introduce per-inode 64-bit extent counters (Bill O'Donnell) [2167832]
- xfs: Replace numbered inode recovery error messages with descriptive ones (Bill O'Donnell) [2167832]
- xfs: Introduce macros to represent new maximum extent counts for data/attr forks (Bill O'Donnell) [2167832]
- xfs: Use uint64_t to count maximum blocks that can be used by BMBT (Bill O'Donnell) [2167832]
- xfs: Introduce XFS_DIFLAG2_NREXT64 and associated helpers (Bill O'Donnell) [2167832]
- xfs: Introduce XFS_FSOP_GEOM_FLAGS_NREXT64 (Bill O'Donnell) [2167832]
- xfs: Introduce XFS_SB_FEAT_INCOMPAT_NREXT64 and associated per-fs feature bit (Bill O'Donnell) [2167832]
- xfs: Promote xfs_extnum_t and xfs_aextnum_t to 64 and 32-bits respectively (Bill O'Donnell) [2167832]
- xfs: Use basic types to define xfs_log_dinode's di_nextents and di_anextents (Bill O'Donnell) [2167832]
- xfs: Introduce xfs_dfork_nextents() helper (Bill O'Donnell) [2167832]
- xfs: Use xfs_extnum_t instead of basic data types (Bill O'Donnell) [2167832]
- xfs: Introduce xfs_iext_max_nextents() helper (Bill O'Donnell) [2167832]
- xfs: Define max extent length based on on-disk format definition (Bill O'Donnell) [2167832]
- xfs: Move extent count limits to xfs_format.h (Bill O'Donnell) [2167832]
- xfs: Add XFS messages to printk index (Bill O'Donnell) [2167832]
- xfs: Simplify XFS logging methods. (Bill O'Donnell) [2167832]
- xfs: drop async cache flushes from CIL commits. (Bill O'Donnell) [2167832]
- xfs: shutdown during log recovery needs to mark the log shutdown (Bill O'Donnell) [2167832]
- xfs: xfs_trans_commit() path must check for log shutdown (Bill O'Donnell) [2167832]
- xfs: xfs_do_force_shutdown needs to block racing shutdowns (Bill O'Donnell) [2167832]
- xfs: log shutdown triggers should only shut down the log (Bill O'Donnell) [2167832]
- xfs: shutdown in intent recovery has non-intent items in the AIL (Bill O'Donnell) [2167832]
- xfs: aborting inodes on shutdown may need buffer lock (Bill O'Donnell) [2167832]
- xfs: don't report reserved bnobt space as available (Bill O'Donnell) [2167832]
- xfs: fix overfilling of reserve pool (Bill O'Donnell) [2167832]
- xfs: always succeed at setting the reserve pool size (Bill O'Donnell) [2167832]
- xfs: remove infinite loop when reserving free block pool (Bill O'Donnell) [2167832]
- xfs: don't include bnobt blocks when reserving free block pool (Bill O'Donnell) [2167832]
- xfs: document the XFS_ALLOC_AGFL_RESERVE constant (Bill O'Donnell) [2167832]
- xfs: AIL should be log centric (Bill O'Donnell) [2167832]
- xfs: xfs_ail_push_all_sync() stalls when racing with updates (Bill O'Donnell) [2167832]
- xfs: check buffer pin state after locking in delwri_submit (Bill O'Donnell) [2167832]
- xfs: log worker needs to start before intent/unlink recovery (Bill O'Donnell) [2167832]
- xfs: constify xfs_name_dotdot (Bill O'Donnell) [2167832]
- xfs: constify the name argument to various directory functions (Bill O'Donnell) [2167832]
- xfs: refactor user/group quota chown in xfs_setattr_nonsize (Bill O'Donnell) [2167832]
- xfs: add missing cmap->br_state = XFS_EXT_NORM update (Bill O'Donnell) [2167832]
- xfs: Replace zero-length arrays with flexible-array members (Bill O'Donnell) [2167832]
- xfs: only bother with sync_filesystem during readonly remount (Bill O'Donnell) [2167832]
- xfs: reject crazy array sizes being fed to XFS_IOC_GETBMAP* (Bill O'Donnell) [2167832]
- xfs, iomap: limit individual ioend chain lengths in writeback (Bill O'Donnell) [2167832]
- xfs: remove unused xfs_ioctl32.h declarations (Bill O'Donnell) [2167832]
- xfs: remove the XFS_IOC_{ALLOC,FREE}SP* definitions (Bill O'Donnell) [2167832]
- xfs: remove the XFS_IOC_FSSETDM definitions (Bill O'Donnell) [2167832]
- xfs: fix online fsck handling of v5 feature bits on secondary supers (Bill O'Donnell) [2167832]
- xfs: take the ILOCK when readdir inspects directory mapping data (Bill O'Donnell) [2167832]
- xfs: warn about inodes with project id of -1 (Bill O'Donnell) [2167832]
- xfs: hold quota inode ILOCK_EXCL until the end of dqalloc (Bill O'Donnell) [2167832]
- xfs: Remove redundant assignment of mp (Bill O'Donnell) [2167832]
- xfs: reduce kvmalloc overhead for CIL shadow buffers (Bill O'Donnell) [2167832]
- xfs: sysfs: use default_groups in kobj_type (Bill O'Donnell) [2167832]
- xfs: prevent UAF in xfs_log_item_in_current_chkpt (Bill O'Donnell) [2167832]
- xfs: prevent a WARN_ONCE() in xfs_ioc_attr_list() (Bill O'Donnell) [2167832]
- xfs: Fix comments mentioning xfs_ialloc (Bill O'Donnell) [2167832]
- xfs: fix a bug in the online fsck directory leaf1 bestcount check (Bill O'Donnell) [2167832]
- xfs: only run COW extent recovery when there are no live extents (Bill O'Donnell) [2167832]
- xfs: fix quotaoff mutex usage now that we don't support disabling it (Bill O'Donnell) [2167832]
- xfs: shut down filesystem if we xfs_trans_cancel with deferred work items (Bill O'Donnell) [2167832]
- xfs: verify buffer contents when we skip log replay (Andrey Albershteyn) [2187448] {CVE-2023-2124}
Resolves: rhbz#2042240, rhbz#2167832, rhbz#2184650, rhbz#2187448, rhbz#2203188, rhbz#2203333, rhbz#2208172, rhbz#2208307, rhbz#2209290

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-06 11:49:01 +02:00
Jan Stancek
00babb245d kernel-5.14.0-322.el9
* Thu Jun 01 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-322.el9]
- ACPI: video: Remove acpi_backlight=video quirk for Lenovo ThinkPad W530 (Mark Langsdorf) [2176554]
- ACPI: processor: thermal: Update CPU cooling devices on cpufreq policy changes (Mark Langsdorf) [2176554]
- thermal: core: Drop excessive lockdep_assert_held() calls (Mark Langsdorf) [2176554]
- power: supply: remove faulty cooling logic (Mark Langsdorf) [2176554]
- thermal: core: call put_device() only after device_register() fails (Mark Langsdorf) [2176554]
- thermal: core: fix some possible name leaks in error paths (Mark Langsdorf) [2176554]
- thermal/core: fix error code in __thermal_cooling_device_register() (Mark Langsdorf) [2176554]
- thermal: core: Introduce thermal_cooling_device_update() (Mark Langsdorf) [2176554]
- thermal: core: Introduce thermal_cooling_device_present() (Mark Langsdorf) [2176554]
- thermal: sysfs: Reuse cdev->max_state (Mark Langsdorf) [2176554]
- thermal: Validate new state in cur_state_store() (Mark Langsdorf) [2176554]
- ACPI: video: Improve Chromebook checks (Mark Langsdorf) [2176554]
- ACPI: video: Fix missing native backlight on Chromebooks (Mark Langsdorf) [2176554]
- ACPI: video: Refactor acpi_video_get_backlight_type() a bit (Mark Langsdorf) [2176554]
- ACPI: video: Remove code to unregister acpi_video backlight when a native backlight registers (Mark Langsdorf) [2176554]
- ACPI: video: Drop backlight_device_get_by_type() call from acpi_video_get_backlight_type() (Mark Langsdorf) [2176554]
- ACPI: video: Add acpi_backlight=video quirk for Lenovo ThinkPad W530 (Mark Langsdorf) [2176554]
- ACPI: video: Add acpi_backlight=video quirk for Apple iMac14,1 and iMac14,2 (Mark Langsdorf) [2176554]
- ACPI: video: Make acpi_backlight=video work independent from GPU driver (Mark Langsdorf) [2176554]
- ACPI: video: Add auto_detect arg to __acpi_video_get_backlight_type() (Mark Langsdorf) [2176554]
- ACPI: processor: Reorder acpi_processor_driver_init() (Mark Langsdorf) [2176554]
- ACPI: bus: Rework system-level device notification handling (Mark Langsdorf) [2176554]
- ACPI: resource: Add Medion S17413 to IRQ override quirk (Mark Langsdorf) [2176554]
- ACPI: video: Add backlight=native DMI quirk for Acer Aspire 3830TG (Mark Langsdorf) [2176554]
- ACPI: x86: Add skip i2c clients quirk for Lenovo Yoga Book X90 (Mark Langsdorf) [2176554]
- ACPI: x86: Add skip i2c clients quirk for Acer Iconia One 7 B1-750 (Mark Langsdorf) [2176554]
- ACPI: x86: Introduce an acpi_quirk_skip_gpio_event_handlers() helper (Mark Langsdorf) [2176554]
- ACPI: video: Add backlight=native DMI quirk for Dell Vostro 15 3535 (Mark Langsdorf) [2176554]
- ACPICA: Add utcksum.o to the acpidump Makefile (Mark Langsdorf) [2176554]
- Documentation: ACPI: Prune DSDT override documentation from index (Mark Langsdorf) [2176554]
- ACPI: x86: utils: Add Cezanne to the list for forcing StorageD3Enable (Mark Langsdorf) [2176554]
- ACPI: x86: Drop quirk for HP Elitebook (Mark Langsdorf) [2176554]
- ACPI: resource: Skip IRQ override on Asus Expertbook B2402FBA (Mark Langsdorf) [2176554]
- ACPI: make kobj_type structures constant (Mark Langsdorf) [2176554]
- ACPICA: Fix typo in CDAT DSMAS struct definition (Mark Langsdorf) [2176554]
- ACPI: resource: Do IRQ override on all TongFang GMxRGxx (Mark Langsdorf) [2176554]
- ACPI: resource: Add IRQ overrides for MAINGEAR Vector Pro 2 models (Mark Langsdorf) [2176554]
- ACPI: CPPC: Fix some kernel-doc comments (Mark Langsdorf) [2176554]
- ACPI: CPPC: Add AMD pstate energy performance preference cppc control (Mark Langsdorf) [2176554]
- ACPI: PMIC: Add comments with DSDT power opregion field names (Mark Langsdorf) [2176554]
- ACPI: battery: Increase maximum string length (Mark Langsdorf) [2176554]
- ACPI: battery: Fix buffer overread if not NUL-terminated (Mark Langsdorf) [2176554]
- ACPI: APEI: EINJ: Limit error type to 32-bit width (Mark Langsdorf) [2176554]
- ACPI: NFIT: fix a potential deadlock during NFIT teardown (Mark Langsdorf) [2176554]
- ACPI: Don't build ACPICA with '-Os' (Mark Langsdorf) [2176554]
- acpi: Fix suspend with Xen PV (Mark Langsdorf) [2176554]
- ACPI: battery: Fix missing NUL-termination with large strings (Mark Langsdorf) [2176554]
- ACPI: PRM: Check whether EFI runtime is available (Mark Langsdorf) [2176554]
- ACPI: PNP: Introduce list of known non-PNP devices (Mark Langsdorf) [2176554]
- acpi_idle: Remove tracing (Mark Langsdorf) [2176554]
- ACPI: Fix selecting wrong ACPI fwnode for the iGPU on some Dell laptops (Mark Langsdorf) [2176554]
- ACPICA: nsrepair: handle cases without a return value correctly (Mark Langsdorf) [2176554]
- ACPI: resource: Skip IRQ override on Asus Expertbook B2402CBA (Mark Langsdorf) [2176554]
- ACPI: Silence missing prototype warnings (Mark Langsdorf) [2176554]
- ACPI: processor: perflib: Avoid updating frequency QoS unnecessarily (Mark Langsdorf) [2176554]
- ACPI: processor: perflib: Use the "no limit" frequency QoS (Mark Langsdorf) [2176554]
- ACPI: processor: idle: Drop unnecessary (void *) conversion (Mark Langsdorf) [2176554]
- ACPICA: Constify pathname argument for acpi_get_handle() (Mark Langsdorf) [2176554]
- ACPICA: Drop port I/O validation for some regions (Mark Langsdorf) [2176554]
- ACPI: PMIC: Add pmic_i2c_address to BYT Crystal Cove support (Mark Langsdorf) [2176554]
- ACPI: tables: Add support for NBFT (Mark Langsdorf) [2176554]
- ACPI: resource: Add Asus ExpertBook B2502 to Asus quirks (Mark Langsdorf) [2176554]
- ACPI: resource: do IRQ override on Lenovo 14ALC7 (Mark Langsdorf) [2176554]
- ACPI: resource: do IRQ override on XMG Core 15 (Mark Langsdorf) [2176554]
- ACPI: EC: Fix ECDT probe ordering issues (Mark Langsdorf) [2176554]
- ACPI: EC: Fix EC address space handler unregistration (Mark Langsdorf) [2176554]
- ACPICA: Allow address_space_handler Install and _REG execution as 2 separate steps (Mark Langsdorf) [2176554]
- ACPICA: include/acpi/acpixf.h: Fix indentation (Mark Langsdorf) [2176554]
- ACPI: x86: Add skip i2c clients quirk for Medion Lifetab S10346 (Mark Langsdorf) [2176554]
- ACPI: APEI: EINJ: Refactor available_error_type_show() (Mark Langsdorf) [2176554]
- ACPI: APEI: EINJ: Fix formatting errors (Mark Langsdorf) [2176554]
- ACPI: processor: perflib: Adjust acpi_processor_notify_smm() return value (Mark Langsdorf) [2176554]
- ACPI: processor: perflib: Rearrange acpi_processor_notify_smm() (Mark Langsdorf) [2176554]
- ACPI: processor: perflib: Rearrange unregistration routine (Mark Langsdorf) [2176554]
- ACPI: processor: perflib: Drop redundant parentheses (Mark Langsdorf) [2176554]
- ACPI: processor: perflib: Adjust white space (Mark Langsdorf) [2176554]
- ACPI: processor: idle: Drop unnecessary statements and parens (Mark Langsdorf) [2176554]
- ACPI: thermal: Adjust critical.flags.valid check (Mark Langsdorf) [2176554]
- ACPI: fan: Convert to use sysfs_emit_at() API (Mark Langsdorf) [2176554]
- cxl/acpi: Set ACPI's CXL _OSC to indicate RCD mode support (Mark Langsdorf) [2176554]
- ACPICA: Fix use-after-free in acpi_ut_copy_ipackage_to_ipackage() (Mark Langsdorf) [2176554]
- ACPI: battery: Call power_supply_changed() when adding hooks (Mark Langsdorf) [2176554]
- ACPI: use sysfs_emit() instead of scnprintf() (Mark Langsdorf) [2176554]
- ACPI: x86: Add skip i2c clients quirk for Lenovo Yoga Tab 3 Pro (YT3-X90F) (Mark Langsdorf) [2176554]
- ACPI: APEI: Remove a useless include (Mark Langsdorf) [2176554]
- ACPI: processor: Silence missing prototype warnings (Mark Langsdorf) [2176554]
- ACPI: processor_idle: Silence missing prototype warnings (Mark Langsdorf) [2176554]
- ACPI: APEI: Silence missing prototype warnings (Mark Langsdorf) [2176554]
- ACPI: fan: Bail out if extract package failed (Mark Langsdorf) [2176554]
- ACPI: pfr_update: use ACPI_FREE() to free acpi_object (Mark Langsdorf) [2176554]
- ACPI: pfr_telemetry: use ACPI_FREE() to free acpi_object (Mark Langsdorf) [2176554]
- ACPI: PCC: Setup PCC Opregion handler only if platform interrupt is available (Mark Langsdorf) [2176554]
- ACPI: bus: Fix the _OSC capability check for FFH OpRegion (Mark Langsdorf) [2176554]
- ACPI: HMAT: Fix initiator registration for single-initiator systems (Mark Langsdorf) [2176554]
- ACPI: HMAT: remove unnecessary variable initialization (Mark Langsdorf) [2176554]
- ACPI: APMT: Fix kerneldoc and indentation (Mark Langsdorf) [2176554]
- redhat: enable the generic FFH operation handler (Mark Langsdorf) [2176554]
- ACPI: Implement a generic FFH Opregion handler (Mark Langsdorf) [2176554]
- ACPI: Enable FPDT on arm64 (Mark Langsdorf) [2176554]
- ACPI: PM: Print full name path while adding power resource (Mark Langsdorf) [2176554]
- ACPI: sysfs: use sysfs_emit() to instead of scnprintf() (Mark Langsdorf) [2176554]
- ACPI: irq: Fix some kernel-doc issues (Mark Langsdorf) [2176554]
- ACPI: tables: Fix the stale comments for acpi_locate_initial_tables() (Mark Langsdorf) [2176554]
- ACPI: HMAT: use hotplug_memory_notifier() directly (Mark Langsdorf) [2176554]
- ACPICA: Fix error code path in acpi_ds_call_control_method() (Mark Langsdorf) [2176554]
- ACPICA: Update version to 20221020 (Mark Langsdorf) [2176554]
- ACPI/IORT: Update SMMUv3 DeviceID support (Mark Langsdorf) [2176554]
- ACPI: ARM Performance Monitoring Unit Table (APMT) initial support (Mark Langsdorf) [2176554]
- ACPI: scan: substitute empty_zero_page with helper ZERO_PAGE(0) (Mark Langsdorf) [2176554]
- ACPI: sysfs: Use kstrtobool() instead of strtobool() (Mark Langsdorf) [2176554]
- ACPI: EC: Add quirk for the HP Pavilion Gaming 15-cx0041ur (Mark Langsdorf) [2176554]
- ACPI: processor: throttling: remove variable count (Mark Langsdorf) [2176554]
- ACPI: processor: idle: Check acpi_fetch_acpi_dev() return value (Mark Langsdorf) [2176554]
- ACPI: APEI: Drop unsetting driver data on remove (Mark Langsdorf) [2176554]
- ACPI: x86: Add another system to quirk list for forcing StorageD3Enable (Mark Langsdorf) [2176554]
- ACPICA: Finish support for the CDAT table (Mark Langsdorf) [2176554]
- ACPICA: Improve warning message for "invalid ACPI name" (Mark Langsdorf) [2176554]
- ACPICA: IORT: Update for revision E.e (Mark Langsdorf) [2176554]
- ACPICA: Add support for FFH Opregion special context data (Mark Langsdorf) [2176554]
- ACPICA: Add a couple of new UUIDs to the known UUID list (Mark Langsdorf) [2176554]
- ACPICA: iASL: Add CCEL table to both compiler/disassembler (Mark Langsdorf) [2176554]
- ACPICA: Do not touch VGA memory when EBDA < 1ki_b (Mark Langsdorf) [2176554]
- ACPICA: Check that EBDA pointer is in valid memory (Mark Langsdorf) [2176554]
- ACPICA: Make acpi_ex_load_op() match upstream (Mark Langsdorf) [2176554]
- ACPI: scan: Add LATT2021 to acpi_ignore_dep_ids[] (Mark Langsdorf) [2176554]
- ACPI: resource: Skip IRQ override on Asus Vivobook S5602ZA (Mark Langsdorf) [2176554]
- ACPI: PCC: Fix unintentional integer overflow (Mark Langsdorf) [2176554]
- apei/ghes: Use xchg_release() for updating new cache slot instead of cmpxchg() (Mark Langsdorf) [2176554]
- EDAC/ghes: Make ghes_edac a proper module (Mark Langsdorf) [2176554]
- EDAC/ghes: Prepare to make ghes_edac a proper module (Mark Langsdorf) [2176554]
- EDAC/ghes: Add a notifier for reporting memory errors (Mark Langsdorf) [2176554]
- ACPI: scan: Fix DMA range assignment (Mark Langsdorf) [2176554]
- ACPI: PCI: Fix device reference counting in acpi_get_pci_dev() (Mark Langsdorf) [2176554]
- ACPI: resource: note more about IRQ override (Mark Langsdorf) [2176554]
- ACPI: resource: do IRQ override on LENOVO IdeaPad (Mark Langsdorf) [2176554]
- ACPI: extlog: Handle multiple records (Mark Langsdorf) [2176554]
- ACPI: APEI: Fix integer overflow in ghes_estatus_pool_init() (Mark Langsdorf) [2176554]
- ACPI: thermal: Drop some redundant code (Mark Langsdorf) [2176554]
- ACPI: thermal: Drop redundant parens from expressions (Mark Langsdorf) [2176554]
- ACPI: thermal: Use white space more consistently (Mark Langsdorf) [2176554]
- ACPI: APEI: do not add task_work to kernel thread to avoid memory leak (Mark Langsdorf) [2176554]
- ACPI: PM: Take wake IRQ into consideration when entering suspend-to-idle (Mark Langsdorf) [2176554]
- ACPI: resources: Add wake_capable parameter to acpi_dev_irq_flags (Mark Langsdorf) [2176554]
- ACPI: LPSS: Deduplicate skipping device in acpi_lpss_create_device() (Mark Langsdorf) [2176554]
- ACPI: LPSS: Replace loop with first entry retrieval (Mark Langsdorf) [2176554]
- ACPI: Kconfig: Drop link to https://01.org/linux-acpi (Mark Langsdorf) [2176554]
- ACPI: docs: Drop useless DSDT override documentation (Mark Langsdorf) [2176554]
- ACPI: DPTF: Drop stale link from Kconfig help (Mark Langsdorf) [2176554]
- ACPI: bus: Add iterator for dependent devices (Mark Langsdorf) [2176554]
- ACPI: scan: Add acpi_dev_get_next_consumer_dev() (Mark Langsdorf) [2176554]
- ACPI: resource: Add ASUS model S5402ZA to quirks (Mark Langsdorf) [2176554]
- ACPI: AMBA: Add ARM DMA-330 controller to the supported list (Mark Langsdorf) [2176554]
- ACPI: APEI: Remove unneeded result variables (Mark Langsdorf) [2176554]
- ACPI: fan: Reorder symbols to get rid of a few forward declarations (Mark Langsdorf) [2176554]
- ACPI: scan: Support multiple DMA windows with different offsets (Mark Langsdorf) [2176554]
- ACPI: AC: Remove the leftover struct acpi_ac_bl (Mark Langsdorf) [2176554]
- ACPI: x86: Add a quirk for Dell Inspiron 14 2-in-1 for StorageD3Enable (Mark Langsdorf) [2176554]
- ACPI: PCI: Rework acpi_get_pci_dev() (Mark Langsdorf) [2176554]
- ACPI: PCC: Fix Tx acknowledge in the PCC address space handler (Mark Langsdorf) [2176554]
- ACPI: PCC: replace wait_for_completion() (Mark Langsdorf) [2176554]
- ACPI: PCC: Release resources on address space setup failure path (Mark Langsdorf) [2176554]
- ACPI: HMAT: Drop unused dev_fmt() and redundant 'HMAT' prefix (Mark Langsdorf) [2176554]
- ACPI: x86: Refactor _UID handling to use acpi_dev_uid_to_integer() (Mark Langsdorf) [2176554]
- ACPI: LPSS: Refactor _UID handling to use acpi_dev_uid_to_integer() (Mark Langsdorf) [2176554]
- ACPI: utils: Add acpi_dev_uid_to_integer() helper to get _UID as integer (Mark Langsdorf) [2176554]
- ACPI: resource: Skip IRQ override on Asus Vivobook K3402ZA/K3502ZA (Mark Langsdorf) [2176554]
- ACPI: LPSS: Use the helper acpi_dev_get_memory_resources() (Mark Langsdorf) [2176554]
- ACPI: APD: Use the helper acpi_dev_get_memory_resources() (Mark Langsdorf) [2176554]
- ACPI: resource: Add helper function acpi_dev_get_memory_resources() (Mark Langsdorf) [2176554]
- ACPI: resource: Filter out the non memory resources in is_memory() (Mark Langsdorf) [2176554]
- ACPI: tables: FPDT: Don't call acpi_os_map_memory() on invalid phys address (Mark Langsdorf) [2176554]
- ACPI: property: Silence missing-declarations warning in apple.c (Mark Langsdorf) [2176554]
- ACPI: platform: Use PLATFORM_DEVID_NONE in acpi_create_platform_device() (Mark Langsdorf) [2176554]
- ACPI: platform: Sort forbidden_id_list[] in ascending order (Mark Langsdorf) [2176554]
- ACPI: platform: Use sizeof(*pointer) instead of sizeof(type) (Mark Langsdorf) [2176554]
- ACPI: platform: Remove redundant print on -ENOMEM (Mark Langsdorf) [2176554]
- ACPI: platform: Get rid of redundant 'else' (Mark Langsdorf) [2176554]
- ACPI: property: Use acpi_dev_parent() (Mark Langsdorf) [2176554]
- ACPI: bus: Refactor ACPI matching functions for better readability (Mark Langsdorf) [2176554]
- ACPI: bus: Drop kernel doc annotation from acpi_bus_notify() (Mark Langsdorf) [2176554]
- ACPI: EC: Drop unneeded result variable from ec_write() (Mark Langsdorf) [2176554]
- ACPI: APEI: Add BERT error log footer (Mark Langsdorf) [2176554]
- ACPI: Drop redundant acpi_dev_parent() header (Mark Langsdorf) [2176554]
- ACPI: PM: Fix NULL argument handling in acpi_device_get/set_power() (Mark Langsdorf) [2176554]
- ACPI: bus: Remove the unneeded result variable (Mark Langsdorf) [2176554]
- ACPI: Drop parent field from struct acpi_device (Mark Langsdorf) [2176554]
- ACPI: bus: Drop unused list heads from struct acpi_device (Mark Langsdorf) [2176554]
- hisi_lpc: Use acpi_dev_for_each_child() (Mark Langsdorf) [2176554]
- soundwire: Use acpi_dev_for_each_child() (Mark Langsdorf) [2176554]
- platform/x86/thinkpad_acpi: Use acpi_dev_for_each_child() (Mark Langsdorf) [2176554]
- platform/x86: Replace acpi_bus_get_device() (Mark Langsdorf) [2176554]
- thunderbolt: ACPI: Replace tb_acpi_find_port() with acpi_find_child_by_adr() (Mark Langsdorf) [2176554]
- USB: ACPI: Replace usb_acpi_find_port() with acpi_find_child_by_adr() (Mark Langsdorf) [2176554]
- mfd: core: Use acpi_dev_for_each_child() (Mark Langsdorf) [2176554]
- ACPI: move from strlcpy() with unused retval to strscpy() (Mark Langsdorf) [2176554]
- ACPI: PM: Fix acpi_dev_state_d0() kerneldoc (Mark Langsdorf) [2176554]
- ACPI: scan: Eliminate __acpi_device_add() (Mark Langsdorf) [2176554]
- ACPI: scan: Rearrange initialization of ACPI device objects (Mark Langsdorf) [2176554]
- ACPI: scan: Rename acpi_bus_get_parent() and rearrange it (Mark Langsdorf) [2176554]
- ACPI: Rename acpi_bus_get/put_acpi_device() (Mark Langsdorf) [2176554]
- HID: intel-ish-hid: ipc: Fix potential use-after-free in work function (Tony Camuso) [2182396]
- HID: stop drivers from selecting CONFIG_HID (Tony Camuso) [2182396]
- HID: Put CONFIG_I2C_HID in common/generic (Tony Camuso) [2182396]
- HID: intel_ish-hid: Add check for ishtp_dma_tx_map (Tony Camuso) [2182396]
- HID: intel-ish-hid: ishtp: remove variable rb_count (Tony Camuso) [2182396]
- bluetooth: Perform careful capability checks in hci_sock_ioctl() (Ricardo Robaina) [2196341] {CVE-2023-2002}
- nvme: do not let the user delete a ctrl before a complete initialization (Maurizio Lombardi) [2186772]
- scsi: core: Decrease scsi_device's iorequest_cnt if dispatch failed (Ming Lei) [2203125]
- scsi: Revert "scsi: core: Do not increase scsi_device's iorequest_cnt if dispatch failed" (Ming Lei) [2203125]
- netfilter: nf_tables: deactivate anonymous set from preparation phase (Florian Westphal) [2196135] {CVE-2023-32233}
- clk: Fix pointer casting to prevent oops in devm_clk_release() (Michal Schmidt) [2189285]
- clk: Provide new devm_clk helpers for prepared and enabled clocks (Michal Schmidt) [2189285]
- clk: generalize devm_clk_get() a bit (Michal Schmidt) [2189285]
- clk: Improve documentation for devm_clk_get() and its optional variant (Michal Schmidt) [2189285]
- net: openvswitch: fix race on port output (Antoine Tenart) [2190207]
- net: openvswitch: reduce cpu_used_mask memory (Antoine Tenart) [2190207]
- net: openvswitch: fix possible memory leak in ovs_meter_cmd_set() (Antoine Tenart) [2190207]
- net: openvswitch: fix flow memory leak in ovs_flow_cmd_new (Antoine Tenart) [2190207]
- module: Don't wait for GOING modules (Mark Langsdorf) [2028238]
Resolves: rhbz#2028238, rhbz#2176554, rhbz#2182396, rhbz#2186772, rhbz#2189285, rhbz#2190207, rhbz#2196135, rhbz#2196341, rhbz#2203125

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-06-01 07:39:10 +02:00
Jan Stancek
6e58cbb003 kernel-5.14.0-320.el9
* Tue May 30 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-320.el9]
- redhat/configs: add missing Qualcomm USB PHY configs (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p-ride: enable USB nodes (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: add USB nodes (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: pmic: add the sdam_0 node (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: pmic: remove the PON modes (Adrien Thierry) [2208304]
- phy: qcom-qmp: Add SA8775P USB3 UNI phy (Adrien Thierry) [2208304]
- phy: qualcomm: phy-qcom-qmp-ufs: add definitions for sa8775p (Adrien Thierry) [2208304]
- pinctrl: qcom: spmi-gpio: add support for pmm8654au-gpio (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: drop sdm845_qhp_pcie_rx_tbl (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: sc8180x PCIe PHY has 2 lanes (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p-ride: add PMIC regulators (Adrien Thierry) [2208304]
- regulator: qcom-rpmh: add support for pmm8654au regulators (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p-ride: set gpio-line-names for PMIC GPIOs (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: add PMIC GPIO controller nodes (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: pmic: add thermal zones (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: pmic: add support for the pmm8654 RESIN input (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: pmic: add the power key (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: add the Power On device node (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: add support for the on-board PMICs (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: add the spmi node (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: add the pdc node (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: sort soc nodes by reg property (Adrien Thierry) [2208304]
- arm64: dts: qcom: sa8775p: pad reg properties to 8 digits (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add SM7150 support (Adrien Thierry) [2208304]
- phy: qcom-qmp: Add support for SDX65 QMP PCIe PHY (Adrien Thierry) [2208304]
- phy: qcom-qmp-combo: use qmp_combo_offsets_v3 instead of _v6 (Adrien Thierry) [2208304]
- phy: qcom-qmp-combo: fix v3 offsets table (Adrien Thierry) [2208304]
- phy: qualcomm: phy-qcom-ipq806x-sata: Convert to platform remove callback returning void (Adrien Thierry) [2208304]
- phy: qualcomm: phy-qcom-eusb2-repeater: Convert to platform remove callback returning void (Adrien Thierry) [2208304]
- phy: qualcomm: phy-qcom-apq8064-sata: Convert to platform remove callback returning void (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: Add RC init sequence for SDX55 (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: Split out EP related init sequence for SDX55 (Adrien Thierry) [2208304]
- phy: qcom: phy-qcom-snps-eusb2: Add support for eUSB2 repeater (Adrien Thierry) [2208304]
- phy: qcom: Add QCOM SNPS eUSB2 repeater driver (Adrien Thierry) [2208304]
- phy: qcom: snps-eusb2: Add missing headers (Adrien Thierry) [2208304]
- phy: qcom-qmp-combo: Add support for SM8550 (Adrien Thierry) [2208304]
- phy: qcom-qmp: Add v6 DP register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: pcs-usb: Add v6 register offsets (Adrien Thierry) [2208304]
- phy: qcom: Add QCOM SNPS eUSB2 driver (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: Add support for SM8550 g3x2 and g4x2 PCIEs (Adrien Thierry) [2208304]
- phy: qcom-qmp: qserdes-lane-shared: Add v6 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: qserdes-txrx: Add v6.20 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: pcs-pcie: Add v6.20 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: pcs-pcie: Add v6 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: pcs: Add v6.20 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: pcs: Add v6 register offsets (Adrien Thierry) [2208304]
- phy: qcom: com-qmp-combo: add SM8350 & SM8450 support (Adrien Thierry) [2208304]
- phy: qcom-qmp: Introduce Kconfig symbols for discrete drivers (Adrien Thierry) [2208304]
- phy: qcom-qmp-combo: Add config for SM6350 (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add SM8550 support (Adrien Thierry) [2208304]
- phy: qcom-qmp: pcs-ufs: Add v6 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: qserdes-txrx-ufs: Add v6 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: qserdes-txrx: Add v6 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp: qserdes-com: Add v6 register offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp-usb: fix the regs layout table for sdx65 uniphy PHY (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: fix the regs layout table for sm8450 gen3x1 PHY (Adrien Thierry) [2208304]
- phy: qcom-qmp-combo: rework regs layout arrays (Adrien Thierry) [2208304]
- phy: qcom-qmp-combo: remove QPHY_PCS_LFPS_RXTERM_IRQ_STATUS reg (Adrien Thierry) [2208304]
- phy: qualcomm: qmp-ufs: rename qmp_ufs_offsets_v5 to qmp_ufs_offsets (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add HS G4 mode support to SC8280XP SoC (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add HS G4 mode support to SM8450 SoC (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add HS G4 mode support to SM8350 SoC (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Avoid setting HS G3 specific registers (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add HS G4 mode support to SM8250 SoC (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add HS G4 mode support to SM8150 SoC (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Move HS Rate B register setting to tbls_hs_b (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add support for configuring PHY in HS G4 mode (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Add support for configuring PHY in HS Series B mode (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Move register settings to qmp_phy_cfg_tbls struct (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Rename MSM8996 PHY definitions (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: Remove _tbl suffix from qmp_phy_init_tbl definitions (Adrien Thierry) [2208304]
- phy: qcom-qmp: Add SM6125 UFS PHY support (Adrien Thierry) [2208304]
- phy: Revert "phy: qualcomm: usb28nm: Add MDM9607 init sequence" (Adrien Thierry) [2208304]
- phy: qcom-qmp-usb: Add Qualcomm SM6115 / SM4250 USB3 PHY support (Adrien Thierry) [2208304]
- phy: qcom-qmp-usb: Fix QSERDES_V3_RX_UCDR_PI_CONTROLS init val (Adrien Thierry) [2208304]
- phy: qcom-qmp: move type-specific headers to particular driver (Adrien Thierry) [2208304]
- phy: qcom-qmp-usb: fix regs layout arrays (Adrien Thierry) [2208304]
- phy: qcom-qmp-usb: rework regs layout arrays (Adrien Thierry) [2208304]
- phy: qcom-qmp-usb: remove QPHY_PCS_MISC_TYPEC_CTRL reg (Adrien Thierry) [2208304]
- phy: qcom-qmp-usb: remove QPHY_PCS_LFPS_RXTERM_IRQ_STATUS reg (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: rename regs layout arrays (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: rework regs layout arrays (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: split UFS-specific v2 PCS registers to a separate header (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie-msm8996: rework regs layout arrays (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: rename regs layout arrays (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: rework regs layout arrays (Adrien Thierry) [2208304]
- phy: qcom-qmp: remove duplicate v5_5nm register definitions (Adrien Thierry) [2208304]
- phy: qcom-qmp: fix typo in QSERDES_COM_CMN_RSVD5 value (Adrien Thierry) [2208304]
- phy: qcom-qmp-ufs: provide symbol clocks (Adrien Thierry) [2208304]
- phy: qualcomm: pcie2: register as clock provider (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: add support for sm8350 platform (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: rename the sm8450 gen3 PHY config tables (Adrien Thierry) [2208304]
- phy: qcom-qmp-pcie: split sm8450 gen3 PHY config tables (Adrien Thierry) [2208304]
- clk: fixed-rate: add devm_clk_hw_register_fixed_rate (Adrien Thierry) [2208304]
- clk: asm9260: use parent index to link the reference clock (Adrien Thierry) [2208304]
- netfilter: nf_dup_netdev: add and use recursion counter (Eric Garver) [1724795]
- netfilter: nf_dup_netdev: do not push mac header a second time (Eric Garver) [1724795]
- netfilter: egress: silence egress hook lockdep splats (Eric Garver) [1724795]
- netfilter: nft_fwd_netdev: Support egress hook (Eric Garver) [1724795]
- netfilter: nft_meta: add NFT_META_IFTYPE (Eric Garver) [1724795]
- selftests/bpf: Do not use sign-file as testcase (Alex Gladkov) [2184616]
- x86/kprobes: Fix kprobes instruction boudary check with CONFIG_RETHUNK (Oleg Nesterov) [2190456]
- kexec: remove unnecessary arch_kexec_kernel_image_load() (Baoquan He) [RHEL-517]
- x86/kexec: remove unnecessary arch_kexec_kernel_image_load() (Baoquan He) [RHEL-517]
- netlabel: fix out-of-bounds memory accesses (Juraj Marcin) [2181134]
- lsm: Clarify documentation of vm_enough_memory hook (Juraj Marcin) [2181134]
- lsm,fs: fix vfs_getxattr_alloc() return type and caller error paths (Juraj Marcin) [2181134]
- LSM: Better reporting of actual LSMs at boot (Juraj Marcin) [2181134]
- selinux: remove the sidtab context conversion indirect calls (Juraj Marcin) [2181134]
- audit: Fix some kernel-doc warnings (Juraj Marcin) [2181134]
- lsm: remove obsoleted comments for security hooks (Juraj Marcin) [2181134]
- selinux: enable use of both GFP_KERNEL and GFP_ATOMIC in convert_context() (Juraj Marcin) [2181134]
- selinux: remove the unneeded result variable (Juraj Marcin) [2181134]
- ->getprocattr(): attribute name is const char *, TYVM... (Juraj Marcin) [2181134]
- selinux: declare read-only parameters const (Juraj Marcin) [2181134]
- selinux: use int arrays for boolean values (Juraj Marcin) [2181134]
- selinux: remove an unneeded variable in sel_make_class_dir_entries() (Juraj Marcin) [2181134]
- security: pass down mount idmapping to setattr hook (Juraj Marcin) [2181134]
- selinux: selinux_add_opt() callers free memory (Juraj Marcin) [2181134]
- selinux: Add boundary check in put_entry() (Juraj Marcin) [2181134]
- selinux: fix memleak in security_read_state_kernel() (Juraj Marcin) [2181134]
- selinux: fix typos in comments (Juraj Marcin) [2181134]
- selinux: drop unnecessary NULL check (Juraj Marcin) [2181134]
- selinux: add __randomize_layout to selinux_audit_data (Juraj Marcin) [2181134]
- selinux: free contexts previously transferred in selinux_add_opt() (Juraj Marcin) [2181134 2187402]
- security: declare member holding string literal const (Juraj Marcin) [2181134]
- selinux: fix indentation level of mls_ops block (Juraj Marcin) [2181134]
- selinux: include necessary headers in headers (Juraj Marcin) [2181134]
- selinux: avoid extra semicolon (Juraj Marcin) [2181134]
- selinux: update parameter documentation (Juraj Marcin) [2181134]
- selinux: resolve checkpatch errors (Juraj Marcin) [2181134]
- security: don't treat structure as an array of struct hlist_head (Juraj Marcin) [2181134]
- selinux: Remove redundant assignments (Juraj Marcin) [2181134]
- selinux: fix bad cleanup on error in hashtab_duplicate() (Juraj Marcin) [2181134]
- tipc: check the bearer min mtu properly when setting it by netlink (Xin Long) [2185140]
- tipc: do not update mtu if msg_max is too small in mtu negotiation (Xin Long) [2185140]
- tipc: add tipc_bearer_min_mtu to calculate min mtu (Xin Long) [2185140]
Resolves: rhbz#1724795, rhbz#2181134, rhbz#2184616, rhbz#2185140, rhbz#2187402, rhbz#2190456, rhbz#2208304, RHEL-517

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-30 07:14:47 +02:00
Jan Stancek
a482a1db84 kernel-5.14.0-318.el9
* Tue May 23 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-318.el9]
- vmxnet3: use gro callback when UPT is enabled (Izabela Bakollari) [2181854]
- config: wifi: disable new unsupported configuration options (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: Don't mark channelmap stack variable read-only in ath9k_mci_update_wlan_channels() (Jose Ignacio Tornos Martinez) [2178526]
- net: qrtr: Fix an uninit variable access bug in qrtr_tx_resume() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: ignore key disable commands (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: reduce the MHI timeout to 20s (Jose Ignacio Tornos Martinez) [2178526]
- net: qrtr: Do not do DEL_SERVER broadcast after DEL_CLIENT (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: fix fw used for offload check for mt7922 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: Fix use-after-free in fw features query. (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Fix SDIO suspend/resume regression (Jose Ignacio Tornos Martinez) [2178526]
- net: qrtr: Fix a refcount bug in qrtr_recvmsg() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix invalid drv_sta_pre_rcu_remove calls for non-uploaded sta (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix flow dissection for forwarded packets (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix mesh forwarding (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix receiving mesh packets in forwarding=0 networks (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix the size calculation of ieee80211_ie_len_eht_cap() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix potential null pointer dereference (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: drop bogus static keywords in A-MSDU rx (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix mesh path discovery based on unicast packets (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix qos on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Serialize ieee80211_handle_wake_tx_queue() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mwifiex: mark OF related data as maybe unused (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: do not check WED status for non-mmio devices (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add back 160MHz channel width support for MT7915 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: do not run mt76_unregister_device() on unregistered hw (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Partial revert "wifi: cfg80211: Fix use after free for wext" (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: fix MLO connection ownership (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: check basic rates validity (Jose Ignacio Tornos Martinez) [2178526]
- wifi: nl80211: fix puncturing bitmap policy (Jose Ignacio Tornos Martinez) [2178526]
- wifi: nl80211: fix NULL-ptr deref in offchan check (Jose Ignacio Tornos Martinez) [2178526]
- wifi: wext: warn about usage only once (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: usb: fix use-after-free in mt76u_free_rx_queue (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: allow system suspend to survive ath11k (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: add LEDS_CLASS dependency (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: remove unused iwl_dbgfs_is_match() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fix AP mode authentication transmission failed (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: use RTW_FLAG_POWERON flag to prevent to power on/off twice (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: p2p: Introduce generic flexible array frame member (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add documentation for amsdu_mesh_control (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: remove gfp parameter from cfg80211_obss_color_collision_notify description (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: always initialize link_sta with sta (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: pass 'sta' to ieee80211_rx_data_set_sta() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Set SSID if it is not already set (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: move H2C of del_pkt_offload before polling FW status ready (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: use readable return 0 in rtw89_mac_cfg_ppdu_status() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: usb: drop now unnecessary URB size check (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: usb: send Zero length packets if necessary (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: usb: Set qsel correctly (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix off-by-one link setting (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Fix for Rx fragmented action frames (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: avoid u32_encode_bits() warning (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Don't translate MLD addresses for multicast (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: call reg_notifier for self managed wiphy from driver hint (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: get rid of gfp in cfg80211_bss_color_notify (Jose Ignacio Tornos Martinez) [2178526]
- wifi: nl80211: Allow authentication frames and set keys on NAN interface (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix non-MLO station association (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Allow NSS change only up to capability (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add a workaround for receiving non-standard mesh A-MSDU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix receiving A-MSDU frames on mesh interfaces (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: remove mesh forwarding congestion check (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: factor out bridge tunnel / RFC1042 header check (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: move A-MSDU check in ieee80211_data_to_8023_exthdr (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: make rate u32 in sta_set_rate_info_rx() (Jose Ignacio Tornos Martinez) [2178526]
- rfkill: Use sysfs_emit() to instead of sprintf() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Allow action frames to be transmitted with link BSS in MLD (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: include puncturing bitmap in channel switch events (Jose Ignacio Tornos Martinez) [2178526]
- wifi: nl80211: validate and configure puncturing bitmap (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: move puncturing bitmap validation from mac80211 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: nl80211: return error message for malformed chandef (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211_hwsim: Rename pid to portid to avoid confusion (Jose Ignacio Tornos Martinez) [2178526]
- wifi: nl80211: add MLO_LINK_ID to CMD_STOP_AP event (Jose Ignacio Tornos Martinez) [2178526]
- wifi: nl80211: emit CMD_START_AP on multicast group when an AP is started (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: mlme: handle EHT channel puncturing (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Extend cfg80211_update_owe_info_event() for MLD AP (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Extend cfg80211_new_sta() for MLD AP (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: move color collision detection report in a delayed work (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Fix use after free for wext (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Authentication offload to user space for MLO connection in STA mode (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: trace: remove MAC_PR_{FMT,ARG} (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: fix txdw7 assignment of TX DESC v3 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Add LED control code for RTL8723AU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Add LED control code for RTL8192EU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Add LED control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Register the LED and make it blink (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: phy: set TX power according to RF path number by chip (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: correct register mask name of TX power offset (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: use passed channel in set_tx_shape_dfir() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852be: enable CLKREQ of PCI capability (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: try to use NORMAL_CE type firmware first (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: don't support LPS-PG mode after firmware 0.29.26.0 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: reset IDMEM mode to default value (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add use of pkt_list offload to debug entry (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: refine packet offload flow (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fix potential wrong mapping for pkt-offload (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: disallow enter PS mode after create TDLS link (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: refine MCC C2H debug logs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fix parsing offset for MCC C2H (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: deal with RXI300 error (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: debug: avoid invalid access on RTW89_DBG_SEL_MAC_30 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: mac: add function to get TSF (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: split out generic part of rtw89_mac_port_tsf_sync() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: correct unit for port offset and refine macro (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Update Wi-Fi Bluetooth coexistence version to 7.0.0 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Fix test fail when coexist with raspberryPI A2DP idle (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Correct A2DP exist variable source (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Set Bluetooth background scan PTA request priority (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Refine coexistence log (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Force to update TDMA parameter when radio state change (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Clear Bluetooth HW PTA counter when radio state change (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Update Wi-Fi external control TDMA parameters/tables (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: change cfg80211_set_channel() name and signature (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mwifiex: fix loop iterator in mwifiex_update_ampdu_txwinsize() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mwifiex: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mwifiex: Replace one-element arrays with flexible-array members (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mwifiex: Support firmware hotfix version in GET_HW_SPEC responses (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mwifiex: Support SD8978 chipset (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mwifiex: Add missing compatible string for SD8787 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: pcie: Perform correct BCM4364 firmware selection (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: pcie: Add IDs/properties for BCM4377 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: pcie: Add IDs/properties for BCM4355 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Rename Cypress 89459 to BCM4355 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: mac: Use existing macros in rtw_pwr_seq_parser() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Move enum rtw_tx_queue_type mapping code to tx.{c,h} (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: pci: Change queue datatype to enum rtw_tx_queue_type (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: pci: Use enum type for rtw_hw_queue_mapping() and ac_to_hwq (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: support ww power config in dts node (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt76x0u: report firmware version through ethtool (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: complete wed reset support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add mt7915 wed reset callbacks (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: dma: reset wed queues in mt76_dma_rx_reset (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: dma: add reset to mt76_dma_wed_setup signature (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: add EHT beamforming support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: enable EHT support in firmware (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: add support for EHT rate report (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: add EHT capability init (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: rework capability init (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: add helpers for wtbl and interface limit (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: add variants support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: add EHT rate stats for ethtool (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: increase wcid size to 1088 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: add cmd id related to EHT support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: add helpers for EHT capability (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: add CMD_CBW_320MHZ (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: add EHT phy type (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: remove __mt76_mcu_restart macro (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: rely on mt76_connac_tx_complete_skb (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: rely on mt76_connac_txp_skb_unmap (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: rely on mt76_connac_txp_common structure (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: rely on mt76_connac2_mac_tx_rate_val (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: fix error code of return in mt7921_acpi_read (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: release rxwi in mt7915_wed_release_rx_buf (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: enable page_pool stats (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: switch to page_pool allocator (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix memory leak in mt7915_mmio_wed_init_rx_buf (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: fix rx filter incorrect by drv/fw inconsistent (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: add memory barrier to SDIO queue kick (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: reduce polling time in pmctrl (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: add flexible polling wait-interval support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix WED TxS reporting (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: wed: enable red per-band token drop (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: set sku initial value to zero (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921u: add support for Comfast CF-952AX (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: fix switch default case in mt7996_reverse_frag0_hdr_trans (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7615: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7603: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: avoid mcu_restart function pointer (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: dma: fix memory leak running mt76_dma_tx_cleanup (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: dma: free rx_head in mt76_dma_rx_cleanup (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: fix memory leak in mt7996_mcu_exit (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix memory leak in mt7915_mcu_exit (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: introduce mt76_queue_is_wed_rx utility routine (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: fix invalid remain_on_channel duration (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: remove BW160 and BW80+80 support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: fix POWER_CTRL command name typo (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: do not hardcode vht beamform cap (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: update register for CFEND_RATE (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: fix chainmask calculation in mt7996_set_antenna() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: add ack signal support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: fix channel switch fail in monitor mode (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add chip id condition in mt7915_check_eeprom() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add error message in mt7915_thermal_set_cur_throttle_state() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: rework mt7915_thermal_temp_store() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: rework mt7915_mcu_set_thermal_throttling (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: call mt7915_mcu_set_thermal_throttling() only after init_work (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: fix deadlock in mt7921_abort_roc (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: ep: Fix off by one in mhi_ep_process_cmd_ring() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: fix compilation errors in rfkill() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: Support STEP equalizer settings from BIOS. (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: bump FW API to 74 for AX devices (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: Reset rate index if rate is wrong (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: simplify by using SKB MAC header pointer (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: add sniffer meta data APIs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: rx: add sniffer support for EHT mode (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: always send nullfunc frames on MGMT queue (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: remove h from printk format specifier (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: improve tag handling in iwl_request_firmware (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mention the response structure in the kerneldoc (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: add minimal EHT rate reporting (Jose Ignacio Tornos Martinez) [2178526]
- net: qrtr: free memory on error path in radix_tree_insert() (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: ep: Change state_lock to mutex (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: ep: Save channel state locally during suspend and resume (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: ep: Move chan->lock to the start of processing queued ch ring (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: ep: Fix the debug message for MHI_PKT_TYPE_RESET_CHAN_CMD cmd (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: ep: Only send -ENOTCONN status if client driver is available (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: ep: Check if the channel is supported by the controller (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: ep: Power up/down MHI stack during MHI RESET (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: host: Update mhi driver description (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: Update Makefile to used Kconfig flags (Jose Ignacio Tornos Martinez) [2178526]
- wifi: wireless: deny wireless extensions on MLO-capable devices (Jose Ignacio Tornos Martinez) [2178526]
- wifi: wireless: warn on most wireless extension usage (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: drop extra 'e' from ieeee80211... name (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Deduplicate certificate loading (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add kernel-doc for EHT structure (Jose Ignacio Tornos Martinez) [2178526]
- mac80211: support minimal EHT rate reporting on RX (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Add HE MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Add VHT MU-MIMO related flags in ieee80211_bss_conf (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Use MLD address to indicate MLD STA disconnection (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Support 32 bytes KCK key in GTK rekey offload (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Fix extended KCK key length check in nl80211_set_rekey_data() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: remove support for static WEP (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: Add support to read EEPROM caldata (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: Add new qmi_bdf_type to handle caldata (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: Fix incorrect qmi_file_type enum values (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: dp_mon: Fix uninitialized warning related to the pktlog (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: dp_mon: Fix out of bounds clang warning (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: hal_rx: Use memset_startat() for clearing queue descriptors (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: Fix uninitilized variable clang warnings (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: wmi: delete PSOC_HOST_MAX_NUM_SS (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: hal: convert offset macros to functions (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: hal: add ab parameter to macros using it (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Fix memory leak in ath11k_peer_rx_frag_setup (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: fix ce memory mapping for ahb devices (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Remove the unused function ath10k_ce_shadow_src_ring_write_index_set() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: add channel 177 into 5 GHz channel list (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Add support to configure FTM responder role (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: Fix potential stack-out-of-bounds write in ath9k_wmi_rsp_callback() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: hif_usb: clean up skbs if ath9k_hif_usb_rx_stream() fails (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: htc_hst: free skb in ath9k_htc_rx_msg() if there is no callback function (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Dump the efuse only for untested devices (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Print the ROM version too (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Use non-atomic sta iterator in rtw_ra_mask_info_update() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Use rtw_iterate_vifs() for rtw_vif_watch_dog_iter() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Move register access from rtw_bf_assoc() outside the RCU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Use a longer retry limit of 48 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Report the RSSI to the firmware (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rt2x00: Remove useless else if (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt7601u: fix an integer underflow (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtlwifi: rtl8723ae: fix obvious spelling error tyep->type (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Fix memory leaks with RTL8723BU, RTL8192EU (Jose Ignacio Tornos Martinez) [2178526]
- Revert "wifi: mac80211: fix memory leak in ieee80211_if_add()" (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: dma: fix a regression in adding rx buffers (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: fill the missing configuration about queue empty checking (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fix assignation of TX BD RAM table (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: rfk: correct ADC clock settings (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: correct register definitions of digital CFO and spur elimination (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: set the correct mac_id for management frames (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fix null vif pointer when get management frame date rate (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Change Wi-Fi role info related logic to version separate (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Change RTL8852B use v1 TDMA policy (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Packet traffic arbitration hardware owner monitor (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: refactor debug log of slot list (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Change firmware steps report to version separate (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Change Wi-Fi Null data report to version separate (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Add version code for Wi-Fi firmware coexistence control (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Update WiFi role info H2C report (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: only read Bluetooth counter of report version 1 for RTL8852A (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Add v5 firmware control report (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Change firmware control report to version separate (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Add v4 version firmware cycle report (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Rename BTC firmware cycle report by feature version (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Remove le32 to CPU translator at firmware cycle report (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: Fix a typo in debug message (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: ensure CLM version is null-terminated to prevent stack-out-of-bounds (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rndis_wlan: Prevent buffer overflow in rndis_query_oid (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: fix regression for Broadcom PCIe wifi devices (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: avoid NULL-deref in survey dump for 2G only device (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: avoid handling disabled channels for survey dump (Jose Ignacio Tornos Martinez) [2178526]
- net: rfkill: gpio: add DT support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix double space in comment (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: debugfs: fix to work with multiple PCI devices (Jose Ignacio Tornos Martinez) [2178526]
- mac80211: Fix MLO address translation for multiple bss case (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: reset multiple BSSID options in stop_ap() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Fix iTXQ AMPDU fragmentation handling (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: sdata can be NULL during AMPDU start (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Proper mark iTXQs for resumption (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: Prefer DT board type over DMI board type (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: Use generic thermal_zone_get_trip() function (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: remove most hidden macro dependencies on ah (Jose Ignacio Tornos Martinez) [2178526]
- wifi: wl3501_cs: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: unmap dma buffer in brcmf_msgbuf_alloc_pktid() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: fix potential memory leak in brcmf_netdev_start_xmit() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Fix potential stack-out-of-bounds in brcmf_c_preinit_dcmds() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: wilc1000: add missing unregister_netdev() in wilc_netdev_ifc_init() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: wilc1000: fix potential memory leak in wilc_mac_xmit() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: fixing transmisison failure for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Add rate control code for RTL8188EU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Support new chip RTL8188EU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Define masks for cck_agc_rpt bits (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Make rtl8xxxu_load_firmware take const char* (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Deduplicate the efuse dumping code (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: rfk: refine AGC tuning flow of DPK for irregular PA (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: refine 6 GHz scanning dwell time (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: update BSS color mapping register (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Change TDMA related logic to version separate (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: refactor _chk_btc_report() to extend more features (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Add v2 BT AFH report and related variable (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Update BTC firmware report bitmap definition (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: Enable Bluetooth report when show debug info (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: use new introduction BTC version format (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: add BTC format version derived from firmware version (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: select CONFIG_RELAY (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtlwifi: Fix global-out-of-bounds bug in _rtl8812ae_phy_set_txpower_limit() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: rfk: recover RX DCK failure (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: rfk: correct DPK settings (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: rfk: correct DACK setting (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fw: adapt to new firmware format of security section (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: consider ER SU as a TX capability (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: don't call dev_kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Fix assignment to bit field priv->cck_agc_report_type (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Fix assignment to bit field priv->pi_enabled (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtlwifi: rtl8723be: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtlwifi: rtl8188ee: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtlwifi: rtl8821ae: don't call kfree_skb() under spin_lock_irqsave() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: get rid of wed rx_buf_ring page_frag_cache (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: resource leaks at mt7921_check_offload_capability() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: dma: rely on queue page_frag_cache for wed rx queues (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: handle possible mt76_rx_token_consume failures (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: dma: do not increment queue head if mt76_dma_add_buf fails (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7615: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable per-phy led support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: move leds struct in mt76_phy (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: move leds field in leds struct (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix unintended sign extension of mt7915_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: fix unintended sign extension of mt7996_hw_queue_read() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: add support to update fw capability with MTFG table (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt76x0: fix oob access in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: fix endianness warning in mt7996_mcu_sta_he_tlv (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: drop always true condition of __mt7996_reg_addr() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: drop always true condition of __mt7915_reg_addr() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: check the correctness of event data (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: check return value before accessing free_block_num (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: split mcu chan_mib array up (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: fix integer handling issue of mt7996_rf_regval_set() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_rx_radar_detected() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: fix insecure data handling of mt7996_mcu_ie_countdown() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix mt7915_rate_txpower_get() resource leaks (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921s: fix slab-out-of-bounds access in sdio host (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: fix monitor mode bringup crash (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Fix scan request param frame size warning (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: Fix use-after-free in ath9k_hif_usb_disconnect() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: fixing IQK failures for rtl8192eu (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtlwifi: btcoexist: fix conditions branches that are never executed (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtlwifi: rtl8192se: remove redundant rtl_get_bbreg() call (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Add rtw8723du chipset support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Add rtw8822cu chipset support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Add rtw8822bu chipset support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Add rtw8821cu chipset support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Add common USB chip support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: iterate over vif/sta list non-atomically (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Drop coex mutex (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Drop h2c.lock (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Drop rf_lock (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: Call rtw_fw_beacon_filter_config() with rtwdev->mutex held (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: print firmware type in info message (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add join info upon create interface (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fix unsuccessful interface_add flow (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: stop mac port function when stop_ap() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add mac TSF sync function (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: request full firmware only once if it's early requested (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: don't request partial firmware if SECURITY_LOADPIN_ENFORCE (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Fix error return code in brcmf_sdio_download_firmware() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Introduce rtl8xxxu_update_ra_report (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Fix the channel width reporting (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Add __packed to struct rtl8723bu_c2h (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: introduce BRCMFMAC exported symbols namespace (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: add vendor name in revinfo debugfs file (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: add support Broadcom BCA firmware api (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: add support for Cypress firmware api (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: add support for vendor-specific firmware api (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: add firmware vendor info in driver info (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: add function to unbind device to bus layer api (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: add ipq5018 device support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: add new hw ops for IPQ5018 to get rx dest ring hashmap (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: initialize hw_ops for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: update hal srng regs for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: remap ce register space for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: update ce configurations for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: update hw params for IPQ5018 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: fw: use correct IML/ROM status register (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: dump: Update check for UMAC valid FW address (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: d3: add TKIP to the GTK iterator (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: clean up comments (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: Don't use deprecated register (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: pcie: Add reading and storing of crf and cdb id. (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: replace usage of found with dedicated list iterator variable (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: dump: Update check for valid FW address (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: don't access packet before checking len (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: modify new queue allocation command (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: nvm-parse: enable WiFi7 for Fm radio for now (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: add reset to rx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Fix race condition with struct htt_ppdu_stats_info (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: fix QCOM_SMEM dependency (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921e: add pci .shutdown() support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: mmio: fix naming convention (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: enable ack signal support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: rely on band_idx of mt76_phy (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable per bandwidth power limit support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: introduce mt7915_get_power_bound() (Jose Ignacio Tornos Martinez) [2178526]
- mt76: mt7915: Fix PCI device refcount leak in mt7915_pci_init_hif2() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: fix coverity overrun-call in mt76_get_txpower() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7996: add driver for MediaTek Wi-Fi 7 (802.11be) devices (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt76x0: remove dead code in mt76x0_phy_get_target_power (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix band_idx usage (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable .sta_set_txpwr support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add basedband Txpower info into debugfs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add support to configure spatial reuse parameter set (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add missing MODULE_PARM_DESC (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable WED RX stats (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable WED RX support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: introduce mt76_connac_mcu_sta_wed_update utility routine (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: add info parameter to rx_skb signature (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: add WED RX support to dma queue alloc (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: add WED RX support to mt76_dma_rx_fill (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: add WED RX support to mt76_dma_{add,get}_buf (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: introduce rxwi and rx token utility routines (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: introduce helper for mt7996 chipset (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: add more starec command tags (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: add more bss info command tags (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: introduce unified event table (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: add more unified command IDs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: rework fields for larger bandwidth support in sta_rec_bf (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: update struct sta_rec_phy (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: rework macros for unified command (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: introduce chanctx support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: introduce remain_on_channel support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: accept hw scan request at a time (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: drop ieee80211_[start, stop]_queues in driver (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: add unified ROC cmd/event support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7921: add chanctx parameter to mt76_connac_mcu_uni_add_bss signature (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: add mt76_connac_mcu_uni_set_chctx (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: do not run mt76u_status_worker if the device is not running (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: update nss calculation in txs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: don't claim 160MHz support with mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: Fix VHT beamforming capabilities with DBDC (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: Fix chainmask calculation on mt7915 DBDC (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable coredump support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add full system reset into debugfs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable full system reset support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: rework mt7915_dma_reset() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: move aggr_stats array in mt76_phy (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7615: rely on mt7615_phy in mt7615_mac_reset_counters (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix reporting of TX AGGR histogram (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7615: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable use_cts_prot support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: fix bandwidth 80MHz link fail in 6GHz band (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add ack signal support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: improve accuracy of time_busy calculation (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable wed for mt7986-wmac chipset (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: enable wed for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: move wed init routines in mmio.c (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix mt7915_mac_set_timing() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: set correct antenna for radar detection on MT7915D (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: add spatial extension index support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: connac: introduce mt76_connac_spe_idx() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: rework testmode tx antenna setting (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: deal with special variant of mt7916 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: rework eeprom tx paths and streams init (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: reserve 8 bits for the index of rf registers (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt7915: fix bounds checking for tx-free-done command (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: Remove unused inline function mt76_wcid_mask_test() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: mt76x02: simplify struct mt76x02_rate_power (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mt76: move mt76_rate_power from core to mt76x02 driver code (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix and simplify unencrypted drop check for mesh (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add support for restricting netdev features per vif (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: update TIM for S1G specification changes (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: don't parse multi-BSSID in assoc resp (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: use bss_from_pub() instead of container_of() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: remove unnecessary synchronize_net() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Drop not needed check for NULL (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Fix not unregister reg_pdev when load_builtin_regdb_keys() fails (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: fix comparison of BSS frequencies (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Correct example of ieee80211_iface_limit (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix memory leak in ieee80211_if_add() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ieee80211: Do not open-code qos address offsets (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: link rtw89_vif and chanctx stuffs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fw: implement MCC related H2C (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: mac: process MCC related C2H (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: introduce helpers to wait/complete on condition (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: check if atomic before queuing c2h (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: rfk: rename rtw89_mcc_info to rtw89_rfk_mcc_info (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: 8821c: enable BT device recovery mechanism (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: turn off PoP function in monitor mode (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add HE radiotap for monitor mode (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: enable mac80211 virtual monitor interface (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Check the count value of channel spec to prevent out-of-bounds reads (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath12k: driver for Qualcomm Wi-Fi 7 devices (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: add reset to tx_ring_setup callback (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: add mtk_wed_rx_reset routine (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: update mtk_wed_stop (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: move MTK_WDMA_RESET_IDX_TX configuration in mtk_wdma_tx_reset (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: return status value in mtk_wdma_rx_reset (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: add wcid overwritten support for wed v1 (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: add configure wed wo support (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: rename tx_wdma array in rx_wdma (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: introduce wed wo support (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_wed: introduce wed mcu support (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_wed: add missing of_node_put() (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_wed: add missing put_device() in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_wed: add axi bus support (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_wed: add wed support for mt7986 chipset (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_wed: add mtk_wed_configure_irq and mtk_wed_dma_{enable/disable} (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_soc: fix off by one check of ARRAY_SIZE (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_soc: add check for allocation failure (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_soc/wed: fix sparse endian warnings (Jose Ignacio Tornos Martinez) [2178526]
- net: ethernet: mtk_eth_soc: fix return value check in mtk_wed_add_hw() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: fix parameter passing to iwl_mei_alive_notif() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: return error value in case PLDR sync failed (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: trigger PCI re-enumeration in case of PLDR sync (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: fix double free on tx path. (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: print OTP info after alive (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: nvm: Update EHT capabilities for GL device (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: nvm-parse: support A-MPDU in EHT 2.4 GHz (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: advertise 320 MHz in 6 GHz only conditionally (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: set HE PHY bandwidth according to band (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: support PPE Thresholds for EHT (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: add support for EHT 1K aggregation size (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: rs: add support for parsing max MCS per NSS/BW in 11be (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: support 320 MHz PHY configuration (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: Advertise EHT capabilities (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw88: fix race condition when doing H2C command (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Store WLAN firmware version in SMEM image table (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: avoid inaccessible IO operations during doing change_interface() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: switch BANDEDGE and TX_SHAPE based on OFDMA trigger frame (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: read CFO from FD or preamble CFO field of phy status ie_type 1 accordingly (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: correct TX power controlled by BT-coexistence (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Use struct_size() in code ralated to struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: replace one-element array with flexible-array member in struct brcmf_dload_data_le (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Use struct_size() and array_size() in code ralated to struct brcmf_gscan_config (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Replace one-element array with flexible-array member (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Remove redundant argument offset (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Fix return value in ath10k_pci_init() (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: host: pci_generic: Add definition for some VIDs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: Fix some error handling path in rtw89_wow_enable() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: Avoid clashing function prototypes (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Use u32_get_bits in *_identify_chip (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Use strscpy instead of sprintf (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Name some bits used in burst init (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Rename rtl8xxxu_8188f_channel_to_group (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Split up rtl8xxxu_identify_chip (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Move burst init to a function (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: wait for the mac to stop on suspend (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: iwlmei: report disconnection as temporary (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: use wait_event_timeout() return value (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: implement PLDR flow (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: Fix getting the lowest rate (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: support new key API (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: dbg: use bit of DRAM alloc ID to store failed allocs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: print an error instead of a warning on invalid rate (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: cfg: disable STBC for BL step A devices (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: dbg: add support for DBGC4 on BZ family and above (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: use old checksum for Bz A-step (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: fix potential NULL-ptr deref after clone (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: avoid blocking sap messages handling due to rtnl lock (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: fix tx DHCP packet for devices with new Tx API (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: don't send SAP commands if AMT is disabled (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mei: make sure ownership confirmed message is sent (Jose Ignacio Tornos Martinez) [2178526]
- wifi: iwlwifi: mvm: send TKIP connection status to csme (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Use IEEE80211_SEQ_TO_SN() for seq_ctrl conversion (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: Remove unused variable mismatch (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: change debug mask of message of no TX resource (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Trigger sta disconnect on hardware restart (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: update D-MAC and C-MAC dump to diagnose SER (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: dump dispatch status via debug port (Jose Ignacio Tornos Martinez) [2178526]
- wifi: Use kstrtobool() instead of strtobool() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Avoiding Connection delay (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Fix for when connect request is not success (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: correctly remove all p2p vif (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: host: pci_generic: Add HP variant of T99W175 (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: host: pci_generic: add support for sc8280xp-crd SDX55 variant (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Use dev_* instead of pr_info (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Set IEEE80211_HW_SUPPORT_FAST_XMIT (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Recognise all possible chip cuts (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Fix the CCK RSSI calculation (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Add central frequency offset tracking (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Fix potential NULL pointer dereference in 'brcmf_c_preinit_dcmds()' (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Fix a typo "unknow" (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtlwifi: rtl8192ee: remove static variable stop_report_cnt (Jose Ignacio Tornos Martinez) [2178526]
- bcma: Fail probe if GPIO subdriver fails (Jose Ignacio Tornos Martinez) [2178526]
- bcma: Use the proper gpio include (Jose Ignacio Tornos Martinez) [2178526]
- bcma: gpio: Convert to immutable gpio irqchip (Jose Ignacio Tornos Martinez) [2178526]
- bcma: support SPROM rev 11 (Jose Ignacio Tornos Martinez) [2178526]
- bcma: Fix typo in comments (Jose Ignacio Tornos Martinez) [2178526]
- bcma: gpio: Switch to use fwnode instead of of_node (Jose Ignacio Tornos Martinez) [2178526]
- bcma: gpio: remove redundant re-assignment of chip->owner (Jose Ignacio Tornos Martinez) [2178526]
- bcma: cleanup comments (Jose Ignacio Tornos Martinez) [2178526]
- mtd: rawnand: brcmnand: Add platform data structure for BCMA (Jose Ignacio Tornos Martinez) [2178526]
- bcma: drop unneeded initialization value (Jose Ignacio Tornos Martinez) [2178526]
- bcma: Drop the unused parameter of bcma_scan_read32() (Jose Ignacio Tornos Martinez) [2178526]
- bcma: Fix memory leak for internally-handled cores (Jose Ignacio Tornos Martinez) [2178526]
- wifi: brcmfmac: Fix potential shift-out-of-bounds in brcmf_fw_alloc_request() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Make QMI message rules const (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: synchronize ath11k_mac_he_gi_to_nl80211_he_gi()'s return type (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Fix qmi_msg_handler data structure initialization (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: remove variable sent (Jose Ignacio Tornos Martinez) [2178526]
- wifi: wilc1000: sdio: fix module autoloading (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: Fix spelling mistake KIP_RESOTRE -> KIP_RESTORE (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add WoWLAN pattern match support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add WoWLAN function support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add related H2C for WoWLAN mode (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add drop tx packet function (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add function to adjust and restore PLE quota (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: move enable_cpu/disable_cpu into fw_download (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: collect and send RF parameters to firmware for WoWLAN (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: check if sta's mac_id is valid under AP/TDLS (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add BW info for both TX and RX in phy_info (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: make table of RU mask constant (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: declare support bands with const (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fw: adapt to new firmware format of dynamic header (Jose Ignacio Tornos Martinez) [2178526]
- net: Remove the obsolte u64_stats_fetch_*_irq() users (net). (Jose Ignacio Tornos Martinez) [2178526]
- net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: host: pci_generic: Add a secondary AT port to Telit FN990 (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: host: Fix race between channel preparation and M0 event (Jose Ignacio Tornos Martinez) [2178526]
- bus: mhi: host: Use mhi_soc_reset() API in place of register write (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: fix monitor vdev creation with firmware recovery (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Fix reads of uninitialized variables hw_ctrl_s1, sw_ctrl_s1 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add 8852be to Makefile and Kconfig (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: phy: add dummy C2H handler to avoid warning message (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops related to RF calibration (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: rfk: add DPK (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: rfk: add TSSI (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: rfk: add IQK (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: rfk: add RX DCK (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: rfk: add RCK (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: rfk: add DACK (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Delay the unmapping of the buffer (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Check return value of ath10k_get_arvif() in ath10k_wmi_event_tdls_peer() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Fix firmware crash on vdev delete race condition (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Send PME message during wakeup from D3cold (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: add support to configure channel dwell time (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: suppress add interface error (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add basic attributes of chip_info (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add functions to control BB to assist RF calibrations (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops to configure TX/RX path (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops to query PPDU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops related to BT coexistence (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops to get thermal (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add basic baseband chip_ops (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add power on/off functions (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops::set_channel_help (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Update module description (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Fix reading the vendor of combo chips (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Make some arrays const (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: fix wrong bandwidth settings after scan (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: correct 6 GHz scan behavior (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops::set_channel (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: make generic functions to convert subband gain index (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add HFC quota arrays (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: set proper configuration before loading NCTL (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: parse PHY status only when PPDU is to_self (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: coex: move chip_ops::btc_bt_aci_imp to a generic code (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: verify the expected usb_endpoints are present (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: hif_usb: Fix use-after-free in ath9k_hif_usb_reg_in_cb() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: Remove -Warray-bounds exception (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: hif_usb: fix memory leak of urbs in ath9k_hif_usb_dealloc_tx_urbs() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: realtek: remove duplicated wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath9k: Make arrays prof_prio and channelmap static const (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Fix spelling mistake "chnange" -> "change" (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Drop support for TX push path (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add wake_tx_queue callback to drivers (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add internal handler for wake_tx_queue (Jose Ignacio Tornos Martinez) [2178526]
- cfg80211: Update Transition Disable policy during port authorization (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: minstrel_ht: remove unused has_mrr member from struct minstrel_priv (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: remove support for AddBA with fragmentation (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: agg-rx: avoid band check (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: prohibit IEEE80211_HT_CAP_DELAY_BA with MLO (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: don't clear DTIM period after setting it (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: change AddBA deny error message (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: mlme: mark assoc link in output (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add RCU _check() link access variants (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: fix AddBA response addressing (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: set internal scan request BSSID (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: advertise TWT requester only with HW support (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: use link_id in ieee80211_change_bss() (Jose Ignacio Tornos Martinez) [2178526]
- wifi: nl80211: use link ID in NL80211_CMD_SET_BSS (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: transmit AddBA with MLD address (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: wme: use ap_addr instead of deflink BSSID (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Process association status for affiliated links (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: Parse station profile from association response (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211/mac80211: Fix ML element common size validation (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211/mac80211: Fix ML element common size calculation (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ieee80211: Support validating ML station profile length (Jose Ignacio Tornos Martinez) [2178526]
- wifi: cfg80211: support reporting failed links (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: recalc station aggregate data during link switch (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: include link address in debugfs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add API to show the link STAs in debugfs (Jose Ignacio Tornos Martinez) [2178526]
- wifi: mac80211: add pointer from link STA to STA (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: add to dump TX FIFO 0/1 for 8852C (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: set pin MUX to enable BT firmware log (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: phy: ignore warning of bb gain cfg_type 4 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: update BB parameters to v28 (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: rfk: correct miscoding delay of DPK (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852c: correct set of IQK backup registers (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: Fix AP interface delete issue (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: support station interface creation version 1, 2 and 3 (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: add creating station interface support (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: dump dongle memory when attaching failed (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: return error when getting invalid max_flowrings from dongle (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: add a timer to read console periodically in PCIE bus (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: Fix authentication latency caused by OBSS stats survey (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: fix CERT-P2P:5.1.10 failure (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: fix firmware trap while dumping obss stats (Jose Ignacio Tornos Martinez) [2178526]
- brcmfmac: Add dump_survey cfg80211 ops for HostApd AutoChannelSelection (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtl8xxxu: Support new chip RTL8188FU (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852be: add 8852BE PCI entry (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops to read phy cap (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops to read efuse (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add chip_ops::set_txpwr (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: debug: txpwr_table considers sign (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: phy: make generic txpwr setting functions (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add tables for RFK (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add BB and RF tables (2 of 2) (Jose Ignacio Tornos Martinez) [2178526]
- wifi: rtw89: 8852b: add BB and RF tables (1 of 2) (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: Don't exit on wakeup failure (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath10k: Replace zero-length arrays with DECLARE_FLEX_ARRAY() helper (Jose Ignacio Tornos Martinez) [2178526]
- wifi: ath11k: stop tx queues immediately upon firmware exit (Jose Ignacio Tornos Martinez) [2178526]
- treewide: use get_random_u32() when possible (Jose Ignacio Tornos Martinez) [2178526]
- treewide: use prandom_u32_max() when possible, part 1 (Jose Ignacio Tornos Martinez) [2178526]
- hwrng: core - let sleep be interrupted when unregistering hwrng (Jose Ignacio Tornos Martinez) [2178526]
- Revert part of "dt-bindings: usb: Add missing (unevaluated|additional)Properties on child nodes" (Desnes Nunes) [2190250]
- usb: core: add quirk for Alcor Link AK9563 smartcard reader (Desnes Nunes) [2190250]
- usb: typec: altmodes/displayport: Fix probe pin assign check (Desnes Nunes) [2190250]
- usb: typec: ucsi: Don't attempt to resume the ports before they exist (Desnes Nunes) [2190250]
- usb: dwc3: qcom: enable vbus override when in OTG dr-mode (Desnes Nunes) [2190250]
- USB: misc: iowarrior: fix up header size for USB_DEVICE_ID_CODEMERCS_IOW100 (Desnes Nunes) [2190250]
- usb: host: ehci-fsl: Fix module alias (Desnes Nunes) [2190250]
- usb: dwc3: fix extcon dependency (Desnes Nunes) [2190250]
- usb: core: hub: disable autosuspend for TI TUSB8041 (Desnes Nunes) [2190250]
- USB: fix misleading usb_set_intfdata() kernel doc (Desnes Nunes) [2190250]
- usb: typec: tcpm: Fix altmode re-registration causes sysfs create fail (Desnes Nunes) [2190250]
- usb: typec: altmodes/displayport: Use proper macro for pin assignment check (Desnes Nunes) [2190250]
- usb: typec: altmodes/displayport: Fix pin assignment calculation (Desnes Nunes) [2190250]
- usb: typec: altmodes/displayport: Add pin assignment helper (Desnes Nunes) [2190250]
- usb: misc: onboard_hub: Move 'attach' work to the driver (Desnes Nunes) [2190250]
- usb: misc: onboard_hub: Invert driver registration order (Desnes Nunes) [2190250]
- usb: ucsi: Ensure connector delayed work items are flushed (Desnes Nunes) [2190250]
- usb: chipidea: core: fix possible constant 0 if use IS_ERR(ci->role_switch) (Desnes Nunes) [2190250]
- xhci: Detect lpm incapable xHC USB3 roothub ports from ACPI tables (Desnes Nunes) [2190250]
- usb: acpi: add helper to check port lpm capability using acpi _DSM (Desnes Nunes) [2190250]
- xhci: Add a flag to disable USB3 lpm on a xhci root port level. (Desnes Nunes) [2190250]
- xhci: Add update_hub_device override for PCI xHCI hosts (Desnes Nunes) [2190250]
- xhci: Fix null pointer dereference when host dies (Desnes Nunes) [2190250]
- usb: xhci: Check endpoint is valid before dereferencing it (Desnes Nunes) [2190250]
- xhci-pci: set the dma max_seg_size (Desnes Nunes) [2190250]
- usb-storage: apply IGNORE_UAS only for HIKSEMI MD202 on RTL9210 (Desnes Nunes) [2190250]
- USB: serial: option: add Quectel EM05CN modem (Desnes Nunes) [2190250]
- USB: serial: option: add Quectel EM05CN (SG) modem (Desnes Nunes) [2190250]
- USB: serial: cp210x: add SCALANCE LPE-9000 device id (Desnes Nunes) [2190250]
- USB: serial: option: add Quectel EC200U modem (Desnes Nunes) [2190250]
- USB: serial: option: add Quectel EM05-G (RS) modem (Desnes Nunes) [2190250]
- USB: serial: option: add Quectel EM05-G (GR) modem (Desnes Nunes) [2190250]
- USB: serial: option: add Quectel EM05-G (CS) modem (Desnes Nunes) [2190250]
- thunderbolt: Disable XDomain lane 1 only in software connection manager (Desnes Nunes) [2190250]
- thunderbolt: Use correct function to calculate maximum USB3 link rate (Desnes Nunes) [2190250]
- thunderbolt: Do not call PM runtime functions in tb_retimer_scan() (Desnes Nunes) [2190250]
- usb: dwc3: gadget: Ignore End Transfer delay on teardown (Desnes Nunes) [2190250]
- usb: dwc3: xilinx: include linux/gpio/consumer.h (Desnes Nunes) [2190250]
- Revert "usb: ulpi: defer ulpi_register on ulpi_read_id timeout" (Desnes Nunes) [2190250]
- thunderbolt: Do not report errors if on-board retimers are found (Desnes Nunes) [2190250]
- treewide: Convert del_timer*() to timer_shutdown*() (Desnes Nunes) [2190250]
- nfc: pn533: Fix use-after-free bugs caused by pn532_cmd_timeout (Desnes Nunes) [2190250]
- nfc: pn533: Fix buggy cleanup order (Desnes Nunes) [2190250]
- mISDN: fix use-after-free bugs in l1oip timer handlers (Desnes Nunes) [2190250]
- atm: idt77252: fix use-after-free bugs caused by tst_timer (Desnes Nunes) [2190250]
- dt-bindings: usb: tegra-xusb: Remove path references (Desnes Nunes) [2190250]
- xen: make remove callback of xen driver void returned (Desnes Nunes) [2190250]
- xen/pcifront: Removed unnecessary __ref annotation (Desnes Nunes) [2190250]
- USB: core: export usb_cache_string() (Desnes Nunes) [2190250]
- usb: dwc2: power on/off phy for peripheral mode in dual-role mode (Desnes Nunes) [2190250]
- usb: dwc2: disable lpm feature on Rockchip SoCs (Desnes Nunes) [2190250]
- usb: dwc3: core: defer probe on ulpi_read_id timeout (Desnes Nunes) [2190250]
- usb: ulpi: defer ulpi_register on ulpi_read_id timeout (Desnes Nunes) [2190250]
- usb: typec: tipd: Set mode of operation for USB Type-C connector (Desnes Nunes) [2190250]
- usb: dwc3: qcom: Fix memory leak in dwc3_qcom_interconnect_init (Desnes Nunes) [2190250]
- usb: typec: wusb3801: fix fwnode refcount leak in wusb3801_probe() (Desnes Nunes) [2190250]
- usb: storage: Add check for kcalloc (Desnes Nunes) [2190250]
- USB: sisusbvga: use module_usb_driver() (Desnes Nunes) [2190250]
- USB: sisusbvga: rename sisusb.c to sisusbvga.c (Desnes Nunes) [2190250]
- USB: sisusbvga: remove console support (Desnes Nunes) [2190250]
- media: Switch to use dev_err_probe() helper (Desnes Nunes) [2190250]
- media: usb: pwc-uncompress: Use flex array destination for memcpy() (Desnes Nunes) [2190250]
- firmware_loader: fix up to_fw_sysfs() to preserve const (Desnes Nunes) [2190250]
- usb.h: take advantage of container_of_const() (Desnes Nunes) [2190250]
- device.h: move kobj_to_dev() to use container_of_const() (Desnes Nunes) [2190250]
- driver core: allow kobj_to_dev() to take a const pointer (Desnes Nunes) [2190250]
- container_of: remove container_of_safe() (Desnes Nunes) [2190250]
- net: thunderbolt: Use bitwise types in the struct thunderbolt_ip_frame_header (Desnes Nunes) [2190250]
- net: thunderbolt: Switch from __maybe_unused to pm_sleep_ptr() etc (Desnes Nunes) [2190250]
- USB: serial: f81534: fix division by zero on line-speed change (Desnes Nunes) [2190250]
- xhci: remove unused stream_id parameter from xhci_handle_halted_endpoint() (Desnes Nunes) [2190250]
- xhci: Prevent infinite loop in transaction errors recovery for streams (Desnes Nunes) [2190250]
- xhci: disable U3 suspended ports in S4 hibernate poweroff_late stage (Desnes Nunes) [2190250]
- xhci: export two xhci_hub functions for xhci-pci module usage (Desnes Nunes) [2190250]
- xhci: Apply XHCI_RESET_TO_DEFAULT quirk to ADL-N (Desnes Nunes) [2190250]
- xhci: print warning when HCE was set (Desnes Nunes) [2190250]
- Revert "xhci: Convert to use list_count()" (Desnes Nunes) [2190250]
- USB: serial: cp210x: add support for B0 hangup (Desnes Nunes) [2190250]
- usb: dwc3: Fix race between dwc3_set_mode and __dwc3_set_mode (Desnes Nunes) [2190250]
- xhci: Convert to use list_count() (Desnes Nunes) [2190250]
- usb: typec: Add partner PD object wrapper (Desnes Nunes) [2190250]
- usb: xhci-mtk: fix leakage of shared hcd when fail to set wakeup irq (Desnes Nunes) [2190250]
- usb: add usb_set_intfdata() documentation (Desnes Nunes) [2190250]
- usb: host: fix a typo in ehci.h (Desnes Nunes) [2190250]
- usb: dwc3: pci: Update PCIe device ID for USB3 controller on CPU sub-system for Raptor Lake (Desnes Nunes) [2190250]
- usb: core: hcd: Fix return value check in usb_hcd_setup_local_mem() (Desnes Nunes) [2190250]
- usb: typec: ucsi: Resume in separate work (Desnes Nunes) [2190250]
- usb: roles: fix of node refcount leak in usb_role_switch_is_parent() (Desnes Nunes) [2190250]
- USB: serial: cp210x: add Kamstrup RF sniffer PIDs (Desnes Nunes) [2190250]
- net: thunderbolt: Use kmap_local_page() instead of kmap_atomic() (Desnes Nunes) [2190250]
- media: dvb-usb: az6027: fix null-ptr-deref in az6027_i2c_xfer() (Desnes Nunes) [2190250]
- media: dvb-usb: fix memory leak in dvb_usb_adapter_init() (Desnes Nunes) [2190250]
- media: dvb-core: Enhance shared multi-frontend support (Desnes Nunes) [2190250]
- media: dvb-frontends: a8293: fix LNB powerup failure in PCTV 461e (Desnes Nunes) [2190250]
- USB: serial: option: add Quectel EM05-G modem (Desnes Nunes) [2190250]
- media: vb2/au0828: move the v4l_vb2q_enable_media_source to the au0828 driver (Desnes Nunes) [2190250]
- media: videobuf2: set q->streaming later (Desnes Nunes) [2190250]
- media: vb2: add (un)prepare_streaming queue ops (Desnes Nunes) [2190250]
- USB: gadget: Fix use-after-free during usb config switch (Desnes Nunes) [2190250]
- usb: dwc3: improve the config dependency of USB_DWC3_XILINX (Desnes Nunes) [2190250]
- usb: typec: tipd: Move tps6598x_disconnect error path to its own label (Desnes Nunes) [2190250]
- usb: typec: tipd: Fix typec_unregister_port error paths (Desnes Nunes) [2190250]
- usb: typec: tipd: Fix spurious fwnode_handle_put in error path (Desnes Nunes) [2190250]
- usb: typec: tipd: Cleanup resources if devm_tps6598_psy_register fails (Desnes Nunes) [2190250]
- usb: host: xhci-mtk: omit shared hcd if either root hub has no ports (Desnes Nunes) [2190250]
- usb: typec: tcpci: fix of node refcount leak in tcpci_register_port() (Desnes Nunes) [2190250]
- dt-bindings: usb: dwc3: Add SM8550 compatible (Desnes Nunes) [2190250]
- usb: typec: Check for ops->exit instead of ops->enter in altmode_exit (Desnes Nunes) [2190250]
- usb: ftdi-elan: remove variable l (Desnes Nunes) [2190250]
- Documentation: USB: correct possessive "its" usage (Desnes Nunes) [2190250]
- dt-bindings: usb: tegra-xusb: Convert to json-schema (Desnes Nunes) [2190250]
- hwrng: core - treat default_quality as a maximum and default to 1024 (Desnes Nunes) [2190250]
- usb: Check !irq instead of irq == NO_IRQ (Desnes Nunes) [2190250]
- tools: usb: ffs-aio-example: Fix build error with aarch64-*-gnu-gcc toolchain(s) (Desnes Nunes) [2190250]
- usb: chipidea: core: wrap ci_handle_power_lost() with CONFIG_PM_SLEEP (Desnes Nunes) [2190250]
- usb: chipidea: ci_hdrc_imx: Fix a typo ("regualator") (Desnes Nunes) [2190250]
- usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250]
- usb: ehci-pci: Set PROBE_PREFER_ASYNCHRONOUS (Desnes Nunes) [2190250]
- usb: phy: generic: Add wakeup capability (Desnes Nunes) [2190250]
- dt-bindings: usb: usb-nop-xceiv: add wakeup-source property (Desnes Nunes) [2190250]
- dt-bindings: usb: usb-drd: Describe default dual-role mode (Desnes Nunes) [2190250]
- usb: core: stop USB enumeration if too many retries (Desnes Nunes) [2190250]
- usb: dwc3: gadget: Reduce TRB IOC settings (Desnes Nunes) [2190250]
- usb: phy: phy-gpio-vbus-usb: Add device tree probing (Desnes Nunes) [2190250]
- thunderbolt: Add wake on connect/disconnect on USB4 ports (Desnes Nunes) [2190250]
- usb: gadget: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250]
- usb: core: Use kstrtobool() instead of strtobool() (Desnes Nunes) [2190250]
- USB: core: Change configuration warnings to notices (Desnes Nunes) [2190250]
- thunderbolt: ACPI: Use the helper fwnode_find_reference() (Desnes Nunes) [2190250]
- usb: chipidea: usbmisc: add power lost check for imx7ulp (Desnes Nunes) [2190250]
- usb: chipidea: usbmisc: add power lost check for imx7d (Desnes Nunes) [2190250]
- usb: chipidea: usbmisc: add power lost check for imx6sx (Desnes Nunes) [2190250]
- usb: chipidea: usbmisc: group usbmisc operations for PM (Desnes Nunes) [2190250]
- usb: chipidea: udc: add suspend/resume support for device controller (Desnes Nunes) [2190250]
- usb: chipidea: host: add suspend/resume support for host controller (Desnes Nunes) [2190250]
- usb: chipidea: core: handle suspend/resume for each role (Desnes Nunes) [2190250]
- usb: chipidea: core: add controller resume support when controller is powered off (Desnes Nunes) [2190250]
- usb: chipidea: core: handle usb role switch in a common way (Desnes Nunes) [2190250]
- usb: phy: generic: make vcc regulator optional (Desnes Nunes) [2190250]
- thunderbolt: Remove redundant assignment to variable len (Desnes Nunes) [2190250]
- thunderbolt: Use str_enabled_disabled() helper (Desnes Nunes) [2190250]
- device property: Constify parameter in device_dma_supported() and device_get_dma_attr() (Desnes Nunes) [2190250]
- device property: Constify device child node APIs (Desnes Nunes) [2190250]
- device property: Constify parameter in fwnode_graph_is_endpoint() (Desnes Nunes) [2190250]
- usb: typec: retimer: Use device type for matching (Desnes Nunes) [2190250]
- device property: Constify fwnode connection match APIs (Desnes Nunes) [2190250]
- device property: Allow const parameter to dev_fwnode() (Desnes Nunes) [2190250]
- device property: Add const qualifier to device_get_match_data() parameter (Desnes Nunes) [2190250]
- usb: dwc2: platform: Improve error reporting for problems during .remove() (Desnes Nunes) [2190250]
- dt-bindings: usb: dwc2: Add some missing Lantiq variants (Desnes Nunes) [2190250]
- USB: host: Kconfig: Fix spelling mistake "firwmare" -> "firmware" (Desnes Nunes) [2190250]
- usb: ftdi-elan: remove variable err_count (Desnes Nunes) [2190250]
- USB: allow some usb functions to take a const pointer. (Desnes Nunes) [2190250]
- kernel.spec: add bonding selftest (Hangbin Liu) [2179216]
- selftests: bonding: add arp validate test (Hangbin Liu) [2179216]
- selftests: bonding: re-format bond option tests (Hangbin Liu) [2179216]
- bonding: fix ns validation on backup slaves (Hangbin Liu) [2179216]
- net: Kconfig: enable IPV6 SEG6 (Hangbin Liu) [2186375]
- net: ipv6: unexport __init-annotated seg6_hmac_init() (Hangbin Liu) [2186375]
- selftests: seg6: add selftest for PSP flavor in SRv6 End behavior (Hangbin Liu) [2186375]
- selftests: seg6: add selftest for NEXT-C-SID flavor in SRv6 End behavior (Hangbin Liu) [2186375]
- selftests: seg6: add selftest for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375]
- selftests: seg6: add selftest for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375]
- kselftests/net: add missed SRv6 tests (Hangbin Liu) [2186375]
- seg6: add PSP flavor support for SRv6 End behavior (Hangbin Liu) [2186375]
- seg6: factor out End lookup nexthop processing to a dedicated function (Hangbin Liu) [2186375]
- seg6: add NEXT-C-SID support for SRv6 End behavior (Hangbin Liu) [2186375]
- seg6: add netlink_ext_ack support in parsing SRv6 behavior attributes (Hangbin Liu) [2186375]
- net: seg6: initialize induction variable to first valid array index (Hangbin Liu) [2186375]
- seg6: add support for SRv6 H.L2Encaps.Red behavior (Hangbin Liu) [2186375]
- seg6: add support for SRv6 H.Encaps.Red behavior (Hangbin Liu) [2186375]
- seg6: bpf: fix skb checksum in bpf_push_seg6_encap() (Hangbin Liu) [2186375]
- seg6: fix skb checksum in SRv6 End.B6 and End.B6.Encaps behaviors (Hangbin Liu) [2186375]
- seg6: fix skb checksum evaluation in SRH encapsulation/insertion (Hangbin Liu) [2186375]
- net: ipv6: unexport __init-annotated seg6_hmac_net_init() (Hangbin Liu) [2186375]
- udp6: Use Segment Routing Header for dest address if present (Hangbin Liu) [2186375]
- icmp: ICMPV6: Examine invoking packet for Segment Route Headers. (Hangbin Liu) [2186375]
- seg6: export get_srh() for ICMP handling (Hangbin Liu) [2186375]
- seg6: fix the iif in the IPv6 socket control block (Hangbin Liu) [2186375]
- net:ipv6:Remove unneeded semicolon (Hangbin Liu) [2186375]
- net: ipv6: fix use after free of struct seg6_pernet_data (Hangbin Liu) [2186375]
- net: ipv6: check return value of rhashtable_init (Hangbin Liu) [2186375]
- seg6_iptunnel: Remove redundant initialization of variable err (Hangbin Liu) [2186375]
- ipv6: seg6: remove duplicated include (Hangbin Liu) [2186375]
- ipv6: remove duplicated 'net/lwtunnel.h' include (Hangbin Liu) [2186375]
- netfilter: add netfilter hooks to SRv6 data plane (Hangbin Liu) [2186375]
- HID: asus: use spinlock to safely schedule workers (Ricardo Robaina) [2186283] {CVE-2023-1079}
- HID: asus: use spinlock to protect concurrent accesses (Ricardo Robaina) [2186283] {CVE-2023-1079}
- NFS: set varaiable nfs_netfs_debug_id storage-class-specifier to static (Dave Wysochanski) [2129854]
- NFS: Remove fscache specific trace points and NFS_INO_FSCACHE bit (Dave Wysochanski) [2129854]
- NFS: Remove all NFSIOS_FSCACHE counters due to conversion to netfs API (Dave Wysochanski) [2129854]
- NFS: Convert buffered read paths to use netfs when fscache is enabled (Dave Wysochanski) [2129854]
- NFS: Configure support for netfs when NFS fscache is configured (Dave Wysochanski) [2129854]
- NFS: Rename readpage_async_filler to nfs_read_add_folio (Dave Wysochanski) [2129854]
- netfs: Further cleanups after struct netfs_inode wrapper introduced (Dave Wysochanski) [2129854]
- i2c: xgene-slimpro: Fix out-of-bounds bug in xgene_slimpro_i2c_xfer() (Tony Camuso) [2188409] {CVE-2023-2194}
- netlink: provide an ability to set default extack message (Petr Oros) [2193453]
- mm/memcg: Allow OOM eventfd notifications under PREEMPT_RT (Valentin Schneider) [2178712]
Resolves: rhbz#2129854, rhbz#2178526, rhbz#2178712, rhbz#2179216, rhbz#2181854, rhbz#2186283, rhbz#2186375, rhbz#2188409, rhbz#2190250, rhbz#2193453

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-23 21:08:35 +02:00
Jan Stancek
7e19aae985 kernel-5.14.0-315.el9
* Wed May 17 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-315.el9]
- watchdog: wdat_wdt: Avoid unimplemented get_timeleft (David Arcari) [2189867]
- watchdog: wdat_wdt: Set the min and max timeout values properly (David Arcari) [2189867]
- watchdog: wdat_wdt: Remove #ifdef guards for PM related functions (David Arcari) [2189867]
- watchdog: wdat_wdt: Stop watchdog when uninstalling module (David Arcari) [2189867]
- watchdog: wdat_wdt: Stop watchdog when rebooting the system (David Arcari) [2189867]
- watchdog: wdat_wdt: Using the existing function to check parameter timeout (David Arcari) [2189867]
- watchdog: imx2_wdg: suspend watchdog in WAIT mode (Steve Best) [2192546]
- watchdog: imx2_wdg: Alow ping on suspend (Steve Best) [2192546]
- watchdog: imx2_wdg: notify wdog core to stop ping worker on suspend (Steve Best) [2192546]
- watchdog: introduce watchdog_dev_suspend/resume (Steve Best) [2192546]
- scsi: iscsi_tcp: Check that sock is valid before iscsi_set_param() (Chris Leech) [2192404]
- scsi: iscsi_tcp: Fix UAF during login when accessing the shost ipaddress (Chris Leech) [2192404]
- scsi: iscsi_tcp: Fix UAF during logout when accessing the shost ipaddress (Chris Leech) [2192404]
- scsi: iscsi: Fix multiple iSCSI session unbind events sent to userspace (Chris Leech) [2192404]
- scsi: iscsi: Rename iscsi_set_param() to iscsi_if_set_param() (Chris Leech) [2192404]
- scsi: iscsi: tracing: Use the new __vstring() helper (Chris Leech) [2192404]
- md/raid5: remove unused working_disks variable (Nigel Croxon) [2162219]
- md/raid10: don't call bio_start_io_acct twice for bio which experienced read error (Nigel Croxon) [2162219]
- md/raid10: fix memleak of md thread (Nigel Croxon) [2162219]
- md/raid10: fix memleak for 'conf->bio_split' (Nigel Croxon) [2162219]
- md/raid10: fix leak of 'r10bio->remaining' for recovery (Nigel Croxon) [2162219]
- md/raid10: don't BUG_ON() in raise_barrier() (Nigel Croxon) [2162219]
- md: fix soft lockup in status_resync (Nigel Croxon) [2162219]
- md: add error_handlers for raid0 and linear (Nigel Croxon) [2162219]
- md: Use optimal I/O size for last bitmap page (Nigel Croxon) [2162219]
- md: Fix types in sb writer (Nigel Croxon) [2162219]
- md: Move sb writer loop to its own function (Nigel Croxon) [2162219]
- md/raid10: Fix typo in comment (replacment -> replacement) (Nigel Croxon) [2162219]
- md: make kobj_type structures constant (Nigel Croxon) [2162219]
- md/raid10: fix null-ptr-deref in raid10_sync_request (Nigel Croxon) [2162219]
- md/raid10: fix task hung in raid10d (Nigel Croxon) [2162219]
- md: simplify sysctl registration (Nigel Croxon) [2162219]
- md: fix regression for null-ptr-deference in __md_stop() (Nigel Croxon) [2162219]
- md: avoid signed overflow in slot_store() (Nigel Croxon) [2162219]
- md: Free resources in __md_stop (Nigel Croxon) [2162219]
- md: account io_acct_set usage with active_io (Nigel Croxon) [2122229 2162219]
- md: use MD_RESYNC_* whenever possible (Nigel Croxon) [2162219]
- md: Free writes_pending in md_stop (Nigel Croxon) [2162219]
- md: Change active_io to percpu (Nigel Croxon) [2162219]
- md: Factor out is_md_suspended helper (Nigel Croxon) [2162219]
- md: don't update recovery_cp when curr_resync is ACTIVE (Nigel Croxon) [2162219]
- md: fix incorrect declaration about claim_rdev in md_import_device (Nigel Croxon) [2162219]
- md: fold unbind_rdev_from_array into md_kick_rdev_from_array (Nigel Croxon) [2162219]
- md: mark md_kick_rdev_from_array static (Nigel Croxon) [2162219]
- md: remove lock_bdev / unlock_bdev (Nigel Croxon) [2162219]
- bonding: Fix memory leak when changing bond type to Ethernet (Hangbin Liu) [2189406]
- selftests: bonding: add tests for ether type changes (Hangbin Liu) [2189406]
- bonding: restore bond's IFF_SLAVE flag if a non-eth dev enslave fails (Hangbin Liu) [2189406]
- bonding: restore IFF_MASTER/SLAVE flags on bond enslave ether type change (Hangbin Liu) [2189406]
- drivers/net/bonding/bond_3ad: return when there's no aggregator (Hangbin Liu) [2189406]
- net: add IFF_NO_ADDRCONF and use it in bonding to prevent ipv6 addrconf (Hangbin Liu) [2189406]
- net/core: Allow live renaming when an interface is up (Hangbin Liu) [2189406]
- bonding: 3ad: Add support for 800G speed (Hangbin Liu) [2189406]
- thermal/drivers/imx: Use generic thermal_zone_get_trip() function (Steve Best) [2185130]
- kernel.spec: skip kernel meta package when building without up (Jan Stancek) [2190323]
- redhat: configs: enable CONFIG_DELL_WMI_PRIVACY (Foggy Liu) [2186163]
- RHEL-only: Enable CONFIG_IO_URING (Jeff Moyer) [2068237 2170014]
- RHEL: io_uring: mark tech preview (Jeff Moyer) [2068237]
- RHEL: add a boot parameter to enable io_uring (Jeff Moyer) [2068237]
- io_uring: fix size calculation when registering buf ring (Jeff Moyer) [2068237]
- Revert "io_uring/rsrc: disallow multi-source reg buffers" (Jeff Moyer) [2068237]
- net: reclaim skb->scm_io_uring bit (Jeff Moyer) [2068237]
- io_uring: complete request via task work in case of DEFER_TASKRUN (Jeff Moyer) [2068237]
- io_uring: fix memory leak when removing provided buffers (Jeff Moyer) [2068237]
- io_uring: fix return value when removing provided buffers (Jeff Moyer) [2068237]
- io_uring: fix poll/netmsg alloc caches (Jeff Moyer) [2068237]
- io_uring/rsrc: fix rogue rsrc node grabbing (Jeff Moyer) [2068237]
- io_uring/poll: clear single/double poll flags on poll arming (Jeff Moyer) [2068237]
- io_uring/rsrc: fix null-ptr-deref in io_file_bitmap_get() (Jeff Moyer) [2068237]
- io_uring/net: avoid sending -ECONNABORTED on repeated connection requests (Jeff Moyer) [2068237]
- block/io_uring: pass in issue_flags for uring_cmd task_work handling (Jeff Moyer) [2068237]
- io_uring/msg_ring: let target know allocated index (Jeff Moyer) [2068237]
- io_uring/sqpoll: Do not set PF_NO_SETAFFINITY on sqpoll threads (Jeff Moyer) [2068237]
- io_uring/io-wq: stop setting PF_NO_SETAFFINITY on io-wq workers (Jeff Moyer) [2068237]
- io_uring/uring_cmd: ensure that device supports IOPOLL (Jeff Moyer) [2068237]
- io_uring: fix fget leak when fs don't support nowait buffered read (Jeff Moyer) [2068237]
- io_uring/poll: allow some retries for poll triggering spuriously (Jeff Moyer) [2068237]
- io_uring: remove MSG_NOSIGNAL from recvmsg (Jeff Moyer) [2068237]
- io_uring/rsrc: disallow multi-source reg buffers (Jeff Moyer) [2068237]
- io_uring,audit: don't log IORING_OP_MADVISE (Jeff Moyer) [2068237]
- io_uring: mark task TASK_RUNNING before handling resume/task work (Jeff Moyer) [2068237]
- io_uring: add reschedule point to handle_tw_list() (Jeff Moyer) [2068237]
- io_uring: add a conditional reschedule to the IOPOLL cancelation loop (Jeff Moyer) [2068237]
- io_uring: use user visible tail in io_uring_poll() (Jeff Moyer) [2068237]
- io_uring: handle TIF_NOTIFY_RESUME when checking for task_work (Jeff Moyer) [2068237]
- io_uring: Replace 0-length array with flexible array (Jeff Moyer) [2068237]
- io_uring: always prep_async for drain requests (Jeff Moyer) [2068237]
- io_uring/net: cache provided buffer group value for multishot receives (Jeff Moyer) [2068237]
- io_uring/poll: don't reissue in case of poll race on multishot request (Jeff Moyer) [2068237]
- io_uring/msg_ring: fix remote queue to disabled ring (Jeff Moyer) [2068237]
- io_uring/msg_ring: fix flagging remote execution (Jeff Moyer) [2068237]
- io_uring/msg_ring: fix missing lock on overflow for IOPOLL (Jeff Moyer) [2068237]
- io_uring/msg_ring: move double lock/unlock helpers higher up (Jeff Moyer) [2068237]
- io_uring: lock overflowing for IOPOLL (Jeff Moyer) [2068237]
- io_uring/poll: attempt request issue after racy poll wakeup (Jeff Moyer) [2068237]
- io_uring/fdinfo: include locked hash table in fdinfo output (Jeff Moyer) [2068237]
- io_uring/poll: add hash if ready poll request can't complete inline (Jeff Moyer) [2068237]
- io_uring/io-wq: only free worker if it was allocated for creation (Jeff Moyer) [2068237]
- io_uring: fix CQ waiting timeout handling (Jeff Moyer) [2068237]
- io_uring: move 'poll_multi_queue' bool in io_ring_ctx (Jeff Moyer) [2068237]
- io_uring: lockdep annotate CQ locking (Jeff Moyer) [2068237]
- io_uring: pin context while queueing deferred tw (Jeff Moyer) [2068237]
- io_uring/io-wq: free worker if task_work creation is canceled (Jeff Moyer) [2068237]
- uapi:io_uring.h: allow linux/time_types.h to be skipped (Jeff Moyer) [2068237]
- io_uring: check for valid register opcode earlier (Jeff Moyer) [2068237]
- io_uring/cancel: re-grab ctx mutex after finishing wait (Jeff Moyer) [2068237]
- io_uring: finish waiting before flushing overflow entries (Jeff Moyer) [2068237]
- io_uring/net: fix cleanup after recycle (Jeff Moyer) [2068237]
- io_uring/net: ensure compat import handlers clear free_iov (Jeff Moyer) [2068237]
- io_uring: include task_work run after scheduling in wait for events (Jeff Moyer) [2068237]
- io_uring: don't use TIF_NOTIFY_SIGNAL to test for availability of task_work (Jeff Moyer) [2068237]
- io_uring: fix overflow handling regression (Jeff Moyer) [2068237]
- io_uring: ease timeout flush locking requirements (Jeff Moyer) [2068237]
- io_uring: revise completion_lock locking (Jeff Moyer) [2068237]
- io_uring: protect cq_timeouts with timeout_lock (Jeff Moyer) [2068237]
- io_uring/rw: enable bio caches for IRQ rw (Jeff Moyer) [2068237]
- io_uring/msg_ring: flag target ring as having task_work, if needed (Jeff Moyer) [2068237]
- io_uring: skip spinlocking for ->task_complete (Jeff Moyer) [2068237]
- io_uring: do msg_ring in target task via tw (Jeff Moyer) [2068237]
- io_uring: extract a io_msg_install_complete helper (Jeff Moyer) [2068237]
- io_uring: get rid of double locking (Jeff Moyer) [2068237]
- io_uring: never run tw and fallback in parallel (Jeff Moyer) [2068237]
- io_uring: use tw for putting rsrc (Jeff Moyer) [2068237]
- io_uring: force multishot CQEs into task context (Jeff Moyer) [2068237]
- io_uring: complete all requests in task context (Jeff Moyer) [2068237]
- io_uring: don't check overflow flush failures (Jeff Moyer) [2068237]
- io_uring: skip overflow CQE posting for dying ring (Jeff Moyer) [2068237]
- io_uring: improve io_double_lock_ctx fail handling (Jeff Moyer) [2068237]
- io_uring: dont remove file from msg_ring reqs (Jeff Moyer) [2068237]
- io_uring: reshuffle issue_flags (Jeff Moyer) [2068237]
- io_uring: don't reinstall quiesce node for each tw (Jeff Moyer) [2068237]
- io_uring: improve rsrc quiesce refs checks (Jeff Moyer) [2068237]
- io_uring: don't raw spin unlock to match cq_lock (Jeff Moyer) [2068237]
- io_uring: combine poll tw handlers (Jeff Moyer) [2068237]
- io_uring: improve poll warning handling (Jeff Moyer) [2068237]
- io_uring: remove ctx variable in io_poll_check_events (Jeff Moyer) [2068237]
- io_uring: carve io_poll_check_events fast path (Jeff Moyer) [2068237]
- io_uring: kill io_poll_issue's PF_EXITING check (Jeff Moyer) [2068237]
- io_uring: keep unlock_post inlined in hot path (Jeff Moyer) [2068237]
- io_uring: don't use complete_post in kbuf (Jeff Moyer) [2068237]
- io_uring: spelling fix (Jeff Moyer) [2068237]
- io_uring: remove io_req_complete_post_tw (Jeff Moyer) [2068237]
- io_uring: allow multishot polled reqs to defer completion (Jeff Moyer) [2068237]
- io_uring: remove overflow param from io_post_aux_cqe (Jeff Moyer) [2068237]
- io_uring: add lockdep assertion in io_fill_cqe_aux (Jeff Moyer) [2068237]
- io_uring: make io_fill_cqe_aux static (Jeff Moyer) [2068237]
- io_uring: add io_aux_cqe which allows deferred completion (Jeff Moyer) [2068237]
- io_uring: allow defer completion for aux posted cqes (Jeff Moyer) [2068237]
- io_uring: defer all io_req_complete_failed (Jeff Moyer) [2068237]
- io_uring: always lock in io_apoll_task_func (Jeff Moyer) [2068237]
- io_uring: remove iopoll spinlock (Jeff Moyer) [2068237]
- io_uring: iopoll protect complete_post (Jeff Moyer) [2068237]
- io_uring: inline __io_req_complete_put() (Jeff Moyer) [2068237]
- io_uring: remove io_req_tw_post_queue (Jeff Moyer) [2068237]
- io_uring: use io_req_task_complete() in timeout (Jeff Moyer) [2068237]
- io_uring: hold locks for io_req_complete_failed (Jeff Moyer) [2068237]
- io_uring: add completion locking for iopoll (Jeff Moyer) [2068237]
- io_uring: kill io_cqring_ev_posted() and __io_cq_unlock_post() (Jeff Moyer) [2068237]
- Revert "io_uring: disallow self-propelled ring polling" (Jeff Moyer) [2068237]
- io_uring: pass in EPOLL_URING_WAKE for eventfd signaling and wakeups (Jeff Moyer) [2068237]
- eventfd: provide a eventfd_signal_mask() helper (Jeff Moyer) [2068237]
- eventpoll: add EPOLL_URING_WAKE poll wakeup flag (Jeff Moyer) [2068237]
- io_uring: inline __io_req_complete_post() (Jeff Moyer) [2068237]
- io_uring: split tw fallback into a function (Jeff Moyer) [2068237]
- io_uring: inline io_req_task_work_add() (Jeff Moyer) [2068237]
- io_uring: update outdated comment of callbacks (Jeff Moyer) [2068237]
- io_uring/poll: remove outdated comments of caching (Jeff Moyer) [2068237]
- io_uring: allow multishot recv CQEs to overflow (Jeff Moyer) [2068237]
- io_uring: revert "io_uring fix multishot accept ordering" (Jeff Moyer) [2068237]
- io_uring: do not always force run task_work in io_uring_register (Jeff Moyer) [2068237]
- io_uring: fix two assignments in if conditions (Jeff Moyer) [2068237]
- io_uring/net: move mm accounting to a slower path (Jeff Moyer) [2068237]
- io_uring: move zc reporting from the hot path (Jeff Moyer) [2068237]
- io_uring/net: inline io_notif_flush() (Jeff Moyer) [2068237]
- io_uring/net: rename io_uring_tx_zerocopy_callback (Jeff Moyer) [2068237]
- io_uring/net: preset notif tw handler (Jeff Moyer) [2068237]
- io_uring/net: remove extra notif rsrc setup (Jeff Moyer) [2068237]
- io_uring: move kbuf put out of generic tw complete (Jeff Moyer) [2068237]
- io_uring/net: introduce IORING_SEND_ZC_REPORT_USAGE flag (Jeff Moyer) [2068237]
- net: shrink struct ubuf_info (Jeff Moyer) [2068237]
- vhost/net: use struct ubuf_info_msgzc (Jeff Moyer) [2068237]
- xen/netback: use struct ubuf_info_msgzc (Jeff Moyer) [2068237]
- net: introduce struct ubuf_info_msgzc (Jeff Moyer) [2068237]
- io_uring: Fix a null-ptr-deref in io_tctx_exit_cb() (Jeff Moyer) [2068237]
- io_uring: clear TIF_NOTIFY_SIGNAL if set and task_work not available (Jeff Moyer) [2068237]
- io_uring/poll: fix poll_refs race with cancelation (Jeff Moyer) [2068237]
- io_uring/filetable: fix file reference underflow (Jeff Moyer) [2068237]
- io_uring: make poll refs more robust (Jeff Moyer) [2068237]
- io_uring: cmpxchg for poll arm refs release (Jeff Moyer) [2068237]
- io_uring: disallow self-propelled ring polling (Jeff Moyer) [2068237]
- io_uring: fix multishot recv request leaks (Jeff Moyer) [2068237]
- io_uring: fix multishot accept request leaks (Jeff Moyer) [2068237]
- io_uring: fix tw losing poll events (Jeff Moyer) [2068237]
- io_uring: update res mask in io_poll_check_events (Jeff Moyer) [2068237]
- io_uring/poll: lockdep annote io_poll_req_insert_locked (Jeff Moyer) [2068237]
- io_uring/poll: fix double poll req->flags races (Jeff Moyer) [2068237]
- io_uring: check for rollover of buffer ID when providing buffers (Jeff Moyer) [2068237]
- io_uring: calculate CQEs from the user visible value (Jeff Moyer) [2068237]
- io_uring: fix typo in io_uring.h comment (Jeff Moyer) [2068237]
- io_uring: unlock if __io_run_local_work locked inside (Jeff Moyer) [2068237]
- io_uring: use io_run_local_work_locked helper (Jeff Moyer) [2068237]
- io_uring/net: fail zc sendmsg when unsupported by socket (Jeff Moyer) [2068237]
- io_uring/net: fail zc send when unsupported by socket (Jeff Moyer) [2068237]
- selftests/net: don't tests batched TCP io_uring zc (Jeff Moyer) [2068237]
- net: also flag accepted sockets supporting msghdr originated zerocopy (Jeff Moyer) [2068237]
- net/ulp: remove SOCK_SUPPORT_ZC from tls sockets (Jeff Moyer) [2068237]
- net: remove SOCK_SUPPORT_ZC from sockmap (Jeff Moyer) [2068237]
- udp: advertise ipv6 udp support for msghdr::ubuf_info (Jeff Moyer) [2068237]
- net: flag sockets supporting msghdr originated zerocopy (Jeff Moyer) [2068237]
- io-wq: Fix memory leak in worker creation (Jeff Moyer) [2068237]
- io_uring/msg_ring: Fix NULL pointer dereference in io_msg_send_fd() (Jeff Moyer) [2068237]
- io_uring/rw: remove leftover debug statement (Jeff Moyer) [2068237]
- io_uring: don't iopoll from io_ring_ctx_wait_and_kill() (Jeff Moyer) [2068237]
- io_uring: reuse io_alloc_req() (Jeff Moyer) [2068237]
- io_uring: kill hot path fixed file bitmap debug checks (Jeff Moyer) [2068237]
- io_uring: remove FFS_SCM (Jeff Moyer) [2068237]
- io_uring/rw: ensure kiocb_end_write() is always called (Jeff Moyer) [2068237]
- io_uring: fix fdinfo sqe offsets calculation (Jeff Moyer) [2068237]
- io_uring: local variable rw shadows outer variable in io_write (Jeff Moyer) [2068237]
- io_uring/opdef: remove 'audit_skip' from SENDMSG_ZC (Jeff Moyer) [2068237]
- io_uring: optimise locking for local tw with submit_wait (Jeff Moyer) [2068237]
- io_uring: remove redundant memory barrier in io_req_local_work_add (Jeff Moyer) [2068237]
- io_uring/net: handle -EINPROGRESS correct for IORING_OP_CONNECT (Jeff Moyer) [2068237]
- io_uring: remove notif leftovers (Jeff Moyer) [2068237]
- io_uring: correct pinned_vm accounting (Jeff Moyer) [2068237]
- io_uring/af_unix: defer registered files gc to io_uring release (Jeff Moyer) [2068237]
- io_uring: limit registration w/ SINGLE_ISSUER (Jeff Moyer) [2068237]
- io_uring: remove io_register_submitter (Jeff Moyer) [2068237]
- io_uring: simplify __io_uring_add_tctx_node (Jeff Moyer) [2068237]
- io_uring: Add missing inline to io_uring_cmd_import_fixed() dummy (Jeff Moyer) [2068237]
- nvme: wire up fixed buffer support for nvme passthrough (Jeff Moyer) [2068237]
- nvme: pass ubuffer as an integer (Jeff Moyer) [2068237]
- nvme: refactor nvme_alloc_request (Jeff Moyer) [2068237]
- nvme: refactor nvme_add_user_metadata (Jeff Moyer) [2068237]
- scsi: Use blk_rq_map_user_io helper (Jeff Moyer) [2068237]
- io_uring: introduce fixed buffer support for io_uring_cmd (Jeff Moyer) [2068237]
- io_uring: add io_uring_cmd_import_fixed (Jeff Moyer) [2068237]
- nvme: enable batched completions of passthrough IO (Jeff Moyer) [2068237]
- nvme: split out metadata vs non metadata end_io uring_cmd completions (Jeff Moyer) [2068237]
- io_uring/net: fix notif cqe reordering (Jeff Moyer) [2068237]
- io_uring/net: don't update msg_name if not provided (Jeff Moyer) [2068237]
- io_uring: don't gate task_work run on TIF_NOTIFY_SIGNAL (Jeff Moyer) [2068237]
- io_uring/rw: defer fsnotify calls to task context (Jeff Moyer) [2068237]
- io_uring/net: fix fast_iov assignment in io_setup_async_msg() (Jeff Moyer) [2068237]
- io_uring/net: fix non-zc send with address (Jeff Moyer) [2068237]
- io_uring/net: don't skip notifs for failed requests (Jeff Moyer) [2068237]
- selftests/net: enable io_uring sendzc testing (Jeff Moyer) [2068237]
- io_uring/rw: don't lose short results on io_setup_async_rw() (Jeff Moyer) [2068237]
- io_uring/rw: fix unexpected link breakage (Jeff Moyer) [2068237]
- io_uring/net: fix cleanup double free free_iov init (Jeff Moyer) [2068237]
- io_uring: fix CQE reordering (Jeff Moyer) [2068237]
- io_uring/net: fix UAF in io_sendrecv_fail() (Jeff Moyer) [2068237]
- selftest/net: adjust io_uring sendzc notif handling (Jeff Moyer) [2068237]
- io_uring: ensure local task_work marks task as running (Jeff Moyer) [2068237]
- io_uring/net: zerocopy sendmsg (Jeff Moyer) [2068237]
- io_uring/net: combine fail handlers (Jeff Moyer) [2068237]
- io_uring/net: rename io_sendzc() (Jeff Moyer) [2068237]
- io_uring/net: support non-zerocopy sendto (Jeff Moyer) [2068237]
- io_uring/net: refactor io_setup_async_addr (Jeff Moyer) [2068237]
- io_uring/net: don't lose partial send_zc on fail (Jeff Moyer) [2068237]
- io_uring/net: don't lose partial send/recv on fail (Jeff Moyer) [2068237]
- io_uring/rw: don't lose partial IO result on fail (Jeff Moyer) [2068237]
- io_uring: add custom opcode hooks on fail (Jeff Moyer) [2068237]
- io_uring/fdinfo: fix sqe dumping for IORING_SETUP_SQE128 (Jeff Moyer) [2068237]
- io_uring/fdinfo: get rid of unnecessary is_cqe32 variable (Jeff Moyer) [2068237]
- io_uring: remove unused return from io_disarm_next (Jeff Moyer) [2068237]
- io_uring: add fast path for io_run_local_work() (Jeff Moyer) [2068237]
- io_uring/iopoll: unify tw breaking logic (Jeff Moyer) [2068237]
- io_uring/iopoll: fix unexpected returns (Jeff Moyer) [2068237]
- io_uring: disallow defer-tw run w/ no submitters (Jeff Moyer) [2068237]
- io_uring: further limit non-owner defer-tw cq waiting (Jeff Moyer) [2068237]
- io_uring/net: use io_sr_msg for sendzc (Jeff Moyer) [2068237]
- io_uring/net: refactor io_sr_msg types (Jeff Moyer) [2068237]
- io_uring/net: add non-bvec sg chunking callback (Jeff Moyer) [2068237]
- io_uring/net: io_async_msghdr caches for sendzc (Jeff Moyer) [2068237]
- io_uring/net: use async caches for async prep (Jeff Moyer) [2068237]
- io_uring/net: reshuffle error handling (Jeff Moyer) [2068237]
- io_uring: use io_cq_lock consistently (Jeff Moyer) [2068237]
- io_uring: kill an outdated comment (Jeff Moyer) [2068237]
- io_uring: allow buffer recycling in READV (Jeff Moyer) [2068237]
- fs: add batch and poll flags to the uring_cmd_iopoll() handler (Jeff Moyer) [2068237]
- io_uring: ensure iopoll runs local task work as well (Jeff Moyer) [2068237]
- io_uring: add local task_work run helper that is entered locked (Jeff Moyer) [2068237]
- io_uring: cleanly separate request types for iopoll (Jeff Moyer) [2068237]
- nvme: wire up async polling for io passthrough commands (Jeff Moyer) [2068237]
- block: export blk_rq_is_poll (Jeff Moyer) [2068237]
- io_uring: add iopoll infrastructure for io_uring_cmd (Jeff Moyer) [2068237]
- fs: add file_operations->uring_cmd_iopoll (Jeff Moyer) [2068237]
- io_uring: trace local task work run (Jeff Moyer) [2068237]
- io_uring: signal registered eventfd to process deferred task work (Jeff Moyer) [2068237]
- io_uring: move io_eventfd_put (Jeff Moyer) [2068237]
- io_uring: add IORING_SETUP_DEFER_TASKRUN (Jeff Moyer) [2068237]
- io_uring: do not run task work at the start of io_uring_enter (Jeff Moyer) [2068237]
- io_uring: introduce io_has_work (Jeff Moyer) [2068237]
- io_uring: remove unnecessary variable (Jeff Moyer) [2068237]
- __io_setxattr(): constify path (Jeff Moyer) [2068237]
- nvme/host: Use the enum req_op and blk_opf_t types (Jeff Moyer) [2068237]
- nvme: enable uring-passthrough for admin commands (Jeff Moyer) [2068237]
- nvme: helper for uring-passthrough checks (Jeff Moyer) [2068237]
- net: fix kdoc on __dev_queue_xmit() (Jeff Moyer) [2068237]
- tcp: add missing tcp_skb_can_collapse() test in tcp_shift_skb_data() (Jeff Moyer) [2068237]
- io_uring/poll: disable level triggered poll (Jeff Moyer) [2068237]
- io_uring: register single issuer task at creation (Jeff Moyer) [2068237]
- io_uring: ensure that cached task references are always put on exit (Jeff Moyer) [2068237]
- io_uring/opdef: rename SENDZC_NOTIF to SEND_ZC (Jeff Moyer) [2068237]
- io_uring/net: fix zc fixed buf lifetime (Jeff Moyer) [2068237]
- io_uring/msg_ring: check file type before putting (Jeff Moyer) [2068237]
- io_uring/rw: fix error'ed retry return values (Jeff Moyer) [2068237]
- io_uring/rw: fix short rw error handling (Jeff Moyer) [2068237]
- io_uring/net: copy addr for zc on POLL_FIRST (Jeff Moyer) [2068237]
- io_uring: recycle kbuf recycle on tw requeue (Jeff Moyer) [2068237]
- io_uring/kbuf: fix not advancing READV kbuf ring (Jeff Moyer) [2068237]
- io_uring/notif: Remove the unused function io_notif_complete() (Jeff Moyer) [2068237]
- selftests/net: return back io_uring zc send tests (Jeff Moyer) [2068237]
- io_uring/net: simplify zerocopy send user API (Jeff Moyer) [2068237]
- io_uring/notif: remove notif registration (Jeff Moyer) [2068237]
- Revert "io_uring: rename IORING_OP_FILES_UPDATE" (Jeff Moyer) [2068237]
- Revert "io_uring: add zc notification flush requests" (Jeff Moyer) [2068237]
- selftests/net: temporarily disable io_uring zc test (Jeff Moyer) [2068237]
- io_uring/net: fix overexcessive retries (Jeff Moyer) [2068237]
- lsm,io_uring: add LSM hooks for the new uring_cmd file op (Jeff Moyer) [2068237]
- io_uring/net: save address for sendzc async execution (Jeff Moyer) [2068237]
- io_uring: conditional ->async_data allocation (Jeff Moyer) [2068237]
- io_uring/notif: order notif vs send CQEs (Jeff Moyer) [2068237]
- io_uring/net: fix indentation (Jeff Moyer) [2068237]
- io_uring/net: fix zc send link failing (Jeff Moyer) [2068237]
- io_uring/net: fix must_hold annotation (Jeff Moyer) [2068237]
- io_uring: fix submission-failure handling for uring-cmd (Jeff Moyer) [2068237]
- io_uring: fix off-by-one in sync cancelation file check (Jeff Moyer) [2068237]
- io_uring: uapi: Add `extern "C"` in io_uring.h for liburing (Jeff Moyer) [2068237]
- MAINTAINERS: Add `include/linux/io_uring_types.h` (Jeff Moyer) [2068237]
- io_uring/net: use right helpers for async_data (Jeff Moyer) [2068237]
- io_uring/notif: raise limit on notification slots (Jeff Moyer) [2068237]
- io_uring/net: improve zc addr import error handling (Jeff Moyer) [2068237]
- io_uring/net: use right helpers for async recycle (Jeff Moyer) [2068237]
- io_uring: add missing BUILD_BUG_ON() checks for new io_uring_sqe fields (Jeff Moyer) [2068237]
- io_uring: make io_kiocb_to_cmd() typesafe (Jeff Moyer) [2068237]
- io_uring: consistently make use of io_notif_to_data() (Jeff Moyer) [2068237]
- io_uring: fix error handling for io_uring_cmd (Jeff Moyer) [2068237]
- io_uring: fix io_recvmsg_prep_multishot sparse warnings (Jeff Moyer) [2068237]
- io_uring/net: send retry for zerocopy (Jeff Moyer) [2068237]
- io_uring: mem-account pbuf buckets (Jeff Moyer) [2068237]
- audit, io_uring, io-wq: Fix memory leak in io_sq_thread() and io_wqe_worker() (Jeff Moyer) [2068237]
- io_uring: pass correct parameters to io_req_set_res (Jeff Moyer) [2068237]
- io_uring: notification completion optimisation (Jeff Moyer) [2068237]
- io_uring: export req alloc from core (Jeff Moyer) [2068237]
- io_uring/net: use unsigned for flags (Jeff Moyer) [2068237]
- io_uring/net: make page accounting more consistent (Jeff Moyer) [2068237]
- io_uring/net: checks errors of zc mem accounting (Jeff Moyer) [2068237]
- io_uring/net: improve io_get_notif_slot types (Jeff Moyer) [2068237]
- selftests/io_uring: test zerocopy send (Jeff Moyer) [2068237]
- io_uring: enable managed frags with register buffers (Jeff Moyer) [2068237]
- io_uring: add zc notification flush requests (Jeff Moyer) [2068237]
- io_uring: rename IORING_OP_FILES_UPDATE (Jeff Moyer) [2068237]
- io_uring: flush notifiers after sendzc (Jeff Moyer) [2068237]
- io_uring: sendzc with fixed buffers (Jeff Moyer) [2068237]
- io_uring: allow to pass addr into sendzc (Jeff Moyer) [2068237]
- io_uring: account locked pages for non-fixed zc (Jeff Moyer) [2068237]
- io_uring: wire send zc request type (Jeff Moyer) [2068237]
- io_uring: add notification slot registration (Jeff Moyer) [2068237]
- io_uring: add rsrc referencing for notifiers (Jeff Moyer) [2068237]
- io_uring: complete notifiers in tw (Jeff Moyer) [2068237]
- io_uring: cache struct io_notif (Jeff Moyer) [2068237]
- io_uring: add zc notification infrastructure (Jeff Moyer) [2068237]
- io_uring: export io_put_task() (Jeff Moyer) [2068237]
- io_uring: initialise msghdr::msg_ubuf (Jeff Moyer) [2068237]
- net: fix uninitialised msghdr->sg_from_iter (Jeff Moyer) [2068237]
- tcp: support externally provided ubufs (Jeff Moyer) [2068237]
- tcp: take care of mixed splice()/sendmsg(MSG_ZEROCOPY) case (Jeff Moyer) [2068237]
- tcp: fix mem under-charging with zerocopy sendmsg() (Jeff Moyer) [2068237]
- ipv6/udp: support externally provided ubufs (Jeff Moyer) [2068237]
- ipv4/udp: support externally provided ubufs (Jeff Moyer) [2068237]
- net: introduce managed frags infrastructure (Jeff Moyer) [2068237]
- net: Allow custom iter handler in msghdr (Jeff Moyer) [2068237]
- skbuff: carry external ubuf_info in msghdr (Jeff Moyer) [2068237]
- skbuff: add SKBFL_DONT_ORPHAN flag (Jeff Moyer) [2068237]
- net: avoid double accounting for pure zerocopy skbs (Jeff Moyer) [2068237]
- tcp: rename sk_wmem_free_skb (Jeff Moyer) [2068237]
- skbuff: don't mix ubuf_info from different sources (Jeff Moyer) [2068237]
- ipv6: avoid partial copy for zc (Jeff Moyer) [2068237]
- ipv4: avoid partial copy for zc (Jeff Moyer) [2068237]
- ipv6: refactor ip6_finish_output2() (Jeff Moyer) [2068237]
- ipv6: help __ip6_finish_output() inlining (Jeff Moyer) [2068237]
- net: inline dev_queue_xmit() (Jeff Moyer) [2068237]
- net: inline skb_zerocopy_iter_dgram (Jeff Moyer) [2068237]
- net: inline sock_alloc_send_skb (Jeff Moyer) [2068237]
- xfs: Add async buffered write support (Jeff Moyer) [2068237]
- xfs: Specify lockmode when calling xfs_ilock_for_iomap() (Jeff Moyer) [2068237]
- xfs: Use generic_file_open() (Jeff Moyer) [2068237]
- xfs: convert inode lock flags to unsigned. (Jeff Moyer) [2068237]
- io_uring: Add tracepoint for short writes (Jeff Moyer) [2068237]
- io_uring: fix issue with io_write() not always undoing sb_start_write() (Jeff Moyer) [2068237]
- io_uring: Add support for async buffered writes (Jeff Moyer) [2068237]
- fs: Add async write file modification handling. (Jeff Moyer) [2068237]
- fs: Split off inode_needs_update_time and __file_update_time (Jeff Moyer) [2068237]
- fs: __file_remove_privs(): restore call to inode_has_no_xattr() (Jeff Moyer) [2068237]
- fs: add __remove_file_privs() with flags parameter (Jeff Moyer) [2068237]
- fs: add a FMODE_BUF_WASYNC flags for f_mode (Jeff Moyer) [2068237]
- iomap: Return -EAGAIN from iomap_write_iter() (Jeff Moyer) [2068237]
- iomap: Add async buffered write support (Jeff Moyer) [2068237]
- iomap: Add flags parameter to iomap_page_create() (Jeff Moyer) [2068237]
- io_uring: ensure REQ_F_ISREG is set async offload (Jeff Moyer) [2068237]
- net: fix compat pointer in get_compat_msghdr() (Jeff Moyer) [2068237]
- io_uring: fix types in io_recvmsg_multishot_overflow (Jeff Moyer) [2068237]
- io_uring: support multishot in recvmsg (Jeff Moyer) [2068237]
- net: copy from user before calling __get_compat_msghdr (Jeff Moyer) [2068237]
- net: copy from user before calling __copy_msghdr (Jeff Moyer) [2068237]
- io_uring: Don't require reinitable percpu_ref (Jeff Moyer) [2068237]
- io_uring: Use atomic_long_try_cmpxchg in __io_account_mem (Jeff Moyer) [2068237]
- io_uring: support 0 length iov in buffer select in compat (Jeff Moyer) [2068237]
- io_uring: fix multishot ending when not polled (Jeff Moyer) [2068237]
- io_uring: add netmsg cache (Jeff Moyer) [2068237]
- io_uring: impose max limit on apoll cache (Jeff Moyer) [2068237]
- io_uring: add abstraction around apoll cache (Jeff Moyer) [2068237]
- io_uring: move apoll cache to poll.c (Jeff Moyer) [2068237]
- io_uring: consolidate hash_locked io-wq handling (Jeff Moyer) [2068237]
- io_uring: clear REQ_F_HASH_LOCKED on hash removal (Jeff Moyer) [2068237]
- io_uring: don't race double poll setting REQ_F_ASYNC_DATA (Jeff Moyer) [2068237]
- io_uring: don't miss setting REQ_F_DOUBLE_POLL (Jeff Moyer) [2068237]
- io_uring: disable multishot recvmsg (Jeff Moyer) [2068237]
- io_uring: only trace one of complete or overflow (Jeff Moyer) [2068237]
- io_uring: fix io_uring_cqe_overflow trace format (Jeff Moyer) [2068237]
- io_uring: multishot recv (Jeff Moyer) [2068237]
- io_uring: fix multishot accept ordering (Jeff Moyer) [2068237]
- io_uring: fix multishot poll on overflow (Jeff Moyer) [2068237]
- io_uring: add allow_overflow to io_post_aux_cqe (Jeff Moyer) [2068237]
- io_uring: add IOU_STOP_MULTISHOT return code (Jeff Moyer) [2068237]
- io_uring: clean up io_poll_check_events return values (Jeff Moyer) [2068237]
- io_uring: recycle buffers on error (Jeff Moyer) [2068237]
- io_uring: allow iov_len = 0 for recvmsg and buffer select (Jeff Moyer) [2068237]
- io_uring: restore bgid in io_put_kbuf (Jeff Moyer) [2068237]
- io_uring: allow 0 length for buffer select (Jeff Moyer) [2068237]
- io_uring: let to set a range for file slot allocation (Jeff Moyer) [2068237]
- io_uring: add support for passing fixed file descriptors (Jeff Moyer) [2068237]
- io_uring: split out fixed file installation and removal (Jeff Moyer) [2068237]
- io_uring: replace zero-length array with flexible-array member (Jeff Moyer) [2068237]
- io_uring: remove ctx->refs pinning on enter (Jeff Moyer) [2068237]
- io_uring: don't check file ops of registered rings (Jeff Moyer) [2068237]
- io_uring: remove extra TIF_NOTIFY_SIGNAL check (Jeff Moyer) [2068237]
- io_uring: fuse fallback_node and normal tw node (Jeff Moyer) [2068237]
- io_uring: improve io_fail_links() (Jeff Moyer) [2068237]
- io_uring: move POLLFREE handling to separate function (Jeff Moyer) [2068237]
- io_uring: kbuf: inline io_kbuf_recycle_ring() (Jeff Moyer) [2068237]
- io_uring: optimise submission side poll_refs (Jeff Moyer) [2068237]
- io_uring: refactor poll arm error handling (Jeff Moyer) [2068237]
- io_uring: change arm poll return values (Jeff Moyer) [2068237]
- io_uring: add a helper for apoll alloc (Jeff Moyer) [2068237]
- io_uring: remove events caching atavisms (Jeff Moyer) [2068237]
- io_uring: clean poll ->private flagging (Jeff Moyer) [2068237]
- io_uring: add sync cancelation API through io_uring_register() (Jeff Moyer) [2068237]
- io_uring: add IORING_ASYNC_CANCEL_FD_FIXED cancel flag (Jeff Moyer) [2068237]
- io_uring: have cancelation API accept io_uring_task directly (Jeff Moyer) [2068237]
- io_uring: kbuf: kill __io_kbuf_recycle() (Jeff Moyer) [2068237]
- io_uring: trace task_work_run (Jeff Moyer) [2068237]
- io_uring: add trace event for running task work (Jeff Moyer) [2068237]
- io_uring: batch task_work (Jeff Moyer) [2068237]
- io_uring: introduce llist helpers (Jeff Moyer) [2068237]
- io_uring: lockless task list (Jeff Moyer) [2068237]
- io_uring: remove __io_req_task_work_add (Jeff Moyer) [2068237]
- io_uring: remove priority tw list optimisation (Jeff Moyer) [2068237]
- io_uring: dedup io_run_task_work (Jeff Moyer) [2068237]
- io_uring: move list helpers to a separate file (Jeff Moyer) [2068237]
- io_uring: improve io_run_task_work() (Jeff Moyer) [2068237]
- io_uring: optimize io_uring_task layout (Jeff Moyer) [2068237]
- io_uring: add a warn_once for poll_find (Jeff Moyer) [2068237]
- io_uring: consistent naming for inline completion (Jeff Moyer) [2068237]
- io_uring: move io_import_fixed() (Jeff Moyer) [2068237]
- io_uring: opcode independent fixed buf import (Jeff Moyer) [2068237]
- io_uring: add io_commit_cqring_flush() (Jeff Moyer) [2068237]
- io_uring: introduce locking helpers for CQE posting (Jeff Moyer) [2068237]
- io_uring: hide eventfd assumptions in eventfd paths (Jeff Moyer) [2068237]
- io_uring: fix io_poll_remove_all clang warnings (Jeff Moyer) [2068237]
- io_uring: improve task exit timeout cancellations (Jeff Moyer) [2068237]
- io_uring: fix multi ctx cancellation (Jeff Moyer) [2068237]
- io_uring: remove ->flush_cqes optimisation (Jeff Moyer) [2068237]
- io_uring: move io_eventfd_signal() (Jeff Moyer) [2068237]
- io_uring: reshuffle io_uring/io_uring.h (Jeff Moyer) [2068237]
- io_uring: remove extra io_commit_cqring() (Jeff Moyer) [2068237]
- io_uring: move a few private types to local headers (Jeff Moyer) [2068237]
- io_uring: clean up tracing events (Jeff Moyer) [2068237]
- io_uring: make io_uring_types.h public (Jeff Moyer) [2068237]
- io_uring: kill extra io_uring_types.h includes (Jeff Moyer) [2068237]
- io_uring: change ->cqe_cached invariant for CQE32 (Jeff Moyer) [2068237]
- io_uring: deduplicate io_get_cqe() calls (Jeff Moyer) [2068237]
- io_uring: deduplicate __io_fill_cqe_req tracing (Jeff Moyer) [2068237]
- io_uring: introduce io_req_cqe_overflow() (Jeff Moyer) [2068237]
- io_uring: don't inline __io_get_cqe() (Jeff Moyer) [2068237]
- io_uring: don't expose io_fill_cqe_aux() (Jeff Moyer) [2068237]
- io_uring: kbuf: add comments for some tricky code (Jeff Moyer) [2068237]
- io_uring: mutex locked poll hashing (Jeff Moyer) [2068237]
- io_uring: propagate locking state to poll cancel (Jeff Moyer) [2068237]
- io_uring: introduce a struct for hash table (Jeff Moyer) [2068237]
- io_uring: pass hash table into poll_find (Jeff Moyer) [2068237]
- io_uring: add IORING_SETUP_SINGLE_ISSUER (Jeff Moyer) [2068237]
- io_uring: use state completion infra for poll reqs (Jeff Moyer) [2068237]
- io_uring: clean up io_ring_ctx_alloc (Jeff Moyer) [2068237]
- io_uring: limit the number of cancellation buckets (Jeff Moyer) [2068237]
- io_uring: clean up io_try_cancel (Jeff Moyer) [2068237]
- io_uring: pass poll_find lock back (Jeff Moyer) [2068237]
- io_uring: switch cancel_hash to use per entry spinlock (Jeff Moyer) [2068237]
- io_uring: poll: remove unnecessary req->ref set (Jeff Moyer) [2068237]
- io_uring: don't inline io_put_kbuf (Jeff Moyer) [2068237]
- io_uring: refactor io_req_task_complete() (Jeff Moyer) [2068237]
- io_uring: kill REQ_F_COMPLETE_INLINE (Jeff Moyer) [2068237]
- io_uring: rw: delegate sync completions to core io_uring (Jeff Moyer) [2068237]
- io_uring: remove unused IO_REQ_CACHE_SIZE defined (Jeff Moyer) [2068237]
- io_uring: don't set REQ_F_COMPLETE_INLINE in tw (Jeff Moyer) [2068237]
- io_uring: remove check_cq checking from hot paths (Jeff Moyer) [2068237]
- io_uring: never defer-complete multi-apoll (Jeff Moyer) [2068237]
- io_uring: inline ->registered_rings (Jeff Moyer) [2068237]
- io_uring: explain io_wq_work::cancel_seq placement (Jeff Moyer) [2068237]
- io_uring: move small helpers to headers (Jeff Moyer) [2068237]
- io_uring: refactor ctx slow data placement (Jeff Moyer) [2068237]
- io_uring: better caching for ctx timeout fields (Jeff Moyer) [2068237]
- io_uring: move defer_list to slow data (Jeff Moyer) [2068237]
- io_uring: make reg buf init consistent (Jeff Moyer) [2068237]
- io_uring: deprecate epoll_ctl support (Jeff Moyer) [2068237]
- io_uring: add support for level triggered poll (Jeff Moyer) [2068237]
- io_uring: move opcode table to opdef.c (Jeff Moyer) [2068237]
- io_uring: move read/write related opcodes to its own file (Jeff Moyer) [2068237]
- io_uring: move remaining file table manipulation to filetable.c (Jeff Moyer) [2068237]
- io_uring: move rsrc related data, core, and commands (Jeff Moyer) [2068237]
- io_uring: split provided buffers handling into its own file (Jeff Moyer) [2068237]
- io_uring: move cancelation into its own file (Jeff Moyer) [2068237]
- io_uring: move poll handling into its own file (Jeff Moyer) [2068237]
- io_uring: add opcode name to io_op_defs (Jeff Moyer) [2068237]
- io_uring: include and forward-declaration sanitation (Jeff Moyer) [2068237]
- io_uring: move io_uring_task (tctx) helpers into its own file (Jeff Moyer) [2068237]
- io_uring: move fdinfo helpers to its own file (Jeff Moyer) [2068237]
- io_uring: use io_is_uring_fops() consistently (Jeff Moyer) [2068237]
- io_uring: move SQPOLL related handling into its own file (Jeff Moyer) [2068237]
- io_uring: move timeout opcodes and handling into its own file (Jeff Moyer) [2068237]
- io_uring: move our reference counting into a header (Jeff Moyer) [2068237]
- io_uring: move msg_ring into its own file (Jeff Moyer) [2068237]
- io_uring: split network related opcodes into its own file (Jeff Moyer) [2068237]
- io_uring: move statx handling to its own file (Jeff Moyer) [2068237]
- io_uring: move epoll handler to its own file (Jeff Moyer) [2068237]
- io_uring: add a dummy -EOPNOTSUPP prep handler (Jeff Moyer) [2068237]
- io_uring: move uring_cmd handling to its own file (Jeff Moyer) [2068237]
- io_uring: split out open/close operations (Jeff Moyer) [2068237]
- io_uring: separate out file table handling code (Jeff Moyer) [2068237]
- io_uring: split out fadvise/madvise operations (Jeff Moyer) [2068237]
- io_uring: split out fs related sync/fallocate functions (Jeff Moyer) [2068237]
- io_uring: split out splice related operations (Jeff Moyer) [2068237]
- io_uring: split out filesystem related operations (Jeff Moyer) [2068237]
- io_uring: move nop into its own file (Jeff Moyer) [2068237]
- io_uring: move xattr related opcodes to its own file (Jeff Moyer) [2068237]
- io_uring: handle completions in the core (Jeff Moyer) [2068237]
- io_uring: set completion results upfront (Jeff Moyer) [2068237]
- io_uring: add io_uring_types.h (Jeff Moyer) [2068237]
- io_uring: define a request type cleanup handler (Jeff Moyer) [2068237]
- io_uring: unify struct io_symlink and io_hardlink (Jeff Moyer) [2068237]
- io_uring: convert iouring_cmd to io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert xattr to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert rsrc_update to io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert msg and nop to io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert splice to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert epoll to io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert file system request types to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert madvise/fadvise to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert open/close path to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert timeout path to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert cancel path to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert the sync and fallocate paths to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert net related opcodes to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: remove recvmsg knowledge from io_arm_poll_handler() (Jeff Moyer) [2068237]
- io_uring: convert poll_update path to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert poll path to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: convert read/write path to use io_cmd_type (Jeff Moyer) [2068237]
- io_uring: add generic command payload type to struct io_kiocb (Jeff Moyer) [2068237]
- io_uring: move req async preparation into opcode handler (Jeff Moyer) [2068237]
- io_uring: move to separate directory (Jeff Moyer) [2068237]
- io_uring: define a 'prep' and 'issue' handler for each opcode (Jeff Moyer) [2068237]
- fs: export rw_verify_area() (Jeff Moyer) [2068237]
- thermal/drivers/imx8mm: Add hwmon support (Steve Best) [2185128]
- thermal/drivers/imx: Add support for loading calibration data from OCOTP (Steve Best) [2185128]
- thermal/drivers/imx8mm_thermal: Validate temperature range (Steve Best) [2185128]
- thermal/drivers/imx8mm_thermal: Use GENMASK() when appropriate (Steve Best) [2185128]
- tools/power turbostat: update turbostat.8 (David Arcari) [2185900]
- tools/power turbostat: version 2023.03.17 (David Arcari) [2185900]
- tools/power turbostat: fix decoding of HWP_STATUS (David Arcari) [2185900]
- tools/power turbostat: Introduce support for EMR (David Arcari) [2185900]
- tools/power turbostat: remove stray newlines from warn/warnx strings (David Arcari) [2185900]
- tools/power turbostat: Fix /dev/cpu_dma_latency warnings (David Arcari) [2185900]
- tools/power turbostat: Provide better debug messages for failed capabilities accesses (David Arcari) [2185900]
- tools/power turbostat: update dump of SECONDARY_TURBO_RATIO_LIMIT (David Arcari) [2185900]
- tools/power turbostat: version 2022.10.04 (David Arcari) [2185900]
- tools/power turbostat: Use standard Energy Unit for SPR Dram RAPL domain (David Arcari) [2185900]
- tools/power turbostat: Do not dump TRL if turbo is not supported (David Arcari) [2185900]
- cpufreq: intel_pstate: remove MODULE_LICENSE in non-modules (David Arcari) [2185879]
- cpufreq: intel_pstate: Adjust balance_performance EPP for Sapphire Rapids (David Arcari) [2185879]
- cpufreq: intel_pstate: Drop ACPI _PSS states table patching (David Arcari) [2185879]
- cpufreq: intel_pstate: Allow EPP 0x80 setting by the firmware (David Arcari) [2185879]
- cpufreq: intel_pstate: Add Tigerlake support in no-HWP mode (David Arcari) [2185879]
- i2c: imx-lpi2c: check only for enabled interrupt flags (Steve Best) [2184917]
- i2c: imx-lpi2c: clean rx/tx buffers upon new message (Steve Best) [2184917]
- i2c: imx-lpi2c: use bulk clk API (Steve Best) [2184917]
- i2c: move drivers from strlcpy to strscpy (Steve Best) [2184917]
- i2c: brcmstb: Use dev_name() for adapter name (Steve Best) [2184917]
Resolves: rhbz#2068237, rhbz#2122229, rhbz#2162219, rhbz#2170014, rhbz#2184917, rhbz#2185128, rhbz#2185130, rhbz#2185879, rhbz#2185900, rhbz#2186163, rhbz#2189406, rhbz#2189867, rhbz#2190323, rhbz#2192404, rhbz#2192546

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-17 12:11:09 +02:00
Jan Stancek
1857c4b46e kernel-5.14.0-314.el9
* Tue May 16 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-314.el9]
- arm64: fix rodata=full again (Rafael Aquini) [2186559]
- arm64: fix rodata=full (Rafael Aquini) [2186559]
- arm64/mm: fold check for KFENCE into can_set_direct_map() (Rafael Aquini) [2186559]
- lib/kstrtox.c: add "false"/"true" support to kstrtobool() (Rafael Aquini) [2186559]
- lib/nodemask: optimize node_random for nodemask with single NUMA node (Rafael Aquini) [2186559]
- memory tier: release the new_memtier in find_create_memory_tier() (Rafael Aquini) [2186559]
- memory tier, sysfs: rename attribute "nodes" to "nodelist" (Rafael Aquini) [2186559]
- mm/demotion: fix NULL vs IS_ERR checking in memory_tier_init (Rafael Aquini) [2186559]
- mm/demotion: expose memory tier details via sysfs (Rafael Aquini) [2186559]
- mm/demotion: update node_is_toptier to work with memory tiers (Rafael Aquini) [2186559]
- mm/demotion: demote pages according to allocation fallback order (Rafael Aquini) [2186559]
- mm/demotion: drop memtier from memtype (Rafael Aquini) [2186559]
- mm/demotion: add pg_data_t member to track node memory tier details (Rafael Aquini) [2186559]
- mm/demotion: build demotion targets based on explicit memory tiers (Rafael Aquini) [2186559]
- mm/demotion/dax/kmem: set node's abstract distance to MEMTIER_DEFAULT_DAX_ADISTANCE (Rafael Aquini) [2186559]
- mm/demotion: add hotplug callbacks to handle new numa node onlined (Rafael Aquini) [2186559]
- mm/demotion: move memory demotion related code (Rafael Aquini) [2186559]
- mm/demotion: add support for explicit memory tiers (Rafael Aquini) [2186559]
- PCI/PM: Extend D3hot delay for NVIDIA HDA controllers (Alex Williamson) [2178956]
- powerpc/vdso: Fix incorrect CFI in gettimeofday.S (Mamatha Inamdar) [2203363]
- powerpc/vdso: Move cvdso_call macro into gettimeofday.S (Mamatha Inamdar) [2203363]
- powerpc/vdso: Remove cvdso_call_time macro (Mamatha Inamdar) [2203363]
- powerpc/vdso: Merge vdso64 and vdso32 into a single directory (Mamatha Inamdar) [2203363]
- powerpc/vdso: Rework VDSO32 makefile to add a prefix to object files (Mamatha Inamdar) [2203363]
- powerpc/vdso: augment VDSO32 functions to support 64 bits build (Mamatha Inamdar) [2203363]
- sched/fair: Sanitize vruntime of entity being migrated (Phil Auld) [RHEL-282]
- sched/core: Merge cpumask_andnot()+for_each_cpu() into for_each_cpu_andnot() (Phil Auld) [RHEL-282]
- cpumask: Introduce for_each_cpu_andnot() (Phil Auld) [RHEL-282]
- lib/find_bit: Introduce find_next_andnot_bit() (Phil Auld) [RHEL-282]
- sched/core: Fix a missed update of user_cpus_ptr (Phil Auld) [RHEL-282]
- sched/fair: sanitize vruntime of entity being placed (Phil Auld) [RHEL-282]
- sched/core: Fix NULL pointer access fault in sched_setaffinity() with non-SMP configs (Phil Auld) [RHEL-282]
- sched/fair: Limit sched slice duration (Phil Auld) [RHEL-282]
- cpufreq, sched/util: Optimize operations with single CPU capacity lookup (Phil Auld) [RHEL-282]
- sched/core: Reorganize ttwu_do_wakeup() and ttwu_do_activate() (Phil Auld) [RHEL-282]
- sched/core: Micro-optimize ttwu_runnable() (Phil Auld) [RHEL-282]
- sched/topology: Add __init for sched_init_domains() (Phil Auld) [RHEL-282]
- sched/numa: Stop an exhastive search if an idle core is found (Phil Auld) [RHEL-282]
- sched: Make const-safe (Phil Auld) [RHEL-282]
- sched: Async unthrottling for cfs bandwidth (Phil Auld) [RHEL-282]
- sched/topology: Add __init for init_defrootdomain (Phil Auld) [RHEL-282]
- sched/fair: use try_cmpxchg in task_numa_work (Phil Auld) [RHEL-282]
- sched: Clear ttwu_pending after enqueue_task() (Phil Auld) [RHEL-282]
- sched/fair: Move call to list_last_entry() in detach_tasks (Phil Auld) [RHEL-282]
- sched/fair: Cleanup loop_max and loop_break (Phil Auld) [RHEL-282]
- sched/fair: Make sure to try to detach at least one movable task (Phil Auld) [RHEL-282]
- sched: Add TASK_ANY for wait_task_inactive() (Phil Auld) [RHEL-282]
- sched: Rename task_running() to task_on_cpu() (Phil Auld) [RHEL-282]
- sched/fair: Cleanup for SIS_PROP (Phil Auld) [RHEL-282]
- sched/fair: Default to false in test_idle_cores() (Phil Auld) [RHEL-282]
- sched/fair: Remove useless check in select_idle_core() (Phil Auld) [RHEL-282]
- sched/fair: Avoid double search on same cpu (Phil Auld) [RHEL-282]
- sched/fair: Remove redundant check in select_idle_smt() (Phil Auld) [RHEL-282]
- sched: Add update_current_exec_runtime helper (Phil Auld) [RHEL-282]
- sched/fair: Don't init util/runnable_avg for !fair task (Phil Auld) [RHEL-282]
- sched/fair: Move task sched_avg attach to enqueue_task_fair() (Phil Auld) [RHEL-282]
- sched/fair: Allow changing cgroup of new forked task (Phil Auld) [RHEL-282]
- sched/fair: Fix another detach on unattached task corner case (Phil Auld) [RHEL-282]
- sched/fair: Combine detach into dequeue when migrating task (Phil Auld) [RHEL-282]
- sched/fair: Update comments in enqueue/dequeue_entity() (Phil Auld) [RHEL-282]
- sched/fair: Reset sched_avg last_update_time before set_task_rq() (Phil Auld) [RHEL-282]
- sched/fair: Remove redundant cpu_cgrp_subsys->fork() (Phil Auld) [RHEL-282]
- sched/fair: Maintain task se depth in set_task_rq() (Phil Auld) [RHEL-282]
- sched/all: Change all BUG_ON() instances in the scheduler to WARN_ON_ONCE() (Phil Auld) [RHEL-282]
- sched/core: Remove superfluous semicolon (Phil Auld) [RHEL-282]
- sched/fair: Make per-cpu cpumasks static (Phil Auld) [RHEL-282]
- sched/fair: Remove unused parameter idle of _nohz_idle_balance() (Phil Auld) [RHEL-282]
- sched/debug: Print each field value left-aligned in sched_show_task() (Phil Auld) [RHEL-282]
- sched: Snapshot thread flags (Phil Auld) [RHEL-282]
- scsi: zfcp: Trace when request remove fails after qdio send fails (Tobias Huschle) [2160098]
- scsi: zfcp: Change the type of all fsf request id fields and variables to u64 (Tobias Huschle) [2160098]
- scsi: zfcp: Make the type for accessing request hashtable buckets size_t (Tobias Huschle) [2160098]
- scsi: zfcp: Drop redundant "the" in the comments (Tobias Huschle) [2160098]
- perf c2c: Add report option to show false sharing in adjacent cachelines (Michael Petlan) [2193189]
- RDMA: Mark Soft-RoCE driver as tech-preview (Kamal Heib) [2022578]
- redhat/configs: enable RDMA_RXE for RHEL (Kamal Heib) [2022578]
- RDMA/rxe: Prevent faulty rkey generation (Kamal Heib) [2022578]
- RDMA/rxe: Fix inaccurate constants in rxe_type_info (Kamal Heib) [2022578]
- RDMA/rxe: Fix compile warnings on 32-bit (Kamal Heib) [2022578]
- RDMA/rxe: Enable RDMA FLUSH capability for rxe device (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Implement flush completion (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Implement flush execution in responder side (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Implement RC RDMA FLUSH service in requester side (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Extend rxe packet format to support flush (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Allow registering persistent flag for pmem MR only (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Extend rxe user ABI to support flush (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Fix incorrect responder length checking (Kamal Heib) [2022578]
- RDMA/rxe: Fix oops with zero length reads (Kamal Heib) [2022578]
- RDMA/rxe: Enable atomic write capability for rxe device (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Implement atomic write completion (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Make responder support atomic write on RC service (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Make requester support atomic write on RC service (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Extend rxe packet format to support atomic write (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Extend rxe user ABI to support atomic write (Kamal Heib) [2022578 2114774]
- RDMA/rxe: Fix NULL-ptr-deref in rxe_qp_do_cleanup() when socket create failed (Kamal Heib) [2022578]
- RDMA/rxe: Do not NULL deref on debugging failure path (Kamal Heib) [2022578]
- RDMA/rxe: Fix mr->map double free (Kamal Heib) [2022578]
- RDMA/rxe: Remove reliable datagram support (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_mmap.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_icrc.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_task.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_av.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_verbs.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_srq.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_resp.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_req.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_qp.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_net.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_mw.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_mr.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_cq.c (Kamal Heib) [2022578]
- RDMA/rxe: Replace pr_xxx by rxe_dbg_xxx in rxe_comp.c (Kamal Heib) [2022578]
- RDMA/rxe: Add ibdev_dbg macros for rxe (Kamal Heib) [2022578]
- RDMA/rxe: Implement packet length validation on responder (Kamal Heib) [2022578]
- RDMA/rxe: cleanup some error handling in rxe_verbs.c (Kamal Heib) [2022578]
- RDMA/rxe: Remove the duplicate assignment of mr->map_shift (Kamal Heib) [2022578]
- RDMA/rxe: Make sure requested access is a subset of {mr,mw}->access (Kamal Heib) [2022578]
- RDMA/rxe: Rename task->state_lock to task->lock (Kamal Heib) [2022578]
- RDMA/rxe: Make rxe_do_task static (Kamal Heib) [2022578]
- RDMA/rxe: Split rxe_run_task() into two subroutines (Kamal Heib) [2022578]
- RDMA/rxe: Removed unused name from rxe_task struct (Kamal Heib) [2022578]
- RDMA/rxe: Remove init of task locks from rxe_qp.c (Kamal Heib) [2022578]
- RDMA/rxe: Remove redundant header files (Kamal Heib) [2022578]
- RDMA/rxe: Fix mr leak in RESPST_ERR_RNR (Kamal Heib) [2022578]
- RDMA/rxe: Remove unnecessary mr testing (Kamal Heib) [2022578]
- RDMA/rxe: Handle remote errors in the midst of a Read reply sequence (Kamal Heib) [2022578]
- RDMA/rxe: Make responder handle RDMA Read failures (Kamal Heib) [2022578]
- RDMA/rxe: Remove the member 'type' of struct rxe_mr (Kamal Heib) [2022578]
- RDMA/rxe: Remove error/warning messages from packet receiver path (Kamal Heib) [2022578]
- RDMA/rxe: Remove redundant num_sge fields (Kamal Heib) [2022578]
- RDMA/rxe: Fix resize_finish() in rxe_queue.c (Kamal Heib) [2022578]
- RDMA/rxe: Set pd early in mr alloc routines (Kamal Heib) [2022578]
- RDMA/rxe: Add send_common_ack() helper (Kamal Heib) [2022578]
- RDMA/rxe: Use members of generic struct in rxe_mr (Kamal Heib) [2022578]
- RDMA/rxe: convert pr_warn to pr_debug (Kamal Heib) [2022578]
- RDMA/rxe: use %%u to print u32 variables (Kamal Heib) [2022578]
- RDMA/rxe: Delete error messages triggered by incoming Read requests (Kamal Heib) [2022578]
- RDMA/rxe: Remove the unused variable obj (Kamal Heib) [2022578]
- RDMA/rxe: Fix the error caused by qp->sk (Kamal Heib) [2022578]
- RDMA/rxe: Fix "kernel NULL pointer dereference" error (Kamal Heib) [2022578]
- RDMA/rxe: Remove an unused member from struct rxe_mr (Kamal Heib) [2022578]
- RDMA/rxe: Fix error unwind in rxe_create_qp() (Kamal Heib) [2022578]
- RDMA/rxe: Split qp state for requester and completer (Kamal Heib) [2022578]
- RDMA/rxe: Generate error completion for error requester QP state (Kamal Heib) [2022578]
- RDMA/rxe: Update wqe_index for each wqe error completion (Kamal Heib) [2022578]
- Revert "RDMA/rxe: Create duplicate mapping tables for FMRs" (Kamal Heib) [2022578]
- RDMA/rxe: Replace __rxe_do_task by rxe_run_task (Kamal Heib) [2022578]
- RDMA/rxe: Limit the number of calls to each tasklet (Kamal Heib) [2022578]
- RDMA/rxe: Make the tasklet exits the same (Kamal Heib) [2022578]
- RDMA/rxe: Fix rnr retry behavior (Kamal Heib) [2022578]
- RDMA/rxe: Add rxe_is_fenced() subroutine (Kamal Heib) [2022578]
- RDMA/rxe: For invalidate compare according to set keys in mr (Kamal Heib) [2022578]
- RDMA/rxe: Fix mw bind to allow any consumer key portion (Kamal Heib) [2022578]
- RDMA/rxe: Fix spelling mistake in error print (Kamal Heib) [2022578]
- RDMA/rxe: Remove unused qp parameter (Kamal Heib) [2022578]
- RDMA/rxe: Remove unused mask parameter (Kamal Heib) [2022578]
- RDMA/rxe: Rename rxe_atomic_reply to atomic_reply (Kamal Heib) [2022578]
- RDMA/rxe: Add common rxe_prepare_res() (Kamal Heib) [2022578]
- RDMA/rxe: Fix BUG: KASAN: null-ptr-deref in rxe_qp_do_cleanup (Kamal Heib) [2022578]
- IB: Fix spelling of 'writable' (Kamal Heib) [2022578]
- RDMA/rxe: Remove unnecessary include statement (Kamal Heib) [2022578]
- RDMA/rxe: Replace include statement (Kamal Heib) [2022578]
- RDMA/rxe: Convert pr_warn/err to pr_debug in pyverbs (Kamal Heib) [2022578]
- RDMA/rxe: Fix deadlock in rxe_do_local_ops() (Kamal Heib) [2022578]
- RDMA/rxe: Merge normal and retry atomic flows (Kamal Heib) [2022578]
- RDMA/rxe: Move atomic original value to res (Kamal Heib) [2022578]
- RDMA/rxe: Move atomic responder res to atomic_reply (Kamal Heib) [2022578]
- RDMA/rxe: Add a responder state for atomic reply (Kamal Heib) [2022578]
- RDMA/rxe: Move code to rxe_prepare_atomic_res() (Kamal Heib) [2022578]
- RDMA/rxe: Convert read side locking to rcu (Kamal Heib) [2022578]
- RDMA/rxe: Stop lookup of partially built objects (Kamal Heib) [2022578]
- RDMA/rxe: Remove useless pkt parameters (Kamal Heib) [2022578]
- RDMA/rxe: fix xa_alloc_cycle() error return value check again (Kamal Heib) [2022578]
- RDMA/rxe: Fix an error handling path in rxe_get_mcg() (Kamal Heib) [2022578]
- RDMA/rxe: Enforce IBA C11-17 (Kamal Heib) [2022578]
- RDMA/rxe: Move mw cleanup code to rxe_mw_cleanup() (Kamal Heib) [2022578]
- RDMA/rxe: Move mr cleanup code to rxe_mr_cleanup() (Kamal Heib) [2022578]
- RDMA/rxe: Move qp cleanup code to rxe_qp_do_cleanup() (Kamal Heib) [2022578]
- RDMA/rxe: Check rxe_get() return value (Kamal Heib) [2022578]
- RDMA/rxe: Add rxe_srq_cleanup() (Kamal Heib) [2022578]
- RDMA/rxe: Remove IB_SRQ_INIT_MASK (Kamal Heib) [2022578]
- RDMA/rxe: Skip adjusting remote addr for write in retry operation (Kamal Heib) [2022578]
- RDMA/rxe: Optimize the mr pool struct (Kamal Heib) [2022578]
- RDMA/rxe: Change mcg_lock to a _bh lock (Kamal Heib) [2022578]
- RDMA/rxe: Do not call dev_mc_add/del() under a spinlock (Kamal Heib) [2022578]
- RDMA/rxe: Replace paylen by payload (Kamal Heib) [2022578]
- RDMA/rxe: Remove useless parameters for update_state() (Kamal Heib) [2022578]
- RDMA/rxe: Recheck the MR in when generating a READ reply (Kamal Heib) [2022578]
- RDMA/rxe: Fix "Replace mr by rkey in responder resources" (Kamal Heib) [2022578]
- RDMA/rxe: Generate a completion for unsupported/invalid opcode (Kamal Heib) [2022578]
- RDMA/rxe: Remove reliable datagram support (Kamal Heib) [2022578]
- RDMA/rxe: Remove support for SMI QPs from rdma_rxe (Kamal Heib) [2022578]
- RDMA/rxe: Remove mc_grp_pool from struct rxe_dev (Kamal Heib) [2022578]
- RDMA/rxe: Remove type 2A memory window capability (Kamal Heib) [2022578]
- RDMA/rxe: Use standard names for ref counting (Kamal Heib) [2022578]
- RDMA/rxe: Replace red-black trees by xarrays (Kamal Heib) [2022578]
- RDMA/rxe: Shorten pool names in rxe_pool.c (Kamal Heib) [2022578]
- RDMA/rxe: Move max_elem into rxe_type_info (Kamal Heib) [2022578]
- RDMA/rxe: Replace obj by elem in declaration (Kamal Heib) [2022578]
- RDMA/rxe: Delete _locked() APIs for pool objects (Kamal Heib) [2022578]
- RDMA/rxe: Reverse the sense of RXE_POOL_NO_ALLOC (Kamal Heib) [2022578]
- RDMA/rxe: Replace mr by rkey in responder resources (Kamal Heib) [2022578]
- RDMA/rxe: Fix ref error in rxe_av.c (Kamal Heib) [2022578]
- RDMA/rxe: Remove useless argument for update_state() (Kamal Heib) [2022578]
- RDMA/rxe: Change variable and function argument to proper type (Kamal Heib) [2022578]
- RDMA/rxe: Cleanup rxe_mcast.c (Kamal Heib) [2022578]
- RDMA/rxe: Collect cleanup mca code in a subroutine (Kamal Heib) [2022578]
- RDMA/rxe: Collect mca init code in a subroutine (Kamal Heib) [2022578]
- RDMA/rxe: Warn if mcast memory is not freed (Kamal Heib) [2022578]
- RDMA/rxe: Remove mcg from rxe pools (Kamal Heib) [2022578]
- RDMA/rxe: Remove key'ed object support (Kamal Heib) [2022578]
- RDMA/rxe: Replace pool key by rxe->mcg_tree (Kamal Heib) [2022578]
- RDMA/rxe: Replace int num_qp by atomic_t qp_num (Kamal Heib) [2022578]
- RDMA/rxe: Replace grp by mcg, mce by mca (Kamal Heib) [2022578]
- RDMA/rxe: Use kzmalloc/kfree for mca (Kamal Heib) [2022578]
- RDMA/rxe: Move mcg_lock to rxe (Kamal Heib) [2022578]
- RDMA/rxe: Revert changes from irqsave to bh locks (Kamal Heib) [2022578]
- RDMA/rxe: Check the last packet by RXE_END_MASK (Kamal Heib) [2022578]
- RDMA/rxe: Remove qp->grp_lock and qp->grp_list (Kamal Heib) [2022578]
- RDMA/rxe: Remove rxe_drop_all_macst_groups (Kamal Heib) [2022578]
- RDMA/rxe: Enforce IBA o10-2.2.3 (Kamal Heib) [2022578]
- RDMA/rxe: Rename rxe_mc_grp and rxe_mc_elem (Kamal Heib) [2022578]
- RDMA/rxe: Move rxe_mcast_attach/detach to rxe_mcast.c (Kamal Heib) [2022578]
- RDMA/rxe: Move rxe_mcast_add/delete to rxe_mcast.c (Kamal Heib) [2022578]
- RDMA/rxe: Delete useless module.h include (Kamal Heib) [2022578]
- RDMA/rxe: Use the standard method to produce udp source port (Kamal Heib) [2022578]
- RDMA/rxe: Delete deprecated module parameters interface (Kamal Heib) [2022578]
- RDMA/rxe: Fix indentations and operators sytle (Kamal Heib) [2022578]
- RDMA/rxe: Fix a typo in opcode name (Kamal Heib) [2022578]
- RDMA/rxe: Remove the unused xmit_errors member (Kamal Heib) [2022578]
- RDMA/rxe: Remove redundant err variable (Kamal Heib) [2022578]
- RDMA/rxe: Prevent double freeing rxe_map_set() (Kamal Heib) [2022578]
- RDMA/rxe: Remove the unnecessary variable (Kamal Heib) [2022578]
- RDMA: Fix use-after-free in rxe_queue_cleanup (Kamal Heib) [2022578]
- RDMA/rxe: Remove some #defines from rxe_pool.h (Kamal Heib) [2022578]
- RDMA/rxe: Remove #include "rxe_loc.h" from rxe_pool.c (Kamal Heib) [2022578]
- RDMA/rxe: Save object pointer in pool element (Kamal Heib) [2022578]
- RDMA/rxe: Copy setup parameters into rxe_pool (Kamal Heib) [2022578]
- RDMA/rxe: Cleanup rxe_pool_entry (Kamal Heib) [2022578]
- RDMA/rxe: Replace irqsave locks with bh locks (Kamal Heib) [2022578]
- RDMA/rxe: Make rxe_type_info static const (Kamal Heib) [2022578]
- RDMA/rxe: Use 'bitmap_zalloc()' when applicable (Kamal Heib) [2022578]
- RDMA/rxe: Save a few bytes from struct rxe_pool (Kamal Heib) [2022578]
- treewide: Replace open-coded flex arrays in unions (Kamal Heib) [2022578]
- RDMA/rxe: Convert kernel UD post send to use ah_num (Kamal Heib) [2022578]
- RDMA/rxe: Lookup kernel AH from ah index in UD WQEs (Kamal Heib) [2022578]
- RDMA/rxe: Replace ah->pd by ah->ibah.pd (Kamal Heib) [2022578]
- RDMA/rxe: Create AH index and return to user space (Kamal Heib) [2022578]
- RDMA/rxe: Change AH objects to indexed (Kamal Heib) [2022578]
- RDMA/rxe: Move AV from rxe_send_wqe to rxe_send_wr (Kamal Heib) [2022578]
- RDMA/rxe: Remove duplicate settings (Kamal Heib) [2022578]
- RDMA/rxe: Set partial attributes when completion status != IBV_WC_SUCCESS (Kamal Heib) [2022578]
- RDMA/rxe: Change the is_user member of struct rxe_cq to bool (Kamal Heib) [2022578]
- RDMA/rxe: Remove the is_user members of struct rxe_sq/rxe_rq/rxe_srq (Kamal Heib) [2022578]
- RDMA/rxe: Bump up default maximum values used via uverbs (Kamal Heib) [2022578]
- RDMA/rxe: Remove unused WR_READ_WRITE_OR_SEND_MASK (Kamal Heib) [2022578]
- RDMA/rxe: Add MASK suffix for RXE_READ_OR_ATOMIC and RXE_WRITE_OR_SEND (Kamal Heib) [2022578]
- RDMA/rxe: Add new RXE_READ_OR_WRITE_MASK (Kamal Heib) [2022578]
- RDMA/rxe: Only allow invalidate for appropriate MRs (Kamal Heib) [2022578]
- RDMA/rxe: Create duplicate mapping tables for FMRs (Kamal Heib) [2022578]
- RDMA/rxe: Separate HW and SW l/rkeys (Kamal Heib) [2022578]
- RDMA/rxe: Cleanup MR status and type enums (Kamal Heib) [2022578]
- RDMA/rxe: Add memory barriers to kernel queues (Kamal Heib) [2022578]
- RDMA/rxe: remove the unnecessary variable (Kamal Heib) [2022578]
- RDMA/rxe: remove the redundant variable (Kamal Heib) [2022578]
- RDMA/rxe: Fix wrong port_cap_flags (Kamal Heib) [2022578]
- RDMA/rxe: Fix types in rxe_icrc.c (Kamal Heib) [2022578]
- RDMA/rxe: Add kernel-doc comments to rxe_icrc.c (Kamal Heib) [2022578]
- RDMA/rxe: Move crc32 init code to rxe_icrc.c (Kamal Heib) [2022578]
- RDMA/rxe: Fixup rxe_icrc_hdr (Kamal Heib) [2022578]
- RDMA/rxe: Move rxe_crc32 to a subroutine (Kamal Heib) [2022578]
- RDMA/rxe: Move ICRC generation to a subroutine (Kamal Heib) [2022578]
- RDMA/rxe: Fixup rxe_send and rxe_loopback (Kamal Heib) [2022578]
- RDMA/rxe: Move rxe_xmit_packet to a subroutine (Kamal Heib) [2022578]
- RDMA/rxe: Move ICRC checking to a subroutine (Kamal Heib) [2022578]
- RDMA/rxe: Remove the repeated 'mr->umem = umem' (Kamal Heib) [2022578]
- redhat/configs: Enable Marvell Cn10k DDR PMU driver (Bharat Bhushan) [2042241]
- perf: MARVELL_CN10K_DDR_PMU should depend on ARCH_THUNDER (Bharat Bhushan) [2042241]
- perf/marvell: Add ACPI support to DDR uncore driver (Bharat Bhushan) [2042241]
- perf/marvell: Fix !CONFIG_OF build for CN10K DDR PMU driver (Bharat Bhushan) [2042241]
- perf/marvell: cn10k DDR perf event core ownership (Bharat Bhushan) [2042241]
- perf/marvell: cn10k DDR perfmon event overflow handling (Bharat Bhushan) [2042241]
- perf/marvell: CN10k DDR performance monitor support (Bharat Bhushan) [2042241]
- dt-bindings: perf: marvell: cn10k ddr performance monitor (Bharat Bhushan) [2042241]
- xfrm: add extack to xfrm_set_spdinfo (Sabrina Dubroca) [2130609]
- xfrm: add extack to xfrm_alloc_userspi (Sabrina Dubroca) [2130609]
- xfrm: add extack to xfrm_do_migrate (Sabrina Dubroca) [2130609]
- xfrm: add extack to xfrm_new_ae and xfrm_replay_verify_len (Sabrina Dubroca) [2130609]
- xfrm: add extack to xfrm_del_sa (Sabrina Dubroca) [2130609]
- xfrm: add extack to xfrm_add_sa_expire (Sabrina Dubroca) [2130609]
- xfrm: a few coding style clean ups (Sabrina Dubroca) [2130609]
- xfrm: mip6: add extack to mip6_destopt_init_state, mip6_rthdr_init_state (Sabrina Dubroca) [2130609]
- xfrm: ipcomp: add extack to ipcomp{4,6}_init_state (Sabrina Dubroca) [2130609]
- xfrm: tunnel: add extack to ipip_init_state, xfrm6_tunnel_init_state (Sabrina Dubroca) [2130609]
- xfrm: esp: add extack to esp_init_state, esp6_init_state (Sabrina Dubroca) [2130609]
- xfrm: ah: add extack to ah_init_state, ah6_init_state (Sabrina Dubroca) [2130609]
- xfrm: pass extack down to xfrm_type ->init_state (Sabrina Dubroca) [2130609]
- xfrm: add extack support to xfrm_init_replay (Sabrina Dubroca) [2130609]
- xfrm: add extack to __xfrm_init_state (Sabrina Dubroca) [2130609]
- xfrm: add extack to attach_* (Sabrina Dubroca) [2130609]
- xfrm: add extack support to xfrm_dev_state_add (Sabrina Dubroca) [2130609]
- xfrm: add extack to verify_one_alg, verify_auth_trunc, verify_aead (Sabrina Dubroca) [2130609]
- xfrm: add extack to verify_replay (Sabrina Dubroca) [2130609]
- xfrm: add extack support to verify_newsa_info (Sabrina Dubroca) [2130609]
- xfrm: add extack to verify_sec_ctx_len (Sabrina Dubroca) [2130609]
- xfrm: add extack to validate_tmpl (Sabrina Dubroca) [2130609]
- xfrm: add extack to verify_policy_type (Sabrina Dubroca) [2130609]
- xfrm: add extack to verify_policy_dir (Sabrina Dubroca) [2130609]
- xfrm: add extack support to verify_newpolicy_info (Sabrina Dubroca) [2130609]
- xfrm: propagate extack to all netlink doit handlers (Sabrina Dubroca) [2130609]
- net: ipv4: fix clang -Wformat warnings (Sabrina Dubroca) [2130609]
- xfrm: rate limit SA mapping change message to user space (Sabrina Dubroca) [2130609]
- xfrm: Check if_id in xfrm_migrate (Sabrina Dubroca) [2130609]
- xfrm: fix rcu lock in xfrm_notify_userpolicy() (Sabrina Dubroca) [2130609]
- xfrm: policy: fix metadata dst->dev xmit null pointer dereference (Sabrina Dubroca) [2130609]
- xfrm: rework default policy structure (Sabrina Dubroca) [2130609]
- xfrm: fix dflt policy check when there is no policy configured (Sabrina Dubroca) [2130609]
- xfrm: notify default policy on update (Sabrina Dubroca) [2130609]
- xfrm: make user policy API complete (Sabrina Dubroca) [2130609]
- include/uapi/linux/xfrm.h: Fix XFRM_MSG_MAPPING ABI breakage (Sabrina Dubroca) [2130609]
- net: xfrm: fix shift-out-of-bounds in xfrm_get_default (Sabrina Dubroca) [2130609]
- net: xfrm: fix shift-out-of-bounce (Sabrina Dubroca) [2130609]
- xfrm: Add possibility to set the default to block if we have no policy (Sabrina Dubroca) [2130609]
- remoteproc: imx_rproc: Enable attach recovery for i.MX8QM/QXP (Steve Best) [2184610]
- remoteproc: imx_rproc: Request mbox channel later (Steve Best) [2184610]
- remoteproc: imx_rproc: Support i.MX8QM (Steve Best) [2184610]
- remoteproc: imx_rproc: Support kicking Mcore from Linux for i.MX8QXP (Steve Best) [2184610]
- remoteproc: imx_rproc: Support attaching to i.MX8QXP M4 (Steve Best) [2184610]
- remoteproc: imx_dsp_rproc: Add mutex protection for workqueue (Steve Best) [2184615]
- remoteproc: imx_dsp_rproc: fix argument 2 of rproc_mem_entry_init (Steve Best) [2184615]
- remoteproc: imx_dsp_rproc: Make rsc_table optional (Steve Best) [2184615]
- remoteproc: imx_dsp_rproc: use common rproc_elf_load_segments (Steve Best) [2184615]
- tap: tap_open(): correctly initialize socket uid (Paolo Abeni) [2188560]
- tun: tun_chr_open(): correctly initialize socket uid (Paolo Abeni) [2188560]
- net: fix __dev_kfree_skb_any() vs drop monitor (Paolo Abeni) [2188560]
- net: add sock_init_data_uid() (Paolo Abeni) [2188560]
- dccp/tcp: Avoid negative sk_forward_alloc by ipv6_pinfo.pktoptions. (Paolo Abeni) [2188560]
- txhash: fix sk->sk_txrehash default (Paolo Abeni) [2188560]
- net: fix NULL pointer in skb_segment_list (Paolo Abeni) [2188560]
- skb: Do mix page pool and page referenced frags in GRO (Paolo Abeni) [2188560]
- soreuseport: Fix socket selection for SO_INCOMING_CPU. (Paolo Abeni) [2188560]
- net-memcg: avoid stalls when under memory pressure (Paolo Abeni) [2188560]
- tcp: tcp_check_req() can be called from process context (Paolo Abeni) [2188561]
- tcp: fix rate_app_limited to default to 1 (Paolo Abeni) [2188561]
- dccp/tcp: Reset saddr on failure after inet6?_hash_connect(). (Paolo Abeni) [2188561]
- tcp: prohibit TCP_REPAIR_OPTIONS if data was already sent (Paolo Abeni) [2188561]
- tcp: fix indefinite deferral of RTO with SACK reneging (Paolo Abeni) [2188561]
- tcp: fix a signed-integer-overflow bug in tcp_add_backlog() (Paolo Abeni) [2188561]
- tcp: minor optimization in tcp_add_backlog() (Paolo Abeni) [2188561]
- tcp: annotate data-race around challenge_timestamp (Paolo Abeni) [2188561]
- sfc: ef10: don't overwrite offload features at NIC reset (Íñigo Huguet) [2166253]
- sfc: correctly advertise tunneled IPv6 segmentation (Íñigo Huguet) [2166253]
- drivers/perf: fsl_imx8_ddr_perf: Remove set-but-not-used variable (Steve Best) [2184607]
- drivers/perf: Directly use ida_alloc()/free() (Steve Best) [2184607]
- perf/imx_ddr: Fix undefined behavior due to shift overflowing the constant (Steve Best) [2184607]
Resolves: rhbz#2022578, rhbz#2042241, rhbz#2114774, rhbz#2130609, rhbz#2160098, rhbz#2166253, rhbz#2178956, rhbz#2184607, rhbz#2184610, rhbz#2184615, rhbz#2186559, rhbz#2188560, rhbz#2188561, rhbz#2193189, rhbz#2203363, RHEL-282

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-16 15:52:30 +02:00
Jan Stancek
1c428c4512 kernel-5.14.0-313.el9
* Mon May 15 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-313.el9]
- livepatch: Move the result-invariant calculation out of the loop (Julia Denham) [RHEL-257]
- livepatch: add sysfs entry "patched" for each klp_object (Julia Denham) [RHEL-257]
- selftests/livepatch: add sysfs test (Julia Denham) [RHEL-257]
- selftests/livepatch: normalize sysctl error message (Julia Denham) [RHEL-257]
- livepatch: Add a missing newline character in klp_module_coming() (Julia Denham) [RHEL-257]
- livepatch: fix race between fork and KLP transition (Julia Denham) [RHEL-257]
- entry/kvm: Exit to user mode when TIF_NOTIFY_SIGNAL is set (Julia Denham) [RHEL-257]
- net/iucv: Fix size of interrupt data (Tobias Huschle) [2192506]
- SUNRPC: Fix server-side fault injection documentation (Scott Mayhew) [2183621]
- SUNRPC: Avoid relying on crypto API to derive CBC-CTS output IV (Scott Mayhew) [2183621]
- SUNRPC: Fix failures of checksum Kunit tests (Scott Mayhew) [2183621]
- sunrpc: Fix RFC6803 encryption test (Scott Mayhew) [2183621]
- SUNRPC: Properly terminate test case arrays (Scott Mayhew) [2183621]
- SUNRPC: Let Kunit tests run with some enctypes compiled out (Scott Mayhew) [2183621]
- SUNRPC: Add encryption self-tests (Scott Mayhew) [2183621]
- SUNRPC: Add RFC 8009 encryption KUnit tests (Scott Mayhew) [2183621]
- SUNRPC: Add RFC 8009 checksum KUnit tests (Scott Mayhew) [2183621]
- SUNRPC: Add KDF-HMAC-SHA2 Kunit tests (Scott Mayhew) [2183621]
- SUNRPC: Add encryption KUnit tests for the RFC 6803 encryption types (Scott Mayhew) [2183621]
- SUNRPC: Add checksum KUnit tests for the RFC 6803 encryption types (Scott Mayhew) [2183621]
- SUNRPC: Add KDF KUnit tests for the RFC 6803 encryption types (Scott Mayhew) [2183621]
- SUNRPC: Add Kunit tests for RFC 3962-defined encryption/decryption (Scott Mayhew) [2183621]
- SUNRPC: Add KUnit tests RFC 3961 Key Derivation (Scott Mayhew) [2183621]
- SUNRPC: Export get_gss_krb5_enctype() (Scott Mayhew) [2183621]
- SUNRPC: Add KUnit tests for rpcsec_krb5.ko (Scott Mayhew) [2183621]
- kunit: add macro to allow conditionally exposing static symbols to tests (Scott Mayhew) [2183621]
- redhat: add gss_krb5_test to mod-internal.list (Scott Mayhew) [2183621]
- redhat/configs: add CONFIG_RPCSEC_GSS_KRB5_KUNIT_TEST (Scott Mayhew) [2183621]
- NFSD: callback request does not use correct credential for AUTH_SYS (Scott Mayhew) [2183621]
- sunrpc: only free unix grouplist after RCU settles (Scott Mayhew) [2183621]
- nfsd: call op_release, even when op_func returns an error (Scott Mayhew) [2183621]
- NFSD: Avoid calling OPDESC() with ops->opnum == OP_ILLEGAL (Scott Mayhew) [2183621]
- SUNRPC: fix shutdown of NFS TCP client socket (Scott Mayhew) [2183621]
- lockd: set file_lock start and end when decoding nlm4 testargs (Scott Mayhew) [2183621]
- NFS: Fix /proc/PID/io read_bytes for buffered reads (Scott Mayhew) [2183621]
- NFSD: Protect against filesystem freezing (Scott Mayhew) [2183621]
- NFSD: Clean up nfsd_symlink() (Scott Mayhew) [2183621]
- SUNRPC: Fix occasional warning when destroying gss_krb5_enctypes (Scott Mayhew) [2183621]
- SUNRPC: Remove ->xpo_secure_port() (Scott Mayhew) [2183621]
- SUNRPC: Clean up the svc_xprt_flags() macro (Scott Mayhew) [2183621]
- SUNRPC: Fix whitespace damage in svcauth_unix.c (Scott Mayhew) [2183621]
- SUNRPC: Replace pool stats with per-CPU variables (Scott Mayhew) [2183621]
- SUNRPC: Use per-CPU counters to tally server RPC counts (Scott Mayhew) [2183621]
- SUNRPC: Go back to using gsd->body_start (Scott Mayhew) [2183621]
- SUNRPC: Set rq_accept_statp inside ->accept methods (Scott Mayhew) [2183621]
- SUNRPC: Refactor RPC server dispatch method (Scott Mayhew) [2183621]
- SUNRPC: Remove no-longer-used helper functions (Scott Mayhew) [2183621]
- SUNRPC: Final clean-up of svc_process_common() (Scott Mayhew) [2183621]
- SUNRPC: Convert RPC Reply header encoding to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Hoist init_encode out of svc_authenticate() (Scott Mayhew) [2183621]
- SUNRPC: Use xdr_stream for encoding GSS reply verifiers (Scott Mayhew) [2183621]
- SUNRPC: Use xdr_stream to encode replies in server-side GSS upcall helpers (Scott Mayhew) [2183621]
- SUNRPC: Convert unwrap data paths to use xdr_stream for replies (Scott Mayhew) [2183621]
- SUNRPC: Use xdr_stream to encode Reply verifier in svcauth_tls_accept() (Scott Mayhew) [2183621]
- SUNRPC: Use xdr_stream to encode Reply verifier in svcauth_unix_accept() (Scott Mayhew) [2183621]
- SUNRPC: Use xdr_stream to encode Reply verifier in svcauth_null_accept() (Scott Mayhew) [2183621]
- SUNRPC: Move svcxdr_init_encode() into ->accept methods (Scott Mayhew) [2183621]
- SUNRPC: Push svcxdr_init_encode() into svc_process_common() (Scott Mayhew) [2183621]
- SUNRPC: Add XDR encoding helper for opaque_auth (Scott Mayhew) [2183621]
- SUNRPC: Remove the rpc_stat variable in svc_process_common() (Scott Mayhew) [2183621]
- SUNRPC: Check rq_auth_stat when preparing to wrap a response (Scott Mayhew) [2183621]
- SUNRPC: Convert svcauth_gss_wrap_priv() to use xdr_stream() (Scott Mayhew) [2183621]
- SUNRPC: Add @head and @tail variables in svcauth_gss_wrap_priv() (Scott Mayhew) [2183621]
- SUNRPC: Record gss_wrap() errors in svcauth_gss_wrap_priv() (Scott Mayhew) [2183621]
- SUNRPC: Rename automatic variables in svcauth_gss_wrap_resp_priv() (Scott Mayhew) [2183621]
- SUNRPC: Convert svcauth_gss_wrap_integ() to use xdr_stream() (Scott Mayhew) [2183621]
- SUNRPC: Replace checksum construction in svcauth_gss_wrap_integ() (Scott Mayhew) [2183621]
- SUNRPC: Record gss_get_mic() errors in svcauth_gss_wrap_integ() (Scott Mayhew) [2183621]
- SUNRPC: Rename automatic variables in svcauth_gss_wrap_resp_integ() (Scott Mayhew) [2183621]
- SUNRPC: Clean up svcauth_gss_release() (Scott Mayhew) [2183621]
- SUNRPC: Hoist svcxdr_init_decode() into svc_process() (Scott Mayhew) [2183621]
- SUNRPC: Remove svc_process_common's argv parameter (Scott Mayhew) [2183621]
- SUNRPC: Decode most of RPC header with xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Eliminate unneeded variable (Scott Mayhew) [2183621]
- SUNRPC: Re-order construction of the first reply fields (Scott Mayhew) [2183621]
- SUNRPC: Hoist init_decode out of svc_authenticate() (Scott Mayhew) [2183621]
- SUNRPC: Convert the svcauth_gss_accept() pre-amble to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Clean up svcauth_gss_accept's NULL procedure check (Scott Mayhew) [2183621]
- SUNRPC: Convert gss_verify_header() to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Convert unwrap_priv_data() to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Rename automatic variables in unwrap_priv_data() (Scott Mayhew) [2183621]
- SUNRPC: Convert unwrap_integ_data() to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Rename automatic variables in unwrap_integ_data() (Scott Mayhew) [2183621]
- SUNRPC: Replace read_u32_from_xdr_buf() with existing XDR helper (Scott Mayhew) [2183621]
- SUNRPC: Convert server-side GSS upcall helpers to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Remove gss_read_verf() (Scott Mayhew) [2183621]
- SUNRPC: Remove gss_read_common_verf() (Scott Mayhew) [2183621]
- SUNRPC: Hoist common verifier decoding code into svcauth_gss_proc_init() (Scott Mayhew) [2183621]
- SUNRPC: Move the server-side GSS upcall to a noinline function (Scott Mayhew) [2183621]
- SUNRPC: Convert svcauth_tls_accept() to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Convert svcauth_unix_accept() to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Convert svcauth_null_accept() to use xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Add an XDR decoding helper for struct opaque_auth (Scott Mayhew) [2183621]
- SUNRPC: Move svcxdr_init_decode() into ->accept methods (Scott Mayhew) [2183621]
- SUNRPC: Push svcxdr_init_decode() into svc_process_common() (Scott Mayhew) [2183621]
- NFS: nfs_encode_fh: Remove S_AUTOMOUNT check (Scott Mayhew) [2183621]
- fs: namei: Allow follow_down() to uncover auto mounts (Scott Mayhew) [2183621]
- NFSD: Teach nfsd_mountpoint() auto mounts (Scott Mayhew) [2183621]
- fs/nfs: Replace kmap_atomic() with kmap_local_page() in dir.c (Scott Mayhew) [2183621]
- pNFS/filelayout: treat GETDEVICEINFO errors as layout failure (Scott Mayhew) [2183621]
- NFS: fix disabling of swap (Scott Mayhew) [2183621]
- SUNRPC: make kobj_type structures constant (Scott Mayhew) [2183621]
- nfs4trace: fix state manager flag printing (Scott Mayhew) [2183621]
- NFS: Remove unnecessary check in nfs_read_folio() (Scott Mayhew) [2183621]
- NFS: Improve tracing of nfs_wb_folio() (Scott Mayhew) [2183621]
- NFS: Enable tracing of nfs_invalidate_folio() and nfs_launder_folio() (Scott Mayhew) [2183621]
- NFS: fix up nfs_release_folio() to try to release the page (Scott Mayhew) [2183621]
- NFS: Clean up O_DIRECT request allocation (Scott Mayhew) [2183621]
- NFS: Fix up nfs_vm_page_mkwrite() for folios (Scott Mayhew) [2183621]
- NFS: Convert nfs_write_begin/end to use folios (Scott Mayhew) [2183621]
- NFS: Remove unused function nfs_wb_page() (Scott Mayhew) [2183621]
- NFS: Convert buffered writes to use folios (Scott Mayhew) [2183621]
- NFS: Convert the function nfs_wb_page() to use folios (Scott Mayhew) [2183621]
- NFS: Convert buffered reads to use folios (Scott Mayhew) [2183621]
- NFS: Add a helper nfs_wb_folio() (Scott Mayhew) [2183621]
- NFS: Convert the remaining pagelist helper functions to support folios (Scott Mayhew) [2183621]
- NFS: Add a helper to convert a struct nfs_page into an inode (Scott Mayhew) [2183621]
- NFS: Fix nfs_coalesce_size() to work with folios (Scott Mayhew) [2183621]
- NFS: Support folios in nfs_generic_pgio() (Scott Mayhew) [2183621]
- NFS: Add basic functionality for tracking folios in struct nfs_page (Scott Mayhew) [2183621]
- NFS: Fix for xfstests generic/208 (Scott Mayhew) [2183621]
- XArray: Add calls to might_alloc() (Scott Mayhew) [2183621]
- nfsd: remove fetch_iversion export operation (Scott Mayhew) [2183621]
- nfsd: use the getattr operation to fetch i_version (Scott Mayhew) [2183621]
- nfsd: move nfsd4_change_attribute to nfsfh.c (Scott Mayhew) [2183621]
- ceph: report the inode version in getattr if requested (Scott Mayhew) [2183621]
- nfs: report the inode version in getattr if requested (Scott Mayhew) [2183621]
- vfs: plumb i_version handling into struct kstat (Scott Mayhew) [2183621]
- fs: clarify when the i_version counter must be updated (Scott Mayhew) [2183621]
- fs: uninline inode_query_iversion (Scott Mayhew) [2183621]
- fs: uninline inode_maybe_inc_iversion() (Scott Mayhew) [2183621]
- iversion: use atomic64_try_cmpxchg) (Scott Mayhew) [2183621]
- NFSD: replace delayed_work with work_struct for nfsd_client_shrinker (Scott Mayhew) [2183621]
- NFSD: Use set_bit(RQ_DROPME) (Scott Mayhew) [2183621]
- NFS: Fix up a sparse warning (Scott Mayhew) [2183621]
- NFSD: Avoid clashing function prototypes (Scott Mayhew) [2183621]
- SUNRPC: Fix crasher in unwrap_integ_data() (Scott Mayhew) [2183621]
- SUNRPC: Make the svc_authenticate tracepoint conditional (Scott Mayhew) [2183621]
- NFSD: Use only RQ_DROPME to signal the need to drop a reply (Scott Mayhew) [2183621]
- SUNRPC: Clean up xdr_write_pages() (Scott Mayhew) [2183621]
- NFSD: add CB_RECALL_ANY tracepoints (Scott Mayhew) [2183621]
- NFSD: add delegation reaper to react to low memory condition (Scott Mayhew) [2183621]
- NFSD: add support for sending CB_RECALL_ANY (Scott Mayhew) [2183621]
- trace: Relocate event helper files (Scott Mayhew) [2183621]
- lockd: fix file selection in nlmsvc_cancel_blocked (Scott Mayhew) [2183621]
- lockd: ensure we use the correct file descriptor when unlocking (Scott Mayhew) [2183621]
- lockd: set missing fl_flags field when retrieving args (Scott Mayhew) [2183621]
- NFSD: Use struct_size() helper in alloc_session() (Scott Mayhew) [2183621]
- sunrpc: svc: Remove an unused static function svc_ungetu32() (Scott Mayhew) [2183621]
- fs: nfs: sysfs: use sysfs_emit() to instead of scnprintf() (Scott Mayhew) [2183621]
- NFS: use sysfs_emit() to instead of scnprintf() (Scott Mayhew) [2183621]
- NFSv4.2: Fix up READ_PLUS alignment (Scott Mayhew) [2183621]
- NFSv4.2: Set the correct size scratch buffer for decoding READ_PLUS (Scott Mayhew) [2183621]
- NFS: avoid spurious warning of lost lock that is being unlocked. (Scott Mayhew) [2183621]
- nfs: fix possible null-ptr-deref when parsing param (Scott Mayhew) [2183621]
- NFSv4: check FMODE_EXEC from open context mode in nfs4_opendata_access() (Scott Mayhew) [2183621]
- NFS: make sure open context mode have FMODE_EXEC when file open for exec (Scott Mayhew) [2183621]
- NFS4.x/pnfs: Fix up logging of layout stateids (Scott Mayhew) [2183621]
- NFSD: Trace stateids returned via DELEGRETURN (Scott Mayhew) [2183621]
- nfsd: allow disabling NFSv2 at compile time (Scott Mayhew) [2183621]
- redhat/configs: add CONFIG_NFSD_V2 (Scott Mayhew) [2183621]
- nfsd: move nfserrno() to vfs.c (Scott Mayhew) [2183621]
- nfsd: ignore requests to disable unsupported versions (Scott Mayhew) [2183621]
- SUNRPC: Remove unused svc_rqst::rq_lock field (Scott Mayhew) [2183621]
- NFSD: Remove redundant assignment to variable host_err (Scott Mayhew) [2183621]
- NFSD: Simplify READ_PLUS (Scott Mayhew) [2183621]
- NFS: Fix a race in nfs_call_unlink() (Scott Mayhew) [2183621]
- NFSD: Fix trace_nfsd_fh_verify_err() crasher (Scott Mayhew) [2183621]
- SUNRPC: Fix crasher in gss_unwrap_resp_integ() (Scott Mayhew) [2183621]
- NFS: Avoid memcpy() run-time warning for struct sockaddr overflows (Scott Mayhew) [2183621]
- nfs: Remove redundant null checks before kfree (Scott Mayhew) [2183621]
- nfsd: ensure we always call fh_verify_error tracepoint (Scott Mayhew) [2183621]
- NFSv4/flexfiles: Cancel I/O if the layout is recalled or revoked (Scott Mayhew) [2183621]
- SUNRPC: Add API to force the client to disconnect (Scott Mayhew) [2183621]
- SUNRPC: Add a helper to allow pNFS drivers to selectively cancel RPC calls (Scott Mayhew) [2183621]
- SUNRPC: Fix races with rpc_killall_tasks() (Scott Mayhew) [2183621]
- xprtrdma: Fix uninitialized variable (Scott Mayhew) [2183621]
- xprtrdma: Prevent memory allocations from driving a reclaim (Scott Mayhew) [2183621]
- xprtrdma: Memory allocation should be allowed to fail during connect (Scott Mayhew) [2183621]
- xprtrdma: MR-related memory allocation should be allowed to fail (Scott Mayhew) [2183621]
- xprtrdma: Clean up synopsis of rpcrdma_regbuf_alloc() (Scott Mayhew) [2183621]
- xprtrdma: Clean up synopsis of rpcrdma_req_create() (Scott Mayhew) [2183621]
- svcrdma: Clean up RPCRDMA_DEF_GFP (Scott Mayhew) [2183621]
- SUNRPC: Replace the use of the xprtiod WQ in rpcrdma (Scott Mayhew) [2183621]
- NFSv4.2: Add a tracepoint for listxattr (Scott Mayhew) [2183621]
- NFSv4.2: Add tracepoints for getxattr, setxattr, and removexattr (Scott Mayhew) [2183621]
- NFSv4.2: Move TRACE_DEFINE_ENUM(NFS4_CONTENT_*) under CONFIG_NFS_V4_2 (Scott Mayhew) [2183621]
- NFSv4.2: Add special handling for LISTXATTR receiving NFS4ERR_NOXATTR (Scott Mayhew) [2183621]
- nfs: remove nfs_wait_atomic_killable() and nfs_write_prepare() declaration (Scott Mayhew) [2183621]
- NFSv4: remove nfs4_renewd_prepare_shutdown() declaration (Scott Mayhew) [2183621]
- fs/nfs/pnfs_nfs.c: fix spelling typo and syntax error in comment (Scott Mayhew) [2183621]
- NFS: move from strlcpy with unused retval to strscpy (Scott Mayhew) [2183621]
- SUNRPC: move from strlcpy with unused retval to strscpy (Scott Mayhew) [2183621]
- NFS: clean up a needless assignment in nfs_file_write() (Scott Mayhew) [2183621]
- nfs: remove unnecessary (void*) conversions. (Scott Mayhew) [2183621]
- SUNRPC: use max_t() to simplify open code (Scott Mayhew) [2183621]
- NFSv4: Directly use ida_alloc()/free() (Scott Mayhew) [2183621]
- SUNRPC: Directly use ida_alloc()/free() (Scott Mayhew) [2183621]
- nfsd: extra checks when freeing delegation stateids (Scott Mayhew) [2183621]
- nfsd: make nfsd4_run_cb a bool return function (Scott Mayhew) [2183621]
- nfsd: fix comments about spinlock handling with delegations (Scott Mayhew) [2183621]
- nfsd: only fill out return pointer on success in nfsd4_lookup_stateid (Scott Mayhew) [2183621]
- nfsd: use DEFINE_SHOW_ATTRIBUTE to define client_info_fops (Scott Mayhew) [2183621]
- nfsd: use DEFINE_PROC_SHOW_ATTRIBUTE to define nfsd_proc_ops (Scott Mayhew) [2183621]
- NFSD: Pack struct nfsd4_compoundres (Scott Mayhew) [2183621]
- NFSD: Clean up nfs4svc_encode_compoundres() (Scott Mayhew) [2183621]
- SUNRPC: Fix typo in xdr_buf_subsegment's kdoc comment (Scott Mayhew) [2183621]
- NFSD: Clean up WRITE arg decoders (Scott Mayhew) [2183621]
- NFSD: Use xdr_inline_decode() to decode NFSv3 symlinks (Scott Mayhew) [2183621]
- NFSD: Refactor common code out of dirlist helpers (Scott Mayhew) [2183621]
- SUNRPC: Clarify comment that documents svc_max_payload() (Scott Mayhew) [2183621]
- NFSD: Reduce amount of struct nfsd4_compoundargs that needs clearing (Scott Mayhew) [2183621]
- SUNRPC: Parametrize how much of argsize should be zeroed (Scott Mayhew) [2183621]
- SUNRPC: Optimize svc_process() (Scott Mayhew) [2183621]
- NFSD: Return nfserr_serverfault if splice_ok but buf->pages have data (Scott Mayhew) [2183621]
- NFSD: Make nfsd4_remove() wait before returning NFS4ERR_DELAY (Scott Mayhew) [2183621]
- NFSD: Make nfsd4_rename() wait before returning NFS4ERR_DELAY (Scott Mayhew) [2183621]
- NFSD: Make nfsd4_setattr() wait before returning NFS4ERR_DELAY (Scott Mayhew) [2183621]
- NFSD: Refactor nfsd_setattr() (Scott Mayhew) [2183621]
- NFSD: Add a mechanism to wait for a DELEGRETURN (Scott Mayhew) [2183621]
- NFSD: Add tracepoints to report NFSv4 callback completions (Scott Mayhew) [2183621]
- NFSD: Trace NFSv4 COMPOUND tags (Scott Mayhew) [2183621]
- NFSD: Replace dprintk() call site in fh_verify() (Scott Mayhew) [2183621]
- nfsd: remove nfsd4_prepare_cb_recall() declaration (Scott Mayhew) [2183621]
- nfsd: clean up mounted_on_fileid handling (Scott Mayhew) [2183621]
- NFSD: drop fname and flen args from nfsd_create_locked() (Scott Mayhew) [2183621]
- nfsd: Propagate some error code returned by memdup_user() (Scott Mayhew) [2183621]
- nfsd: Avoid some useless tests (Scott Mayhew) [2183621]
- NFSD: remove redundant variable status (Scott Mayhew) [2183621]
- lockd: move from strlcpy with unused retval to strscpy (Scott Mayhew) [2183621]
- NFSD: move from strlcpy with unused retval to strscpy (Scott Mayhew) [2183621]
- _nfs42_proc_copy(): use ->f_mapping instead of file_inode()->i_mapping (Scott Mayhew) [2183621]
- nfs_finish_open(): don't open-code file_inode() (Scott Mayhew) [2183621]
- NFS: Cleanup to remove unused flag NFS_CONTEXT_RESEND_WRITES (Scott Mayhew) [2183621]
- NFS: Remove a bogus flag setting in pnfs_write_done_resend_to_mds (Scott Mayhew) [2183621]
- NFS: Fix missing unlock in nfs_unlink() (Scott Mayhew) [2183621]
- NFS: Improve readpage/writepage tracing (Scott Mayhew) [2183621]
- NFS: Improve O_DIRECT tracing (Scott Mayhew) [2183621]
- NFS: Improve write error tracing (Scott Mayhew) [2183621]
- NFS: don't unhash dentry during unlink/rename (Scott Mayhew) [2183621]
- lockd: detect and reject lock arguments that overflow (Scott Mayhew) [2183621]
- NFSv4/pnfs: Fix a use-after-free bug in open (Scott Mayhew) [2183621]
- NFS: nfs_async_write_reschedule_io must not recurse into the writeback code (Scott Mayhew) [2183621]
- SUNRPC: Expand the svc_alloc_arg_err tracepoint (Scott Mayhew) [2183621]
- SUNRPC: Remove xdr_align_data() and xdr_expand_hole() (Scott Mayhew) [2183621]
- NFS: Replace the READ_PLUS decoding code (Scott Mayhew) [2183621]
- SUNRPC: Add a function for zeroing out a portion of an xdr_stream (Scott Mayhew) [2183621]
- SUNRPC: Add a function for directly setting the xdr page len (Scott Mayhew) [2183621]
- SUNRPC: Introduce xdr_stream_move_subsegment() (Scott Mayhew) [2183621]
- NFS: Replace fs_context-related dprintk() call sites with tracepoints (Scott Mayhew) [2183621]
- SUNRPC: Replace dprintk() call site in xs_data_ready (Scott Mayhew) [2183621]
- SUNRPC: Fail faster on bad verifier (Scott Mayhew) [2183621]
- SUNRPC: Shrink size of struct rpc_task (Scott Mayhew) [2183621]
- nfs: fix port value parsing (Scott Mayhew) [2183621]
- nfs: Replace kmap() with kmap_local_page() (Scott Mayhew) [2183621]
- NFS: remove redundant code in nfs_file_write() (Scott Mayhew) [2183621]
- nfs/blocklayout: refactor block device opening (Scott Mayhew) [2183621]
- NFS: Fix case insensitive renames (Scott Mayhew) [2183621]
- pNFS/files: Handle RDMA connection errors correctly (Scott Mayhew) [2183621]
- SUNRPC: Fix an RPC/RDMA performance regression (Scott Mayhew) [2183621]
- nfs: Leave pages in the pagecache if readpage failed (Scott Mayhew) [2183621]
- SUNRPC: Clean up xdr_commit_encode() (Scott Mayhew) [2183621]
- SUNRPC: Optimize xdr_reserve_space() (Scott Mayhew) [2183621]
- xprtrdma: treat all calls not a bcall when bc_serv is NULL (Scott Mayhew) [2183621]
- m->mnt_root->d_inode->i_sb is a weird way to spell m->mnt_sb... (Scott Mayhew) [2183621]
- SUNRPC: Remove svc_rqst::rq_xprt_hlen (Scott Mayhew) [2183621]
- SUNRPC: Remove dead code in svc_tcp_release_rqst() (Scott Mayhew) [2183621]
- SUNRPC: Make cache_req::thread_wait an unsigned long (Scott Mayhew) [2183621]
- SUNRPC: Cache deferral injection (Scott Mayhew) [2183621]
- SUNRPC: Clean up svc_deferred_class trace events (Scott Mayhew) [2183621]
- NFS: Improve warning message when locks are lost. (Scott Mayhew) [2183621]
- NFSv4.1: Enable access to the NFSv4.1 'dacl' and 'sacl' attributes (Scott Mayhew) [2183621]
- NFSv4: Add encoders/decoders for the NFSv4.1 dacl and sacl attributes (Scott Mayhew) [2183621]
- NFSv4: Specify the type of ACL to cache (Scott Mayhew) [2183621]
- NFSv4: Don't hold the layoutget locks across multiple RPC calls (Scott Mayhew) [2183621]
- pNFS/files: Fall back to I/O through the MDS on non-fatal layout errors (Scott Mayhew) [2183621]
- nfs: rename nfs_direct_IO and use as ->swap_rw (Scott Mayhew) [2183621]
- um: Remove duplicated include in syscalls_64.c (Prarit Bhargava) [2164042]
- x86/traps: Mark do_int3() NOKPROBE_SYMBOL (Prarit Bhargava) [2164042]
- x86/ptrace: Fix xfpregs_set()'s incorrect xmm clearing (Prarit Bhargava) [2164042]
- xen/x2apic: Fix inconsistent indenting (Prarit Bhargava) [2164042]
- xen/x86: detect support for extended destination ID (Prarit Bhargava) [2164042]
- xen/x86: obtain full video frame buffer address for Dom0 also under EFI (Prarit Bhargava) [2164042]
- x86/Xen: streamline (and fix) PV CPU enumeration (Prarit Bhargava) [2164042]
- x86/MCE/AMD: Allow thresholding interface updates after init (Prarit Bhargava) [2164042]
- kcov: fix generic Kconfig dependencies if ARCH_WANTS_NO_INSTR (Prarit Bhargava) [2164042]
- Replace for_each_*_bit_from() with for_each_*_bit() where appropriate (Prarit Bhargava) [2164042]
- mm/mempolicy: wire up syscall set_mempolicy_home_node (Prarit Bhargava) [2164042]
- x86/kbuild: Enable CONFIG_KALLSYMS_ALL=y in the defconfigs (Prarit Bhargava) [2164042]
- x86, sched: Fix undefined reference to init_freq_invariance_cppc() build error (Prarit Bhargava) [2164042]
- xen/x86: obtain upper 32 bits of video frame buffer address for Dom0 (Prarit Bhargava) [2164042]
- crypto: x86/aesni - don't require alignment of data (Prarit Bhargava) [2164042]
- x86/purgatory: Remove -nostdlib compiler flag (Prarit Bhargava) [2164042]
- x86/vdso: Remove -nostdlib compiler flag (Prarit Bhargava) [2164042]
- x86/defconfig: Enable CONFIG_LOCALVERSION_AUTO=y in the defconfig (Prarit Bhargava) [2164042]
- x86/mce/inject: Avoid out-of-bounds write when setting flags (Prarit Bhargava) [2164042]
- crypto: x86/curve25519 - use in/out register constraints more precisely (Prarit Bhargava) [2164042]
- x86/boot/compressed: Move CLANG_FLAGS to beginning of KBUILD_CFLAGS (Prarit Bhargava) [2164042]
- um: remove set_fs (Prarit Bhargava) [2164042]
- um, x86: bury crypto_tfm_ctx_offset (Prarit Bhargava) [2164042]
- uml/i386: missing include in barrier.h (Prarit Bhargava) [2164042]
- um: stop polluting the namespace with registers.h contents (Prarit Bhargava) [2164042]
- um: move amd64 variant of mmap(2) to arch/x86/um/syscalls_64.c (Prarit Bhargava) [2164042]
- uml: trim unused junk from arch/x86/um/sys_call_table_*.c (Prarit Bhargava) [2164042]
- um: registers: Rename function names to avoid conflicts and build problems (Prarit Bhargava) [2164042]
- uml: x86: add FORCE to user_constants.h (Prarit Bhargava) [2164042]
- x86/mce: Mark mce_start() noinstr (Prarit Bhargava) [2164042]
- x86/mce: Move the tainting outside of the noinstr region (Prarit Bhargava) [2164042]
- x86/mce: Mark mce_read_aux() noinstr (Prarit Bhargava) [2164042]
- x86/mce: Mark mce_end() noinstr (Prarit Bhargava) [2164042]
- x86/mce: Mark mce_panic() noinstr (Prarit Bhargava) [2164042]
- x86/mce: Allow instrumentation during task work queueing (Prarit Bhargava) [2164042]
- x86/mce: Remove noinstr annotation from mce_setup() (Prarit Bhargava) [2164042]
- x86/mce: Use mce_rdmsrl() in severity checking code (Prarit Bhargava) [2164042]
- x86/mce: Remove function-local cpus variables (Prarit Bhargava) [2164042]
- x86/mmx_32: Remove X86_USE_3DNOW (Prarit Bhargava) [2164042]
- x86/boot/string: Add missing function prototypes (Prarit Bhargava) [2164042]
- x86/fpu: Remove duplicate copy_fpstate_to_sigframe() prototype (Prarit Bhargava) [2164042]
- x86/uaccess: Move variable into switch case statement (Prarit Bhargava) [2164042]
- x86/barriers, kcsan: Use generic instrumentation for non-smp barriers (Prarit Bhargava) [2164042]
- x86/mce/inject: Set the valid bit in MCA_STATUS before error injection (Prarit Bhargava) [2164042]
- x86/mce/inject: Check if a bank is populated before injecting (Prarit Bhargava) [2164042]
- x86/csum: Rewrite/optimize csum_partial() (Prarit Bhargava) [2164042]
- x86/mm: Flush global TLB when switching to trampoline page-table (Prarit Bhargava) [2164042]
- x86/mm: Add missing <asm/cpufeatures.h> dependency to <asm/page_64.h> (Prarit Bhargava) [2164042]
- x86/ce4100: Replace "ti,pcf8575" by "nxp,pcf8575" (Prarit Bhargava) [2164042]
- x86/cpu: Don't write CSTAR MSR on Intel CPUs (Prarit Bhargava) [2164042]
- x86/fpu: Correct AVX512 state tracking (Prarit Bhargava) [2164042]
- x86/amd_nb, EDAC/amd64: Move DF Indirect Read to AMD64 EDAC (Prarit Bhargava) [2164042]
- x86/MCE/AMD, EDAC/amd64: Move address translation to AMD64 EDAC (Prarit Bhargava) [2164042]
- powerpc/pseries: reset the RCU watchdogs after a LPM (Mamatha Inamdar) [2192569]
- sched/debug: Put sched/domains files under the verbose flag (Phil Auld) [2053117]
- soc: imx: imx8m-blk-ctrl: reordering the fields (Steve Best) [2182875]
- soc: imx8m: Support building imx8m soc driver as module (Steve Best) [2182875]
- soc: imx8m: Add MODULE_LICENSE (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Add MODULE_LICENSE (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Use dev_pm_domain_attach_by_name (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: Add MODULE_LICENSE (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: Fix typo of imx8m_blk_ctrl_of_match (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: Use dev_pm_domain_attach_by_name (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Scan subnodes and bind drivers to them (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: set HDMI LCDIF panic read hurry level (Steve Best) [2182875]
- soc: imx8m: Fix incorrect check for of_clk_get_by_name() (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: set LCDIF panic read hurry level (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: don't set power device name (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: expose high performance PLL clock (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: add instance specific probe function (Steve Best) [2182875]
- soc: imx: add Kconfig symbols for blk-ctrl drivers (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: enable global pixclk with HDMI_TX_PHY PD (Steve Best) [2182875]
- soc: imx8m: Enable OCOTP clock before reading the register (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Defer probe if 'bus' genpd is not yet ready (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Use genpd_xlate_onecell (Steve Best) [2182875]
- soc: imx: imx8mp-blk-ctrl: handle PCIe PHY resets (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: add i.MX8MP VPU blk ctrl (Steve Best) [2182875]
- dt-bindings: power: imx8mp-power: add HDMI HDCP/HRV (Steve Best) [2182875]
- dt-bindings: soc: imx: add i.MX8MP vpu blk ctrl (Steve Best) [2182875]
- dt-bindings: soc: imx: add interconnect property for i.MX8MM vpu blk ctrl (Steve Best) [2182875]
- dt-bindings: soc: imx: add interconnect property for i.MX8MM vpu blk ctrl (Steve Best) [2182875]
- dt-bindings: soc: imx: drop minItems for i.MX8MM vpu blk ctrl (Steve Best) [2182875]
- dt-bindings: soc: add binding for i.MX8MM VPU blk-ctrl (Steve Best) [2182875]
- soc: imx: add i.MX8MP HDMI blk ctrl HDCP/HRV_MWR (Steve Best) [2182875]
- soc: imx: add icc paths for i.MX8MP hsio/hdmi blk ctrl (Steve Best) [2182875]
- soc: imx: add icc paths for i.MX8MP media blk ctrl (Steve Best) [2182875]
- interconnect: add device managed bulk API (Steve Best) [2182875]
- interconnect: Add stubs for the bulk API (Steve Best) [2182875]
- Revert "soc: imx: imx8m-blk-ctrl: set power device name" (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Make error prints useful (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: fix display clock for LCDIF2 power domain (Steve Best) [2182875]
- soc: imx: fix semicolon.cocci warnings (Steve Best) [2182875]
- soc: imx: add i.MX8MP HDMI blk-ctrl (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Add i.MX8MP media blk-ctrl (Steve Best) [2182875]
- soc: imx: add i.MX8MP HSIO blk-ctrl (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: set power device name (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Fix IMX8MN_DISPBLK_PD_ISI hang (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: add i.MX8MQ VPU blk-ctrl (Steve Best) [2182875]
- soc: imx: Remove Layerscape check (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: add i.MX8MN DISP blk-ctrl (Steve Best) [2182875]
- soc: imx: Register SoC device only on i.MX boards (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: Fix imx8mm mipi reset (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: off by one in imx8m_blk_ctrl_xlate() (Steve Best) [2182875]
- soc: imx: imx8m-blk-ctrl: add DISP blk-ctrl (Steve Best) [2182875]
- soc: imx: add i.MX8M blk-ctrl driver (Steve Best) [2182875]
- imx: soc: Select REGMAP_MMIO (Steve Best) [2182875]
- soc: qcom: socinfo: add support for SA8775P (Adrien Thierry) [2193174]
- dt-bindings: arm: qcom: add the SoC ID for SA8775P (Adrien Thierry) [2193174]
- soc: qcom: smem: update max processor count (Adrien Thierry) [2193174]
- pwm: imx27: Simplify using devm_pwmchip_add() (Steve Best) [2184318]
- sched/rt: Fix bad task migration for rt tasks (Valentin Schneider) [2182900]
- cgroup/cpuset: Make cpuset_attach_task() skip subpartitions CPUs for top_cpuset (Waiman Long) [2182238]
- cgroup/cpuset: Add cpuset_can_fork() and cpuset_cancel_fork() methods (Waiman Long) [2182238]
- cgroup/cpuset: Make cpuset_fork() handle CLONE_INTO_CGROUP properly (Waiman Long) [2182238]
- cgroup/cpuset: Wake up cpuset_attach_wq tasks in cpuset_cancel_attach() (Waiman Long) [2182238]
- cgroup/cpuset: Fix partition root's cpuset.cpus update bug (Waiman Long) [2182238]
- cpuset: Call set_cpus_allowed_ptr() with appropriate mask for task (Waiman Long) [2182238]
- cgroup/cpuset: Don't filter offline CPUs in cpuset_cpus_allowed() for top cpuset tasks (Waiman Long) [2182238]
- cgroup/cpuset: Fix wrong check in update_parent_subparts_cpumask() (Waiman Long) [2182238]
- cgroup/cpuset: fix a few kernel-doc warnings & coding style (Waiman Long) [2182238]
- cgroup/cpuset: no need to explicitly init a global static variable (Waiman Long) [2182238]
- cgroup/cpuset: Improve cpuset_css_alloc() description (Waiman Long) [2182238]
- cgroup/cpuset: use hotplug_memory_notifier() directly (Waiman Long) [2182238]
- cgroup: Export cgroup_mutex (Waiman Long) [2182238]
- sched/core: Adjusting the order of scanning CPU (Phil Auld) [RHEL-310]
- sched/fair: Remove capacity inversion detection (Phil Auld) [RHEL-310]
- sched/fair: unlink misfit task from cpu overutilized (Phil Auld) [RHEL-310]
- sched/fair: Fixes for capacity inversion detection (Phil Auld) [RHEL-310]
- sched/uclamp: Fix a uninitialized variable warnings (Phil Auld) [RHEL-310]
- sched: Introduce struct balance_callback to avoid CFI mismatches (Phil Auld) [RHEL-310]
- sched/fair: Check if prev_cpu has highest spare cap in feec() (Phil Auld) [RHEL-310]
- sched/fair: Consider capacity inversion in util_fits_cpu() (Phil Auld) [RHEL-310]
- sched/fair: Detect capacity inversion (Phil Auld) [RHEL-310]
- sched/uclamp: Cater for uclamp in find_energy_efficient_cpu()'s early exit condition (Phil Auld) [RHEL-310]
- sched/uclamp: Make cpu_overutilized() use util_fits_cpu() (Phil Auld) [RHEL-310]
- sched/uclamp: Make asym_fits_capacity() use util_fits_cpu() (Phil Auld) [RHEL-310]
- sched/uclamp: Make select_idle_capacity() use util_fits_cpu() (Phil Auld) [RHEL-310]
- sched/uclamp: Fix fits_capacity() check in feec() (Phil Auld) [RHEL-310]
- sched/uclamp: Make task_fits_capacity() use util_fits_cpu() (Phil Auld) [RHEL-310]
- sched/uclamp: Fix relationship between uclamp and migration margin (Phil Auld) [RHEL-310]
Resolves: rhbz#2053117, rhbz#2164042, rhbz#2182238, rhbz#2182875, rhbz#2182900, rhbz#2183621, rhbz#2184318, rhbz#2192506, rhbz#2192569, rhbz#2193174, RHEL-257, RHEL-310

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-15 09:58:48 +02:00
Jan Stancek
39c97ef2af kernel-5.14.0-312.el9
* Thu May 11 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-312.el9]
- s390/qeth: Convert sprintf/snprintf to scnprintf (Tobias Huschle) [2160097]
- s390/qeth: Convert sysfs sprintf to sysfs_emit (Tobias Huschle) [2160097]
- s390/qeth: Use constant for IP address buffers (Tobias Huschle) [2160097]
- s390/ctcm: cleanup indenting (Tobias Huschle) [2160097]
- s390/iucv: sort out physical vs virtual pointers usage (Tobias Huschle) [2160097]
- net/af_iucv: fix kernel doc comments (Tobias Huschle) [2160097]
- net/iucv: fix kernel doc comments (Tobias Huschle) [2160097]
- net/iucv: get rid of register asm usage (Tobias Huschle) [2160097]
- net/af_iucv: remove wrappers around iucv (de-)registration (Tobias Huschle) [2160097]
- net/af_iucv: clean up a try_then_request_module() (Tobias Huschle) [2160097]
- net/af_iucv: support drop monitoring (Tobias Huschle) [2160097]
- s390/ipl: add loadparm parameter to eckd ipl/reipl data (Tobias Huschle) [2160041]
- s390/ipl: add DEFINE_GENERIC_LOADPARM() (Tobias Huschle) [2160041]
- s390/ipl: use octal values instead of S_* macros (Tobias Huschle) [2160041]
- s390/ipl: add eckd support (Tobias Huschle) [2160041]
- redhat/configs: add CONFIG_TRACE_MMIO_ACCESS (Adrien Thierry) [2196244]
- asm-generic/io: Add _RET_IP_ to MMIO trace for more accurate debug info (Adrien Thierry) [2196244]
- drm/meson: Fix overflow implicit truncation warnings (Adrien Thierry) [2196244]
- lib: Add register read/write tracing support (Adrien Thierry) [2196244]
- asm-generic/io: Add logging support for MMIO accessors (Adrien Thierry) [2196244]
- arm64: io: Use asm-generic high level MMIO accessors (Adrien Thierry) [2196244]
- coresight: etm4x: Use asm-generic IO memory barriers (Adrien Thierry) [2196244]
- irqchip/tegra: Fix overflow implicit truncation warnings (Adrien Thierry) [2196244]
- kernel.h: split out instruction pointer accessors (Adrien Thierry) [2196244]
- kernel.h: drop unneeded <linux/kernel.h> inclusion from other headers (Adrien Thierry) [2196244]
- sched/psi: Fix use-after-free in ep_remove_wait_queue() (Phil Auld) [RHEL-311]
- sched/psi: Use task->psi_flags to clear in CPU migration (Phil Auld) [RHEL-311]
- sched/psi: Stop relying on timer_pending() for poll_work rescheduling (Phil Auld) [RHEL-311]
- sched/psi: Fix avgs_work re-arm in psi_avgs_work() (Phil Auld) [RHEL-311]
- sched/psi: Fix possible missing or delayed pending event (Phil Auld) [RHEL-311]
- sched/psi: Cache parent psi_group to speed up group iteration (Phil Auld) [RHEL-311]
- sched/psi: Consolidate cgroup_psi() (Phil Auld) [RHEL-311]
- sched/psi: Add PSI_IRQ to track IRQ/SOFTIRQ pressure (Phil Auld) [RHEL-311]
- sched/psi: Remove NR_ONCPU task accounting (Phil Auld) [RHEL-311]
- sched/psi: Optimize task switch inside shared cgroups again (Phil Auld) [RHEL-311]
- sched/psi: Move private helpers to sched/stats.h (Phil Auld) [RHEL-311]
- sched/psi: Save percpu memory when !psi_cgroups_enabled (Phil Auld) [RHEL-311]
- sched/psi: Don't create cgroup PSI files when psi_disabled (Phil Auld) [RHEL-311]
- sched/psi: Fix periodic aggregation shut off (Phil Auld) [RHEL-311]
- headers/prep: Fix header to build standalone: <linux/psi.h> (Phil Auld) [RHEL-311]
- wait: add wake_up_pollfree() (Phil Auld) [RHEL-311]
- psi: Fix PSI_MEM_FULL state when tasks are in memstall and doing reclaim (Phil Auld) [RHEL-311]
- selftests: bonding: add bonding prio option test (Hangbin Liu) [2092194]
- bonding: fix lockdep splat in bond_miimon_commit() (Hangbin Liu) [2092194]
- bonding: do failover when high prio link up (Hangbin Liu) [2092194]
- bonding: add missed __rcu annotation for curr_active_slave (Hangbin Liu) [2092194]
- Bonding: add per-port priority for failover re-selection (Hangbin Liu) [2092194]
- bonding: add slave_dev field for bond_opt_value (Hangbin Liu) [2092194]
- s390/vfio-ap: fix memory leak in vfio_ap device driver (Alex Williamson) [2188088]
- docs: vfio: fix header path (Alex Williamson) [2188088]
- docs: vfio: Update vfio.rst per latest interfaces (Alex Williamson) [2188088]
- vfio: Update the kdoc for vfio_device_ops (Alex Williamson) [2188088]
- vfio: no need to pass kvm pointer during device open (Alex Williamson) [2188088]
- vfio: revert "iommu driver notify callback" (Alex Williamson) [2188088]
- vfio/type1: revert "implement notify callback" (Alex Williamson) [2188088]
- vfio/type1: revert "block on invalid vaddr" (Alex Williamson) [2188088]
- vfio/type1: restore locked_vm (Alex Williamson) [2188088]
- vfio/type1: track locked_vm per dma (Alex Williamson) [2188088]
- vfio/type1: prevent underflow of locked_vm via exec() (Alex Williamson) [2188088]
- vfio/type1: exclude mdevs from VFIO_UPDATE_VADDR (Alex Williamson) [2188088]
- samples: fix the prompt about SAMPLE_VFIO_MDEV_MBOCHS (Alex Williamson) [2188088]
- vfio/mdev: Use sysfs_emit() to instead of sprintf() (Alex Williamson) [2188088]
- vfio-mdev: add back CONFIG_VFIO dependency (Alex Williamson) [2188088]
- vfio/platform: Use GFP_KERNEL_ACCOUNT for userspace persistent allocations (Alex Williamson) [2188088]
- vfio/fsl-mc: Use GFP_KERNEL_ACCOUNT for userspace persistent allocations (Alex Williamson) [2188088]
- vfio: Use GFP_KERNEL_ACCOUNT for userspace persistent allocations (Alex Williamson) [2188088]
- vfio-mdev: remove an non-existing driver from vfio-mediated-device (Alex Williamson) [2188088]
- vfio-mdev: move the mtty usage documentation (Alex Williamson) [2188088]
- vfio-mdev: turn VFIO_MDEV into a selectable symbol (Alex Williamson) [2188088]
- s390/Kconfig: fix indentation (Alex Williamson) [2188088]
- vfio-mdev: allow building the samples into the kernel (Alex Williamson) [2188088]
- vfio: platform: No need to check res again (Alex Williamson) [2188088]
- MAINTAINERS: step down as vfio reviewer (Alex Williamson) [2188088]
- vfio-mdev/mdpy-fb: Do not set struct fb_info.apertures (Alex Williamson) [2188088]
- Documentation: s390: correct spelling (Alex Williamson) [2188088]
- s390: vfio-ap: tighten the NIB validity check (Alex Williamson) [2188088]
- s390/vfio_ap: increase max wait time for reset verification (Alex Williamson) [2188088]
- s390/vfio_ap: fix handling of error response codes (Alex Williamson) [2188088]
- s390/vfio_ap: verify ZAPQ completion after return of response code zero (Alex Williamson) [2188088]
- s390/vfio_ap: use TAPQ to verify reset in progress completes (Alex Williamson) [2188088]
- s390/vfio_ap: check TAPQ response code when waiting for queue reset (Alex Williamson) [2188088]
- s390/vfio-ap: verify reset complete in separate function (Alex Williamson) [2188088]
- s390/vfio-ap: fix an error handling path in vfio_ap_mdev_probe_queue() (Alex Williamson) [2188088]
- vfio/ccw: remove old IDA format restrictions (Alex Williamson) [2187660 2188088]
- vfio/ccw: don't group contiguous pages on 2K IDAWs (Alex Williamson) [2187660 2188088]
- vfio/ccw: handle a guest Format-1 IDAL (Alex Williamson) [2187660 2188088]
- vfio/ccw: allocate/populate the guest idal (Alex Williamson) [2187660 2188088]
- vfio/ccw: calculate number of IDAWs regardless of format (Alex Williamson) [2187660 2188088]
- vfio/ccw: read only one Format-1 IDAW (Alex Williamson) [2187660 2188088]
- vfio/ccw: refactor the idaw counter (Alex Williamson) [2187660 2188088]
- vfio/ccw: populate page_array struct inline (Alex Williamson) [2187660 2188088]
- vfio/ccw: pass page count to page_array struct (Alex Williamson) [2187660 2188088]
- vfio/ccw: remove unnecessary malloc alignment (Alex Williamson) [2187660 2188088]
- vfio/ccw: simplify CCW chain fetch routines (Alex Williamson) [2187660 2188088]
- vfio/ccw: replace copy_from_iova with vfio_dma_rw (Alex Williamson) [2187660 2188088]
- vfio/ccw: move where IDA flag is set in ORB (Alex Williamson) [2187660 2188088]
- vfio/ccw: allow non-zero storage keys (Alex Williamson) [2187660 2188088]
- vfio/ccw: simplify the cp_get_orb interface (Alex Williamson) [2187660 2188088]
- vfio/ccw: cleanup some of the mdev commentary (Alex Williamson) [2187660 2188088]
- bpf: Fix struct_meta lookup for bpf_obj_free_fields kfunc call (Jerome Marchand) [2177177]
- selftests: add prepare_system.sh (Jiri Benc) [2177177]
- xfrm: lwtunnel: squelch kernel warning in case XFRM encap type is not available (Jiri Benc) [2177177]
- selftests/bpf: Cover listener cloning with progs attached to sockmap (Jiri Benc) [2177177]
- selftests/bpf: Pass BPF skeleton to sockmap_listen ops tests (Jiri Benc) [2177177]
- bpf, sockmap: Check for any of tcp_bpf_prots when cloning a listener (Jiri Benc) [2177177]
- bpf, sockmap: Don't let sock_map_{close,destroy,unhash} call itself (Jiri Benc) [2177177]
- net: skb: remove old comments about frag_size for build_skb() (Jiri Benc) [2177177]
- selftests/bpf: Test bpf_skb_adjust_room on CHECKSUM_PARTIAL (Jiri Benc) [2177177]
- skbuff: Introduce slab_build_skb() (Jiri Benc) [2177177]
- net: xsk: Don't include <linux/rculist.h> (Jiri Benc) [2177177]
- selftests/bpf: Allow building bpf tests with CONFIG_XFRM_INTERFACE=[m|n] (Jiri Benc) [2177177]
- selftests/bpf: add xfrm_info tests (Jiri Benc) [2177177]
- tools: add IFLA_XFRM_COLLECT_METADATA to uapi/linux/if_link.h (Jiri Benc) [2177177]
- xfrm: interface: Add unstable helpers for setting/getting XFRM metadata from TC-BPF (Jiri Benc) [2177177]
- xfrm: interface: rename xfrm_interface.c to xfrm_interface_core.c (Jiri Benc) [2177177]
- xfrm: lwtunnel: add lwtunnel support for xfrm interfaces in collect_md mode (Jiri Benc) [2177177]
- xfrm: interface: support collect metadata mode (Jiri Benc) [2177177]
- net: allow storing xfrm interface metadata in metadata_dst (Jiri Benc) [2177177]
- Revert "veth: Avoid drop packets when xdp_redirect performs" (Jiri Benc) [2177177]
- Revert "bpf: veth driver panics when xdp prog attached before veth_open" (Jiri Benc) [2177177]
- bpf: veth driver panics when xdp prog attached before veth_open (Jiri Benc) [2177177]
- veth: Avoid drop packets when xdp_redirect performs (Jiri Benc) [2177177]
- Delete duplicate words from kernel docs (Jerome Marchand) [2177177]
- Remove duplicate words inside documentation (Jerome Marchand) [2177177]
- bpf: fix precision propagation verbose logging (Jerome Marchand) [2177177]
- selftests/bpf: Fix out-of-srctree build (Jerome Marchand) [2177177]
- bpf: Fix the kernel crash caused by bpf_setsockopt(). (Jerome Marchand) [2177177]
- selftests/bpf: Use __failure macro in task kfunc testsuite (Jerome Marchand) [2177177]
- bpf: Add missing btf_put to register_btf_id_dtor_kfuncs (Jerome Marchand) [2177177]
- selftests/bpf: Verify copy_register_state() preserves parent/live fields (Jerome Marchand) [2177177]
- bpf: Fix to preserve reg parent/live fields when copying range info (Jerome Marchand) [2177177]
- bpf: Fix a possible task gone issue with bpf_send_signal[_thread]() helpers (Jerome Marchand) [2177177]
- bpf: Fix pointer-leak due to insufficient speculative store bypass mitigation (Jerome Marchand) [2177177]
- bpf: hash map, avoid deadlock with suitable hash mask (Jerome Marchand) [2177177]
- bpf_doc: Fix build error with older python versions (Jerome Marchand) [2177177]
- libbpf: Fix map creation flags sanitization (Jerome Marchand) [2177177]
- bpf: remove the do_idr_lock parameter from bpf_prog_free_id() (Jerome Marchand) [2177177]
- bpf: restore the ebpf program ID for BPF_AUDIT_UNLOAD and PERF_BPF_EVENT_PROG_UNLOAD (Jerome Marchand) [2177177]
- bpf: Skip task with pid=1 in send_signal_common() (Jerome Marchand) [2177177]
- bpf: Skip invalid kfunc call in backtrack_insn (Jerome Marchand) [2177177]
- bpf: Always use maximal size for copy_array() (Jerome Marchand) [2177177]
- selftests/bpf: add a test for iter/task_vma for short-lived processes (Jerome Marchand) [2177177]
- bpf: keep a reference to the mm, in case the task is dead. (Jerome Marchand) [2177177]
- selftests/bpf: Add host-tools to gitignore (Jerome Marchand) [2177177]
- selftests/bpf: check null propagation only neither reg is PTR_TO_BTF_ID (Jerome Marchand) [2177177]
- bpf: fix nullness propagation for reg to reg comparisons (Jerome Marchand) [2177177]
- bpftool: Fix linkage with statically built libllvm (Jerome Marchand) [2177177]
- bpf: Define sock security related BTF IDs under CONFIG_SECURITY_NETWORK (Jerome Marchand) [2177177]
- selftests/bpf: Add a test for using a cpumap from an freplace-to-XDP program (Jerome Marchand) [2177177]
- bpf: Resolve fext program type when checking map compatibility (Jerome Marchand) [2177177]
- bpf: Synchronize dispatcher update with bpf_dispatcher_xdp_func (Jerome Marchand) [2177177]
- libbpf: Fix single-line struct definition output in btf_dump (Jerome Marchand) [2177177]
- selftests/bpf: Select CONFIG_FUNCTION_ERROR_INJECTION (Jerome Marchand) [2177177]
- selftests/bpf: Fix a selftest compilation error with CONFIG_SMP=n (Jerome Marchand) [2177177]
- docs/bpf: Reword docs for BPF_MAP_TYPE_SK_STORAGE (Jerome Marchand) [2177177]
- selftests/bpf: test case for relaxed prunning of active_lock.id (Jerome Marchand) [2177177]
- selftests/bpf: Add pruning test case for bpf_spin_lock (Jerome Marchand) [2177177]
- bpf: use check_ids() for active_lock comparison (Jerome Marchand) [2177177]
- selftests/bpf: verify states_equal() maintains idmap across all frames (Jerome Marchand) [2177177]
- bpf: states_equal() must build idmap for all function frames (Jerome Marchand) [2177177]
- selftests/bpf: test cases for regsafe() bug skipping check_id() (Jerome Marchand) [2177177]
- bpf: regsafe() must not skip check_ids() (Jerome Marchand) [2177177]
- docs/bpf: Add documentation for BPF_MAP_TYPE_SK_STORAGE (Jerome Marchand) [2177177]
- selftests/bpf: Add test for dynptr reinit in user_ringbuf callback (Jerome Marchand) [2177177]
- bpf: Use memmove for bpf_dynptr_{read,write} (Jerome Marchand) [2177177]
- bpf: Move PTR_TO_STACK alignment check to process_dynptr_func (Jerome Marchand) [2177177]
- bpf: Rework check_func_arg_reg_off (Jerome Marchand) [2177177]
- bpf: Rework process_dynptr_func (Jerome Marchand) [2177177]
- bpf: Propagate errors from process_* checks in check_func_arg (Jerome Marchand) [2177177]
- bpf: Refactor ARG_PTR_TO_DYNPTR checks into process_dynptr_func (Jerome Marchand) [2177177]
- bpf: Skip rcu_barrier() if rcu_trace_implies_rcu_gp() is true (Jerome Marchand) [2177177]
- bpf: Reuse freed element in free_by_rcu during allocation (Jerome Marchand) [2177177]
- selftests/bpf: Bring test_offload.py back to life (Jerome Marchand) [2177177]
- bpf: Fix comment error in fixup_kfunc_call function (Jerome Marchand) [2177177]
- bpf: Do not zero-extend kfunc return values (Jerome Marchand) [2177177]
- bpf/docs: Document struct cgroup * kfuncs (Jerome Marchand) [2177177]
- bpf/docs: Document struct task_struct * kfuncs (Jerome Marchand) [2177177]
- selftests/bpf: convert dynptr_fail and map_kptr_fail subtests to generic tester (Jerome Marchand) [2177177]
- selftests/bpf: add generic BPF program tester-loader (Jerome Marchand) [2177177]
- bpf: Remove unused insn_cnt argument from visit_[func_call_]insn() (Jerome Marchand) [2177177]
- bpf: do not rely on ALLOW_ERROR_INJECTION for fmod_ret (Jerome Marchand) [2177177]
- bpf: remove unnecessary prune and jump points (Jerome Marchand) [2177177]
- bpf: mostly decouple jump history management from is_state_visited() (Jerome Marchand) [2177177]
- bpf: decouple prune and jump points (Jerome Marchand) [2177177]
- bpf: Loosen alloc obj test in verifier's reg_btf_record (Jerome Marchand) [2177177]
- bpf: Don't use rcu_users to refcount in task kfuncs (Jerome Marchand) [2177177]
- selftests/bpf: Use CONFIG_TEST_BPF=m instead of CONFIG_TEST_BPF=y (Jerome Marchand) [2177177]
- selftests/bpf: Use "is not set" instead of "=n" (Jerome Marchand) [2177177]
- selftests/bpf: Install all required files to run selftests (Jerome Marchand) [2177177]
- libbpf: Parse usdt args without offset on x86 (e.g. 8@(%%rsp)) (Jerome Marchand) [2177177]
- bpftool: Fix memory leak in do_build_table_cb (Jerome Marchand) [2177177]
- selftests/bpf: Fix conflicts with built-in functions in bpf_iter_ksym (Jerome Marchand) [2177177]
- bpf: Add sleepable prog tests for cgrp local storage (Jerome Marchand) [2177177]
- bpf: Enable sleeptable support for cgrp local storage (Jerome Marchand) [2177177]
- bpf, docs: BPF Iterator Document (Jerome Marchand) [2177177]
- bpf: Do not mark certain LSM hook arguments as trusted (Jerome Marchand) [2177177]
- docs/bpf: Add KF_RCU documentation (Jerome Marchand) [2177177]
- selftests/bpf: Fix rcu_read_lock test with new MEM_RCU semantics (Jerome Marchand) [2177177]
- bpf: Handle MEM_RCU type properly (Jerome Marchand) [2177177]
- libbpf: Improve usability of libbpf Makefile (Jerome Marchand) [2177177]
- selftests/bpf: Add GCC compatible builtins to bpf_legacy.h (Jerome Marchand) [2177177]
- bpf, docs: Correct the example of BPF_XOR (Jerome Marchand) [2177177]
- selftests/bpf: Validate multiple ref release_on_unlock logic (Jerome Marchand) [2177177]
- bpf: Fix release_on_unlock release logic for multiple refs (Jerome Marchand) [2177177]
- bpf: Fix a compilation failure with clang lto build (Jerome Marchand) [2177177]
- bpf: Tighten ptr_to_btf_id checks. (Jerome Marchand) [2177177]
- selftests/bpf: Add ingress tests for txmsg with apply_bytes (Jerome Marchand) [2177177]
- bpf, sockmap: Fix data loss caused by using apply_bytes on ingress redirect (Jerome Marchand) [2177177]
- bpf, sockmap: Fix missing BPF_F_INGRESS flag when using apply_bytes (Jerome Marchand) [2177177]
- bpf, sockmap: Fix repeated calls to sock_put() when msg has more_data (Jerome Marchand) [2177177]
- selftests/bpf: Add bench test to arm64 and s390x denylist (Jerome Marchand) [2177177]
- selftests/bpf: Make sure enum-less bpf_enable_stats() API works in C++ mode (Jerome Marchand) [2177177]
- libbpf: Avoid enum forward-declarations in public API in C++ mode (Jerome Marchand) [2177177]
- selftests/bpf: Avoid pinning prog when attaching to tc ingress in btf_skc_cls_ingress (Jerome Marchand) [2177177]
- selftests/bpf: Remove serial from tests using {open,close}_netns (Jerome Marchand) [2177177]
- selftests/bpf: Remove the "/sys" mount and umount dance in {open,close}_netns (Jerome Marchand) [2177177]
- selftests/bpf: Avoid pinning bpf prog in the netns_load_bpf() callers (Jerome Marchand) [2177177]
- selftests/bpf: Avoid pinning bpf prog in the tc_redirect_peer_l3 test (Jerome Marchand) [2177177]
- selftests/bpf: Avoid pinning bpf prog in the tc_redirect_dtime test (Jerome Marchand) [2177177]
- selftests/bpf: Use if_nametoindex instead of reading the /sys/net/class/*/ifindex (Jerome Marchand) [2177177]
- selftests/bpf: Add tests for bpf_rcu_read_lock() (Jerome Marchand) [2177177]
- bpf: Add kfunc bpf_rcu_read_lock/unlock() (Jerome Marchand) [2177177]
- bpf: Introduce might_sleep field in bpf_func_proto (Jerome Marchand) [2177177]
- compiler_types: Define __rcu as __attribute__((btf_type_tag("rcu"))) (Jerome Marchand) [2177177]
- docs/bpf: Add BPF_MAP_TYPE_XSKMAP documentation (Jerome Marchand) [2177177]
- samples/bpf: Fix wrong allocation size in xdp_router_ipv4_user (Jerome Marchand) [2177177]
- docs/bpf: Update btf selftests program and add link (Jerome Marchand) [2177177]
- bpf: Don't mark arguments to fentry/fexit programs as trusted. (Jerome Marchand) [2177177]
- selftests/bpf: Add selftests for bpf_task_from_pid() (Jerome Marchand) [2177177]
- bpf: Add bpf_task_from_pid() kfunc (Jerome Marchand) [2177177]
- bpf: Update bpf_{g,s}etsockopt() documentation (Jerome Marchand) [2177177]
- docs/bpf: Add table of BPF program types to libbpf docs (Jerome Marchand) [2177177]
- bpf: Fix a BTF_ID_LIST bug with CONFIG_DEBUG_INFO_BTF not set (Jerome Marchand) [2177177]
- bpf: Don't use idx variable when registering kfunc dtors (Jerome Marchand) [2177177]
- bpf: Unify and simplify btf_func_proto_check error handling (Jerome Marchand) [2177177]
- docs/bpf: Fix sphinx warnings in BPF map docs (Jerome Marchand) [2177177]
- selftests/bpf: Add reproducer for decl_tag in func_proto argument (Jerome Marchand) [2177177]
- docs/bpf: Document BPF_MAP_TYPE_BLOOM_FILTER (Jerome Marchand) [2177177]
- docs/bpf: Fix sphinx warnings for devmap (Jerome Marchand) [2177177]
- docs/bpf: Fix sphinx warnings for cpumap (Jerome Marchand) [2177177]
- selftests/bpf: Add selftests for bpf_cgroup_ancestor() kfunc (Jerome Marchand) [2177177]
- bpf: Add bpf_cgroup_ancestor() kfunc (Jerome Marchand) [2177177]
- selftests/bpf: Add cgroup kfunc / kptr selftests (Jerome Marchand) [2177177]
- bpf: Enable cgroups to be used as kptrs (Jerome Marchand) [2177177]
- selftests/bpf: Workaround for llvm nop-4 bug (Jerome Marchand) [2177177]
- bpf/verifier: Use kmalloc_size_roundup() to match ksize() usage (Jerome Marchand) [2177177]
- bpftool: remove function free_btf_vmlinux() (Jerome Marchand) [2177177]
- bpftool: clean-up usage of libbpf_get_error() (Jerome Marchand) [2177177]
- bpftool: fix error message when function can't register struct_ops (Jerome Marchand) [2177177]
- bpftool: replace return value PTR_ERR(NULL) with 0 (Jerome Marchand) [2177177]
- bpftool: remove support of --legacy option for bpftool (Jerome Marchand) [2177177]
- bpf: Add type cast unit tests (Jerome Marchand) [2177177]
- bpf: Add a kfunc for generic type cast (Jerome Marchand) [2177177]
- bpf: Add a kfunc to type cast from bpf uapi ctx to kernel ctx (Jerome Marchand) [2177177]
- bpf: Add support for kfunc set with common btf_ids (Jerome Marchand) [2177177]
- bpf: Disallow bpf_obj_new_impl call when bpf_mem_alloc_init fails (Jerome Marchand) [2177177]
- bpf/selftests: Add selftests for new task kfuncs (Jerome Marchand) [2177177]
- bpf: Add kfuncs for storing struct task_struct * as a kptr (Jerome Marchand) [2177177]
- bpf: Allow trusted pointers to be passed to KF_TRUSTED_ARGS kfuncs (Jerome Marchand) [2177177]
- bpf: Allow multiple modifiers in reg_type_str() prefix (Jerome Marchand) [2177177]
- tools lib bpf: Avoid install_headers make warning (Jerome Marchand) [2177177]
- selftests/bpf: Skip spin lock failure test on s390x (Jerome Marchand) [2177177]
- bpf, samples: Use "grep -E" instead of "egrep" (Jerome Marchand) [2177177]
- bpf, docs: DEVMAPs and XDP_REDIRECT (Jerome Marchand) [2177177]
- libbpf: Ignore hashmap__find() result explicitly in btf_dump (Jerome Marchand) [2177177]
- selftests/bpf: Add BTF sanity tests (Jerome Marchand) [2177177]
- selftests/bpf: Add BPF linked list API tests (Jerome Marchand) [2177177]
- selftests/bpf: Add failure test cases for spin lock pairing (Jerome Marchand) [2177177]
- selftests/bpf: Update spinlock selftest (Jerome Marchand) [2177177]
- selftests/bpf: Add __contains macro to bpf_experimental.h (Jerome Marchand) [2177177]
- bpf: Add comments for map BTF matching requirement for bpf_list_head (Jerome Marchand) [2177177]
- bpf: Add 'release on unlock' logic for bpf_list_push_{front,back} (Jerome Marchand) [2177177]
- bpf: Introduce single ownership BPF linked list API (Jerome Marchand) [2177177]
- bpf: Permit NULL checking pointer with non-zero fixed offset (Jerome Marchand) [2177177]
- bpf: Introduce bpf_obj_drop (Jerome Marchand) [2177177]
- bpf: Introduce bpf_obj_new (Jerome Marchand) [2177177]
- bpf: Support constant scalar arguments for kfuncs (Jerome Marchand) [2177177]
- bpf: Rewrite kfunc argument handling (Jerome Marchand) [2177177]
- bpf: Allow locking bpf_spin_lock in inner map values (Jerome Marchand) [2177177]
- bpf: Allow locking bpf_spin_lock global variables (Jerome Marchand) [2177177]
- bpf: Allow locking bpf_spin_lock in allocated objects (Jerome Marchand) [2177177]
- bpf: Verify ownership relationships for user BTF types (Jerome Marchand) [2177177]
- bpf: Recognize lock and list fields in allocated objects (Jerome Marchand) [2177177]
- bpf: Introduce allocated objects support (Jerome Marchand) [2177177]
- bpf: Populate field_offs for inner_map_meta (Jerome Marchand) [2177177]
- bpf: Free inner_map_meta when btf_record_dup fails (Jerome Marchand) [2177177]
- bpf: Do btf_record_free outside map_free callback (Jerome Marchand) [2177177]
- bpf: Fix early return in map_check_btf (Jerome Marchand) [2177177]
- selftests/bpf: Pass target triple to get_sys_includes macro (Jerome Marchand) [2177177]
- selftests, bpf: Fix broken riscv build (Jerome Marchand) [2177177]
- selftests/bpf: Explicitly pass RESOLVE_BTFIDS to sub-make (Jerome Marchand) [2177177]
- bpf: Pass map file to .map_update_batch directly (Jerome Marchand) [2177177]
- bpf/docs: Include blank lines between bullet points in bpf_devel_QA.rst (Jerome Marchand) [2177177]
- selftests/bpf: allow unpriv bpf for selftests by default (Jerome Marchand) [2177177]
- bpftool: Check argc first before "file" in do_batch() (Jerome Marchand) [2177177]
- docs/bpf: Fix sample code in MAP_TYPE_ARRAY docs (Jerome Marchand) [2177177]
- selftests/bpf: check nullness propagation for reg to reg comparisons (Jerome Marchand) [2177177]
- bpf: propagate nullness information for reg to reg comparisons (Jerome Marchand) [2177177]
- bpf: Expand map key argument of bpf_redirect_map to u64 (Jerome Marchand) [2177177]
- dev: Move received_rps counter next to RPS members in softnet data (Jiri Benc) [2177177]
- bpf: Refactor btf_struct_access (Jerome Marchand) [2177177]
- bpf: Rename MEM_ALLOC to MEM_RINGBUF (Jerome Marchand) [2177177]
- bpf: Rename RET_PTR_TO_ALLOC_MEM (Jerome Marchand) [2177177]
- bpf: Support bpf_list_head in map values (Jerome Marchand) [2177177]
- bpf: Fix copy_map_value, zero_map_value (Jerome Marchand) [2177177]
- bpf: Remove BPF_MAP_OFF_ARR_MAX (Jerome Marchand) [2177177]
- bpf: Remove local kptr references in documentation (Jerome Marchand) [2177177]
- bpf/docs: Document how to run CI without patch submission (Jerome Marchand) [2177177]
- libbpf: checkpatch: Fixed code alignments in ringbuf.c (Jerome Marchand) [2177177]
- libbpf: Fixed various checkpatch issues in libbpf.c (Jerome Marchand) [2177177]
- libbpf: checkpatch: Fixed code alignments in btf.c (Jerome Marchand) [2177177]
- bpf, docs: Fixup cpumap sphinx >= 3.1 warning (Jerome Marchand) [2177177]
- libbpf: Fix uninitialized warning in btf_dump_dump_type_data (Jerome Marchand) [2177177]
- selftests/bpf: fix veristat's singular file-or-prog filter (Jerome Marchand) [2177177]
- bpf, docs: Document BPF_MAP_TYPE_ARRAY (Jerome Marchand) [2177177]
- docs/bpf: Document BPF map types QUEUE and STACK (Jerome Marchand) [2177177]
- docs/bpf: Document BPF ARRAY_OF_MAPS and HASH_OF_MAPS (Jerome Marchand) [2177177]
- docs/bpf: Document BPF_MAP_TYPE_CPUMAP map (Jerome Marchand) [2177177]
- docs/bpf: Document BPF_MAP_TYPE_LPM_TRIE map (Jerome Marchand) [2177177]
- libbpf: Hashmap.h update to fix build issues using LLVM14 (Jerome Marchand) [2177177]
- selftests: Fix test group SKIPPED result (Jerome Marchand) [2177177]
- selftests/bpf: Tests for btf_dedup_resolve_fwds (Jerome Marchand) [2177177]
- libbpf: Resolve unambigous forward declarations (Jerome Marchand) [2177177]
- libbpf: Hashmap interface update to allow both long and void* keys/values (Jerome Marchand) [2177177]
- selftests/bpf: Fix u32 variable compared with less than zero (Jerome Marchand) [2177177]
- Documentation: bpf: Escape underscore in BPF type name prefix (Jerome Marchand) [2177177]
- selftests/bpf: cgroup_helpers.c: Fix strncpy() fortify warning (Jerome Marchand) [2177177]
- samples/bpf: Fix tracex2 error: No such file or directory (Jerome Marchand) [2177177]
- selftests/bpf: Tests for enum fwd resolved as full enum64 (Jerome Marchand) [2177177]
- libbpf: Resolve enum fwd as full enum64 and vice versa (Jerome Marchand) [2177177]
- selftests/bpf: make test_align selftest more robust (Jerome Marchand) [2177177]
- bpf: aggressively forget precise markings during state checkpointing (Jerome Marchand) [2177177]
- bpf: stop setting precise in current state (Jerome Marchand) [2177177]
- bpf: allow precision tracking for programs with subprogs (Jerome Marchand) [2177177]
- bpf: propagate precision across all frames, not just the last one (Jerome Marchand) [2177177]
- bpf: propagate precision in ALU/ALU64 operations (Jerome Marchand) [2177177]
- bpf: Refactor map->off_arr handling (Jerome Marchand) [2177177]
- bpf: Consolidate spin_lock, timer management into btf_record (Jerome Marchand) [2177177]
- bpf: Refactor kptr_off_tab into btf_record (Jerome Marchand) [2177177]
- selftests/bpf: support stat filtering in comparison mode in veristat (Jerome Marchand) [2177177]
- selftests/bpf: support stats ordering in comparison mode in veristat (Jerome Marchand) [2177177]
- selftests/bpf: handle missing records in comparison mode better in veristat (Jerome Marchand) [2177177]
- selftests/bpf: make veristat emit all stats in CSV mode by default (Jerome Marchand) [2177177]
- selftests/bpf: support simple filtering of stats in veristat (Jerome Marchand) [2177177]
- selftests/bpf: allow to define asc/desc ordering for sort specs in veristat (Jerome Marchand) [2177177]
- selftests/bpf: ensure we always have non-ambiguous sorting in veristat (Jerome Marchand) [2177177]
- selftests/bpf: consolidate and improve file/prog filtering in veristat (Jerome Marchand) [2177177]
- selftests/bpf: shorten "Total insns/states" column names in veristat (Jerome Marchand) [2177177]
- selftests/bpf: add veristat replay mode (Jerome Marchand) [2177177]
- bpf: Drop reg_type_may_be_refcounted_or_null (Jerome Marchand) [2177177]
- bpf: Fix slot type check in check_stack_write_var_off (Jerome Marchand) [2177177]
- bpf: Clobber stack slot when writing over spilled PTR_TO_BTF_ID (Jerome Marchand) [2177177]
- bpf: Allow specifying volatile type modifier for kptrs (Jerome Marchand) [2177177]
- bpf: Document UAPI details for special BPF types (Jerome Marchand) [2177177]
- samples/bpf: Fix typo in README (Jerome Marchand) [2177177]
- bpf: check max_entries before allocating memory (Jerome Marchand) [2177177]
- bpf: Fix a typo in comment for DFS algorithm (Jerome Marchand) [2177177]
- perf bpf: No need to include compiler.h when HAVE_LIBBPF_SUPPORT is true (Jerome Marchand) [2177177]
- bpftool: Fix spelling mistake "disasembler" -> "disassembler" (Jerome Marchand) [2177177]
- selftests/bpf: Fix bpftool synctypes checking failure (Jerome Marchand) [2177177]
- selftests/bpf: Panic on hard/soft lockup (Jerome Marchand) [2177177]
- docs/bpf: Add documentation for new cgroup local storage (Jerome Marchand) [2177177]
- selftests/bpf: Add test cgrp_local_storage to DENYLIST.s390x (Jerome Marchand) [2177177]
- selftests/bpf: Add selftests for new cgroup local storage (Jerome Marchand) [2177177]
- selftests/bpf: Fix test test_libbpf_str/bpf_map_type_str (Jerome Marchand) [2177177]
- bpftool: Support new cgroup local storage (Jerome Marchand) [2177177]
- libbpf: Support new cgroup local storage (Jerome Marchand) [2177177]
- bpf: Implement cgroup storage available to non-cgroup-attached bpf progs (Jerome Marchand) [2177177]
- bpf: Refactor some inode/task/sk storage functions for reuse (Jerome Marchand) [2177177]
- bpf: Make struct cgroup btf id global (Jerome Marchand) [2177177]
- selftests/bpf: Tracing prog can still do lookup under busy lock (Jerome Marchand) [2177177]
- selftests/bpf: Ensure no task storage failure for bpf_lsm.s prog due to deadlock detection (Jerome Marchand) [2177177]
- bpf: Add new bpf_task_storage_delete proto with no deadlock detection (Jerome Marchand) [2177177]
- bpf: bpf_task_storage_delete_recur does lookup first before the deadlock check (Jerome Marchand) [2177177]
- bpf: Add new bpf_task_storage_get proto with no deadlock detection (Jerome Marchand) [2177177]
- bpf: Avoid taking spinlock in bpf_task_storage_get if potential deadlock is detected (Jerome Marchand) [2177177]
- bpf: Refactor the core bpf_task_storage_get logic into a new function (Jerome Marchand) [2177177]
- bpf: Append _recur naming to the bpf_task_storage helper proto (Jerome Marchand) [2177177]
- bpf: Remove prog->active check for bpf_lsm and bpf_iter (Jerome Marchand) [2177177]
- libbpf: Btf dedup identical struct test needs check for nested structs/arrays (Jerome Marchand) [2177177]
- selftests/bpf: Add kprobe_multi kmod attach api tests (Jerome Marchand) [2177177]
- selftests/bpf: Add kprobe_multi check to module attach test (Jerome Marchand) [2177177]
- selftests/bpf: Add bpf_testmod_fentry_* functions (Jerome Marchand) [2177177]
- selftests/bpf: Add load_kallsyms_refresh function (Jerome Marchand) [2177177]
- bpf: Take module reference on kprobe_multi link (Jerome Marchand) [2177177]
- bpf: Rename __bpf_kprobe_multi_cookie_cmp to bpf_kprobe_multi_addrs_cmp (Jerome Marchand) [2177177]
- ftrace: Add support to resolve module symbols in ftrace_lookup_symbols (Jerome Marchand) [2177177]
- kallsyms: Make module_kallsyms_on_each_symbol generally available (Jerome Marchand) [2177177]
- bpftool: Add llvm feature to "bpftool version" (Jerome Marchand) [2177177]
- bpftool: Support setting alternative arch for JIT disasm with LLVM (Jerome Marchand) [2177177]
- bpftool: Add LLVM as default library for disassembling JIT-ed programs (Jerome Marchand) [2177177]
- bpftool: Refactor disassembler for JIT-ed programs (Jerome Marchand) [2177177]
- bpftool: Group libbfd defs in Makefile, only pass them if we use libbfd (Jerome Marchand) [2177177]
- bpftool: Split FEATURE_TESTS/FEATURE_DISPLAY definitions in Makefile (Jerome Marchand) [2177177]
- bpftool: Remove asserts from JIT disassembler (Jerome Marchand) [2177177]
- bpftool: Define _GNU_SOURCE only once (Jerome Marchand) [2177177]
- selftests/bpf: Add write to hashmap to array_map iter test (Jerome Marchand) [2177177]
- selftests/bpf: Add test verifying bpf_ringbuf_reserve retval use in map ops (Jerome Marchand) [2177177]
- bpf: Consider all mem_types compatible for map_{key,value} args (Jerome Marchand) [2177177]
- bpf: Allow ringbuf memory to be used as map key (Jerome Marchand) [2177177]
- selftests/bpf: Initial DENYLIST for aarch64 (Jerome Marchand) [2177177]
- selftests/bpf: Update vmtests.sh to support aarch64 (Jerome Marchand) [2177177]
- selftests/bpf: Add config.aarch64 (Jerome Marchand) [2177177]
- selftests/bpf: Remove entries from config.s390x already present in config (Jerome Marchand) [2177177]
- bpftool: Set binary name to "bpftool" in help and version output (Jerome Marchand) [2177177]
- libbpf: Avoid allocating reg_name with sscanf in parse_usdt_arg() (Jerome Marchand) [2177177]
- selftests/bpf: fix task_local_storage/exit_creds rcu usage (Jerome Marchand) [2177177]
- bpftool: Update the bash completion(add autoattach to prog load) (Jerome Marchand) [2177177]
- bpftool: Update doc (add autoattach to prog load) (Jerome Marchand) [2177177]
- bpftool: Add autoattach for bpf prog load|loadall (Jerome Marchand) [2177177]
- bpftool: Add "bootstrap" feature to version output (Jerome Marchand) [2177177]
- bpf, docs: Reformat BPF maps page to be more readable (Jerome Marchand) [2177177]
- bpf: add selftests for lsh, rsh, arsh with reg operand (Jerome Marchand) [2177177]
- bpf,x64: use shrx/sarx/shlx when available (Jerome Marchand) [2177177]
- bpf,x64: avoid unnecessary instructions when shift dest is ecx (Jerome Marchand) [2177177]
- libbpf: add non-mmapable data section selftest (Jerome Marchand) [2177177]
- libbpf: only add BPF_F_MMAPABLE flag for data maps with global vars (Jerome Marchand) [2177177]
- libbpf: clean up and refactor BTF fixup step (Jerome Marchand) [2177177]
- bpf/docs: Summarize CI system and deny lists (Jerome Marchand) [2177177]
- samples/bpf: Fix typos in README (Jerome Marchand) [2177177]
- samples/bpf: Fix double word in comments (Jerome Marchand) [2177177]
- bpf: Use rcu_trace_implies_rcu_gp() for program array freeing (Jerome Marchand) [2177177]
- bpf: Use rcu_trace_implies_rcu_gp() in local storage map (Jerome Marchand) [2177177]
- bpf: Use rcu_trace_implies_rcu_gp() in bpf memory allocator (Jerome Marchand) [2177177]
- rcu-tasks: Provide rcu_trace_implies_rcu_gp() (Jerome Marchand) [2177177]
- selftests/bpf: Use sys_pidfd_open() helper when possible (Jerome Marchand) [2177177]
- libbpf: Fix null-pointer dereference in find_prog_by_sec_insn() (Jerome Marchand) [2177177]
- libbpf: Deal with section with no data gracefully (Jerome Marchand) [2177177]
- libbpf: Use elf_getshdrnum() instead of e_shnum (Jerome Marchand) [2177177]
- selftests/bpf: Fix memory leak caused by not destroying skeleton (Jerome Marchand) [2177177]
- libbpf: Fix use-after-free in btf_dump_name_dups (Jerome Marchand) [2177177]
- selftests/bpf: S/iptables/iptables-legacy/ in the bpf_nf and xdp_synproxy test (Jerome Marchand) [2177177]
- selftests/bpf: Alphabetize DENYLISTs (Jerome Marchand) [2177177]
- selftests/bpf: Add tests for _opts variants of bpf_*_get_fd_by_id() (Jerome Marchand) [2177177]
- libbpf: Introduce bpf_link_get_fd_by_id_opts() (Jerome Marchand) [2177177]
- libbpf: Introduce bpf_btf_get_fd_by_id_opts() (Jerome Marchand) [2177177]
- libbpf: Introduce bpf_prog_get_fd_by_id_opts() (Jerome Marchand) [2177177]
- libbpf: Introduce bpf_get_fd_by_id_opts and bpf_map_get_fd_by_id_opts() (Jerome Marchand) [2177177]
- libbpf: Fix LIBBPF_1.0.0 declaration in libbpf.map (Jerome Marchand) [2177177]
- bpf, x64: Remove unnecessary check on existence of SSE2 (Jerome Marchand) [2177177]
- selftests/bpf: Add selftest deny_namespace to s390x deny list (Jerome Marchand) [2177177]
- scripts/bpf_doc.py: update logic to not assume sequential enum values (Jerome Marchand) [2177177]
- bpf: explicitly define BPF_FUNC_xxx integer values (Jerome Marchand) [2177177]
- selftests/bpf: add BPF object fixup step to veristat (Jerome Marchand) [2177177]
- selftests/bpf: avoid reporting +100%% difference in veristat for actual 0%% (Jerome Marchand) [2177177]
- selftests/bpf: allow requesting log level 2 in test_verifier (Jerome Marchand) [2177177]
- selftests/bpf: Test btf dump for struct with padding only fields (Jerome Marchand) [2177177]
- bpftool: Print newline before '}' for struct with padding only fields (Jerome Marchand) [2177177]
- net, neigh: Do not trigger immediate probes on NUD_FAILED from neigh_managed_work (Ivan Vecera) [2193175]
- net, neigh: Fix crash in v6 module initialization error path (Ivan Vecera) [2193175]
- net, neigh: Reject creating NUD_PERMANENT with NTF_MANAGED entries (Ivan Vecera) [2193175]
- net, neigh: Add build-time assertion to avoid neigh->flags overflow (Ivan Vecera) [2193175]
- net, neigh: Add NTF_MANAGED flag for managed neighbor entries (Ivan Vecera) [2193175]
- net, neigh: Extend neigh->flags to 32 bit to allow for extensions (Ivan Vecera) [2193175]
- net, neigh: Enable state migration between NUD_PERMANENT and NTF_USE (Ivan Vecera) [2193175]
- dm: don't lock fs when the map is NULL in process of resume (Benjamin Marzinski) [2189971]
- dm flakey: add an "error_reads" option (Benjamin Marzinski) [2189971]
- dm flakey: remove trailing space in the table line (Benjamin Marzinski) [2189971]
- dm flakey: fix a crash with invalid table line (Benjamin Marzinski) [2189971]
- dm ioctl: fix nested locking in table_clear() to remove deadlock concern (Benjamin Marzinski) [2189971]
- dm: unexport dm_get_queue_limits() (Benjamin Marzinski) [2189971]
- dm: allow targets to require splitting WRITE_ZEROES and SECURE_ERASE (Benjamin Marzinski) [2189971]
- dm: add helper macro for simple DM target module init and exit (Benjamin Marzinski) [2189971]
- dm raid: remove unused d variable (Benjamin Marzinski) [2189971]
- dm: remove unnecessary (void*) conversions (Benjamin Marzinski) [2189971]
- dm mirror: add DMERR message if alloc_workqueue fails (Benjamin Marzinski) [2189971]
- dm: push error reporting down to dm_register_target() (Benjamin Marzinski) [2189971]
- dm integrity: call kmem_cache_destroy() in dm_integrity_init() error path (Benjamin Marzinski) [2189971]
- dm clone: call kmem_cache_destroy() in dm_clone_init() error path (Benjamin Marzinski) [2189971]
- dm error: add discard support (Benjamin Marzinski) [2189971]
- dm zero: add discard support (Benjamin Marzinski) [2189971]
- dm table: allow targets without devices to set ->io_hints (Benjamin Marzinski) [2189971]
- dm verity: emit audit events on verification failure and more (Benjamin Marzinski) [2189971]
- dm verity: fix error handling for check_at_most_once on FEC (Benjamin Marzinski) [2189971]
- dm: improve hash_locks sizing and hash function (Benjamin Marzinski) [2189971]
- dm bio prison v1: intelligently size dm_bio_prison's prison_regions (Benjamin Marzinski) [2189971]
- dm bio prison v1: prepare to intelligently size dm_bio_prison's prison_regions (Benjamin Marzinski) [2189971]
- dm bufio: intelligently size dm_buffer_cache's buffer_trees (Benjamin Marzinski) [2189971]
- dm bufio: prepare to intelligently size dm_buffer_cache's buffer_trees (Benjamin Marzinski) [2189971]
- dm: add dm_num_hash_locks() (Benjamin Marzinski) [2189971]
- dm bio prison v1: add dm_cell_key_has_valid_range (Benjamin Marzinski) [2189971]
- dm bio prison v1: improve concurrent IO performance (Benjamin Marzinski) [2189971]
- dm: split discards further if target sets max_discard_granularity (Benjamin Marzinski) [2189971]
- dm thin: speed up cell_defer_no_holder() (Benjamin Marzinski) [2189971]
- dm bufio: use multi-page bio vector (Benjamin Marzinski) [2189971]
- dm bufio: use waitqueue_active in __free_buffer_wake (Benjamin Marzinski) [2189971]
- dm bufio: move dm_bufio_client members to avoid spanning cachelines (Benjamin Marzinski) [2189971]
- dm bufio: add lock_history optimization for cache iterators (Benjamin Marzinski) [2189971]
- dm bufio: improve concurrent IO performance (Benjamin Marzinski) [2189971]
- dm bufio: add dm_buffer_cache abstraction (Benjamin Marzinski) [2189971]
- dm bufio: add LRU abstraction (Benjamin Marzinski) [2189971]
- dm bufio: don't bug for clear developer oversight (Benjamin Marzinski) [2189971]
- dm bufio: never crash if dm_bufio_in_request() (Benjamin Marzinski) [2189971]
- dm bufio: use WARN_ON in dm_bufio_client_destroy and dm_bufio_exit (Benjamin Marzinski) [2189971]
- dm bufio: remove unused dm_bufio_release_move interface (Benjamin Marzinski) [2189971]
- dm stats: check for and propagate alloc_percpu failure (Benjamin Marzinski) [2189971]
- dm crypt: avoid accessing uninitialized tasklet (Benjamin Marzinski) [2189971]
- dm crypt: add cond_resched() to dmcrypt_write() (Benjamin Marzinski) [2189971]
- dm thin: fix deadlock when swapping to thin device (Benjamin Marzinski) [2189971]
- intel_idle: Add force_irq_on module param (David Arcari) [2185872]
- cpuidle, intel_idle: Fix CPUIDLE_FLAG_IBRS (David Arcari) [2185872]
- cpuidle, intel_idle: Fix CPUIDLE_FLAG_IRQ_ENABLE *again* (David Arcari) [2185872]
- intel_idle: move from strlcpy() with unused retval to strscpy() (David Arcari) [2185872]
- NFSD: Fix problem of COMMIT and NFS4ERR_DELAY in infinite loop (Benjamin Coddington) [2196432]
Resolves: rhbz#2092194, rhbz#2160041, rhbz#2160097, rhbz#2174972, rhbz#2177177, rhbz#2185872, rhbz#2187660, rhbz#2188088, rhbz#2189971, rhbz#2193175, rhbz#2196244, rhbz#2196432, RHEL-311

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-11 14:41:45 +02:00
Jan Stancek
12dfb71a8f kernel-5.14.0-311.el9
* Wed May 10 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-311.el9]
- cacheinfo: Add use_arch[|_cache]_info field/function (Radu Rendec) [2180619]
- arch_topology: Remove early cacheinfo error message if -ENOENT (Radu Rendec) [2180619]
- cacheinfo: Check cache properties are present in DT (Radu Rendec) [2180619]
- cacheinfo: Check sib_leaf in cache_leaves_are_shared() (Radu Rendec) [2180619]
- cacheinfo: Allow early level detection when DT/ACPI info is missing/broken (Radu Rendec) [2180619]
- cacheinfo: Add arm64 early level initializer implementation (Radu Rendec) [2180619]
- cacheinfo: Add arch specific early level initializer (Radu Rendec) [2180619]
- cacheinfo: Fix LLC is not exported through sysfs (Radu Rendec) [2180619]
- cacheinfo: Remove of_node_put() for fw_token (Radu Rendec) [2180619]
- cacheinfo: Decrement refcount in cache_setup_of_node() (Radu Rendec) [2180619]
- cacheinfo: Make default acpi_get_cache_info() return an error (Radu Rendec) [2180619]
- cacheinfo: Initialize variables in fetch_cache_info() (Radu Rendec) [2180619]
- ACPI: PPTT: Fix to avoid sleep in the atomic context when PPTT is absent (Radu Rendec) [2180619]
- arch_topology: Build cacheinfo from primary CPU (Radu Rendec) [2180619]
- ACPI: PPTT: Update acpi_find_last_cache_level() to acpi_get_cache_info() (Radu Rendec) [2180619]
- ACPI: PPTT: Remove acpi_find_cache_levels() (Radu Rendec) [2180619]
- cacheinfo: Check 'cache-unified' property to count cache leaves (Radu Rendec) [2180619]
- cacheinfo: Return error code in init_of_cache_level() (Radu Rendec) [2180619]
- cacheinfo: Use RISC-V's init_cache_level() as generic OF implementation (Radu Rendec) [2180619]
- arm64: topology: move store_cpu_topology() to shared code (Radu Rendec) [2180619]
- of: Add of_property_present() helper (Radu Rendec) [2180619]
- IPv6/GRO: generic helper to remove temporary HBH/jumbo header in driver (Michal Schmidt) [2189283]
- tools: include: sync include/api/linux/kvm.h (Eric Auger) [2175143]
- KVM: Check KVM_CAP_DIRTY_LOG_{RING, RING_ACQ_REL} prior to enabling them (Eric Auger) [2175143]
- KVM: selftests: Fix divide-by-zero bug in memslot_perf_test (Eric Auger) [2175143]
- proc/meminfo: fix spacing in SecPageTables (Eric Auger) [2175143]
- arm64/sme: Fix context switch for SME only systems (Eric Auger) [2175143]
- KVM: arm64: Normalize cache configuration (Eric Auger) [2175143]
- KVM: arm64: Mask FEAT_CCIDX (Eric Auger) [2175143]
- KVM: arm64: Always set HCR_TID2 (Eric Auger) [2175143]
- arm64/cache: Move CLIDR macro definitions (Eric Auger) [2175143]
- arm64/sysreg: Add CCSIDR2_EL1 (Eric Auger) [2175143]
- arm64/sysreg: Convert CCSIDR_EL1 to automatic generation (Eric Auger) [2175143]
- arm64: Allow the definition of UNKNOWN system register fields (Eric Auger) [2175143]
- KVM: arm64: Use symbolic definition for ISR_EL1.A (Eric Auger) [2175143]
- arm64/sysreg: Add definition of ISR_EL1 (Eric Auger) [2175143]
- arm64/sysreg: Add definition for ICC_NMIAR1_EL1 (Eric Auger) [2175143]
- KVM: arm64: timers: Don't BUG() on unhandled timer trap (Eric Auger) [2175143]
- KVM: arm64: Reduce overhead of trapped timer sysreg accesses (Eric Auger) [2175143]
- KVM: arm64: Don't arm a hrtimer for an already pending timer (Eric Auger) [2175143]
- KVM: arm64: PMU: Don't save PMCR_EL0.{C,P} for the vCPU (Eric Auger) [2175143]
- KVM: arm64: PMU: Fix GET_ONE_REG for vPMC regs to return the current value (Eric Auger) [2175143]
- KVM: arm64: Finalise EL2 state from pKVM PSCI relay (Eric Auger) [2175143]
- KVM: arm64: Use sanitized values in __check_override in nVHE (Eric Auger) [2175143]
- KVM: arm64: Introduce finalise_el2_state macro (Eric Auger) [2175143]
- KVM: arm64: Provide sanitized SYS_ID_AA64SMFR0_EL1 to nVHE (Eric Auger) [2175143]
- arm64: Avoid repeated AA64MMFR1_EL1 register read on pagefault path (Eric Auger) [2175143]
- KVM: arm64: Condition HW AF updates on config option (Eric Auger) [2175143]
- KVM: arm64: Handle access faults behind the read lock (Eric Auger) [2175143]
- KVM: arm64: Don't serialize if the access flag isn't set (Eric Auger) [2175143]
- KVM: arm64: Return EAGAIN for invalid PTE in attr walker (Eric Auger) [2175143]
- KVM: arm64: Ignore EAGAIN for walks outside of a fault (Eric Auger) [2175143]
- KVM: arm64: Use KVM's pte type/helpers in handle_access_fault() (Eric Auger) [2175143]
- KVM: arm64: selftests: Disable single-step without relying on ucall() (Eric Auger) [2175143]
- KVM: arm64: selftests: Disable single-step with correct KVM define (Eric Auger) [2175143]
- KVM: selftests: Fix number of pages for memory slot in memslot_modification_stress_test (Eric Auger) [2175143]
- KVM: selftests: memslot_perf_test: Report optimal memory slots (Eric Auger) [2175143]
- KVM: selftests: memslot_perf_test: Consolidate memory (Eric Auger) [2175143]
- KVM: selftests: memslot_perf_test: Support variable guest page size (Eric Auger) [2175143]
- KVM: selftests: memslot_perf_test: Probe memory slots for once (Eric Auger) [2175143]
- KVM: selftests: memslot_perf_test: Consolidate loop conditions in prepare_vm() (Eric Auger) [2175143]
- KVM: selftests: memslot_perf_test: Use data->nslots in prepare_vm() (Eric Auger) [2175143]
- tools/include: Add _RET_IP_ and math definitions to kernel.h (Eric Auger) [2175143]
- KVM: arm64: selftests: Test with every breakpoint/watchpoint (Eric Auger) [2175143]
- KVM: arm64: selftests: Add a test case for a linked watchpoint (Eric Auger) [2175143]
- KVM: arm64: selftests: Add a test case for a linked breakpoint (Eric Auger) [2175143]
- KVM: arm64: selftests: Change debug_version() to take ID_AA64DFR0_EL1 (Eric Auger) [2175143]
- KVM: arm64: selftests: Stop unnecessary test stage tracking of debug-exceptions (Eric Auger) [2175143]
- KVM: arm64: selftests: Add helpers to enable debug exceptions (Eric Auger) [2175143]
- KVM: arm64: selftests: Remove the hard-coded {b,w}pn#0 from debug-exceptions (Eric Auger) [2175143]
- KVM: arm64: selftests: Add write_dbg{b,w}{c,v}r helpers in debug-exceptions (Eric Auger) [2175143]
- KVM: arm64: selftests: Use FIELD_GET() to extract ID register fields (Eric Auger) [2175143]
- tools: Copy bitfield.h from the kernel sources (Eric Auger) [2175143]
- KVM: selftests: Update top-of-file comment in psci_test (Eric Auger) [2175143]
- KVM: arm64: selftests: Add a test case for KVM_GUESTDBG_SINGLESTEP (Eric Auger) [2175143]
- KVM: arm64: selftests: Refactor debug-exceptions to make it amenable to new test cases (Eric Auger) [2175143]
- KVM: arm64: Convert FSC_* over to ESR_ELx_FSC_* (Eric Auger) [2175143]
- KVM: arm64: Fix S1PTW handling on RO memslots (Eric Auger) [2175143]
- KVM: arm64: PMU: Fix PMCR_EL0 reset value (Eric Auger) [2175143]
- KVM: arm64: Reject shared table walks in the hyp code (Eric Auger) [2175143]
- KVM: arm64: Don't acquire RCU read lock for exclusive table walks (Eric Auger) [2175143]
- KVM: arm64: Take a pointer to walker data in kvm_dereference_pteref() (Eric Auger) [2175143]
- KVM: arm64: PMU: Fix period computation for 64bit counters with 32bit overflow (Eric Auger) [2175143]
- arm64/fp: Use a struct to pass data to fpsimd_bind_state_to_cpu() (Eric Auger) [2175143]
- arm64/sve: Leave SVE enabled on syscall if we don't context switch (Eric Auger) [2175143]
- arm64/fpsimd: SME no longer requires SVE register state (Eric Auger) [2175143]
- arm64/fpsimd: Load FP state based on recorded data type (Eric Auger) [2175143]
- arm64/fpsimd: Stop using TIF_SVE to manage register saving in KVM (Eric Auger) [2175143]
- arm64/fpsimd: Have KVM explicitly say which FP registers to save (Eric Auger) [2175143]
- arm64/fpsimd: Track the saved FPSIMD state type separately to TIF_SVE (Eric Auger) [2175143]
- KVM: arm64: Discard any SVE state when entering KVM guests (Eric Auger) [2175143]
- Documentation: document the ABI changes for KVM_CAP_ARM_MTE (Eric Auger) [2175143]
- KVM: arm64: permit all VM_MTE_ALLOWED mappings with MTE enabled (Eric Auger) [2175143]
- KVM: arm64: unify the tests for VMAs in memslots when MTE is enabled (Eric Auger) [2175143]
- KVM: arm64: Simplify the sanitise_mte_tags() logic (Eric Auger) [2175143]
- KVM: arm64: PMU: Sanitise PMCR_EL0.LP on first vcpu run (Eric Auger) [2175143]
- KVM: arm64: PMU: Simplify PMCR_EL0 reset handling (Eric Auger) [2175143]
- KVM: arm64: PMU: Replace version number '0' with ID_AA64DFR0_EL1_PMUVer_NI (Eric Auger) [2175143]
- KVM: arm64: PMU: Make kvm_pmc the main data structure (Eric Auger) [2175143]
- KVM: arm64: PMU: Simplify vcpu computation on perf overflow notification (Eric Auger) [2175143]
- KVM: arm64: PMU: Allow PMUv3p5 to be exposed to the guest (Eric Auger) [2175143]
- KVM: arm64: PMU: Implement PMUv3p5 long counter support (Eric Auger) [2175143]
- KVM: arm64: PMU: Allow ID_DFR0_EL1.PerfMon to be set from userspace (Eric Auger) [2175143]
- KVM: arm64: PMU: Allow ID_AA64DFR0_EL1.PMUver to be set from userspace (Eric Auger) [2175143]
- KVM: arm64: PMU: Move the ID_AA64DFR0_EL1.PMUver limit to VM creation (Eric Auger) [2175143]
- KVM: arm64: PMU: Do not let AArch32 change the counters' top 32 bits (Eric Auger) [2175143]
- KVM: arm64: PMU: Simplify setting a counter to a specific value (Eric Auger) [2175143]
- KVM: arm64: PMU: Add counter_index_to_*reg() helpers (Eric Auger) [2175143]
- KVM: arm64: PMU: Only narrow counters that are not 64bit wide (Eric Auger) [2175143]
- KVM: arm64: PMU: Narrow the overflow checking when required (Eric Auger) [2175143]
- KVM: arm64: PMU: Distinguish between 64bit counter and 64bit overflow (Eric Auger) [2175143]
- KVM: arm64: PMU: Always advertise the CHAIN event (Eric Auger) [2175143]
- KVM: arm64: PMU: Align chained counter implementation with architecture pseudocode (Eric Auger) [2175143]
- KVM: arm64: Use the pKVM hyp vCPU structure in handle___kvm_vcpu_run() (Eric Auger) [2175143]
- KVM: arm64: Don't unnecessarily map host kernel sections at EL2 (Eric Auger) [2175143]
- KVM: arm64: Explicitly map 'kvm_vgic_global_state' at EL2 (Eric Auger) [2175143]
- KVM: arm64: Maintain a copy of 'kvm_arm_vmid_bits' at EL2 (Eric Auger) [2175143]
- KVM: arm64: Unmap 'kvm_arm_hyp_percpu_base' from the host (Eric Auger) [2175143]
- KVM: arm64: Return guest memory from EL2 via dedicated teardown memcache (Eric Auger) [2175143]
- KVM: arm64: Instantiate guest stage-2 page-tables at EL2 (Eric Auger) [2175143]
- KVM: arm64: Consolidate stage-2 initialisation into a single function (Eric Auger) [2175143]
- KVM: arm64: Add generic hyp_memcache helpers (Eric Auger) [2175143]
- KVM: arm64: Provide I-cache invalidation by virtual address at EL2 (Eric Auger) [2175143]
- KVM: arm64: Initialise hypervisor copies of host symbols unconditionally (Eric Auger) [2175143]
- KVM: arm64: Add per-cpu fixmap infrastructure at EL2 (Eric Auger) [2175143]
- KVM: arm64: Instantiate pKVM hypervisor VM and vCPU structures from EL1 (Eric Auger) [2175143]
- KVM: arm64: Add infrastructure to create and track pKVM instances at EL2 (Eric Auger) [2175143]
- KVM: arm64: Rename 'host_kvm' to 'host_mmu' (Eric Auger) [2175143]
- KVM: arm64: Add hyp_spinlock_t static initializer (Eric Auger) [2175143]
- KVM: arm64: Include asm/kvm_mmu.h in nvhe/mem_protect.h (Eric Auger) [2175143]
- KVM: arm64: Add helpers to pin memory shared with the hypervisor at EL2 (Eric Auger) [2175143]
- KVM: arm64: Prevent the donation of no-map pages (Eric Auger) [2175143]
- KVM: arm64: Implement do_donate() helper for donating memory (Eric Auger) [2175143]
- KVM: arm64: Unify identifiers used to distinguish host and hypervisor (Eric Auger) [2175143]
- KVM: arm64: Fix-up hyp stage-1 refcounts for all pages mapped at EL2 (Eric Auger) [2175143]
- KVM: arm64: Back the hypervisor 'struct hyp_page' array for all memory (Eric Auger) [2175143]
- KVM: arm64: Allow attaching of non-coalescable pages to a hyp pool (Eric Auger) [2175143]
- KVM: arm64: Move hyp refcount manipulation helpers to common header file (Eric Auger) [2175143]
- KVM: arm64: Fix typo in comment (Eric Auger) [2175143]
- KVM: arm64: Fix pvtime documentation (Eric Auger) [2175143]
- KVM: arm64: Fix benign bug with incorrect use of VA_BITS (Eric Auger) [2175143]
- KVM: arm64: Fix PAR_TO_HPFAR() to work independently of PA_BITS. (Eric Auger) [2175143]
- KVM: arm64: Fix kvm init failure when mode!=vhe and VA_BITS=52. (Eric Auger) [2175143]
- KVM: arm64: Handle stage-2 faults in parallel (Eric Auger) [2175143]
- KVM: arm64: Make table->block changes parallel-aware (Eric Auger) [2175143]
- KVM: arm64: Make leaf->leaf PTE changes parallel-aware (Eric Auger) [2175143]
- KVM: arm64: Make block->table PTE changes parallel-aware (Eric Auger) [2175143]
- KVM: arm64: Split init and set for table PTE (Eric Auger) [2175143]
- KVM: arm64: Atomically update stage 2 leaf attributes in parallel walks (Eric Auger) [2175143]
- KVM: arm64: Protect stage-2 traversal with RCU (Eric Auger) [2175143]
- KVM: arm64: Tear down unlinked stage-2 subtree after break-before-make (Eric Auger) [2175143]
- KVM: arm64: Use an opaque type for pteps (Eric Auger) [2175143]
- KVM: arm64: Add a helper to tear down unlinked stage-2 subtrees (Eric Auger) [2175143]
- KVM: arm64: Don't pass kvm_pgtable through kvm_pgtable_walk_data (Eric Auger) [2175143]
- KVM: arm64: Pass mm_ops through the visitor context (Eric Auger) [2175143]
- KVM: arm64: Stash observed pte value in visitor context (Eric Auger) [2175143]
- KVM: arm64: Combine visitor arguments into a context structure (Eric Auger) [2175143]
- KVM: selftests: dirty-log: Use KVM_CAP_DIRTY_LOG_RING_ACQ_REL if available (Eric Auger) [2175143]
- KVM: selftests: dirty-log: Upgrade flag accesses to acquire/release semantics (Eric Auger) [2175143]
- KVM: Document weakly ordered architecture requirements for dirty ring (Eric Auger) [2175143]
- KVM: x86: Select CONFIG_HAVE_KVM_DIRTY_RING_ACQ_REL (Eric Auger) [2175143]
- KVM: Add KVM_CAP_DIRTY_LOG_RING_ACQ_REL capability and config option (Eric Auger) [2175143]
- KVM: Use acquire/release semantics when accessing dirty ring GFN state (Eric Auger) [2175143]
- KVM: arm64/mmu: count KVM s2 mmu usage in secondary pagetable stats (Eric Auger) [2175143]
- KVM: x86/mmu: count KVM mmu usage in secondary pagetable stats. (Eric Auger) [2175143]
- mm: add NR_SECONDARY_PAGETABLE to count secondary page table uses. (Eric Auger) [2175143]
- KVM: arm64: Enable stack protection and branch profiling for VHE (Eric Auger) [2175143]
- KVM: arm64: Fix comment typo in nvhe/switch.c (Eric Auger) [2175143]
- KVM: arm64: Ignore kvm-arm.mode if !is_hyp_mode_available() (Eric Auger) [2175143]
- KVM: arm64: vgic: Remove duplicate check in update_affinity_collection() (Eric Auger) [2175143]
- KVM: selftests: Add test for AArch32 ID registers (Eric Auger) [2175143]
- KVM: arm64: Treat 32bit ID registers as RAZ/WI on 64bit-only system (Eric Auger) [2175143]
- KVM: arm64: Add a visibility bit to ignore user writes (Eric Auger) [2175143]
- KVM: arm64: Spin off helper for calling visibility hook (Eric Auger) [2175143]
- KVM: arm64: Drop raz parameter from read_id_reg() (Eric Auger) [2175143]
- KVM: arm64: Remove internal accessor helpers for id regs (Eric Auger) [2175143]
- KVM: arm64: Use visibility hook to treat ID regs as RAZ (Eric Auger) [2175143]
- selftests/powerpc: Increase timeout for vsx_signal test (Mamatha Inamdar) [2176415]
- scsi: megaraid_sas: Fix double kfree() (Tomas Henzl) [2163384]
- scsi: megaraid_sas: Remove redundant memset() statement (Tomas Henzl) [2163384]
- scsi: megaraid_sas: Remove unnecessary kfree() (Tomas Henzl) [2163384]
- scsi: megaraid: Use scsi_cmd_to_rq() instead of scsi_cmnd.request (Tomas Henzl) [2163384]
- scsi: megaraid_sas: Fix crash after a double completion (Tomas Henzl) [2163384]
- scsi: megaraid_sas: Fix fw_crash_buffer_show() (Tomas Henzl) [2163384]
- scsi: megaraid_sas: Driver version update to 07.725.01.00-rc1 (Tomas Henzl) [2163384]
- scsi: megaraid_sas: Add crash dump mode capability bit in MFI capabilities (Tomas Henzl) [2163384]
- scsi: megaraid_sas: Update max supported LD IDs to 240 (Tomas Henzl) [2163384]
- scsi: megaraid_sas: Add flexible array member for SGLs (Tomas Henzl) [2163384]
- scsi: megaraid_sas: Fix some spelling mistakes in comment (Tomas Henzl) [2163384]
- wifi: mark the support for WiFi on aarch64 architecture as tech preview (Jose Ignacio Tornos Martinez) [2143728]
- config: Enable WiFI on aarch64 architecture (Jose Ignacio Tornos Martinez) [2143728 2143781]
- tcp: fix skb_copy_ubufs() vs BIG TCP (Xin Long) [2185290]
- netfilter: xt_length: use skb len to match in length_mt6 (Xin Long) [2185290]
- net: add support for ipv4 big tcp (Xin Long) [2185290]
- net: add gso_ipv4_max_size and gro_ipv4_max_size per device (Xin Long) [2185290]
- packet: add TP_STATUS_GSO_TCP for tp_status (Xin Long) [2185290]
- ipvlan: use skb_ip_totlen in ipvlan_get_L3_hdr (Xin Long) [2185290]
- cipso_ipv4: use iph_set_totlen in skbuff_setattr (Xin Long) [2185290]
- netfilter: use skb_ip_totlen and iph_totlen (Xin Long) [2185290]
- net: sched: use skb_ip_totlen and iph_totlen (Xin Long) [2185290]
- openvswitch: use skb_ip_totlen in conntrack (Xin Long) [2185290]
- bridge: use skb_ip_totlen in br netfilter (Xin Long) [2185290]
- net: add a couple of helpers for iph tot_len (Xin Long) [2185290]
- IB/hfi1: Fix sdma.h tx->num_descs off-by-one errors (Izabela Bakollari) [2170628]
- IB/hfi1: Fix math bugs in hfi1_can_pin_pages() (Izabela Bakollari) [2170628]
- IB/hfi1: Restore allocated resources on failed copyout (Izabela Bakollari) [2170628]
- IB/hfi1: Use dma_mmap_coherent for matching buffers (Izabela Bakollari) [2170628]
- IB/hfi1: Update RMT size calculation (Izabela Bakollari) [2170628]
- IB/hfi1: Split IB counter allocation (Izabela Bakollari) [2170628]
- IB/hfi1: Improve TID validity checking (Izabela Bakollari) [2170628]
- IB/hfi1: Consolidate the creation of user TIDs (Izabela Bakollari) [2170628]
- IB/hfi1: Assign npages earlier (Izabela Bakollari) [2170628]
- IB/hfi1: Remove redundant pageidx variable (Izabela Bakollari) [2170628]
- IB/hfi1: Remove user expected buffer invalidate race (Izabela Bakollari) [2170628]
- IB/hfi1: Immediately remove invalid memory from hardware (Izabela Bakollari) [2170628]
- IB/hfi1: Fix expected receive setup error exit issues (Izabela Bakollari) [2170628]
- IB/hfi1: Reserve user expected TIDs (Izabela Bakollari) [2170628]
- IB/hfi1: Reject a zero-length user expected buffer (Izabela Bakollari) [2170628]
- RDMA/hfi1: Fix doc for hfi1_free_ctxt (Izabela Bakollari) [2170628]
- RDMA/hfi1: use sysfs_emit() to instead of scnprintf() (Izabela Bakollari) [2170628]
- RDMA/hfi1: Fix error return code in parse_platform_config() (Izabela Bakollari) [2170628]
- IB/hfi1: Switch to netif_napi_add() (Izabela Bakollari) [2170628]
- RDMA/hfi: Decrease PCI device reference count in error path (Izabela Bakollari) [2170628]
- RDMA/hfi1: don't pass bogus GFP_ flags to dma_alloc_coherent (Izabela Bakollari) [2170628]
- IB/hfi1: Replace 1-element array with singleton (Izabela Bakollari) [2170628]
- RDMA/opa_vnic: fix spelling typo in comment (Izabela Bakollari) [2170628]
- IB/hfi1: Use skb_put_data() instead of skb_put/memcpy pair (Izabela Bakollari) [2170628]
- IB/hfi1: remove rc_only_opcode and uc_only_opcode declarations (Izabela Bakollari) [2170628]
- RDMA/hfi1: fix repeated words in comments (Izabela Bakollari) [2170628]
- RDMA/hfi1: Remove the unneeded result variable (Izabela Bakollari) [2170628]
- IB: move from strlcpy with unused retval to strscpy (Izabela Bakollari) [2170628]
Resolves: rhbz#2143728, rhbz#2143781, rhbz#2163384, rhbz#2170628, rhbz#2175143, rhbz#2176415, rhbz#2180619, rhbz#2185290, rhbz#2189283

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-10 11:03:03 +02:00
Jan Stancek
a0cef23f0b kernel-5.14.0-310.el9
* Tue May 09 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-310.el9]
- mm: take a page reference when removing device exclusive entries (Donald Dutile) [2184200]
- mm: convert lock_page_or_retry() to folio_lock_or_retry() (Donald Dutile) [2184200]
- mm: convert do_swap_page() to use a folio (Donald Dutile) [2184200]
- shmem: convert shmem_replace_page() to use folios throughout (Donald Dutile) [2184200]
- shmem: convert shmem_delete_from_page_cache() to take a folio (Donald Dutile) [2184200]
- shmem: convert shmem_writepage() to use a folio throughout (Donald Dutile) [2184200]
- mm: add folio_add_lru_vma() (Donald Dutile) [2184200]
- mm/migrate_device: return number of migrating pages in args->cpages (Donald Dutile) [2159905]
- mm/memory: return vm_fault_t result from migrate_to_ram() callback (Donald Dutile) [2159905]
- nouveau: Fix migrate_to_ram() for faulting page (Donald Dutile) [2159905]
- hmm-tests: add test for migrate_device_range() (Donald Dutile) [2159905]
- nouveau/dmem: evict device private memory during release (Donald Dutile) [2159905]
- nouveau/dmem: refactor nouveau_dmem_fault_copy_one() (Donald Dutile) [2159905]
- mm/migrate_device.c: add migrate_device_range() (Donald Dutile) [2159905]
- mm/migrate_device.c: refactor migrate_vma and migrate_deivce_coherent_page() (Donald Dutile) [2159905]
- mm/memremap.c: take a pgmap reference on page allocation (Donald Dutile) [2159905]
- mm: free device private pages have zero refcount (Donald Dutile) [2159905]
- mm/memory.c: fix race when faulting a device private page (Donald Dutile) [2159905]
- PM / devfreq: imx: Register i.MX8MP interconnect device (Steve Best) [2184175]
- PM / devfreq: imx-bus: use NULL to pass a null pointer rather than zero (Steve Best) [2184175]
- enic: define constants for legacy interrupts offset (Michal Schmidt) [2101496]
- enic: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_168.RULE (part 1) (Michal Schmidt) [2101496]
- enic: Use irq_update_affinity_hint() (Michal Schmidt) [2101496]
- enic: Remove usage of the deprecated "pci-dma-compat.h" API (Michal Schmidt) [2101496]
- enic: Use dma_set_mask_and_coherent() (Michal Schmidt) [2101496]
- net: cisco: Fix a function name in comments (Michal Schmidt) [2101496]
- ethernet: enic: use eth_hw_addr_set() (Michal Schmidt) [2101496]
- enic: constify references to netdev->dev_addr in drivers (Michal Schmidt) [2101496]
- enic: use eth_hw_addr_set() for dev->addr_len cases (Michal Schmidt) [2101496]
- mm: memory-failure: make action_result() return int (Aristeu Rozanski) [2184858]
- mm: memory-failure: avoid pfn_valid() twice in soft_offline_page() (Aristeu Rozanski) [2184858]
- mm: memory-failure: make put_ref_page() more useful (Aristeu Rozanski) [2184858]
- hugetlbfs: don't delete error page from pagecache (Aristeu Rozanski) [2184858]
- mm, hwpoison: cleanup some obsolete comments (Aristeu Rozanski) [2184858]
- mm, hwpoison: check PageTable() explicitly in hwpoison_user_mappings() (Aristeu Rozanski) [2184858]
- mm, hwpoison: avoid unneeded page_mapped_in_vma() overhead in collect_procs_anon() (Aristeu Rozanski) [2184858]
- mm, hwpoison: use num_poisoned_pages_sub() to decrease num_poisoned_pages (Aristeu Rozanski) [2184858]
- mm, hwpoison: use __PageMovable() to detect non-lru movable pages (Aristeu Rozanski) [2184858]
- mm, hwpoison: use ClearPageHWPoison() in memory_failure() (Aristeu Rozanski) [2184858]
- mm: memory-failure: kill __soft_offline_page() (Aristeu Rozanski) [2184858]
- mm: memory-failure: kill soft_offline_free_page() (Aristeu Rozanski) [2184858]
- mm, hwpoison: avoid trying to unpoison reserved page (Aristeu Rozanski) [2184858]
- mm, hwpoison: kill procs if unmap fails (Aristeu Rozanski) [2184858]
- mm, hwpoison: fix possible use-after-free in mf_dax_kill_procs() (Aristeu Rozanski) [2184858]
- mm, hwpoison: fix page refcnt leaking in unpoison_memory() (Aristeu Rozanski) [2184858]
- mm, hwpoison: fix page refcnt leaking in try_memory_failure_hugetlb() (Aristeu Rozanski) [2184858]
- mm: memory-failure: cleanup try_to_split_thp_page() (Aristeu Rozanski) [2184858]
- mmc: sdhci-esdhc-imx: only enable DAT[0] and CMD line auto tuning for SDIO device (Steve Best) [2183358]
- mmc: sdhci-esdhc-imx: simplify the auto tuning logic (Steve Best) [2183358]
- mmc: sdhci-esdhc-imx: correct the tuning start tap and step setting (Steve Best) [2183358]
- mmc: sdhci-esdhc-imx: reset the tuning logic before execute tuning (Steve Best) [2183358]
- mmc: host: Fix repeated words in comments (Steve Best) [2183358]
- mmc: sdhci-esdhc-imx: improve imxrt1050 data (Steve Best) [2183358]
- mmc: sdhci-esdhc-imx: correct CQHCI exit halt state check (Steve Best) [2183358]
- mmc: sdhci-esdhc-imx: use the correct host caps for MMC_CAP_8_BIT_DATA (Steve Best) [2183358]
- mms: sdhci-esdhc-imx: Fix SDHCI_RESET_ALL for CQHCI (Steve Best) [2183358]
- mmc: sdhci-esdhc-imx: Propagate ESDHC_FLAG_HS400* only on 8bit bus (Steve Best) [2183358]
- scsi: mpt3sas: Fix an issue when driver is being removed (Tomas Henzl) [2166221]
- scsi: mpt3sas: Remove HBA BIOS version in the kernel log (Tomas Henzl) [2166221]
- scsi: mpt3sas: Don't print sense pool info twice (Tomas Henzl) [2166221]
- scsi: mpt3sas: Fix NULL pointer access in mpt3sas_transport_port_add() (Tomas Henzl) [2166221]
- scsi: mpt3sas: Fix a memory leak (Tomas Henzl) [2166221]
- scsi: mpt3sas: Demote log level for trace buffer allocation to info (Tomas Henzl) [2166221]
- scsi: mpt3sas: Fix possible resource leaks in mpt3sas_transport_port_add() (Tomas Henzl) [2166221]
- scsi: mpt3sas: Remove usage of dma_get_required_mask() API (Tomas Henzl) [2166221]
- scsi: mpt3sas: Use scsi_cmd_to_rq() instead of scsi_cmnd.request (Tomas Henzl) [2166221]
- x86: don't use REP_GOOD or ERMS for small memory clearing (Myron Stowe) [2190487]
- x86/cpufeatures: Add macros for Intel's new fast rep string features (Myron Stowe) [2190487]
- x86/microcode/intel: Do not retry microcode reloading on the APs (David Arcari) [1971938]
- x86/microcode/intel: Do not print microcode revision and processor flags (David Arcari) [1971938]
- platform/x86/intel/ifs: Add missing kernel-doc entry (David Arcari) [1971938]
- use less confusing names for iov_iter direction initializers (David Arcari) [1971938]
- Revert "platform/x86/intel/ifs: Mark as BROKEN" (David Arcari) [1971938]
- platform/x86/intel/ifs: Add current_batch sysfs entry (David Arcari) [1971938]
- platform/x86/intel/ifs: Remove reload sysfs entry (David Arcari) [1971938]
- platform/x86/intel/ifs: Add metadata validation (David Arcari) [1971938]
- platform/x86/intel/ifs: Use generic microcode headers and functions (David Arcari) [1971938]
- platform/x86/intel/ifs: Add metadata support (David Arcari) [1971938]
- x86/microcode/intel: Use a reserved field for metasize (David Arcari) [1971938]
- x86/microcode/intel: Add hdr_type to intel_microcode_sanity_check() (David Arcari) [1971938]
- x86/microcode/intel: Reuse microcode_sanity_check() (David Arcari) [1971938]
- x86/microcode/intel: Use appropriate type in microcode_sanity_check() (David Arcari) [1971938]
- x86/microcode/intel: Reuse find_matching_signature() (David Arcari) [1971938]
- platform/x86/intel/ifs: Remove memory allocation from load path (David Arcari) [1971938]
- platform/x86/intel/ifs: Remove image loading during init (David Arcari) [1971938]
- platform/x86/intel/ifs: Return a more appropriate error code (David Arcari) [1971938]
- platform/x86/intel/ifs: Remove unused selection (David Arcari) [1971938]
- x86/microcode: Drop struct ucode_cpu_info.valid (David Arcari) [1971938]
- x86/microcode: Do some minor fixups (David Arcari) [1971938]
- x86/microcode: Kill refresh_fw (David Arcari) [1971938]
- x86/microcode: Simplify init path even more (David Arcari) [1971938]
- x86/microcode: Rip out the subsys interface gunk (David Arcari) [1971938]
- x86/microcode/AMD: Track patch allocation size explicitly (David Arcari) [1971938]
- x86/microcode: Print previous version of microcode after reload (David Arcari) [1971938]
- x86/microcode: Remove ->request_microcode_user() (David Arcari) [1971938]
- x86/microcode: Document the whole late loading problem (David Arcari) [1971938]
- misc: Mark MICROCODE_MINOR unused (David Arcari) [1971938]
- x86/microcode: Remove unnecessary perf callback (David Arcari) [1971938]
- x86/microcode: Taint and warn on late loading (David Arcari) [1971938]
- x86/microcode: avoid unused variable warning (David Arcari) [1971938]
- x86/microcode: Default-disable late loading (David Arcari) [1971938]
- redhat/configs: disable CONFIG_MICROCODE_LATE_LOADING (David Arcari) [1971938]
- x86/microcode: Rip out the OLD_INTERFACE (David Arcari) [1971938]
- x86/microcode/intel: Expose collect_cpu_info_early() for IFS (David Arcari) [1971938]
- x86/cpu: Load microcode during restore_processor_state() (David Arcari) [1971938]
- treewide: Replace zero-length arrays with flexible-array members (David Arcari) [1971938]
- platform/x86/intel/ifs: Mark as BROKEN (David Arcari) [1971938]
- platform/x86/intel/ifs: Add CPU_SUP_INTEL dependency (David Arcari) [1971938]
- Documentation: In-Field Scan (David Arcari) [1971938]
- trace: platform/x86/intel/ifs: Add trace point to track Intel IFS operations (David Arcari) [1971938]
- platform/x86/intel/ifs: Add IFS sysfs interface (David Arcari) [1971938]
- platform/x86/intel/ifs: Add scan test support (David Arcari) [1971938]
- stop_machine: Add stop_core_cpuslocked() for per-core operations (David Arcari) [1971938]
- platform/x86/intel/ifs: Authenticate and copy to secured memory (David Arcari) [1971938]
- platform/x86/intel/ifs: Check IFS Image sanity (David Arcari) [1971938]
- platform/x86/intel/ifs: Read IFS firmware image (David Arcari) [1971938]
- redhat/configs: enable INTEL_IFS (David Arcari) [1971938]
- platform/x86/intel/ifs: Add stub driver for In-Field Scan (David Arcari) [1971938]
- x86/msr-index: Define INTEGRITY_CAPABILITIES MSR (David Arcari) [1971938]
- RDMA/irdma: Add ipv4 check to irdma_find_listener() (Kamal Heib) [2118840 2136324]
- RDMA/irdma: Increase iWARP CM default rexmit count (Kamal Heib) [2118840 2136324]
- RDMA/irdma: Fix memory leak of PBLE objects (Kamal Heib) [2118840 2136324]
- RDMA/irdma: Do not generate SW completions for NOPs (Kamal Heib) [2118840 2136324]
- RDMA/irdma: Remove a redundant irdma_arp_table() call (Kamal Heib) [2118840 2136324]
- xfs: don't use BMBT btree split workers for IO completion (Carlos Maiolino) [2180457]
Resolves: rhbz#1971938, rhbz#2101496, rhbz#2118840, rhbz#2136324, rhbz#2159905, rhbz#2166221, rhbz#2180457, rhbz#2183358, rhbz#2184175, rhbz#2184200, rhbz#2184858, rhbz#2190487

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-09 11:46:19 +02:00
Jan Stancek
7c999927cb kernel-5.14.0-309.el9
* Sun May 07 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-309.el9]
- scsi: ses: Handle enclosure with just a primary component gracefully (Tomas Henzl) [2188482]
- rtnetlink: advertise allmulti counter (Petr Oros) [2192483]
- ethtool: reset #lanes when lanes is omitted (Davide Caratti) [2190429]
- veth: Fix use after free in XDP_REDIRECT (Davide Caratti) [2190429]
- packet: do not set TP_STATUS_CSUM_VALID on CHECKSUM_COMPLETE (Davide Caratti) [2190429]
- af_unix: Fix a data-race in unix_dgram_peer_wake_me(). (Davide Caratti) [2190429]
- ipvlan: Make skb->skb_iif track skb->dev for l3s mode (Davide Caratti) [2190430]
- erspan: do not use skb_mac_header() in ndo_start_xmit() (Guillaume Nault) [2190389]
- net: tunnels: annotate lockless accesses to dev->needed_headroom (Guillaume Nault) [2190389]
- macvlan: Fix mc_filter calculation (Xin Long) [2179199]
- macvlan: Add netlink attribute for broadcast cutoff (Xin Long) [2179199]
- macvlan: Skip broadcast queue if multicast with single receiver (Xin Long) [2179199]
- iommufd: Do not corrupt the pfn list when doing batch carry (Jerry Snitselaar) [2181354]
- iommufd: Fix unpinning of pages when an access is present (Jerry Snitselaar) [2181354]
- iommufd: Check for uptr overflow (Jerry Snitselaar) [2181354]
- vfio: Support VFIO_NOIOMMU with iommufd (Jerry Snitselaar) [2181354]
- iommu: Remove IOMMU_CAP_INTR_REMAP (Jerry Snitselaar) [2181354]
- irq/s390: Add arch_is_isolated_msi() for s390 (Jerry Snitselaar) [2181354]
- iommu/x86: Replace IOMMU_CAP_INTR_REMAP with IRQ_DOMAIN_FLAG_ISOLATED_MSI (Jerry Snitselaar) [2181354]
- genirq/msi: Rename IRQ_DOMAIN_MSI_REMAP to IRQ_DOMAIN_ISOLATED_MSI (Jerry Snitselaar) [2181354]
- genirq/irqdomain: Remove unused irq_domain_check_msi_remap() code (Jerry Snitselaar) [2181354]
- iommufd: Convert to msi_device_has_isolated_msi() (Jerry Snitselaar) [2181354]
- vfio/type1: Convert to iommu_group_has_isolated_msi() (Jerry Snitselaar) [2181354]
- iommu: Add iommu_group_has_isolated_msi() (Jerry Snitselaar) [2181354]
- genirq/msi: Add msi_device_has_isolated_msi() (Jerry Snitselaar) [2181354]
- swiotlb: fix a braino in the alignment check fix (Jerry Snitselaar) [2181354]
- redhat: configs: Enable CONFIG_INTEL_IOMMU_PERF_EVENTS (Jerry Snitselaar) [2176996]
- iommu/vt-d: Fix an IOMMU perfmon warning when CPU hotplug (Jerry Snitselaar) [2176996]
- iommu/vt-d: Allow zero SAGAW if second-stage not supported (Jerry Snitselaar) [2181354]
- swiotlb: fix slot alignment checks (Jerry Snitselaar) [2181354]
- swiotlb: use wrap_area_index() instead of open-coding it (Jerry Snitselaar) [2181354]
- swiotlb: fix the deadlock in swiotlb_do_find_slots (Jerry Snitselaar) [2181354]
- swiotlb: remove swiotlb_max_segment (Jerry Snitselaar) [2181354]
- iommu: Attach device group to old domain in error path (Jerry Snitselaar) [2181354]
- iommu: Fix error unwind in iommu_group_alloc() (Jerry Snitselaar) [2181354]
- iommu/of: mark an unused function as __maybe_unused (Jerry Snitselaar) [2181354]
- iommu/s390: Use GFP_KERNEL in sleepable contexts (Jerry Snitselaar) [2181354]
- iommu/s390: Push the gfp parameter to the kmem_cache_alloc()'s (Jerry Snitselaar) [2181354]
- iommu/intel: Use GFP_KERNEL in sleepable contexts (Jerry Snitselaar) [2181354]
- iommu/intel: Support the gfp argument to the map_pages op (Jerry Snitselaar) [2181354]
- iommu/intel: Add a gfp parameter to alloc_pgtable_page() (Jerry Snitselaar) [2181354]
- iommufd: Use GFP_KERNEL_ACCOUNT for iommu_map() (Jerry Snitselaar) [2181354]
- iommu/dma: Use the gfp parameter in __iommu_dma_alloc_noncontiguous() (Jerry Snitselaar) [2181354]
- iommu: Add a gfp parameter to iommu_map_sg() (Jerry Snitselaar) [2181354]
- iommu: Remove iommu_map_atomic() (Jerry Snitselaar) [2181354]
- iommu: Add a gfp parameter to iommu_map() (Jerry Snitselaar) [2181354]
- iommu: dma: Use of_iommu_get_resv_regions() (Jerry Snitselaar) [2181354]
- iommu: Implement of_iommu_get_resv_regions() (Jerry Snitselaar) [2181354]
- of: Introduce of_translate_dma_region() (Jerry Snitselaar) [2181354]
- iommu: Tidy up io-pgtable dependencies (Jerry Snitselaar) [2181354]
- iommu: Remove detach_dev callback (Jerry Snitselaar) [2181354]
- iommu: Remove deferred attach check from __iommu_detach_device() (Jerry Snitselaar) [2181354]
- iommu: Add set_platform_dma_ops callbacks (Jerry Snitselaar) [2181354]
- iommu: Add set_platform_dma_ops iommu ops (Jerry Snitselaar) [2181354]
- iommu: Remove detach_dev callbacks (Jerry Snitselaar) [2181354]
- iommu/amd: Skip attach device domain is same as new domain (Jerry Snitselaar) [2181354]
- iommu/amd: Improve page fault error reporting (Jerry Snitselaar) [2181354]
- iommu/amd: Do not identity map v2 capable device when snp is enabled (Jerry Snitselaar) [2181354]
- iommu/amd: Add a length limitation for the ivrs_acpihid command-line parameter (Jerry Snitselaar) [2181354]
- iommu/amd: Do not clear event/ppr log buffer when snp is enabled (Jerry Snitselaar) [2181354]
- iommu/amd: Fix error handling for pdev_pri_ats_enable() (Jerry Snitselaar) [2181354]
- iommu/amd: Do not allocate io_pgtable_ops for passthrough domain (Jerry Snitselaar) [2181354]
- iommu/amd: Free domain ID after domain_flush_pages (Jerry Snitselaar) [2181354]
- iommu/amd: Free domain id in error path (Jerry Snitselaar) [2181354]
- iommu/vt-d: Allow to use flush-queue when first level is default (Jerry Snitselaar) [2181354]
- iommu/vt-d: Fix PASID directory pointer coherency (Jerry Snitselaar) [2181354]
- iommu/vt-d: Fix error handling in sva enable/disable paths (Jerry Snitselaar) [2181354]
- iommu/vt-d: Enable IOMMU perfmon support (Jerry Snitselaar) [2176996]
- iommu/vt-d: Add IOMMU perfmon overflow handler support (Jerry Snitselaar) [2176996]
- iommu/vt-d: Support cpumask for IOMMU perfmon (Jerry Snitselaar) [2176996]
- iommu/vt-d: Add IOMMU perfmon support (Jerry Snitselaar) [2176996]
- iommu/vt-d: Support Enhanced Command Interface (Jerry Snitselaar) [2176996]
- iommu/vt-d: Retrieve IOMMU perfmon capability information (Jerry Snitselaar) [2176996]
- iommu/vt-d: Support size of the register set in DRHD (Jerry Snitselaar) [2181354]
- iommu/vt-d: Set No Execute Enable bit in PASID table entry (Jerry Snitselaar) [2181354]
- iommu/vt-d: Remove sva from intel_svm_dev (Jerry Snitselaar) [2181354]
- iommu/vt-d: Remove users from intel_svm_dev (Jerry Snitselaar) [2181354]
- iommu/vt-d: Remove unused fields in svm structures (Jerry Snitselaar) [2181354]
- iommu/vt-d: Remove include/linux/intel-svm.h (Jerry Snitselaar) [2181354]
- iommu/arm-smmu-qcom: Select identity domain for sc8280xp MDSS (Jerry Snitselaar) [2181354]
- iommu/arm-smmu-qcom: Add SM8150 DPU compatible (Jerry Snitselaar) [2181354]
- dma-mapping: no need to pass a bus_type into get_arch_dma_ops() (Jerry Snitselaar) [2181354]
- swiotlb: mark swiotlb_memblock_alloc() as __init (Jerry Snitselaar) [2181354]
- iommu/iova: Fix alloc iova overflows issue (Jerry Snitselaar) [2181354]
- iommu/arm-smmu-v3: Don't unregister on shutdown (Jerry Snitselaar) [2181354]
- iommu/arm-smmu: Don't unregister on shutdown (Jerry Snitselaar) [2181354]
- iommu/io-pgtable: Remove map/unmap (Jerry Snitselaar) [2181354]
- iommu/io-pgtable-arm: Remove map/unmap (Jerry Snitselaar) [2181354]
- iommu: Avoid races around device probe (Jerry Snitselaar) [2181354]
- iommu/amd: Fix typo in macro parameter name (Jerry Snitselaar) [2181354]
- iommu/amd: Check return value of mmu_notifier_register() (Jerry Snitselaar) [2181354]
- iommu/amd: Fix pci device refcount leak in ppr_notifier() (Jerry Snitselaar) [2181354]
- iommu/amd: Fix ill-formed ivrs_ioapic, ivrs_hpet and ivrs_acpihid options (Jerry Snitselaar) [2181354]
- iommu/amd: Fix ivrs_acpihid cmdline parsing code (Jerry Snitselaar) [2181354]
- iommu/amd: Remove variable cnt ind iommu_poll_ga_log() (Jerry Snitselaar) [2181354]
- iommu/vt-d: Use real field for indication of first level (Jerry Snitselaar) [2181354]
- iommu/vt-d: Remove unnecessary domain_context_mapped() (Jerry Snitselaar) [2181354]
- iommu/vt-d: Rename domain_add_dev_info() (Jerry Snitselaar) [2181354]
- iommu/vt-d: Rename iommu_disable_dev_iotlb() (Jerry Snitselaar) [2181354]
- iommu/vt-d: Add blocking domain support (Jerry Snitselaar) [2181354]
- iommu/vt-d: Add device_block_translation() helper (Jerry Snitselaar) [2181354]
- iommu/vt-d: Allocate pasid table in device probe path (Jerry Snitselaar) [2181354]
- s390/pci: use lock-free I/O translation updates (Jerry Snitselaar) [2181354]
- iommu/s390: Optimize IOMMU table walking (Jerry Snitselaar) [2181354]
- iommu/s390: Use RCU to allow concurrent domain_list iteration (Jerry Snitselaar) [2181354]
- iommu/s390: Add I/O TLB ops (Jerry Snitselaar) [2181354]
- iommu/s390: Make attach succeed even if the device is in error state (Jerry Snitselaar) [2181354]
- iommu/s390: Implement map_pages()/unmap_pages() instead of map()/unmap() (Jerry Snitselaar) [2181354]
- iommu/s390: Fix incorrect pgsize_bitmap (Jerry Snitselaar) [2181354]
- iommu/s390: Fix incorrect aperture check (Jerry Snitselaar) [2181354]
- iommu/s390: Fix potential s390_domain aperture shrinking (Jerry Snitselaar) [2181354]
- iommu/s390: Get rid of s390_domain_device (Jerry Snitselaar) [2181354]
- iommu/s390: Fix duplicate domain attachments (Jerry Snitselaar) [2181354]
- iommu/arm-smmu: Warn once when the perfetcher errata patch fails to apply (Jerry Snitselaar) [2181354]
- iommu: Add note about struct iommu_fwspec usage (Jerry Snitselaar) [2181354]
- dma-mapping: reject __GFP_COMP in dma_alloc_attrs (Jerry Snitselaar) [2181354]
- dma-mapping: reject GFP_COMP for noncoherent allocations (Jerry Snitselaar) [2181354]
- ALSA: memalloc: don't use GFP_COMP for non-coherent dma allocations (Jerry Snitselaar) [2181354]
- ALSA: memalloc: don't pass bogus GFP_ flags to dma_alloc_* (Jerry Snitselaar) [2181354]
- s390/ism: don't pass bogus GFP_ flags to dma_alloc_coherent (Jerry Snitselaar) [2181354]
- RDMA/hfi1: don't pass bogus GFP_ flags to dma_alloc_coherent (Jerry Snitselaar) [2181354]
- iommu/vt-d: Fix PCI device refcount leak in dmar_dev_scope_init() (Jerry Snitselaar) [2181354]
- iommu/vt-d: Fix PCI device refcount leak in has_external_pci() (Jerry Snitselaar) [2181354]
- iommu/vt-d: Set SRE bit only when hardware has SRS cap (Jerry Snitselaar) [2181354]
- iommu/vt-d: Preset Access bit for IOVA in FL non-leaf paging entries (Jerry Snitselaar) [2181354]
- iommu/vt-d: Clean up si_domain in the init_dmars() error path (Jerry Snitselaar) [2136874]
- iommu/vt-d: Allow NVS regions in arch_rmrr_sanity_check() (Jerry Snitselaar) [2181354]
- iommu/vt-d: Use rcu_lock in get_resv_regions (Jerry Snitselaar) [2181354]
- iommu: Add gfp parameter to iommu_alloc_resv_region (Jerry Snitselaar) [2181354]
- lib/sg_pool: change module_init(sg_pool_init) to subsys_initcall (Jerry Snitselaar) [2181354]
- MAINTAINERS: merge SWIOTLB SUBSYSTEM into DMA MAPPING HELPERS (Jerry Snitselaar) [2181354]
- swiotlb: replace kmap_atomic() with memcpy_{from,to}_page() (Jerry Snitselaar) [2181354]
- iommu/iova: Fix module config properly (Jerry Snitselaar) [2181354]
- iova: Remove iovad->rcaches check in iova_rcache_get() (Jerry Snitselaar) [2181354]
- iova: Remove magazine BUG_ON() checks (Jerry Snitselaar) [2181354]
- iova: Remove some magazine pointer NULL checks (Jerry Snitselaar) [2181354]
- iommu/dma: Make header private (Jerry Snitselaar) [2181354]
- iommu/dma: Move public interfaces to linux/iommu.h (Jerry Snitselaar) [2181354]
- iommu/io-pgtable-arm: Remove iommu_dev==NULL special case (Jerry Snitselaar) [2181354]
- iommu: Remove duplicate ida_free in iommu_group_alloc (Jerry Snitselaar) [2181354]
- iommu: Remove comment of dev_has_feat in struct doc (Jerry Snitselaar) [2181354]
- iommu: Do not dereference fwnode in struct device (Jerry Snitselaar) [2181354]
- iommu/vt-d: Avoid unnecessary global DMA cache invalidation (Jerry Snitselaar) [2176984]
- iommu/vt-d: Avoid unnecessary global IRTE cache invalidation (Jerry Snitselaar) [2176984]
- iommu/vt-d: Rename cap_5lp_support to cap_fl5lp_support (Jerry Snitselaar) [2181354]
- iommu/vt-d: Remove pasid_set_eafe() (Jerry Snitselaar) [2181354]
- iommu/vt-d: Decouple PASID & PRI enabling from SVA (Jerry Snitselaar) [2181354]
- iommu/dma: Clean up Kconfig (Jerry Snitselaar) [2181354]
- iommu/virtio: Do not dereference fwnode in struct device (Jerry Snitselaar) [2181354]
- iommu/arm-smmu-v3: Make default domain type of HiSilicon PTT device to identity (Jerry Snitselaar) [2181354]
- iommu: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354]
- iommu/virtio: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354]
- iommu/tegra-smmu: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354]
- iommu/arm-smmu-v3: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354]
- iommu/arm-smmu: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354]
- iommu/amd: Clean up bus_set_iommu() (Jerry Snitselaar) [2181354]
- iommu: Move bus setup to IOMMU device registration (Jerry Snitselaar) [2181354]
- iommu: Always register bus notifiers (Jerry Snitselaar) [2181354]
- iommu/s390: Fail probe for non-PCI devices (Jerry Snitselaar) [2181354]
- iommu/amd: Handle race between registration and device probe (Jerry Snitselaar) [2181354]
- iommu/vt-d: Handle race between registration and device probe (Jerry Snitselaar) [2181354]
- iommu/amd: Add support for AVIC when SNP is enabled (Jerry Snitselaar) [2181354]
- iommu/amd: Do not support IOMMUv2 APIs when SNP is enabled (Jerry Snitselaar) [2181354]
- iommu/amd: Do not support IOMMU_DOMAIN_IDENTITY after SNP is enabled (Jerry Snitselaar) [2181354]
- iommu/amd: Set translation valid bit only when IO page tables are in use (Jerry Snitselaar) [2181354]
- iommu/amd: Introduce function to check and enable SNP (Jerry Snitselaar) [2181354]
- swiotlb: reduce the swiotlb buffer size on allocation failure (Jerry Snitselaar) [2181354]
- swiotlb: don't panic! (Jerry Snitselaar) [2181354]
- iommu/vt-d: Avoid superfluous IOTLB tracking in lazy mode (Jerry Snitselaar) [2175621]
- iommu/vt-d: Fix buggy QAT device mask (Jerry Snitselaar) [2169569]
- iommu/vt-d: Add a fix for devices need extra dtlb flush (Jerry Snitselaar) [2169569]
- iommu/amd: Add map/unmap_pages() iommu_domain_ops callback support (Jerry Snitselaar) [2179856]
- iommu/amd/io-pgtable: Implement unmap_pages io_pgtable_ops callback (Jerry Snitselaar) [2179856]
- iommu/amd/io-pgtable: Implement map_pages io_pgtable_ops callback (Jerry Snitselaar) [2179856]
- redhat: Remove editconfig (Prarit Bhargava)
Resolves: rhbz#2136874, rhbz#2169569, rhbz#2175621, rhbz#2176984, rhbz#2176996, rhbz#2179199, rhbz#2179856, rhbz#2181354, rhbz#2188482, rhbz#2190389, rhbz#2190429, rhbz#2190430, rhbz#2192483

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-07 13:10:58 +02:00
Jan Stancek
fd81f65252 kernel-5.14.0-308.el9
* Thu May 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-308.el9]
- e1000e: Remove redundant pci_enable_pcie_error_reporting() (Ken Cox) [2153331]
- e1000e: Enable Link Partner Advertised Support (Ken Cox) [2153331]
- e1000e: Fix TX dispatch condition (Ken Cox) [2153331]
- e1000e: Remove unnecessary use of kmap_atomic() (Ken Cox) [2153331]
- e1000e: Add e1000e trace module (Ken Cox) [2153331]
- e1000e: Add support for the next LOM generation (Ken Cox) [2153331]
- e1000e: Separate MTP board type from ADP (Ken Cox) [2153331]
- ptp: introduce helpers to adjust by scaled parts per million (Ken Cox) [2153331]
- drivers/net/ethernet/e1000e: check return value of e1e_rphy() (Ken Cox) [2153331]
- scsi: scsi_dh_alua: Fix memleak for 'qdata' in alua_activate() (Ewan D. Milne) [2171093]
- scsi: core: Add BLIST_SKIP_VPD_PAGES for SKhynix H28U74301AMR (Ewan D. Milne) [2171093]
- scsi: core: Add BLIST_NO_VPD_SIZE for some VDASD (Ewan D. Milne) [2171093]
- scsi: sd: Fix wrong zone_write_granularity value during revalidate (Ewan D. Milne) [2171093]
- scsi: core: Extend struct scsi_exec_args (Ewan D. Milne) [2171093]
- scsi: core: Fix a source code comment (Ewan D. Milne) [2171093]
- scsi: Revert "scsi: core: map PQ=1, PDT=other values to SCSI_SCAN_TARGET_PRESENT" (Ewan D. Milne) [2171093]
- scsi: cxlflash: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: target: target_core_pscsi: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: virtio_scsi: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: sr: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: ses: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: zbc: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: sd: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: spi: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: core: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: scsi_dh: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: ch: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: hwmon: drivetemp: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: ata: libata-scsi: Convert to scsi_execute_cmd() (Ewan D. Milne) [2171093]
- scsi: core: Add struct for args to execution functions (Ewan D. Milne) [2171093]
- scsi: scsi_debug: Delete unreachable code in inquiry_vpd_b0() (Ewan D. Milne) [2171093]
- scsi: core: scsi_error: Do not queue pointless abort workqueue functions (Ewan D. Milne) [2171093]
- scsi: sd: sd_zbc: Trace zone append emulation (Ewan D. Milne) [2171093]
- scsi: sg: Fix get_user() in call sg_scsi_ioctl() (Ewan D. Milne) [2171093]
- scsi: core: Use SCSI_SCAN_INITIAL in do_scsi_scan_host() (Ewan D. Milne) [2171093]
- scsi: core: Use SCSI_SCAN_RESCAN in __scsi_add_device() (Ewan D. Milne) [2171093]
- scsi: sd: Use 16-byte SYNCHRONIZE CACHE on ZBC devices (Ewan D. Milne) [2171093]
- scsi: scsi_debug: Fix possible name leak in sdebug_add_host_helper() (Ewan D. Milne) [2171093]
- scsi: scsi_debug: Fix a warning in resp_report_zones() (Ewan D. Milne) [2171093]
- scsi: scsi_debug: Fix a warning in resp_verify() (Ewan D. Milne) [2171093]
- scsi: core: Do not increase scsi_device's iorequest_cnt if dispatch failed (Ewan D. Milne) [2171093]
- scsi: core: Increase scsi_device's iodone_cnt in scsi_timeout() (Ewan D. Milne) [2171093]
- scsi: scsi_debug: Fix a warning in resp_write_scat() (Ewan D. Milne) [2171093]
- scsi: iscsi: Fix possible memory leak when device_register() failed (Ewan D. Milne) [2171093]
- scsi: scsi_debug: Fix possible UAF in sdebug_add_host_helper() (Ewan D. Milne) [2171093]
- scsi: scsi_debug: Make the READ CAPACITY response compliant with ZBC (Ewan D. Milne) [2171093]
- scsi: scsi_transport_sas: Fix error handling in sas_phy_add() (Ewan D. Milne) [2171093]
- scsi: core: Support failing requests while recovering (Ewan D. Milne) [2171093]
- scsi: core: Change the return type of .eh_timed_out() (Ewan D. Milne) [2171093]
- scsi: core: Fix a race between scsi_done() and scsi_timeout() (Ewan D. Milne) [2171093]
- scsi: core: Restrict legal sdev_state transitions via sysfs (Ewan D. Milne) [2171093]
- scsi: Use blk_rq_map_user_io helper (Ewan D. Milne) [2171093]
- scsi: core: Add I/O timeout count for SCSI device (Ewan D. Milne) [2171093]
- scsi: scsi_transport_fc: Adjust struct fc_nl_event flex array usage (Ewan D. Milne) [2171093]
- scsi: core: Remove useless host error codes (Ewan D. Milne) [2171093]
- scsi: core: Convert scsi_decide_disposition() to use SCSIML_STAT (Ewan D. Milne) [2171093]
- scsi: core: Add error codes for internal SCSI midlayer use (Ewan D. Milne) [2171093]
- scsi: cxlflash: Drop DID_ALLOC_FAILURE use (Ewan D. Milne) [2171093]
- scsi: virtio_scsi: Drop DID_NEXUS_FAILURE use (Ewan D. Milne) [2171093]
- scsi: virtio_scsi: Drop DID_TARGET_FAILURE use (Ewan D. Milne) [2171093]
- scsi: uas: Drop DID_TARGET_FAILURE use (Ewan D. Milne) [2171093]
- scsi: xen: Drop use of internal host codes (Ewan D. Milne) [2171093]
- xen/scsiback: use new command result macros (Ewan D. Milne) [2171093]
- xen/scsifront: use new command result macros (Ewan D. Milne) [2171093]
- scsi: sd: Add a comment about limiting max_sectors to shost optimal limit (Ewan D. Milne) [2171093]
- scsi: scsi_transport_sas: cap shost opt_sectors according to DMA optimal limit (Ewan D. Milne) [2171093]
- scsi: sd: allow max_sectors be capped at DMA optimal size limit (Ewan D. Milne) [2171093]
- scsi: core: cap shost max_sectors according to DMA limits only once (Ewan D. Milne) [2171093]
- sfc: Fix use-after-free due to selftest_work (Íñigo Huguet) [2159241]
- sfc: fix builds without CONFIG_RTC_LIB (Íñigo Huguet) [2159241]
- sfc: clean up some inconsistent indentings (Íñigo Huguet) [2159241]
- sfc: use IS_ENABLED() checks for CONFIG_SFC_SRIOV (Íñigo Huguet) [2159241]
- sfc: Fix spelling mistake "creationg" -> "creating" (Íñigo Huguet) [2159241]
- sfc: add support for devlink port_function_hw_addr_set in ef100 (Íñigo Huguet) [2159241]
- sfc: add support for devlink port_function_hw_addr_get in ef100 (Íñigo Huguet) [2159241]
- sfc: obtain device mac address based on firmware handle for ef100 (Íñigo Huguet) [2159241]
- sfc: add devlink port support for ef100 (Íñigo Huguet) [2159241]
- sfc: add mport lookup based on driver's mport data (Íñigo Huguet) [2159241]
- sfc: enumerate mports in ef100 (Íñigo Huguet) [2159241]
- sfc: add devlink info support for ef100 (Íñigo Huguet) [2159241]
- sfc: add devlink support for ef100 (Íñigo Huguet) [2159241]
- sfc: use sysfs_emit() to instead of scnprintf() (Íñigo Huguet) [2159241]
- sfc: Use kmap_local_page() instead of kmap_atomic() (Íñigo Huguet) [2159241]
- sfc: ensure type is valid before updating seen_gen (Íñigo Huguet) [2159241]
- sfc: fix potential memleak in __ef100_hard_start_xmit() (Íñigo Huguet) [2159241]
- sfc: implement counters readout to TC stats (Íñigo Huguet) [2159241]
- sfc: validate MAE action order (Íñigo Huguet) [2159241]
- sfc: attach an MAE counter to TC actions that need it (Íñigo Huguet) [2159241]
- sfc: accumulate MAE counter values from update packets (Íñigo Huguet) [2159241]
- sfc: add functions to allocate/free MAE counters (Íñigo Huguet) [2159241]
- sfc: add hashtables for MAE counters and counter ID mappings (Íñigo Huguet) [2159241]
- sfc: add extra RX channel to receive MAE counter updates on ef100 (Íñigo Huguet) [2159241]
- sfc: add ef100 MAE counter support functions (Íñigo Huguet) [2159241]
- sfc: add ability for extra channels to receive raw RX buffers (Íñigo Huguet) [2159241]
- sfc: add start and stop methods to channels (Íñigo Huguet) [2159241]
- sfc: add ability for an RXQ to grant credits on refill (Íñigo Huguet) [2159241]
- sfc: fix ef100 RX prefix macro (Íñigo Huguet) [2159241]
- ptp: convert remaining drivers to adjfine interface (Íñigo Huguet) [2159241]
- sfc: add Layer 4 matches to ef100 TC offload (Íñigo Huguet) [2159241]
- sfc: add Layer 3 flag matches to ef100 TC offload (Íñigo Huguet) [2159241]
- sfc: add Layer 3 matches to ef100 TC offload (Íñigo Huguet) [2159241]
- sfc: add Layer 2 matches to ef100 TC offload (Íñigo Huguet) [2159241]
- sfc: check recirc_id match caps before MAE offload (Íñigo Huguet) [2159241]
- sfc (gcc13): synchronize ef100_enqueue_skb()'s return type (Íñigo Huguet) [2159241]
- sfc: remove 'log-tc-errors' ethtool private flag (Íñigo Huguet) [2159241]
- sfc: use formatted extacks instead of efx_tc_err() (Íñigo Huguet) [2159241]
- sfc: include vport_id in filter spec hash and equal() (Íñigo Huguet) [2159241]
- sfc: Change VF mac via PF as first preference if available. (Íñigo Huguet) [2159241]
- sfc: bare bones TC offload on EF100 (Íñigo Huguet) [2159241]
- sfc: interrogate MAE capabilities at probe time (Íñigo Huguet) [2159241]
- sfc: add a hashtable for offloaded TC rules (Íñigo Huguet) [2159241]
- sfc: optional logging of TC offload errors (Íñigo Huguet) [2159241]
- sfc: bind indirect blocks for TC offload on EF100 (Íñigo Huguet) [2159241]
- sfc: bind blocks for TC offload on EF100 (Íñigo Huguet) [2159241]
- sfc: introduce shutdown entry point in efx pci driver (Íñigo Huguet) [2159241]
- ata: pata_octeon_cf: drop kernel-doc notation (Tomas Henzl) [2162218]
- ata: libata-core: Disable READ LOG DMA EXT for Samsung MZ7LH (Tomas Henzl) [2162218]
- ata: libata: Fix sata_down_spd_limit() when no link speed is reported (Tomas Henzl) [2162218]
- ata: remove palmld pata driver (Tomas Henzl) [2162218]
- pata: remove samsung_cf driver (Tomas Henzl) [2162218]
- ata: pata_cs5535: Don't build on UML (Tomas Henzl) [2162218]
- ata: libata: move NCQ related ATA_DFLAGs (Tomas Henzl) [2162218]
- ata: libata: exclude FUA support for known buggy drives (Tomas Henzl) [2162218]
- ata: libata: Fix FUA handling in ata_build_rw_tf() (Tomas Henzl) [2162218]
- ata: libata: cleanup fua support detection (Tomas Henzl) [2162218]
- doc: admin-guide: Update libata kernel parameters (Tomas Henzl) [2162218]
- ata: libata: Rename and cleanup ata_rwcmd_protocol() (Tomas Henzl) [2162218]
- ata: libata-scsi: improve ata_scsiop_maint_in() (Tomas Henzl) [2162218]
- ata: libata-scsi: do not overwrite SCSI ML and status bytes (Tomas Henzl) [2162218]
- ata: libata: respect successfully completed commands during errors (Tomas Henzl) [2162218]
- ata: libata: read the shared status for successful NCQ commands once (Tomas Henzl) [2162218]
- ata: libata: simplify qc_fill_rtf port operation interface (Tomas Henzl) [2162218]
- ata: scsi: rename flag ATA_QCFLAG_FAILED to ATA_QCFLAG_EH (Tomas Henzl) [2162218]
- ata: libata-eh: Cleanup ata_scsi_cmd_error_handler() (Tomas Henzl) [2162218]
- ata: octeon: Drop empty platform remove function (Tomas Henzl) [2162218]
- ata: ahci: Fix PCS quirk application for suspend (Tomas Henzl) [2162218]
- ata: libahci_platform: ahci_platform_find_clk: oops, NULL pointer (Tomas Henzl) [2162218]
- ata: ahci: fix enum constants for gcc-13 (Tomas Henzl) [2162218]
- ata: ahci: Rename `AHCI_HFLAG_IS_MOBILE` (Tomas Henzl) [2162218]
- ata: libata: fix commands incorrectly not getting retried during NCQ error (Tomas Henzl) [2162218]
- ata: ahci: Remove linux/msi.h include (Tomas Henzl) [2162218]
- ata: sata_dwc_460ex: Check !irq instead of irq == NO_IRQ (Tomas Henzl) [2162218]
- ata: pata_ep93xx: use devm_platform_get_and_ioremap_resource() (Tomas Henzl) [2162218]
- ata: libata-core: do not issue non-internal commands once EH is pending (Tomas Henzl) [2162218]
- ata: libata-transport: fix error handling in ata_tdev_add() (Tomas Henzl) [2162218]
- ata: libata-transport: fix error handling in ata_tlink_add() (Tomas Henzl) [2162218]
- ata: libata-transport: fix error handling in ata_tport_add() (Tomas Henzl) [2162218]
- ata: libata-transport: fix double ata_host_put() in ata_tport_add() (Tomas Henzl) [2162218]
- ata: libata-sff: kill unused ata_sff_busy_sleep() (Tomas Henzl) [2162218]
- ata: libata-scsi: fix SYNCHRONIZE CACHE (16) command failure (Tomas Henzl) [2162218]
- ata: pata_legacy: fix pdc20230_set_piomode() (Tomas Henzl) [2162218]
- ata: sata_dwc_460ex: remove variable num_processed (Tomas Henzl) [2162218]
- ata: remove palmchip pata_bk3710 driver (Tomas Henzl) [2162218]
- ata: libata-core: do not retry reading the log on timeout (Tomas Henzl) [2162218]
- ata: make use of ata_port_is_frozen() helper (Tomas Henzl) [2162218]
- ata: pata_ftide010: Remove build dependency on OF (Tomas Henzl) [2162218]
- ata: sata_gemini: Remove dependency on OF for compile tests (Tomas Henzl) [2162218]
- ata: ahci_qoriq: Fix compilation warning (Tomas Henzl) [2162218]
- ata: ahci_imx: Fix compilation warning (Tomas Henzl) [2162218]
- ata: ahci_xgene: Fix compilation warning (Tomas Henzl) [2162218]
- ata: ahci_brcm: Fix compilation warning (Tomas Henzl) [2162218]
- ata: sata_rcar: Fix compilation warning (Tomas Henzl) [2162218]
- ata: ahci_st: Fix compilation warning (Tomas Henzl) [2162218]
- ata: ahci: Match EM_MAX_SLOTS with SATA_PMP_MAX_PORTS (Tomas Henzl) [2162218]
- ata: ahci-imx: Fix MODULE_ALIAS (Tomas Henzl) [2162218]
- ata: pata_mpc52xx: Replace NO_IRQ with 0 (Tomas Henzl) [2162218]
- ata: libahci: read correct status and error field for NCQ commands (Tomas Henzl) [2162218]
- ata: libata: fetch sense data for ATA devices supporting sense reporting (Tomas Henzl) [2162218]
- ata: libata: only set sense valid flag if sense data is valid (Tomas Henzl) [2162218]
- ata: libata: clarify when ata_eh_request_sense() will be called (Tomas Henzl) [2162218]
- ata: libata: fix NCQ autosense logic (Tomas Henzl) [2162218]
- ata: libata-sata: Fix device queue depth control (Tomas Henzl) [2162218]
- ata: libata-scsi: Fix initialization of device queue depth (Tomas Henzl) [2162218]
- libata: add ATA_HORKAGE_NOLPM for Pioneer BDR-207M and BDR-205 (Tomas Henzl) [2162218]
- ata: libata-eh: avoid needless hard reset when revalidating link (Tomas Henzl) [2162218]
- ata: libata: drop superfluous ata_eh_analyze_tf() parameter (Tomas Henzl) [2162218]
- ata: libata: drop superfluous ata_eh_request_sense() parameter (Tomas Henzl) [2162218]
- ata: libata-eh: Remove the unneeded result variable (Tomas Henzl) [2162218]
- ata: ahci_st: Enable compile test (Tomas Henzl) [2162218]
- ata: ahci_st: Fix compilation warning (Tomas Henzl) [2162218]
- ata: libahci_platform: Add function returning a clock-handle by id (Tomas Henzl) [2162218]
- ata: ahci: Introduce firmware-specific caps initialization (Tomas Henzl) [2162218]
- ata: ahci: Convert __ahci_port_base to accepting hpriv as arguments (Tomas Henzl) [2162218]
- ata: libahci: Don't read AHCI version twice in the save-config method (Tomas Henzl) [2162218]
- ata: libahci: Discard redundant force_port_map parameter (Tomas Henzl) [2162218]
- ata: libahci: Extend port-cmd flags set with port capabilities (Tomas Henzl) [2162218]
- ata: libahci_platform: Introduce reset assertion/deassertion methods (Tomas Henzl) [2162218]
- ata: libahci_platform: Parse ports-implemented property in resources getter (Tomas Henzl) [2162218]
- ata: libahci_platform: Sanity check the DT child nodes number (Tomas Henzl) [2162218]
- ata: libahci_platform: Convert to using devm bulk clocks API (Tomas Henzl) [2162218]
- ata: libahci_platform: Convert to using platform devm-ioremap methods (Tomas Henzl) [2162218]
- ata: libata-core: Check errors in sata_print_link_status() (Tomas Henzl) [2162218]
- ata: libata-sff: Fix double word in comments (Tomas Henzl) [2162218]
- ata: pata_macio: Remove unneeded word in comments (Tomas Henzl) [2162218]
- ata: libata-core: Simplify ata_dev_set_xfermode() (Tomas Henzl) [2162218]
- ata: libata-core: Simplify ata_build_rw_tf() (Tomas Henzl) [2162218]
- ata: libata: Rename ATA_DFLAG_NCQ_PRIO_ENABLE (Tomas Henzl) [2162218]
- ata: libata-core: remove redundant err_mask variable (Tomas Henzl) [2162218]
- ata: ahci: Do not check ACPI_FADT_LOW_POWER_S0 (Tomas Henzl) [2162218]
- ata: libata-core: improve parameter names for ata_dev_set_feature() (Tomas Henzl) [2162218]
- ata: libata-eh: Add missing command name (Tomas Henzl) [2162218]
- ata: sata_mv: Fixes expected number of resources now IRQs are gone (Tomas Henzl) [2162218]
- ata: libata-scsi: cap ata_device->max_sectors according to shost->max_sectors (Tomas Henzl) [2162218]
- ata: ahci_brcm: bcmbca: Replace ARCH_BCM_63XX with ARCH_BCMBCA (Tomas Henzl) [2162218]
- ata: pata_cs5535: Fix W=1 warnings (Tomas Henzl) [2162218]
- ata: libata-scsi: fix result type of ata_ioc32() (Tomas Henzl) [2162218]
- ata: pata_macio: Fix compilation warning (Tomas Henzl) [2162218]
- ata: libata-eh: fix sloppy result type of ata_internal_cmd_timeout() (Tomas Henzl) [2162218]
- ata: libata-eh: fix sloppy result type of ata_eh_nr_in_flight() (Tomas Henzl) [2162218]
- ata: make transfer mode masks *unsigned int* (Tomas Henzl) [2162218]
- ata: libata-core: get rid of *else* branches in ata_id_n_sectors() (Tomas Henzl) [2162218]
- ata: libata-core: fix sloppy typing in ata_id_n_sectors() (Tomas Henzl) [2162218]
- ata: pata_hpt3x2n: pass base DPLL frequency to hpt3x2n_pci_clock() (Tomas Henzl) [2162218]
- ata: pata_hpt37x: merge hpt374_read_freq() to hpt37x_pci_clock() (Tomas Henzl) [2162218]
- ata: pata_hpt37x: factor out hpt37x_pci_clock() (Tomas Henzl) [2162218]
- ata: pata_hpt37x: move claculating PCI clock from hpt37x_clock_slot() (Tomas Henzl) [2162218]
- ata: libata: Fix syntax errors in comments (Tomas Henzl) [2162218]
- ata: libata-transport: fix {dma|pio|xfer}_mode sysfs files (Tomas Henzl) [2162218]
- libata: fix translation of concurrent positioning ranges (Tomas Henzl) [2162218]
- libata: fix reading concurrent positioning ranges log (Tomas Henzl) [2162218]
- ata: pata_octeon_cf: Fix refcount leak in octeon_cf_probe (Tomas Henzl) [2162218]
- ata: libata-core: fix NULL pointer deref in ata_host_alloc_pinfo() (Tomas Henzl) [2162218]
- ata: pata_ftide010: Remove unneeded ERROR check before clk_disable_unprepare (Tomas Henzl) [2162218]
- ata: libata-core: Allow forcing most horkage flags (Tomas Henzl) [2162218]
- ata: libata-core: Improve link flags forced settings (Tomas Henzl) [2162218]
- ata: libata-core: Refactor force_tbl definition (Tomas Henzl) [2162218]
- ata: libata-core: cleanup ata_device_blacklist (Tomas Henzl) [2162218]
- ata: simplify the return expression of brcm_ahci_remove (Tomas Henzl) [2162218]
- ata: pata_marvell: Check the 'bmdma_addr' beforing reading (Tomas Henzl) [2162218]
- ata: Make use of the helper function devm_platform_ioremap_resource() (Tomas Henzl) [2162218]
- ata: libata-core: replace "its" with "it is" (Tomas Henzl) [2162218]
- ata: pata_via: fix sloppy typing in via_do_set_mode() (Tomas Henzl) [2162218]
- ata: pata_sil680: fix result type of sil680_sel{dev|reg}() (Tomas Henzl) [2162218]
- ata: libata-core: fix parameter type in ata_xfer_mode2shift() (Tomas Henzl) [2162218]
- ata: libata: drop 'sas_last_tag' (Tomas Henzl) [2162218]
- libata: Improve ATA queued command allocation (Tomas Henzl) [2162218]
- ata: pata_mpc52xx: Prepare cleanup of powerpc's asm/prom.h (Tomas Henzl) [2162218]
- ata: libata-core: Disable READ LOG DMA EXT for Samsung 840 EVOs (Tomas Henzl) [2162218]
- ata: sata_dwc_460ex: Fix crash due to OOB write (Tomas Henzl) [2162218]
- ata: libata-sff: Fix compilation warning in ata_sff_lost_interrupt() (Tomas Henzl) [2162218]
- ata: add ata_port_is_frozen() helper (Tomas Henzl) [2162218]
- ata: make ata_port::fastdrain_cnt *unsigned int* (Tomas Henzl) [2162218]
- ata: libata: Set __ATA_BASE_SHT max_sectors (Tomas Henzl) [2162218]
- ata: libata: Introduce ata_ncq_supported() (Tomas Henzl) [2162218]
- scsi: Define the COMPLETED sense key (Tomas Henzl) [2162218]
- cxl/hdm: Fail upon detecting 0-sized decoders (John W. Linville) [2171891]
- cxl/pci: Handle excessive CDAT length (John W. Linville) [2171891]
- cxl/pci: Handle truncated CDAT entries (John W. Linville) [2171891]
- cxl/pci: Handle truncated CDAT header (John W. Linville) [2171891]
- cxl/pci: Fix CDAT retrieval on big endian (John W. Linville) [2171891]
- Documentation: cxl: remove dangling kernel-doc reference (John W. Linville) [2171891]
- cxl/region: Cleanup target list on attach error (John W. Linville) [2171891]
- cxl/memdev: Fix endpoint port removal (John W. Linville) [2171891]
- cxl/mbox: Fix Payload Length check for Get Log command (John W. Linville) [2171891]
- cxl/region: Fix passthrough-decoder detection (John W. Linville) [2171891]
- cxl/region: Fix null pointer dereference for resetting decoder (John W. Linville) [2171891]
- cxl/pmem: Fix nvdimm unregistration when cxl_pmem driver is absent (John W. Linville) [2171891]
- cxl: fix cxl_report_and_clear() RAS UE addr mis-assignment (John W. Linville) [2171891]
- cxl/region: Fix memdev reuse check (John W. Linville) [2171891]
- cxl/pci: Remove endian confusion (John W. Linville) [2171891]
- cxl/pci: Add some type-safety to the AER trace points (John W. Linville) [2171891]
- cxl/security: Drop security command ioctl uapi (John W. Linville) [2171891]
- cxl/mbox: Add variable output size validation for internal commands (John W. Linville) [2171891]
- cxl/mbox: Enable cxl_mbox_send_cmd() users to validate output size (John W. Linville) [2171891]
- cxl/security: Fix Get Security State output payload endian handling (John W. Linville) [2171891]
- cxl: update names for interleave ways conversion macros (John W. Linville) [2171891]
- cxl: update names for interleave granularity conversion macros (John W. Linville) [2171891]
- cxl/acpi: Warn about an invalid CHBCR in an existing CHBS entry (John W. Linville) [2171891]
- tools/testing/cxl: Require cache invalidation bypass (John W. Linville) [2171891]
- cxl/acpi: Fail decoder add if CXIMS for HBIG is missing (John W. Linville) [2171891]
- cxl/region: Fix spelling mistake "memergion" -> "memregion" (John W. Linville) [2171891]
- cxl/regs: Fix sparse warning (John W. Linville) [2171891]
- tools/testing/cxl: Add an RCH topology (John W. Linville) [2171891]
- cxl/port: Add RCD endpoint port enumeration (John W. Linville) [2171891]
- cxl/mem: Move devm_cxl_add_endpoint() from cxl_core to cxl_mem (John W. Linville) [2171891]
- tools/testing/cxl: Add XOR Math support to cxl_test (John W. Linville) [2171891]
- ACPICA: Add CXL 3.0 structures (CXIMS & RDPAS) to the CEDT table (John W. Linville) [2171891]
- cxl/acpi: Support CXL XOR Interleave Math (CXIMS) (John W. Linville) [2171891]
- cxl/pci: Add callback to log AER correctable error (John W. Linville) [2171891]
- cxl/pci: Add (hopeful) error handling support (John W. Linville) [2171891]
- cxl/pci: add tracepoint events for CXL RAS (John W. Linville) [2171891]
- cxl/pci: Find and map the RAS Capability Structure (John W. Linville) [2171891]
- cxl/pci: Prepare for mapping RAS Capability Structure (John W. Linville) [2171891]
- cxl/port: Limit the port driver to just the HDM Decoder Capability (John W. Linville) [2171891]
- cxl/core/regs: Make cxl_map_{component, device}_regs() device generic (John W. Linville) [2171891]
- cxl/pci: Kill cxl_map_regs() (John W. Linville) [2171891]
- cxl/pci: Cleanup cxl_map_device_regs() (John W. Linville) [2171891]
- cxl/pci: Cleanup repeated code in cxl_probe_regs() helpers (John W. Linville) [2171891]
- cxl/acpi: Extract component registers of restricted hosts from RCRB (John W. Linville) [2171891]
- redhat: config option for CXL_REGION_INVALIDATION_TEST (John W. Linville) [2171891]
- cxl/region: Manage CPU caches relative to DPA invalidation events (John W. Linville) [2171891]
- cxl/pmem: Enforce keyctl ABI for PMEM security (John W. Linville) [2171891]
- cxl/region: Fix missing probe failure (John W. Linville) [2171891]
- cxl/ACPI: Register CXL host ports by bridge device (John W. Linville) [2171891]
- tools/testing/cxl: Make mock CEDT parsing more robust (John W. Linville) [2171891]
- cxl/acpi: Move rescan to the workqueue (John W. Linville) [2171891]
- cxl/pmem: Remove the cxl_pmem_wq and related infrastructure (John W. Linville) [2171891]
- cxl: add dimm_id support for __nvdimm_create() (John W. Linville) [2171891]
- cxl/pmem: Refactor nvdimm device registration, delete the workqueue (John W. Linville) [2171891]
- cxl/region: Drop redundant pmem region release handling (John W. Linville) [2171891]
- cxl/acpi: Simplify cxl_nvdimm_bridge probing (John W. Linville) [2171891]
- cxl/pmem: add provider name to cxl pmem dimm attribute group (John W. Linville) [2171891]
- memregion: Add cpu_cache_invalidate_memregion() interface (John W. Linville) [2171891]
- tools/testing/cxl: add mechanism to lock mem device for testing (John W. Linville) [2171891]
- cxl/pmem: add id attribute to CXL based nvdimm (John W. Linville) [2171891]
- nvdimm/cxl/pmem: Add support for master passphrase disable security command (John W. Linville) [2171891]
- tools/testing/cxl: Add "passphrase secure erase" opcode support (John W. Linville) [2171891]
- cxl/pmem: Add "Passphrase Secure Erase" security command support (John W. Linville) [2171891]
- tools/testing/cxl: Add "Unlock" security opcode support (John W. Linville) [2171891]
- cxl/pmem: Add "Unlock" security command support (John W. Linville) [2171891]
- tools/testing/cxl: Add "Freeze Security State" security opcode support (John W. Linville) [2171891]
- cxl/pmem: Add "Freeze Security State" security command support (John W. Linville) [2171891]
- tools/testing/cxl: Add "Disable" security opcode support (John W. Linville) [2171891]
- cxl/pmem: Add Disable Passphrase security command support (John W. Linville) [2171891]
- tools/testing/cxl: Add "Set Passphrase" opcode support (John W. Linville) [2171891]
- cxl/pmem: Add "Set Passphrase" security command support (John W. Linville) [2171891]
- tools/testing/cxl: Add "Get Security State" opcode support (John W. Linville) [2171891]
- cxl/pmem: Introduce nvdimm_security_ops with ->get_flags() operation (John W. Linville) [2171891]
- cxl: Replace HDM decoder granularity magic numbers (John W. Linville) [2171891]
- cxl/acpi: Improve debug messages in cxl_acpi_probe() (John W. Linville) [2171891]
- tools/testing/cxl: Add bridge mocking support (John W. Linville) [2171891]
- cxl: Unify debug messages when calling devm_cxl_add_dport() (John W. Linville) [2171891]
- cxl: Unify debug messages when calling devm_cxl_add_port() (John W. Linville) [2171891]
- cxl/core: Check physical address before mapping it in devm_cxl_iomap_block() (John W. Linville) [2171891]
- cxl/core: Remove duplicate declaration of devm_cxl_iomap_block() (John W. Linville) [2171891]
- cxl/doe: Request exclusive DOE access (John W. Linville) [2171891]
- cxl/region: Recycle region ids (John W. Linville) [2171891]
- cxl/region: Fix 'distance' calculation with passthrough ports (John W. Linville) [2171891]
- tools/testing/cxl: Add a single-port host-bridge regression config (John W. Linville) [2171891]
- tools/testing/cxl: Fix some error exits (John W. Linville) [2171891]
- cxl/pmem: Fix cxl_pmem_region and cxl_memdev leak (John W. Linville) [2171891]
- cxl/region: Fix cxl_region leak, cleanup targets at region delete (John W. Linville) [2171891]
- cxl/region: Fix region HPA ordering validation (John W. Linville) [2171891]
- cxl/pmem: Use size_add() against integer overflow (John W. Linville) [2171891]
- cxl/region: Fix decoder allocation crash (John W. Linville) [2171891]
- cxl/pmem: Fix failure to account for 8 byte header for writes to the device LSA. (John W. Linville) [2171891]
- cxl/region: Fix null pointer dereference due to pass through decoder commit (John W. Linville) [2171891]
- cxl/mbox: Add a check on input payload size (John W. Linville) [2171891]
- cxl/hdm: Fix skip allocations vs multiple pmem allocations (John W. Linville) [2171891]
- cxl/region: Disallow region granularity != window granularity (John W. Linville) [2171891]
- cxl/region: Fix x1 interleave to greater than x1 interleave routing (John W. Linville) [2171891]
- cxl/region: Move HPA setup to cxl_region_attach() (John W. Linville) [2171891]
- cxl/region: Fix decoder interleave programming (John W. Linville) [2171891]
- cxl/region: describe targets and nr_targets members of cxl_region_params (John W. Linville) [2171891]
- cxl/regions: add padding for cxl_rr_ep_add nested lists (John W. Linville) [2171891]
- cxl/region: Fix IS_ERR() vs NULL check (John W. Linville) [2171891]
- cxl/region: Fix region reference target accounting (John W. Linville) [2171891]
- cxl/region: Fix region commit uninitialized variable warning (John W. Linville) [2171891]
- cxl/region: Fix port setup uninitialized variable warnings (John W. Linville) [2171891]
- cxl/region: Stop initializing interleave granularity (John W. Linville) [2171891]
- cxl/hdm: Fix DPA reservation vs cxl_endpoint_decoder lifetime (John W. Linville) [2171891]
- cxl/acpi: Minimize granularity for x1 interleaves (John W. Linville) [2171891]
- cxl/region: Delete 'region' attribute from root decoders (John W. Linville) [2171891]
- cxl/acpi: Autoload driver for 'cxl_acpi' test devices (John W. Linville) [2171891]
- cxl/region: decrement ->nr_targets on error in cxl_region_attach() (John W. Linville) [2171891]
- cxl/region: prevent underflow in ways_to_cxl() (John W. Linville) [2171891]
- cxl/region: uninitialized variable in alloc_hpa() (John W. Linville) [2171891]
- cxl/region: Introduce cxl_pmem_region objects (John W. Linville) [2171891]
- cxl/pmem: Fix offline_nvdimm_bus() to offline by bridge (John W. Linville) [2171891]
- cxl/region: Add region driver boiler plate (John W. Linville) [2171891]
- cxl/hdm: Commit decoder state to hardware (John W. Linville) [2171891]
- cxl/region: Program target lists (John W. Linville) [2171891]
- cxl/region: Attach endpoint decoders (John W. Linville) [2171891]
- cxl/acpi: Add a host-bridge index lookup mechanism (John W. Linville) [2171891]
- cxl/region: Enable the assignment of endpoint decoders to regions (John W. Linville) [2171891]
- cxl/region: Allocate HPA capacity to regions (John W. Linville) [2171891]
- cxl/region: Add interleave geometry attributes (John W. Linville) [2171891]
- cxl/region: Add a 'uuid' attribute (John W. Linville) [2171891]
- cxl/region: Add region creation support (John W. Linville) [2171891]
- cxl/mem: Enumerate port targets before adding endpoints (John W. Linville) [2171891]
- cxl/hdm: Add sysfs attributes for interleave ways + granularity (John W. Linville) [2171891]
- cxl/port: Move dport tracking to an xarray (John W. Linville) [2171891]
- cxl/port: Move 'cxl_ep' references to an xarray per port (John W. Linville) [2171891]
- cxl/port: Record parent dport when adding ports (John W. Linville) [2171891]
- cxl/port: Record dport in endpoint references (John W. Linville) [2171891]
- cxl/hdm: Add support for allocating DPA to an endpoint decoder (John W. Linville) [2171891]
- cxl/hdm: Track next decoder to allocate (John W. Linville) [2171891]
- cxl/hdm: Add 'mode' attribute to decoder objects (John W. Linville) [2171891]
- cxl/hdm: Enumerate allocated DPA (John W. Linville) [2171891]
- cxl/core: Define a 'struct cxl_endpoint_decoder' (John W. Linville) [2171891]
- cxl/core: Define a 'struct cxl_root_decoder' (John W. Linville) [2171891]
- cxl/acpi: Track CXL resources in iomem_resource (John W. Linville) [2171891]
- cxl/core: Define a 'struct cxl_switch_decoder' (John W. Linville) [2171891]
- cxl/port: Read CDAT table (John W. Linville) [2171891]
- cxl/pci: Create PCI DOE mailbox's for memory devices (John W. Linville) [2171891]
- cxl/pmem: Delete unused nvdimm attribute (John W. Linville) [2171891]
- cxl/hdm: Initialize decoder type for memory expander devices (John W. Linville) [2171891]
- cxl/port: Cache CXL host bridge data (John W. Linville) [2171891]
- tools/testing/cxl: Add partition support (John W. Linville) [2171891]
- tools/testing/cxl: Expand CFMWS windows (John W. Linville) [2171891]
- tools/testing/cxl: Move cxl_test resources to the top of memory (John W. Linville) [2171891]
- cxl/mem: Add a debugfs version of 'iomem' for DPA, 'dpamem' (John W. Linville) [2171891]
- cxl/debug: Move debugfs init to cxl_core_init() (John W. Linville) [2171891]
- cxl/hdm: Require all decoders to be enumerated (John W. Linville) [2171891]
- cxl/mem: Convert partition-info to resources (John W. Linville) [2171891]
- cxl: Introduce cxl_to_{ways,granularity} (John W. Linville) [2171891]
- cxl/core: Drop is_cxl_decoder() (John W. Linville) [2171891]
- cxl/core: Drop ->platform_res attribute for root decoders (John W. Linville) [2171891]
- cxl/core: Rename ->decoder_range ->hpa_range (John W. Linville) [2171891]
- cxl/hdm: Use local hdm variable (John W. Linville) [2171891]
- cxl/port: Keep port->uport valid for the entire life of a port (John W. Linville) [2171891]
- tools/testing/cxl: Fix cxl_hdm_decode_init() calling convention (John W. Linville) [2171891]
- cxl/mbox: Fix missing variable payload checks in cmd size validation (John W. Linville) [2171891]
- cxl/core: Use is_endpoint_decoder (John W. Linville) [2171891]
- cxl/port: Enable HDM Capability after validating DVSEC Ranges (John W. Linville) [2171891]
- cxl/port: Reuse 'struct cxl_hdm' context for hdm init (John W. Linville) [2171891]
- cxl/port: Move endpoint HDM Decoder Capability init to port driver (John W. Linville) [2171891]
- cxl/pci: Drop @info argument to cxl_hdm_decode_init() (John W. Linville) [2171891]
- cxl/mem: Merge cxl_dvsec_ranges() and cxl_hdm_decode_init() (John W. Linville) [2171891]
- cxl/mem: Skip range enumeration if mem_enable clear (John W. Linville) [2171891]
- cxl/mem: Consolidate CXL DVSEC Range enumeration in the core (John W. Linville) [2171891]
- cxl/pci: Move cxl_await_media_ready() to the core (John W. Linville) [2171891]
- cxl/mem: Validate port connectivity before dvsec ranges (John W. Linville) [2171891]
- cxl/mem: Fix cxl_mem_probe() error exit (John W. Linville) [2171891]
- cxl/pci: Drop wait_for_valid() from cxl_await_media_ready() (John W. Linville) [2171891]
- cxl/pci: Consolidate wait_for_media() and wait_for_media_ready() (John W. Linville) [2171891]
- cxl/mbox: fix logical vs bitwise typo (John W. Linville) [2171891]
- cxl/mbox: Replace NULL check with IS_ERR() after vmemdup_user() (John W. Linville) [2171891]
- cxl/mbox: Use type __u32 for mailbox payload sizes (John W. Linville) [2171891]
- PM: CXL: Disable suspend (John W. Linville) [2171891]
- cxl/mem: Replace redundant debug message with a comment (John W. Linville) [2171891]
- cxl/mem: Rename cxl_dvsec_decode_init() to cxl_hdm_decode_init() (John W. Linville) [2171891]
- cxl/mem: Make cxl_dvsec_range() init failure fatal (John W. Linville) [2171891]
- cxl/pci: Add debug for DVSEC range init failures (John W. Linville) [2171891]
- cxl/mem: Drop DVSEC vs EFI Memory Map sanity check (John W. Linville) [2171891]
- cxl/mbox: Use new return_code handling (John W. Linville) [2171891]
- cxl/mbox: Improve handling of mbox_cmd hw return codes (John W. Linville) [2171891]
- cxl/pci: Use CXL_MBOX_SUCCESS to check against mbox_cmd return code (John W. Linville) [2171891]
- cxl/mbox: Drop mbox_mutex comment (John W. Linville) [2171891]
- cxl/pmem: Remove CXL SET_PARTITION_INFO from exclusive_cmds list (John W. Linville) [2171891]
- cxl/mbox: Block immediate mode in SET_PARTITION_INFO command (John W. Linville) [2171891]
- cxl/mbox: Move cxl_mem_command param to a local variable (John W. Linville) [2171891]
- cxl/mbox: Make handle_mailbox_cmd_from_user() use a mbox param (John W. Linville) [2171891]
- cxl/mbox: Remove dependency on cxl_mem_command for a debug msg (John W. Linville) [2171891]
- cxl/mbox: Construct a users cxl_mbox_cmd in the validation path (John W. Linville) [2171891]
- cxl/mbox: Move build of user mailbox cmd to a helper functions (John W. Linville) [2171891]
- cxl/mbox: Move raw command warning to raw command validation (John W. Linville) [2171891]
- cxl/mbox: Move cxl_mem_command construction to helper funcs (John W. Linville) [2171891]
- resource: Introduce alloc_free_mem_region() (John W. Linville) [2171891]
- driver-core: Introduce BIN_ATTR_ADMIN_{RO,RW} (John W. Linville) [2171891]
- tools/testing/cxl: add mock output for the GET_HEALTH_INFO command (John W. Linville) [2171891]
- dmaengine: idma64: Make idma64_remove() return void (Jerry Snitselaar) [2181355]
- dmaengine: idma64: Update bytes_transferred field (Jerry Snitselaar) [2181355]
- dmaengine: imx-sdma: Set DMA channel to be private (Jerry Snitselaar) [2181355]
- dmaengine: dw: Move check for paused channel to dwc_get_residue() (Jerry Snitselaar) [2181355]
- dmaengine: ptdma: check for null desc before calling pt_cmd_callback (Jerry Snitselaar) [2181355]
- dmaengine: idxd: Fix default allowed read buffers value in group (Jerry Snitselaar) [2181355]
- dmaengine: Simplify dmaenginem_async_device_register() function (Jerry Snitselaar) [2181355]
- dmaengine: use sysfs_emit() to instead of scnprintf() (Jerry Snitselaar) [2181355]
- dmaengine: drivers: Use devm_platform_ioremap_resource() (Jerry Snitselaar) [2181355]
- dmaengine: Make an order in struct dma_device definition (Jerry Snitselaar) [2181355]
- dmaengine: imx-sdma: support hdmi in sdma (Jerry Snitselaar) [2181355]
- dmaengine: idxd: Set traffic class values in GRPCFG on DSA 2.0 (Jerry Snitselaar) [2181355]
- dmaengine: idxd: Remove the unused function set_completion_address() (Jerry Snitselaar) [2181355]
- dmaengine: Fix dma_slave_config.dst_addr description (Jerry Snitselaar) [2181355]
- ptdma: pt_core_execute_cmd() should use spinlock (Jerry Snitselaar) [2181355]
- dmaengine: imx-sdma: Fix a possible memory leak in sdma_transfer_init (Jerry Snitselaar) [2181355]
- dmaengine: idxd: Remove linux/msi.h include (Jerry Snitselaar) [2181355]
- dmaengine: ioat: Fix spelling mistake "idel" -> "idle" (Jerry Snitselaar) [2181355]
- dmaengine: ioat: remove unused declarations in dma.h (Jerry Snitselaar) [2181355]
- dmaengine: ioat: stop mod_timer from resurrecting deleted timer in __cleanup() (Jerry Snitselaar) [2153930]
- dmaengine: idxd: Remove unused struct idxd_fault (Jerry Snitselaar) [2181355]
- dmaengine: mxs: use platform_driver_register (Jerry Snitselaar) [2181355]
- dmaengine: hsu: Include headers we are direct user of (Jerry Snitselaar) [2181355]
- dmaengine: hsu: Use GENMASK() consistently (Jerry Snitselaar) [2181355]
- dmaengine: remove DMA_MEMCPY_SG once again (Jerry Snitselaar) [2181355]
- redhat: configs: fix CONFIG_WERROR replace in build_configs (Jan Stancek)
Resolves: rhbz#2153331, rhbz#2153930, rhbz#2159241, rhbz#2162218, rhbz#2171093, rhbz#2171891, rhbz#2181355

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-05-04 21:01:35 +02:00
Jan Stancek
6436af6fb2 kernel-5.14.0-306.el9
* Sat Apr 29 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-306.el9]
- x86/PCI: Add quirk for AMD XHCI controller that loses MSI-X state in D3hot (Myron Stowe) [2188822]
- PCI/MSI: Provide missing stub for pci_msix_can_alloc_dyn() (Myron Stowe) [2188822]
- PCI: dwc: Fix PORT_LINK_CONTROL update when CDM check enabled (Myron Stowe) [2188822]
- x86/split_lock: Enumerate architectural split lock disable bit (David Arcari) [2123536]
- redhat: add new kunit tests (Nico Pache) [2168378]
- kunit: drm: make DRM buddy test compatible with other pages sizes (Nico Pache) [2168378]
- lib/cpumask_kunit: log mask contents (Nico Pache) [2168378]
- lib/test_cpumask: follow KUnit style guidelines (Nico Pache) [2168378]
- lib/test_cpumask: fix cpu_possible_mask last test (Nico Pache) [2168378]
- lib/test_cpumask: drop cpu_possible_mask full test (Nico Pache) [2168378]
- cpumask: update cpumask_next_wrap() signature (Nico Pache) [2168378]
- lib/test: introduce cpumask KUnit test suite (Nico Pache) [2168378]
- cpumask: Fix invalid uniprocessor mask assumption (Nico Pache) [2168378]
- cpumask: replace cpumask_next_* with cpumask_first_* where appropriate (Nico Pache) [2168378]
- iio: test: rescale: add MODULE_* information (Nico Pache) [2168378]
- iio: test: format: add MODULE_* information (Nico Pache) [2168378]
- list: introduce list_is_head() helper and re-use it in list.h (Nico Pache) [2168378]
- kunit: fix kunit_test_init_section_suites(...) (Nico Pache) [2168378]
- Documentation: kunit: Remove redundant 'tips.rst' page (Nico Pache) [2168378]
- Documentation: KUnit: reword description of assertions (Nico Pache) [2168378]
- kunit: remove format func from struct kunit_assert, get it to 0 bytes (Nico Pache) [2168378]
- kunit: tool: remove UML specific options from all_tests_uml.config (Nico Pache) [2168378]
- tracepoint: Allow trace events in modules with TAINT_TEST (Nico Pache) [2168378]
- tools: Add new "test" taint to kernel-chktaint (Nico Pache) [2168378]
- kunit: fix assert_type for comparison macros (Nico Pache) [2168378]
- module: kunit: Load .kunit_test_suites section when CONFIG_KUNIT=m (Nico Pache) [2168378]
- mmc: sdhci-of-aspeed: test: Fix dependencies when KUNIT=m (Nico Pache) [2168378]
- MAINTAINERS: kunit: add David Gow as a maintainer of KUnit (Nico Pache) [2168378]
- drm/tests: Split up test cases in igt_check_drm_format_min_pitch (Nico Pache) [2168378]
- Documentation: KUnit: Fix example with compilation error (Nico Pache) [2168378]
- kasan: test: Silence GCC 12 warnings (Nico Pache) [2168378]
- Documentation: kunit: Add CLI args for kunit_tool (Nico Pache) [2168378]
- kcsan: test: Add a .kunitconfig to run KCSAN tests (Nico Pache) [2168378]
- iio: test: rework Kconfig to support modules (Nico Pache) [2168378]
- iio: test: format: follow CONFIG_ naming convention (Nico Pache) [2168378]
- kunit: executor: Fix a memory leak on failure in kunit_filter_tests (Nico Pache) [2168378]
- clk: explicitly disable CONFIG_UML_PCI_OVER_VIRTIO in .kunitconfig (Nico Pache) [2168378]
- mmc: sdhci-of-aspeed: test: Use kunit_test_suite() macro (Nico Pache) [2168378]
- nitro_enclaves: test: Use kunit_test_suite() macro (Nico Pache) [2168378]
- kunit: flatten kunit_suite*** to kunit_suite** in .kunit_test_suites (Nico Pache) [2168378]
- kunit: unify module and builtin suite definitions (Nico Pache) [2168378]
- Documentation: kunit: fix example run_kunit func to allow spaces in args (Nico Pache) [2168378]
- drm: selftest: convert drm_mm selftest to KUnit (Nico Pache) [2168378]
- drm: selftest: convert drm_buddy selftest to KUnit (Nico Pache) [2168378]
- drm: selftest: convert drm_framebuffer selftest to KUnit (Nico Pache) [2168378]
- drm: selftest: convert drm_dp_mst_helper selftest to KUnit (Nico Pache) [2168378]
- drm: selftest: convert drm_plane_helper selftest to KUnit (Nico Pache) [2168378]
- drm: selftest: convert drm_format selftest to KUnit (Nico Pache) [2168378]
- drm: selftest: convert drm_rect selftest to KUnit (Nico Pache) [2168378]
- drm: selftest: convert drm_cmdline_parser selftest to KUnit (Nico Pache) [2168378]
- drm: selftest: convert drm_damage_helper selftest to KUnit (Nico Pache) [2168378]
- Documentation: kunit: Cleanup run_wrapper, fix x-ref (Nico Pache) [2168378]
- kunit: test.h: fix a kernel-doc markup (Nico Pache) [2168378]
- kunit: tool: Enable virtio/PCI by default on UML (Nico Pache) [2168378]
- kunit: tool: make --kunitconfig repeatable, blindly concat (Nico Pache) [2168378]
- kunit: add coverage_uml.config to enable GCOV on UML (Nico Pache) [2168378]
- kunit: tool: refactor internal kconfig handling, allow overriding (Nico Pache) [2168378]
- kunit: tool: introduce --qemu_args (Nico Pache) [2168378]
- kunit: tool: simplify creating LinuxSourceTreeOperations (Nico Pache) [2168378]
- kunit: tool: cosmetic: don't specify duplicate kernel cmdline options (Nico Pache) [2168378]
- kunit: tool: refactoring printing logic into kunit_printer.py (Nico Pache) [2168378]
- kunit: tool: redo how we construct and mock LinuxSourceTree (Nico Pache) [2168378]
- kunit: tool: drop unused load_config argument (Nico Pache) [2168378]
- kunit: use kmemdup in kunit_filter_tests(), take suite as const (Nico Pache) [2168378]
- apparmor: test: Remove some casts which are no-longer required (Nico Pache) [2168378]
- lib: overflow: Do not define 64-bit tests on 32-bit (Nico Pache) [2168378]
- kunit: Taint the kernel when KUnit tests are run (Nico Pache) [2168378]
- panic: Taint kernel if tests are run (Nico Pache) [2168378]
- drm/doc: Add KUnit documentation (Nico Pache) [2168378]
- iio: test: fix missing MODULE_LICENSE for IIO_RESCALE=m (Nico Pache) [2168378]
- platform/chrome: cros_ec_proto: add Kunit tests for cros_ec_check_result() (Nico Pache) [2168378]
- kunit: tool: Use qemu-system-i386 for i386 runs (Nico Pache) [2168378]
- kunit: fix executor OOM error handling logic on non-UML (Nico Pache) [2168378]
- kunit: tool: update riscv QEMU config with new serial dependency (Nico Pache) [2168378]
- kunit: tool: Add list of all valid test configs on UML (Nico Pache) [2168378]
- kunit: take `kunit_assert` as `const` (Nico Pache) [2168378]
- kunit: tool: misc cleanups (Nico Pache) [2168378]
- kunit: tool: minor cosmetic cleanups in kunit_parser.py (Nico Pache) [2168378]
- kunit: tool: make parser stop overwriting status of suites w/ no_tests (Nico Pache) [2168378]
- kunit: tool: remove dead parse_crash_in_log() logic (Nico Pache) [2168378]
- kunit: tool: print clearer error message when there's no TAP output (Nico Pache) [2168378]
- kunit: tool: stop using a shell to run kernel under QEMU (Nico Pache) [2168378]
- kunit: tool: update test counts summary line format (Nico Pache) [2168378]
- kunit: bail out of test filtering logic quicker if OOM (Nico Pache) [2168378]
- lib/Kconfig.debug: change KUnit tests to default to KUNIT_ALL_TESTS (Nico Pache) [2168378]
- kunit: Rework kunit_resource allocation policy (Nico Pache) [2168378]
- drm/todo: Add entry for converting kselftests to kunit (Nico Pache) [2168378]
- drm/todo: Add entry for using kunit in the subsystem (Nico Pache) [2168378]
- kunit: fix debugfs code to use enum kunit_status, not bool (Nico Pache) [2168378]
- kunit: add ability to specify suite-level init and exit functions (Nico Pache) [2168378]
- kunit: rename print_subtest_{start,end} for clarity (s/subtest/suite) (Nico Pache) [2168378]
- kunit: add support for kunit_suites that reference init code (Nico Pache) [2168378]
- Documentation: kunit: change complete_and_exit to kthread_complete_and_exit (Nico Pache) [2168378]
- kunit: Make kunit_remove_resource() idempotent (Nico Pache) [2168378]
- list: test: Test the hlist structure (Nico Pache) [2168378]
- Documentation: kunit: update kconfig options needed for UML coverage (Nico Pache) [2168378]
- kunit: split resource API impl from test.c into new resource.c (Nico Pache) [2168378]
- kunit: split resource API from test.h into new resource.h (Nico Pache) [2168378]
- kunit: tool: more descriptive metavars/--help output (Nico Pache) [2168378]
- kunit: tool: Do not colorize output when redirected (Nico Pache) [2168378]
- kunit: tool: properly report the used arch for --json, or '' if not known (Nico Pache) [2168378]
- kunit: tool: refactor how we plumb metadata into JSON (Nico Pache) [2168378]
- kunit: tool: readability tweaks in KernelCI json generation logic (Nico Pache) [2168378]
- apparmor: test: Use NULL macros (Nico Pache) [2168378]
- kasan: test: Use NULL macros (Nico Pache) [2168378]
- kunit: use NULL macros (Nico Pache) [2168378]
- kunit: Introduce _NULL and _NOT_NULL macros (Nico Pache) [2168378]
- kunit: tool: simplify code since build_dir can't be None (Nico Pache) [2168378]
- kunit: tool: drop last uses of collections.namedtuple (Nico Pache) [2168378]
- kunit: tool: drop unused KernelDirectoryPath var (Nico Pache) [2168378]
- kunit: tool: make --json handling a bit clearer (Nico Pache) [2168378]
- Documentation: kunit: fix path to .kunitconfig in start.rst (Nico Pache) [2168378]
- Documentation: kunit: Fix cross-referencing warnings (Nico Pache) [2168378]
- kunit: make kunit_test_timeout compatible with comment (Nico Pache) [2168378]
- lib: stackinit: Convert to KUnit (Nico Pache) [2168378]
- lib/test_stackinit: Add assigned initializers (Nico Pache) [2168378]
- lib/test_stackinit: Allow building stand-alone (Nico Pache) [2168378]
- lib/test_stackinit: Fix static initializer test (Nico Pache) [2168378]
- clk: Introduce Kunit Tests for the framework (Nico Pache) [2168378]
- binfmt_elf: Introduce KUnit test (Nico Pache) [2168378]
- dt-bindings: iio: afe: add bindings for temperature transducers (Nico Pache) [2168378]
- dt-bindings: iio: afe: add bindings for temperature-sense-rtd (Nico Pache) [2168378]
- iio: afe: rescale: add temperature transducers (Nico Pache) [2168378]
- iio: afe: rescale: add RTD temperature sensor support (Nico Pache) [2168378]
- iio: test: add basic tests for the iio-rescale driver (Nico Pache) [2168378]
- lib: overflow: Convert to Kunit (Nico Pache) [2168378]
- overflow: Provide constant expression struct_size (Nico Pache) [2168378]
- overflow: Implement size_t saturating arithmetic helpers (Nico Pache) [2168378]
- test_overflow: Regularize test reporting output (Nico Pache) [2168378]
- iio: afe: rescale: reduce risk of integer overflow (Nico Pache) [2168378]
- iio: afe: rescale: fix accuracy for small fractional scales (Nico Pache) [2168378]
- iio: afe: rescale: add offset support (Nico Pache) [2168378]
- iio: afe: rescale: add INT_PLUS_{MICRO,NANO} support (Nico Pache) [2168378]
- iio: afe: rescale: expose scale processing function (Nico Pache) [2168378]
- list: test: Add a test for list_entry_is_head() (Nico Pache) [2168378]
- list: test: Add a test for list_is_head() (Nico Pache) [2168378]
- list: test: Add test for list_del_init_careful() (Nico Pache) [2168378]
- Documentation: KUnit: Fix usage bug (Nico Pache) [2168378]
- kunit: fix missing f in f-string in run_checks.py (Nico Pache) [2168378]
- kunit: cleanup assertion macro internal variables (Nico Pache) [2168378]
- kunit: factor out str constants from binary assertion structs (Nico Pache) [2168378]
- kunit: consolidate KUNIT_INIT_BINARY_ASSERT_STRUCT macros (Nico Pache) [2168378]
- kunit: remove va_format from kunit_assert (Nico Pache) [2168378]
- kunit: tool: drop mostly unused KunitResult.result field (Nico Pache) [2168378]
- kunit: decrease macro layering for EQ/NE asserts (Nico Pache) [2168378]
- kunit: decrease macro layering for integer asserts (Nico Pache) [2168378]
- kunit: reduce layering in string assertion macros (Nico Pache) [2168378]
- kunit: drop unused intermediate macros for ptr inequality checks (Nico Pache) [2168378]
- kunit: make KUNIT_EXPECT_EQ() use KUNIT_EXPECT_EQ_MSG(), etc. (Nico Pache) [2168378]
- kunit: tool: Import missing importlib.abc (Nico Pache) [2168378]
- kunit: drop unused assert_type from kunit_assert and clean up macros (Nico Pache) [2168378]
- kunit: split out part of kunit_assert into a static const (Nico Pache) [2168378]
- kunit: factor out kunit_base_assert_format() call into kunit_fail() (Nico Pache) [2168378]
- kunit: drop unused kunit* field in kunit_assert (Nico Pache) [2168378]
- kunit: move check if assertion passed into the macros (Nico Pache) [2168378]
- kunit: add example test case showing off all the expect macros (Nico Pache) [2168378]
- clk: gate: Add some kunit test suites (Nico Pache) [2168378]
- kunit: replace kernel.h with the necessary inclusions (Nico Pache) [2168378]
- test_hash.c: refactor into kunit (Nico Pache) [2168378]
- lib/Kconfig.debug: properly split hash test kernel entries (Nico Pache) [2168378]
- test_hash.c: split test_hash_init (Nico Pache) [2168378]
- test_hash.c: split test_int_hash into arch-specific functions (Nico Pache) [2168378]
- hash.h: remove unused define directive (Nico Pache) [2168378]
- Documentation: KUnit: Restyled Frequently Asked Questions (Nico Pache) [2168378]
- Documentation: KUnit: Restyle Test Style and Nomenclature page (Nico Pache) [2168378]
- Documentation: KUnit: Rework writing page to focus on writing tests (Nico Pache) [2168378]
- Documentation: kunit: Reorganize documentation related to running tests (Nico Pache) [2168378]
- Documentation: KUnit: Added KUnit Architecture (Nico Pache) [2168378]
- Documentation: KUnit: Rewrite getting started (Nico Pache) [2168378]
- Documentation: KUnit: Rewrite main page (Nico Pache) [2168378]
- kunit: tool: Default --jobs to number of CPUs (Nico Pache) [2168378]
- kunit: tool: fix newly introduced typechecker errors (Nico Pache) [2168378]
- kunit: tool: make `build` subcommand also reconfigure if needed (Nico Pache) [2168378]
- kunit: tool: delete kunit_parser.TestResult type (Nico Pache) [2168378]
- kunit: tool: use dataclass instead of collections.namedtuple (Nico Pache) [2168378]
- kunit: tool: suggest using decode_stacktrace.sh on kernel crash (Nico Pache) [2168378]
- kunit: tool: reconfigure when the used kunitconfig changes (Nico Pache) [2168378]
- kunit: tool: revamp message for invalid kunitconfig (Nico Pache) [2168378]
- kunit: tool: add --kconfig_add to allow easily tweaking kunitconfigs (Nico Pache) [2168378]
- kunit: tool: move Kconfig read_from_file/parse_from_string to package-level (Nico Pache) [2168378]
- kunit: tool: print parsed test results fully incrementally (Nico Pache) [2168378]
- kunit: Report test parameter results as (K)TAP subtests (Nico Pache) [2168378]
- kunit: Don't crash if no parameters are generated (Nico Pache) [2168378]
- kunit: tool: Report an error if any test has no subtests (Nico Pache) [2168378]
- kunit: tool: Do not error on tests without test plans (Nico Pache) [2168378]
- kunit: add run_checks.py script to validate kunit changes (Nico Pache) [2168378]
- Documentation: kunit: remove claims that kunit is a mocking framework (Nico Pache) [2168378]
- kunit: tool: fix --json output for skipped tests (Nico Pache) [2168378]
- net: kunit: add a test for dev_addr_lists (Nico Pache) [2168378]
- nitro_enclaves: Add KUnit tests for contiguous physical memory regions merging (Nico Pache) [2168378]
- nitro_enclaves: Add KUnit tests setup for the misc device functionality (Nico Pache) [2168378]
- include/kunit/test.h: replace kernel.h with the necessary inclusions (Nico Pache) [2168378]
- kunit: tool: fix typecheck errors about loading qemu configs (Nico Pache) [2168378]
- kunit: tool: continue past invalid utf-8 output (Nico Pache) [2168378]
- kunit: Reset suite count after running tests (Nico Pache) [2168378]
- kunit: tool: improve compatibility of kunit_parser with KTAP specification (Nico Pache) [2168378]
- kunit: tool: yield output from run_kernel in real time (Nico Pache) [2168378]
- kunit: tool: support running each suite/test separately (Nico Pache) [2168378]
- kunit: tool: actually track how long it took to run tests (Nico Pache) [2168378]
- kunit: tool: factor exec + parse steps into a function (Nico Pache) [2168378]
- kunit: add 'kunit.action' param to allow listing out tests (Nico Pache) [2168378]
- kunit: tool: show list of valid --arch options when invalid (Nico Pache) [2168378]
- kunit: tool: misc fixes (unused vars, imports, leaked files) (Nico Pache) [2168378]
- kunit: fix too small allocation when using suite-only kunit.filter_glob (Nico Pache) [2168378]
- kunit: tool: allow filtering test cases via glob (Nico Pache) [2168378]
- kunit: drop assumption in kunit-log-test about current suite (Nico Pache) [2168378]
- kunit: fix kernel-doc warnings due to mismatched arg names (Nico Pache) [2168378]
- bitfield: build kunit tests without structleak plugin (Nico Pache) [2168378]
- gcc-plugins/structleak: add makefile var for disabling structleak (Nico Pache) [2168378]
- kunit: fix reference count leak in kfree_at_end (Nico Pache) [2168378]
- kunit: tool: better handling of quasi-bool args (--json, --raw_output) (Nico Pache) [2168378]
- kcsan: test: Use kunit_skip() to skip tests (Nico Pache) [2168378]
- kcsan: test: Defer kcsan_test_init() after kunit initialization (Nico Pache) [2168378]
- lib/test: convert test_sort.c to use KUnit (Nico Pache) [2168378]
- math: RATIONAL_KUNIT_TEST should depend on RATIONAL instead of selecting it (Nico Pache) [2168378]
- math: make RATIONAL tristate (Nico Pache) [2168378]
- kunit: Print test statistics on failure (Nico Pache) [2168378]
- kunit: tool: make --raw_output support only showing kunit output (Nico Pache) [2168378]
- kunit: tool: add --kernel_args to allow setting module params (Nico Pache) [2168378]
- kunit: ubsan integration (Nico Pache) [2168378]
- fat: Add KUnit tests for checksums and timestamps (Nico Pache) [2168378]
- rtc: move RTC_LIB_KUNIT_TEST to proper location (Nico Pache) [2168378]
- RDMA/cma: Distinguish between sockaddr_in and sockaddr_in6 by size (Kamal Heib) [2168937]
- RDMA/umem: Remove unused 'work' member from struct ib_umem (Kamal Heib) [2168937]
- RDMA/restrack: Correct spelling (Kamal Heib) [2168937]
- scripts/spelling.txt: add "exsits" pattern and fix typo instances (Kamal Heib) [2168937]
- RDMA/cma: Refactor the inbound/outbound path records process flow (Kamal Heib) [2168937]
- RDMA/core: Refactor rdma_bind_addr (Kamal Heib) [2168937]
- RDMA/umem: Use dma-buf locked API to solve deadlock (Kamal Heib) [2168936]
- IB/IPoIB: Fix legacy IPoIB due to wrong number of queues (Kamal Heib) [2168936]
- RDMA/core: Fix ib block iterator counter overflow (Kamal Heib) [2168936]
- RDMA/srp: Move large values to a new enum for gcc13 (Kamal Heib) [2168936]
- IB/IPoIB: Fix queue count inconsistency for PKEY child interfaces (Kamal Heib) [2168936]
- RDMA/cm: Make QP FLUSHABLE for supported device (Kamal Heib) [2168936]
- RDMA: Extend RDMA kernel verbs ABI to support flush (Kamal Heib) [2168936]
- RDMA: Extend RDMA user ABI to support flush (Kamal Heib) [2168936]
- RDMA/cma: Change RoCE packet life time from 18 to 16 (Kamal Heib) [2168936]
- RDMA/srp: Fix error return code in srp_parse_options() (Kamal Heib) [2168936]
- RDMA: Extend RDMA kernel ABI to support atomic write (Kamal Heib) [2168936]
- RDMA: Extend RDMA user ABI to support atomic write (Kamal Heib) [2168936]
- RDMA/umem: remove FOLL_FORCE usage (Kamal Heib) [2168936]
- RDMA/nldev: Fix failure to send large messages (Kamal Heib) [2168936]
- RDMA/nldev: Add NULL check to silence false warnings (Kamal Heib) [2168936]
- RDMA/nldev: Add checks for nla_nest_start() in fill_stat_counter_qps() (Kamal Heib) [2168936]
- IB/isert: use the ISCSI_LOGIN_CURRENT_STAGE macro (Kamal Heib) [2168936]
- RDMA/nldev: Return "-EAGAIN" if the cm_id isn't from expected port (Kamal Heib) [2168936]
- RDMA/core: Make sure "ib_port" is valid when access sysfs node (Kamal Heib) [2168936]
- RDMA/restrack: Release MR restrack when delete (Kamal Heib) [2168936]
- IB/mad: Don't call to function that might sleep while in atomic context (Kamal Heib) [2168936]
- RDMA/core: Fix order of nldev_exit call (Kamal Heib) [2168936]
- RDMA/core: fix repeated words in comments (Kamal Heib) [2168936]
- IB/iser: open code iser_disconnected_handler (Kamal Heib) [2168936]
- IB/iser: add safety checks for state_mutex lock (Kamal Heib) [2168936]
- IB/iser: open code iser_conn_state_comp_exch (Kamal Heib) [2168936]
- RDMA/opa_vnic: fix spelling typo in comment (Kamal Heib) [2168936]
- RDMA/core: return -EOPNOSUPP for ODP unsupported device (Kamal Heib) [2168936]
- IB/uverbs: fix the typo of optional (Kamal Heib) [2168936]
- RDMA/umem: Prepare to dynamic dma-buf locking specification (Kamal Heib) [2168936]
- treewide: use get_random_u32() when possible (Kamal Heib) [2168933]
- treewide: use prandom_u32_max() when possible, part 1 (Kamal Heib) [2168933]
- RDMA/core: Clean up a variable name in ib_create_srq_user() (Kamal Heib) [2168933]
- RDMA/srp: Support more than 255 rdma ports (Kamal Heib) [2168933]
- RDMA/cm: Use DLID from inbound/outbound PathRecords as the datapath DLID (Kamal Heib) [2168933]
- RDMA/cma: Multiple path records support with netlink channel (Kamal Heib) [2168933]
- RDMA/core: Rename rdma_route.num_paths field to num_pri_alt_paths (Kamal Heib) [2168933]
- RDMA/srpt: Use flex array destination for memcpy() (Kamal Heib) [2168933]
- IB/cm: Refactor cm_insert_listen() and cm_find_listen() (Kamal Heib) [2168933]
- IB/cm: remove cm_id_priv->id.service_mask and service_mask parameter of cm_init_listen() (Kamal Heib) [2168933]
- IB/cm: Remove the service_mask parameter from ib_cm_listen() (Kamal Heib) [2168933]
- RDMA/core: Remove 'device' argument from rdma_build_skb() (Kamal Heib) [2168933]
- RDMA/srp: Use the attribute group mechanism for sysfs attributes (Kamal Heib) [2168933]
- RDMA/srp: Handle dev_set_name() failure (Kamal Heib) [2168933]
- RDMA/srp: Remove the srp_host.released completion (Kamal Heib) [2168933]
- RDMA/srp: Rework the srp_add_port() error path (Kamal Heib) [2168933]
- IB: move from strlcpy with unused retval to strscpy (Kamal Heib) [2168933]
- treewide: uapi: Replace zero-length arrays with flexible-array members (Kamal Heib) [2168933]
- RDMA/core: Delete IPsec flow action logic from the core (Kamal Heib) [2168933]
- scsi: qedf: Remove set but unused variable 'page' (Nilesh Javali) [2164963]
- scsi: qedf: Fix a UAF bug in __qedf_probe() (Nilesh Javali) [2164963]
- nh: fix scope used to find saddr when adding non gw nh (Guillaume Nault) [2189195]
- Revert "ip: fix dflt addr selection for connected nexthop" (Guillaume Nault) [2189195]
- Revert "ip: fix triggering of 'icmp redirect'" (Guillaume Nault) [2189195]
- ip: fix triggering of 'icmp redirect' (Guillaume Nault) [2189195]
- selftests/net: test nexthop without gw (Guillaume Nault) [2189195]
- ip: fix dflt addr selection for connected nexthop (Guillaume Nault) [2189195]
- skbuff: Extract list pointers to silence compiler warnings (Eric Chanudet) [2166789]
- tools/thermal: Fix possible path truncations (Eric Chanudet) [2166789]
- udf: Avoid using stale lengthOfImpUse (Eric Chanudet) [2166789]
- udf: Get rid of 0-length arrays in struct fileIdentDesc (Eric Chanudet) [2166789]
- udf: Get rid of 0-length arrays (Eric Chanudet) [2166789]
- udf: Remove unused declaration (Eric Chanudet) [2166789]
- udf: Check LVID earlier (Eric Chanudet) [2166789]
- treewide: Replace open-coded flex arrays in unions (Eric Chanudet) [2166789]
- hv_sock: Extract hvs_send_data() helper that takes only header (Eric Chanudet) [2166789]
- scsi: lpfc: Use struct_group to isolate cast to larger object (Eric Chanudet) [2166789]
- ipv4: Fix incorrect table ID in IOCTL path (Guillaume Nault) [2187873]
- ext4: fix possible double unlock when moving a directory (Carlos Maiolino) [2188241]
- ext4: zero i_disksize when initializing the bootloader inode (Carlos Maiolino) [2188241]
- ext4: make sure fs error flag setted before clear journal error (Carlos Maiolino) [2188241]
- ext4: commit super block if fs record error when journal record without error (Carlos Maiolino) [2188241]
- ext4, jbd2: add an optimized bmap for the journal inode (Carlos Maiolino) [2188241]
- ext4: fix WARNING in ext4_update_inline_data (Carlos Maiolino) [2188241]
- ext4: move where set the MAY_INLINE_DATA flag is set (Carlos Maiolino) [2188241]
- ext4: Fix deadlock during directory rename (Carlos Maiolino) [2188241]
- ext4: Fix comment about the 64BIT feature (Carlos Maiolino) [2188241]
- ext4: fix another off-by-one fsmap error on 1k block filesystems (Carlos Maiolino) [2188241]
- ext4: fix RENAME_WHITEOUT handling for inline directories (Carlos Maiolino) [2188241]
- ext4: make kobj_type structures constant (Carlos Maiolino) [2188241]
- ext4: fix cgroup writeback accounting with fs-layer encryption (Carlos Maiolino) [2188241]
- ext4: fix incorrect options show of original mount_opt and extend mount_opt2 (Carlos Maiolino) [2188241]
- ext4: Fix possible corruption when moving a directory (Carlos Maiolino) [2188241]
- ext4: init error handle resource before init group descriptors (Carlos Maiolino) [2188241]
- ext4: fix task hung in ext4_xattr_delete_inode (Carlos Maiolino) [2188241]
- jbd2: fix data missing when reusing bh which is ready to be checkpointed (Carlos Maiolino) [2188241]
- ext4: update s_journal_inum if it changes after journal replay (Carlos Maiolino) [2188241]
- ext4: fail ext4_iget if special inode unallocated (Carlos Maiolino) [2188241]
- ext4: remove unnecessary variable initialization (Carlos Maiolino) [2188241]
- ext4: fix inode tree inconsistency caused by ENOMEM (Carlos Maiolino) [2188241]
- ext4: refuse to create ea block when umounted (Carlos Maiolino) [2188241]
- ext4: optimize ea_inode block expansion (Carlos Maiolino) [2188241]
- ext4: allocate extended attribute value in vmalloc area (Carlos Maiolino) [2188241]
- ext4: remove dead code in updating backup sb (Carlos Maiolino) [2188241]
- ext4: dio take shared inode lock when overwriting preallocated blocks (Carlos Maiolino) [2188241]
- ext4: don't show commit interval if it is zero (Carlos Maiolino) [2188241]
- ext4: use ext4_fc_tl_mem in fast-commit replay path (Carlos Maiolino) [2188241]
- ext4: improve xattr consistency checking and error reporting (Carlos Maiolino) [2188241]
- fs/ext4: use try_cmpxchg in ext4_update_bh_state (Carlos Maiolino) [2188241]
- ext4: Fix function prototype mismatch for ext4_feat_ktype (Carlos Maiolino) [2188241]
- ext4: make xattr char unsignedness in hash explicit (Carlos Maiolino) [2188241]
- ext4: deal with legacy signed xattr name hash values (Carlos Maiolino) [2188241]
- NFS: Remove "select RPCSEC_GSS_KRB5 (Scott Mayhew) [2178741]
- SUNRPC: Fix a crash in gss_krb5_checksum() (Scott Mayhew) [2178741]
- NFS & NFSD: Update GSS dependencies (Scott Mayhew) [2178741]
- SUNRPC: Move remaining internal definitions to gss_krb5_internal.h (Scott Mayhew) [2178741]
- SUNRPC: Advertise support for the Camellia encryption types (Scott Mayhew) [2178741]
- SUNRPC: Add KDF_FEEDBACK_CMAC (Scott Mayhew) [2178741]
- SUNRPC: Support the Camellia enctypes (Scott Mayhew) [2178741]
- SUNRPC: Advertise support for RFC 8009 encryption types (Scott Mayhew) [2178741]
- SUNRPC: Add RFC 8009 encryption and decryption functions (Scott Mayhew) [2178741]
- SUNRPC: Add KDF-HMAC-SHA2 (Scott Mayhew) [2178741]
- SUNRPC: Add gk5e definitions for RFC 8009 encryption types (Scott Mayhew) [2178741]
- SUNRPC: Refactor CBC with CTS into helpers (Scott Mayhew) [2178741]
- SUNRPC: Add new subkey length fields (Scott Mayhew) [2178741]
- SUNRPC: Parametrize the key length passed to context_v2_alloc_cipher() (Scott Mayhew) [2178741]
- SUNRPC: Clean up cipher set up for v1 encryption types (Scott Mayhew) [2178741]
- SUNRPC: Hoist KDF into struct gss_krb5_enctype (Scott Mayhew) [2178741]
- SUNRPC: Rename .encrypt_v2 and .decrypt_v2 methods (Scott Mayhew) [2178741]
- SUNRPC: Remove ->encrypt and ->decrypt methods from struct gss_krb5_enctype (Scott Mayhew) [2178741]
- SUNRPC: Enable rpcsec_gss_krb5.ko to be built without CRYPTO_DES (Scott Mayhew) [2178741]
- SUNRPC: Replace KRB5_SUPPORTED_ENCTYPES macro (Scott Mayhew) [2178741]
- NFSD: Replace /proc/fs/nfsd/supported_krb5_enctypes with a symlink (Scott Mayhew) [2178741]
- SUNRPC: Add /proc/net/rpc/gss_krb5_enctypes file (Scott Mayhew) [2178741]
- SUNRPC: Remove another switch on ctx->enctype (Scott Mayhew) [2178741]
- SUNRPC: Refactor the GSS-API Per Message calls in the Kerberos mechanism (Scott Mayhew) [2178741]
- SUNRPC: Obscure Kerberos integrity keys (Scott Mayhew) [2178741]
- SUNRPC: Obscure Kerberos signing keys (Scott Mayhew) [2178741]
- SUNRPC: Obscure Kerberos encryption keys (Scott Mayhew) [2178741]
- SUNRPC: Refactor set-up for aux_cipher (Scott Mayhew) [2178741]
- SUNRPC: Obscure Kerberos session key (Scott Mayhew) [2178741]
- SUNRPC: Improve Kerberos confounder generation (Scott Mayhew) [2178741]
- SUNRPC: Remove .conflen field from struct gss_krb5_enctype (Scott Mayhew) [2178741]
- SUNRPC: Remove .blocksize field from struct gss_krb5_enctype (Scott Mayhew) [2178741]
- SUNRPC: Add header ifdefs to linux/sunrpc/gss_krb5.h (Scott Mayhew) [2178741]
- Add new RPCSEC_GSS_KRB5 configs to RHEL configs (Scott Mayhew) [2178741]
- sfc: remove expired unicast PTP filters (Íñigo Huguet) [2151464]
- sfc: support unicast PTP (Íñigo Huguet) [2151464]
- sfc: allow insertion of filters for unicast PTP (Íñigo Huguet) [2151464]
- sfc: store PTP filters in a list (Íñigo Huguet) [2151464]
- arm64: dts: qcom: sa8775p-ride: enable the BT UART port (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p-ride: enable the GNSS UART port (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p: add high-speed UART nodes (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p-ride: enable the SPI node (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p: add the spi16 node (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p-ride: enable i2c18 (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p: add the i2c18 node (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p-ride: enable QUPv3 #2 (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p: add the QUPv3 #2 node (Adrien Thierry) [2186616]
- arm64: dts: qcom: sa8775p: add cpufreq node (Adrien Thierry) [2186616]
- arm64: dts: qcom: add initial support for qcom sa8775p-ride (Adrien Thierry) [2186616]
- clk: qcom: gcc-sa8775p: remove unused variables (Adrien Thierry) [2186616]
- pinctrl: qcom: add the tlmm driver sa8775p platforms (Adrien Thierry) [2186616]
- interconnect: qcom: add a driver for sa8775p (Adrien Thierry) [2186616]
- dt-bindings: interconnect: qcom: document the interconnects for sa8775p (Adrien Thierry) [2186616]
- clk: qcom: add the GCC driver for sa8775p (Adrien Thierry) [2186616]
- dt-bindings: clock: Add Qualcomm SA8775P GCC (Adrien Thierry) [2186616]
- soc: qcom: rmphpd: add power domains for sa8775p (Adrien Thierry) [2186616]
- dt-bindings: power: qcom,rpmpd: document sa8775p (Adrien Thierry) [2186616]
- clk: qcom: rpmh: add clocks for sa8775p (Adrien Thierry) [2186616]
- netfilter: nfnetlink_queue: enable classid socket info retrieval (Florian Westphal) [2087510]
- nfqueue: enable to set skb->priority (Florian Westphal) [2087510]
- netfilter: nfqueue: enable to get skb->priority (Florian Westphal) [2087510]
- KVM: s390: selftest: memop: Add cmpxchg tests (Thomas Huth) [2183983]
- Documentation: KVM: s390: Describe KVM_S390_MEMOP_F_CMPXCHG (Thomas Huth) [2183983]
- KVM: s390: Extend MEM_OP ioctl by storage key checked cmpxchg (Thomas Huth) [2183983]
- KVM: s390: Refactor vcpu mem_op function (Thomas Huth) [2183983]
- KVM: s390: Refactor absolute vm mem_op function (Thomas Huth) [2183983]
- KVM: s390: Dispatch to implementing function at top level of vm mem_op (Thomas Huth) [2183983]
- KVM: s390: Move common code of mem_op functions into function (Thomas Huth) [2183983]
- KVM: s390: selftest: memop: Fix integer literal (Thomas Huth) [2183983]
- KVM: s390: selftest: memop: Fix wrong address being used in test (Thomas Huth) [2183983]
- KVM: s390: selftest: memop: Fix typo (Thomas Huth) [2183983]
- KVM: s390: selftest: memop: Add bad address test (Thomas Huth) [2183983]
- KVM: s390: selftest: memop: Move testlist into main (Thomas Huth) [2183983]
- KVM: s390: selftest: memop: Replace macros by functions (Thomas Huth) [2183983]
- KVM: s390: selftest: memop: Pass mop_desc via pointer (Thomas Huth) [2183983]
- KVM: selftests: move common startup logic to kvm_util.c (Thomas Huth) [2183983]
- s390/uaccess: avoid __ashlti3() call (Thomas Huth) [2183983]
- s390/uaccess: limit number of retries for cmpxchg_user_key() (Thomas Huth) [2183983]
- s390/cmpxchg: make loop condition for 1,2 byte cases precise (Thomas Huth) [2183983]
- s390/uaccess: add cmpxchg_user_key() (Thomas Huth) [2183983]
- s390/extable: add EX_TABLE_UA_LOAD_REGPAIR() macro (Thomas Huth) [2183983]
- s390/cmpxchg: remove digits from input constraints (Thomas Huth) [2183983]
- s390/cmpxchg: make variables local to each case label (Thomas Huth) [2183983]
- s390/cmpxchg: use symbolic names for inline assembly operands (Thomas Huth) [2183983]
- selftests: fib_tests: Add test cases for IPv4/IPv6 in route notify (Hangbin Liu) [2186065]
- selftests/net: l2_tos_ttl_inherit.sh: Ensure environment cleanup on failure. (Hangbin Liu) [2186065]
- selftests/net: l2_tos_ttl_inherit.sh: Run tests in their own netns. (Hangbin Liu) [2186065]
- selftests/net: l2_tos_ttl_inherit.sh: Set IPv6 addresses with "nodad". (Hangbin Liu) [2186065]
- selftests/net: fix reinitialization of TEST_PROGS in net self tests. (Hangbin Liu) [2186065]
- selftests/net: test l2 tunnel TOS/TTL inheriting (Hangbin Liu) [2186065]
- selftests: net: rps_default_mask.sh: delete veth link specifically (Hangbin Liu) [2186065]
- selftests: net: devlink_port_split.py: skip test if no suitable device available (Hangbin Liu) [2186065]
- selftests: net: tcp_mmap: populate pages in send path (Hangbin Liu) [2186065]
- selftests: nft_nat: ensuring the listening side is up before starting the client (Hangbin Liu) [2186065]
- selftest: fib_tests: Always cleanup before exit (Hangbin Liu) [2186065]
- selftests/net: Interpret UDP_GRO cmsg data as an int value (Hangbin Liu) [2186065]
- selftests: forwarding: lib: quote the sysctl values (Hangbin Liu) [2186065]
- selftests: forwarding: Fix failing tests with old libnet (Hangbin Liu) [2186065]
- selftests/net: so_txtime: usage(): fix documentation of default clock (Hangbin Liu) [2186065]
- selftests: fib_rule_tests: Test UDP and TCP connections with DSCP rules. (Hangbin Liu) [2186064]
- ipv6: Fix tcp socket connection with DSCP. (Hangbin Liu) [2186064]
- ipv6: Fix datagram socket connection with DSCP. (Hangbin Liu) [2186064]
- ipv6: Fix an uninit variable access bug in __ip6_make_skb() (Hangbin Liu) [2186064]
- ipv6: Add lwtunnel encap size of all siblings in nexthop calculation (Hangbin Liu) [2186064]
- selftests: net: fib_rule_tests: fix support for running individual tests (Hangbin Liu) [2186064]
- selftests: net: fib_rule_tests: add support to select a test to run (Hangbin Liu) [2186064]
- net: setsockopt: fix IPV6_UNICAST_IF option for connected sockets (Hangbin Liu) [2186064]
- net-next: Fix IP_UNICAST_IF option behavior for connected sockets (Hangbin Liu) [2186064]
- media: videobuf2: Assert held reservation lock for dma-buf mmapping (Mika Penttilä) [2160456]
- media: videobuf2: Stop using internal dma-buf lock (Mika Penttilä) [2160456]
- media: videobuf2: Prepare to dynamic dma-buf locking specification (Mika Penttilä) [2160456]
- dma-buf: Add unlocked variant of vmapping functions (Mika Penttilä) [2160456]
- of: device: make of_device_uevent_modalias() take a const device * (Mika Penttilä) [2160456]
- driver core: pass a const * into of_device_uevent() (Mika Penttilä) [2160456]
- resource: Convert DEFINE_RES_NAMED() to be compound literal (Mika Penttilä) [2160456]
- i915: Move list_count() to list.h as list_count_nodes() for broader use (Mika Penttilä) [2160456]
- container_of: add container_of_const() that preserves const-ness of the pointer (Mika Penttilä) [2160456]
- drm: Move nomodeset kernel parameter to drivers/video (Mika Penttilä) [2160456]
- iosys-map: Add IOSYS_MAP_INIT_VADDR_IOMEM() (Mika Penttilä) [2160456]
- ACPI: video: Allow GPU drivers to report no panels (Mika Penttilä) [2160456]
- ACPI: video: Make backlight class device registration a separate step (v2) (Mika Penttilä) [2160456]
- ACPI: video: Add acpi_video_backlight_use_native() helper (Mika Penttilä) [2160456]
- nfsd: fix courtesy client with deny mode handling in nfs4_upgrade_open (Jeffrey Layton) [2180124]
- NFSD: register/unregister of nfsd-client shrinker at nfsd startup/shutdown time (Jeffrey Layton) [2180124]
- NFSD: refactoring courtesy_client_reaper to a generic low memory shrinker (Jeffrey Layton) [2180124]
- NFSD: add shrinker to reap courtesy clients on low memory condition (Jeffrey Layton) [2180124]
- NFSD: keep track of the number of courtesy clients in the system (Jeffrey Layton) [2180124]
- NFSD: limit the number of v4 clients to 1024 per 1GB of system memory (Jeffrey Layton) [2180124]
- NFSD: keep track of the number of v4 clients in the system (Jeffrey Layton) [2180124]
- NFSD: Show state of courtesy client in client info (Jeffrey Layton) [2180124]
- NFSD: add support for lock conflict to courteous server (Jeffrey Layton) [2180124]
- NFSD: add support for share reservation conflict to courteous server (Jeffrey Layton) [2180124]
- NFSD: add courteous server support for thread with only delegation (Jeffrey Layton) [2180124]
- l2tp: generate correct module alias strings (Ivan Vecera) [2178211]
- tools headers uapi: Update linux/in.h copy (Ivan Vecera) [2178211]
- flow_offload: Introduce flow_match_l2tpv3 (Ivan Vecera) [2178211]
- net/sched: flower: Add L2TPv3 filter (Ivan Vecera) [2178211]
- flow_dissector: Add L2TPv3 dissectors (Ivan Vecera) [2178211]
- uapi: move IPPROTO_L2TP to in.h (Ivan Vecera) [2178211]
- scsi: qla2xxx: Synchronize the IOCB count to be in order (Nilesh Javali) [2164960]
- scsi: qla2xxx: Use the proper SCSI midlayer interfaces for PI (Nilesh Javali) [2164960]
- scsi: qla2xxx: Remove the unused variable wwn (Nilesh Javali) [2164960]
- scsi: qla2xxx: Simplify if condition evaluation (Nilesh Javali) [2164960]
- scsi: qla2xxx: Use a variable for repeated mem_size computation (Nilesh Javali) [2164960]
- scsi: qla2xxx: Make qla_trim_buf() and __qla_adjust_buf() static (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix printk() format string (Nilesh Javali) [2164960]
- scsi: qla2xxx: Update version to 10.02.08.200-k (Nilesh Javali) [2164960]
- scsi: qla2xxx: Select qpair depending on which CPU post_cmd() gets called (Nilesh Javali) [2164960]
- scsi: qla2xxx: edif: Fix clang warning (Nilesh Javali) [2164960]
- scsi: qla2xxx: edif: Reduce memory usage during low I/O (Nilesh Javali) [2164960]
- scsi: qla2xxx: edif: Fix stall session after app start (Nilesh Javali) [2164960]
- scsi: qla2xxx: edif: Fix performance dip due to lock contention (Nilesh Javali) [2164960]
- scsi: qla2xxx: Relocate/rename vp map (Nilesh Javali) [2164960]
- scsi: qla2xxx: Remove dead code (GNN ID) (Nilesh Javali) [2164960]
- scsi: qla2xxx: Remove dead code (GPNID) (Nilesh Javali) [2164960]
- scsi: qla2xxx: Remove dead code (Nilesh Javali) [2164960]
- scsi: qla2xxx: Update version to 10.02.08.100-k (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix IOCB resource check warning (Nilesh Javali) [2164960]
- scsi: qla2xxx: Remove increment of interface err cnt (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix erroneous link down (Nilesh Javali) [2164960]
- scsi: qla2xxx: Remove unintended flag clearing (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix stalled login (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix exchange oversubscription for management commands (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix exchange oversubscription (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix DMA-API call trace on NVMe LS requests (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix link failure in NPIV environment (Nilesh Javali) [2164960]
- scsi: qla2xxx: Check if port is online before sending ELS (Nilesh Javali) [2164960]
- scsi: qla2xxx: Initialize vha->unknown_atio_[list, work] for NPIV hosts (Nilesh Javali) [2164960]
- scsi: qla2xxx: Remove duplicate of vha->iocb_work initialization (Nilesh Javali) [2164960]
- scsi: qla2xxx: Remove unused variable 'found_devs' (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix set-but-not-used variable warnings (Nilesh Javali) [2164960]
- scsi: qla2xxx: Fix memory leak in __qlt_24xx_handle_abts() (Nilesh Javali) [2164960]
- arm64: dts: qcom: sc8280xp: add rng device tree node (Brian Masney) [2121062]
- crypto: qcom-rng - fix infinite loop on requests not multiple of WORD_SZ (Brian Masney) [2121062]
- crypto: qcom-rng - ensure buffer for generate is completely filled (Brian Masney) [2121062]
- RDMA/irdma: Add support for dmabuf pin memory regions (Kamal Heib) [2153378]
- RDMA/irdma: Fix potential NULL-ptr-dereference (Kamal Heib) [2153378]
- RDMA/irdma: Split CQ handler into irdma_reg_user_mr_type_cq (Kamal Heib) [2153378]
- RDMA/irdma: Split QP handler into irdma_reg_user_mr_type_qp (Kamal Heib) [2153378]
- RDMA/irdma: Split mr alloc and free into new functions (Kamal Heib) [2153378]
- RDMA/irdma: Split MEM handler into irdma_reg_user_mr_type_mem (Kamal Heib) [2153378]
- RDMA/irdma: Remove extra ret variable in favor of existing err (Kamal Heib) [2153378]
- RDMA/irdma: Initialize net_type before checking it (Kamal Heib) [2153378]
- RDMA/irdma: Do not request 2-level PBLEs for CQ alloc (Kamal Heib) [2153378]
- RDMA/irdma: Fix RQ completion opcode (Kamal Heib) [2153378]
- RDMA/irdma: Fix inline for multiple SGE's (Kamal Heib) [2153378]
- dmaengine: drivers: Use devm_platform_ioremap_resource() (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: Set link_rx bit on GO TRE for rx operation (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: Document preferred SM6350 binding (Alessandro Carminati) [2177677]
- dmaengine: qcom: deprecate redundant of_device_id entries (Alessandro Carminati) [2177677]
- dmaengine: qcom-adm: fix wrong calling convention for prep_slave_sg (Alessandro Carminati) [2177677]
- dmaengine: qcom-adm: fix wrong sizeof config in slave_config (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: move read_lock_bh to read_lock in tasklet (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: Add SM6350 support (Alessandro Carminati) [2177677]
- dmaengine: qcom: bam_dma: fix runtime PM underflow (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: Add support for sc7280 (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: Add SM8350 support (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: Add support for ee_offset (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: set chain and link flag for duplex (Alessandro Carminati) [2177677]
- dmaengine: nbpfaxi: Use platform_get_irq_optional() to get the interrupt (Alessandro Carminati) [2177677]
- dmaengine: sf-pdma: Get number of channel by device tree (Alessandro Carminati) [2177677]
- dmaengine: qcom-adm: stop abusing slave_id config (Alessandro Carminati) [2177677]
- dmaengine: qcom: gpi: Remove unnecessary print function dev_err() (Alessandro Carminati) [2177677]
- dmaengine: qcom: bam_dma: Add "powered remotely" mode (Alessandro Carminati) [2177677]
- watchdog: sp5100_tco: Fix a memory leak of EFCH MMIO resource (David Arcari) [2189375]
- i2c: piix4: Fix a memory leak in the EFCH MMIO support (David Arcari) [2189375]
Resolves: rhbz#2087510, rhbz#2121062, rhbz#2123536, rhbz#2151464, rhbz#2153378, rhbz#2160456, rhbz#2164960, rhbz#2164963, rhbz#2166789, rhbz#2168378, rhbz#2168933, rhbz#2168936, rhbz#2168937, rhbz#2177677, rhbz#2178211, rhbz#2178741, rhbz#2180124, rhbz#2183983, rhbz#2186064, rhbz#2186065, rhbz#2186616, rhbz#2187873, rhbz#2188241, rhbz#2188822, rhbz#2189195, rhbz#2189375

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-29 10:56:53 +02:00
Jan Stancek
f19f2c7743 kernel-5.14.0-305.el9
* Thu Apr 27 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-305.el9]
- i40e: Fix kernel crash during reboot when adapter is in recovery mode (Stefan Assmann) [2153285]
- i40e: add double of VLAN header when computing the max MTU (Stefan Assmann) [2153285]
- i40e: Add checking for null for nlmsg_find_attr() (Stefan Assmann) [2153285]
- net/i40e: Replace 0-length array with flexible array (Stefan Assmann) [2153285]
- i40e: use ERR_PTR error print in i40e messages (Stefan Assmann) [2153285]
- i40e: use int for i40e_status (Stefan Assmann) [2153285]
- i40e: Remove string printing for i40e_status (Stefan Assmann) [2153285]
- i40e: Remove unused i40e status codes (Stefan Assmann) [2153285]
- net/sched: move struct tc_mqprio_qopt_offload from pkt_cls.h to pkt_sched.h (Stefan Assmann) [2153285]
- i40e: Remove redundant pci_enable_pcie_error_reporting() (Stefan Assmann) [2153285]
- virtchnl: i40e/iavf: rename iwarp to rdma (Stefan Assmann) [2153285]
- treewide: Convert del_timer*() to timer_shutdown*() (Stefan Assmann) [2153285]
- i40e: Fix the inability to attach XDP program on downed interface (Stefan Assmann) [2153285]
- i40e: allow toggling loopback mode via ndo_set_features callback (Stefan Assmann) [2153285]
- i40e: Disallow ip4 and ip6 l4_4_bytes (Stefan Assmann) [2153285]
- i40e: Fix for VF MAC address 0 (Stefan Assmann) [2153285]
- i40e: Fix not setting default xps_cpus after reset (Stefan Assmann) [2153285]
- redhat/configs: Enable CONFIG_SLS (Waiman Long) [2180952]
- x86,retpoline: Be sure to emit INT3 after JMP *%%\reg (Waiman Long) [2180952]
- selftests: tls: add a test for queuing data before setting the ULP (Sabrina Dubroca) [2183538]
- net: tls: fix device-offloaded sendpage straddling records (Sabrina Dubroca) [2183538]
- net: tls: avoid hanging tasks on the tx_lock (Sabrina Dubroca) [2183538]
- tls: rx: fix return value for async crypto (Sabrina Dubroca) [2183538]
- net/tls: tls_is_tx_ready() checked list_entry (Sabrina Dubroca) [2183538]
- net/tls: Fix memory leak in tls_enc_skb() and tls_sw_fallback_init() (Sabrina Dubroca) [2183538]
- net: tls: Add ARIA-GCM algorithm (Sabrina Dubroca) [2183538]
- net/tls: Support 256 bit keys with TX device offload (Sabrina Dubroca) [2183538]
- net/tls: Use cipher sizes structs (Sabrina Dubroca) [2183538]
- net/tls: Describe ciphers sizes by const structs (Sabrina Dubroca) [2183538]
- mlxsw: core: Register devlink instance before sub-objects (Petr Oros) [2172273]
- mlxsw: spectrum_acl_tcam: Move devlink param to TCAM code (Petr Oros) [2172273]
- mlxsw: spectrum_acl_tcam: Reorder functions to avoid forward declarations (Petr Oros) [2172273]
- mlxsw: spectrum_acl_tcam: Make fini symmetric to init (Petr Oros) [2172273]
- mlxsw: spectrum_acl_tcam: Add missing mutex_destroy() (Petr Oros) [2172273]
- net/mlx5e: Create auxdev devlink instance in the same ns as parent devlink (Petr Oros) [2172273]
- bnxt_en: fix devlink port registration to netdev (Petr Oros) [2172273]
- ice: move devlink port creation/deletion (Petr Oros) [2172273]
- sefltests: netdevsim: wait for devlink instance after netns removal (Petr Oros) [2172273]
- devlink: Fix netdev notifier chain corruption (Petr Oros) [2172273]
- devlink: Fix TP_STRUCT_entry in trace of devlink health report (Petr Oros) [2172273]
- devlink: Update devlink health documentation (Petr Oros) [2172273]
- devlink: Move health common function to health file (Petr Oros) [2172273]
- devlink: Move devlink health test to health file (Petr Oros) [2172273]
- devlink: Move devlink health dump to health file (Petr Oros) [2172273]
- devlink: Move devlink fmsg and health diagnose to health file (Petr Oros) [2172273]
- devlink: Move devlink health report and recover to health file (Petr Oros) [2172273]
- devlink: Move devlink health get and set code to health file (Petr Oros) [2172273]
- devlink: health: Fix nla_nest_end in error flow (Petr Oros) [2172273]
- devlink: Split out health reporter create code (Petr Oros) [2172273]
- devlink: don't allow to change net namespace for FW_ACTIVATE reload action (Petr Oros) [2172273]
- devlink: add forgotten devlink instance lock assertion to devl_param_driverinit_value_set() (Petr Oros) [2172273]
- devlink: allow to call devl_param_driverinit_value_get() without holding instance lock (Petr Oros) [2172273]
- devlink: convert param list to xarray (Petr Oros) [2172273]
- devlink: use xa_for_each_start() helper in devlink_nl_cmd_port_get_dump_one() (Petr Oros) [2172273]
- devlink: fix the name of value arg of devl_param_driverinit_value_get() (Petr Oros) [2172273]
- devlink: make sure driver does not read updated driverinit param before reload (Petr Oros) [2172273]
- devlink: don't use strcpy() to copy param value (Petr Oros) [2172273]
- devlink: stop using NL_SET_ERR_MSG_MOD (Petr Oros) [2172273]
- devlink: change port event netdev notifier from per-net to global (Petr Oros) [2172273]
- devlink: Fix memleak in health diagnose callback (Petr Oros) [2172273]
- devlink: Move devlink dev selftest code to dev (Petr Oros) [2172273]
- devlink: Move devlink_info_req struct to be local (Petr Oros) [2172273]
- devlink: Move devlink dev flash code to dev (Petr Oros) [2172273]
- devlink: Move devlink dev info code to dev (Petr Oros) [2172273]
- devlink: Move devlink dev eswitch code to dev (Petr Oros) [2172273]
- devlink: Move devlink dev reload code to dev (Petr Oros) [2172273]
- devlink: Split out dev get and dump code (Petr Oros) [2172273]
- devlink: rename and reorder instances of struct devlink_cmd (Petr Oros) [2172273]
- devlink: remove "gen" from struct devlink_gen_cmd name (Petr Oros) [2172273]
- devlink: rename devlink_nl_instance_iter_dump() to "dumpit" (Petr Oros) [2172273]
- devlink: remove devlink features (Petr Oros) [2172273]
- devlink: send objects notifications during devlink reload (Petr Oros) [2172273]
- devlink: move devlink reload notifications back in between _down() and _up() calls (Petr Oros) [2172273]
- mlxsw: spectrum: Remove pointless call to devlink_param_driverinit_value_set() (Petr Oros) [2172273]
- net/mlx5: Move eswitch port metadata devlink param to flow eswitch code (Petr Oros) [2172273]
- net/mlx5: Move flow steering devlink param to flow steering code (Petr Oros) [2172273]
- net/mlx5: Move fw reset devlink param to fw reset code (Petr Oros) [2172273]
- devlink: protect devlink param list by instance lock (Petr Oros) [2172273]
- devlink: put couple of WARN_ONs in devlink_param_driverinit_value_get() (Petr Oros) [2172273]
- devlink: make devlink_param_driverinit_value_set() return void (Petr Oros) [2172273]
- qed: remove pointless call to devlink_param_driverinit_value_set() (Petr Oros) [2172273]
- ice: remove pointless calls to devlink_param_driverinit_value_set() (Petr Oros) [2172273]
- devlink: don't work with possible NULL pointer in devlink_param_unregister() (Petr Oros) [2172273]
- devlink: make devlink_param_register/unregister static (Petr Oros) [2172273]
- net/mlx5: Covert devlink params registration to use devlink_params_register/unregister() (Petr Oros) [2172273]
- net/mlx5: Change devlink param register/unregister function names (Petr Oros) [2172273]
- net/mlx5: Unregister traps on driver unload flow (Petr Oros) [2172273]
- devlink: remove a dubious assumption in fmsg dumping (Petr Oros) [2172273]
- netlink: fix spelling mistake in dump size assert (Petr Oros) [2172273]
- devlink: add instance lock assertion in devl_is_registered() (Petr Oros) [2172273]
- devlink: remove devlink_dump_for_each_instance_get() helper (Petr Oros) [2172273]
- devlink: convert reporters dump to devlink_nl_instance_iter_dump() (Petr Oros) [2172273]
- devlink: convert linecards dump to devlink_nl_instance_iter_dump() (Petr Oros) [2172273]
- devlink: remove reporter reference counting (Petr Oros) [2172273]
- devlink: remove devl*_port_health_reporter_destroy() (Petr Oros) [2172273]
- devlink: remove reporters_lock (Petr Oros) [2172273]
- devlink: protect health reporter operation with instance lock (Petr Oros) [2172273]
- net/mlx5: Remove MLX5E_LOCKED_FLOW flag (Petr Oros) [2172273]
- net/mlx5e: Create separate devlink instance for ethernet auxiliary device (Petr Oros) [2172273]
- devlink: remove linecard reference counting (Petr Oros) [2172273]
- devlink: remove linecards lock (Petr Oros) [2172273]
- mlxsw: core_linecards: Separate line card init and fini flow (Petr Oros) [2172273]
- devlink: remove some unnecessary code (Petr Oros) [2172273]
- devlink: keep the instance mutex alive until references are gone (Petr Oros) [2172273]
- netdevsim: move devlink registration under the instance lock (Petr Oros) [2172273]
- netdevsim: rename a label (Petr Oros) [2172273]
- devlink: allow registering parameters after the instance (Petr Oros) [2172273]
- devlink: don't require setting features before registration (Petr Oros) [2172273]
- devlink: remove the registration guarantee of references (Petr Oros) [2172273]
- devlink: always check if the devlink instance is registered (Petr Oros) [2172273]
- devlink: protect devlink->dev by the instance lock (Petr Oros) [2172273]
- devlink: update the code in netns move to latest helpers (Petr Oros) [2172273]
- devlink: bump the instance index directly when iterating (Petr Oros) [2172273]
- devlink: convert remaining dumps to the by-instance scheme (Petr Oros) [2172273]
- devlink: add by-instance dump infra (Petr Oros) [2172273]
- devlink: uniformly take the devlink instance lock in the dump loop (Petr Oros) [2172273]
- devlink: restart dump based on devlink instance ids (function) (Petr Oros) [2172273]
- devlink: restart dump based on devlink instance ids (nested) (Petr Oros) [2172273]
- devlink: restart dump based on devlink instance ids (simple) (Petr Oros) [2172273]
- devlink: health: combine loops in dump (Petr Oros) [2172273]
- devlink: drop the filter argument from devlinks_xa_find_get (Petr Oros) [2172273]
- devlink: remove start variables from dumps (Petr Oros) [2172273]
- devlink: use an explicit structure for dump context (Petr Oros) [2172273]
- netlink: add macro for checking dump ctx size (Petr Oros) [2172273]
- devlink: split out netlink code (Petr Oros) [2172273]
- devlink: split out core code (Petr Oros) [2172273]
- devlink: rename devlink_netdevice_event -> devlink_port_netdevice_event (Petr Oros) [2172273]
- devlink: move code to a dedicated directory (Petr Oros) [2172273]
- devlink: protect devlink dump by the instance lock (Petr Oros) [2172273]
- selftests: devlink: add a warning for interfaces coming up (Petr Oros) [2172273]
- selftests: devlink: fix the fd redirect in dummy_reporter_test (Petr Oros) [2172273]
- devlink: hold region lock when flushing snapshots (Petr Oros) [2172273]
- net: devlink: Add missing error check to devlink_resource_put() (Petr Oros) [2172273]
- devlink: Expose port function commands to control migratable (Petr Oros) [2172273]
- devlink: Expose port function commands to control RoCE (Petr Oros) [2172273]
- devlink: Move devlink port function hw_addr attr documentation (Petr Oros) [2172273]
- net/mlx5: Fix orthography errors in documentation (Petr Oros) [2172273]
- devlink: Validate port function request (Petr Oros) [2172273]
- net: devlink: add DEVLINK_INFO_VERSION_GENERIC_FW_BOOTLOADER (Petr Oros) [2172273]
- net: devlink: convert port_list into xarray (Petr Oros) [2172273]
- net: devlink: clean-up empty devlink_ops::info_get() (Petr Oros) [2172273]
- net: devlink: make the devlink_ops::info_get() callback optional (Petr Oros) [2172273]
- net: devlink: let the core report the driver name instead of the drivers (Petr Oros) [2172273]
- devlink: support directly reading from region memory (Petr Oros) [2172273]
- devlink: refactor region_read_snapshot_fill to use a callback function (Petr Oros) [2172273]
- devlink: remove unnecessary parameter from chunk_fill function (Petr Oros) [2172273]
- devlink: find snapshot in devlink_nl_cmd_region_read_dumpit (Petr Oros) [2172273]
- devlink: report extended error message in region_read_dumpit() (Petr Oros) [2172273]
- devlink: use min_t to calculate data_size (Petr Oros) [2172273]
- net: devlink: add WARN_ON_ONCE to check return value of unregister_netdevice_notifier_net() call (Petr Oros) [2172273]
- devlink: remove redundant health state set to error (Petr Oros) [2172273]
- Documentation: devlink: Add blank line padding on numbered lists in Devlink Port documentation (Petr Oros) [2172273]
- Documentation: Add documentation for new devlink-rate attributes (Petr Oros) [2172273]
- devlink: Allow to set up parent in devl_rate_leaf_create() (Petr Oros) [2172273]
- devlink: Allow for devlink-rate nodes parent reassignment (Petr Oros) [2172273]
- devlink: Enable creation of the devlink-rate nodes from the driver (Petr Oros) [2172273]
- devlink: Introduce new attribute 'tx_weight' to devlink-rate (Petr Oros) [2172273]
- devlink: Introduce new attribute 'tx_priority' to devlink-rate (Petr Oros) [2172273]
- devlink: Fix warning when unregistering a port (Petr Oros) [2172273]
- net: devlink: move netdev notifier block to dest namespace during reload (Petr Oros) [2172273]
- net: introduce a helper to move notifier block to different namespace (Petr Oros) [2172273]
- selftests: devlink_lib: Split out helper (Petr Oros) [2172273]
- devlink: Add packet traps for 802.1X operation (Petr Oros) [2172273]
- net: devlink: reintroduce ndo_get_devlink_port (Petr Oros) [2172273]
- net: expose devlink port over rtnetlink (Petr Oros) [2172273]
- net: remove unused ndo_get_devlink_port (Petr Oros) [2172273]
- net: devlink: use devlink_port pointer instead of ndo_get_devlink_port (Petr Oros) [2172273]
- net: devlink: add not cleared type warning to port unregister (Petr Oros) [2172273]
- net: devlink: store copy netdevice ifindex and ifname to allow port_fill() without RTNL held (Petr Oros) [2172273]
- net: devlink: remove net namespace check from devlink_nl_port_fill() (Petr Oros) [2172273]
- net: devlink: remove netdev arg from devlink_port_type_eth_set() (Petr Oros) [2172273]
- net: make drivers to use SET_NETDEV_DEVLINK_PORT to set devlink_port (Petr Oros) [2172273]
- net: devlink: track netdev with devlink_port assigned (Petr Oros) [2172273]
- net: devlink: take RTNL in port_fill() function only if it is not held (Petr Oros) [2172273]
- net: devlink: move port_type_netdev_checks() call to __devlink_port_type_set() (Petr Oros) [2172273]
- net: devlink: move port_type_warn_schedule() call to __devlink_port_type_set() (Petr Oros) [2172273]
- net: devlink: convert devlink port type-specific pointers to union (Petr Oros) [2172273]
- Documentation: devlink: fix the locking section (Petr Oros) [2172273]
- ionic: change order of devlink port register and netdev register (Petr Oros) [2172273]
- ice: reorder PF/representor devlink port register/unregister flows (Petr Oros) [2172273]
- Revert "block: Merge bio before checking ->cached_rq" (Ming Lei) [2188514]
- redhat/configs: Enable WERROR only for gcc 11 (Waiman Long) [2184097]
- redhat/configs: Enable WERROR for x86_64 builds (Prarit Bhargava) [2184097]
- redhat/Makefile.variables: Add ENABLE_WERROR (Prarit Bhargava) [2184097]
- mlx4: Fix compilation warning in drivers/net/ethernet/mellanox/mlx4/fw.c (Waiman Long) [2184097]
- iomap: Remove unused iomap variable in iomap_zero_iter() (Waiman Long) [2184097]
- xfs: Fix bug in xfs_discard_folio() (Waiman Long) [2184097]
- drm/amd/display: fix i386 frame size warning (Waiman Long) [2184097]
- gcc: disable -Warray-bounds for gcc-11 too (Waiman Long) [2184097]
- HID: Make lowlevel driver structs const (Waiman Long) [2184097]
- HID: Unexport struct i2c_hid_ll_driver (Waiman Long) [2184097]
- HID: Unexport struct hidp_hid_driver (Waiman Long) [2184097]
- HID: Unexport struct uhid_hid_driver (Waiman Long) [2184097]
- HID: Unexport struct usb_hid_driver (Waiman Long) [2184097]
- HID: Remove unused function hid_is_using_ll_driver() (Waiman Long) [2184097]
- HID: usbhid: Make hid_is_usb() non-inline (Waiman Long) [2184097]
- HID: letsketch: Use hid_is_usb() (Waiman Long) [2184097]
- tty: Make tty_termios_copy_hw() old ktermios const (Waiman Long) [2184097]
- tty: Remove baudrate dead code & make ktermios params const (Waiman Long) [2184097]
- tty: remove IBSHIFT ifdefs (Waiman Long) [2184097]
- tty: remove BOTHER ifdefs (Waiman Long) [2184097]
- tty: reformat tty_struct::flags into kernel-doc (Waiman Long) [2184097]
- tty: finish kernel-doc of tty_struct members (Waiman Long) [2184097]
- tty: baudrate: Explicit usage of B0 for encoding input baudrate (Waiman Long) [2184097]
- tty: remove extern from functions in tty headers (Waiman Long) [2184097]
- tty: make tty_set_operations an inline (Waiman Long) [2184097]
- tty: tty_flip.h needs only tty_buffer and tty_port (Waiman Long) [2184097]
- tty: move tty_port to new tty_port.h (Waiman Long) [2184097]
- tty: move tty_buffer definitions to new tty_buffer.h (Waiman Long) [2184097]
- tty: move tty_ldisc_receive_buf to tty_flip.h (Waiman Long) [2184097]
- tty: include list & lockdep from tty_ldisc.h (Waiman Long) [2184097]
- tty: move ldisc prototypes to tty_ldisc.h (Waiman Long) [2184097]
- tty: include kref.h in tty_driver.h (Waiman Long) [2184097]
- tty: move tty_driver related prototypes to tty_driver.h (Waiman Long) [2184097]
- PCI: Restrict device disabled status check to DT (Myron Stowe) [2184745]
- null_blk: Always check queue mode setting from configfs (Ming Lei) [2186146]
- coredump: Use the vma snapshot in fill_files_note (Ricardo Robaina) [2169741] {CVE-2023-1249}
- coredump: Remove the WARN_ON in dump_vma_snapshot (Ricardo Robaina) [2169741] {CVE-2023-1249}
- random: schedule jitter credit for next jiffy, not in two jiffies (Prarit Bhargava) [2109238]
- random: use expired timer rather than wq for mixing fast pool (Prarit Bhargava) [2109238]
- random: avoid reading two cache lines on irq randomness (Prarit Bhargava) [2109238]
- random: clamp credited irq bits to maximum mixed (Prarit Bhargava) [2109238]
- random: cap jitter samples per bit to factor of HZ (Prarit Bhargava) [2109238]
- random: schedule mix_interrupt_randomness() less often (Prarit Bhargava) [2109238]
- siphash: use one source of truth for siphash permutations (Prarit Bhargava) [2109238]
- random: help compiler out with fast_mix() by using simpler arguments (Prarit Bhargava) [2109238]
- random: do not use input pool from hard IRQs (Prarit Bhargava) [2109238]
- random: order timer entropy functions below interrupt functions (Prarit Bhargava) [2109238]
- random: do not pretend to handle premature next security model (Prarit Bhargava) [2109238]
- random: mix in timestamps and reseed on system restore (Prarit Bhargava) [2109238]
- random: use first 128 bits of input as fast init (Prarit Bhargava) [2109238]
- random: do not use batches when !crng_ready() (Prarit Bhargava) [2109238]
- random: vary jitter iterations based on cycle counter speed (Prarit Bhargava) [2109238]
- random: insist on random_get_entropy() existing in order to simplify (Prarit Bhargava) [2109238]
- workqueue: Fold rebind_worker() within rebind_workers() (Waiman Long) [2182337]
- workqueue: Unbind kworkers before sending them to exit() (Waiman Long) [2182337]
- workqueue: Don't hold any lock while rcuwait'ing for !POOL_MANAGER_ACTIVE (Waiman Long) [2182337]
- workqueue: Convert the idle_timer to a timer + work_struct (Waiman Long) [2182337]
- workqueue: Factorize unbind/rebind_workers() logic (Waiman Long) [2182337]
- workqueue: Protects wq_unbound_cpumask with wq_pool_attach_mutex (Waiman Long) [2182337]
- workqueue: don't skip lockdep work dependency in cancel_work_sync() (Waiman Long) [2182337]
- workqueue: Change the comments of the synchronization about the idle_list (Waiman Long) [2182337]
- workqueue: Remove the mb() pair between wq_worker_sleeping() and insert_work() (Waiman Long) [2182337]
- workqueue: Remove the cacheline_aligned for nr_running (Waiman Long) [2182337]
- workqueue: Move the code of waking a worker up in unbind_workers() (Waiman Long) [2182337]
- workqueue: Remove the outdated comment before wq_worker_sleeping() (Waiman Long) [2182337]
- workqueue: Fix unbind_workers() VS wq_worker_sleeping() race (Waiman Long) [2182337]
Resolves: rhbz#2109238, rhbz#2153285, rhbz#2169741, rhbz#2172273, rhbz#2180952, rhbz#2182337, rhbz#2183538, rhbz#2184097, rhbz#2184745, rhbz#2186146, rhbz#2188514

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-27 09:53:30 +02:00
Jan Stancek
a2e40161b3 kernel-5.14.0-304.el9
* Tue Apr 25 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-304.el9]
- redhat/configs: turn off BBNSM RTC Driver (Steve Best) [2182332]
- dt-bindings: mfd: Add NXP BBNSM (Steve Best) [2182332]
- rtc: bbnsm: Add the bbnsm rtc support (Steve Best) [2182332]
- redhat/configs: turn off i.MX8MP interconnect Driver (Steve Best) [2184106]
- interconnect: imx: Make imx_icc_unregister() return void (Steve Best) [2184106]
- interconnect: imx: Ignore return value of icc_provider_del() in .remove() (Steve Best) [2184106]
- interconnect: imx: Add platform driver for imx8mp (Steve Best) [2184106]
- interconnect: imx: configure NoC mode/prioriry/ext_control (Steve Best) [2184106]
- interconnect: imx: introduce imx_icc_provider (Steve Best) [2184106]
- interconnect: imx: set src node (Steve Best) [2184106]
- interconnect: imx: fix max_node_id (Steve Best) [2184106]
- NFSv4: Fix hangs when recovering open state after a server reboot (Benjamin Coddington) [2186011]
- scsi: ses: Don't attach if enclosure has no components (Tomas Henzl) [2102283]
- scsi: ses: Fix slab-out-of-bounds in ses_intf_remove() (Tomas Henzl) [2102283]
- scsi: ses: Fix possible desc_ptr out-of-bounds accesses (Tomas Henzl) [2102283]
- scsi: ses: Fix possible addl_desc_ptr out-of-bounds accesses (Tomas Henzl) [2102283]
- scsi: ses: Fix slab-out-of-bounds in ses_enclosure_data_process() (Tomas Henzl) [2102283]
- vmxnet3: move rss code block under eop descriptor (Izabela Bakollari) [2169514]
- selftests: forwarding: add tunnel_key "nofrag" test case (Davide Caratti) [2183450]
- selftests: tc-testing: add tunnel_key "nofrag" test case (Davide Caratti) [2183450]
- selftests: tc-testing: add "depends_on" property to skip tests (Davide Caratti) [2183450]
- net/sched: act_tunnel_key: add support for "don't fragment" (Davide Caratti) [2183450]
- NFSD: fix use-after-free in nfsd4_ssc_setup_dul() (Jeffrey Layton) [2182039] {CVE-2023-1652}
- sched/core: Fix arch_scale_freq_tick() on tickless systems (Phil Auld) [1996625]
- perf/x86/intel: Add Cooper Lake stepping to isolation_ucodes[] (Michael Petlan) [2153897]
Resolves: rhbz#1996625, rhbz#2102283, rhbz#2153897, rhbz#2169514, rhbz#2182039, rhbz#2182332, rhbz#2183450, rhbz#2184106, rhbz#2186011

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-25 07:08:16 +02:00
Jan Stancek
8adafd446e kernel-5.14.0-302.el9
* Wed Apr 19 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-302.el9]
- blk-mq: directly poll requests (Ming Lei) [2186507]
- inet: control sockets should not use current thread task_frag (Guillaume Nault) [2183213]
- net: simplify sk_page_frag (Guillaume Nault) [2183213]
- Treewide: Stop corrupting socket's task_frag (Guillaume Nault) [2183213]
- net: Introduce sk_use_task_frag in struct sock. (Guillaume Nault) [2183213]
- PCI: Fix use-after-free in pci_bus_release_domain_nr() (Myron Stowe) [2184109]
- powerpc/pseries/vas: Ignore VAS update for DLPAR if copy/paste is not enabled (Mamatha Inamdar) [2185505]
- nfs42: do not fail with EIO if ssc returns NFS4ERR_OFFLOAD_DENIED (Benjamin Coddington) [2170423]
- cnic: don't pass bogus GFP_ flags to dma_alloc_coherent (Nilesh Javali) [2164967]
- cnic: Use the bitmap API to allocate bitmaps (Nilesh Javali) [2164967]
- arm64/sve: Add Perf extensions documentation (Mark Salter) [2167398]
- arm64: asm/perf_regs.h: Avoid C++-style comment in UAPI header (Mark Salter) [2167398]
- perf: arm64: Add SVE vector granule register to user regs (Mark Salter) [2167398]
- arm64/cpufeature: Fix field sign for DIT hwcap detection (Mark Salter) [2167398]
- arm64/uprobes: change the uprobe_opcode_t typedef to fix the sparse warning (Mark Salter) [2167398]
- arm64/sysreg: Fix errors in 32 bit enumeration values (Mark Salter) [2167398]
- KVM: arm64: vgic: Add Apple M2 cpus to the list of broken SEIS implementations (Mark Salter) [2167398]
- tools headers arm64: Sync arm64's cputype.h with the kernel sources (Mark Salter) [2167398]
- tools headers arm64: Sync arm64's cputype.h with the kernel sources (Mark Salter) [2167398]
- tools headers arm64: Sync arm64's cputype.h with the kernel sources (Mark Salter) [2167398]
- tools headers arm64: Sync arm64's cputype.h with the kernel sources (Mark Salter) [2167398]
- perf: arm_spe: Use feature numbering for PMSEVFR_EL1 defines (Mark Salter) [2167398]
- redhat/configs: enable ARM64_ERRATUM_2645198 (Mark Salter) [2167398]
- arm64: errata: Workaround possible Cortex-A715 [ESR|FAR]_ELx corruption (Mark Salter) [2167398]
- arm64:uprobe fix the uprobe SWBP_INSN in big-endian (Mark Salter) [2167398]
- arm64: insn: always inline hint generation (Mark Salter) [2167398]
- arm64: insn: simplify insn group identification (Mark Salter) [2167398]
- arm64: insn: always inline predicates (Mark Salter) [2167398]
- arm64: insn: remove aarch64_insn_gen_prefetch() (Mark Salter) [2167398]
- arm64: alternatives: make apply_alternatives_vdso() static (Mark Salter) [2167398]
- arm64: make is_ttbrX_addr() noinstr-safe (Mark Salter) [2167398]
- arm64: atomics: lse: remove stale dependency on JUMP_LABEL (Mark Salter) [2167398]
- arm64/asm: Remove unused enable_da macro (Mark Salter) [2167398]
- clocksource/drivers/arm_arch_timer: Use kstrtobool() instead of strtobool() (Mark Salter) [2167398]
- arm64: move on_thread_stack() to <asm/stacktrace.h> (Mark Salter) [2167398]
- arm64: remove current_top_of_stack() (Mark Salter) [2167398]
- arm64/sysreg: Remove duplicate definitions from asm/sysreg.h (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_DFR1_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_DFR0_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_AFR0_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_MMFR5_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert MVFR2_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert MVFR1_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert MVFR0_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_PFR2_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_PFR1_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_PFR0_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_ISAR6_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_ISAR5_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_ISAR4_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_ISAR3_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_ISAR2_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_ISAR1_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_ISAR0_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_MMFR4_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_MMFR3_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_MMFR2_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_MMFR1_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_MMFR0_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Extend the maximum width of a register and symbol name (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for MVFR2_EL1 (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for MVFR1_EL1 (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for MVFR0_EL1 (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_DFR1_EL1 (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_DFR0_EL1 (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_PFR2_EL1 (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_PFR1_EL1 (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_PFR0_EL1 (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_ISAR6_EL1 (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_ISAR5_EL1 (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_ISAR4_EL1 (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_ISAR0_EL1 (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_MMFR5_EL1 (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_MMFR4_EL1 (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_MMFR0_EL1 (Mark Salter) [2167398]
- arm64: booting: Require placement within 48-bit addressable memory (Mark Salter) [2167398]
- arm64: mm: kfence: only handle translation faults (Mark Salter) [2167398]
- arm64/mm: Simplify and document pte_to_phys() for 52 bit addresses (Mark Salter) [2167398]
- arm64: armv8_deprecated: fix unused-function error (Mark Salter) [2167398]
- arm64: armv8_deprecated: rework deprected instruction handling (Mark Salter) [2167398]
- arm64: armv8_deprecated: move aarch32 helper earlier (Mark Salter) [2167398]
- arm64: armv8_deprecated move emulation functions (Mark Salter) [2167398]
- arm64: armv8_deprecated: fold ops into insn_emulation (Mark Salter) [2167398]
- arm64: rework EL0 MRS emulation (Mark Salter) [2167398]
- arm64: factor insn read out of call_undef_hook() (Mark Salter) [2167398]
- arm64: factor out EL1 SSBS emulation hook (Mark Salter) [2167398]
- arm64: split EL0/EL1 UNDEF handlers (Mark Salter) [2167398]
- arm64: allow kprobes on EL0 handlers (Mark Salter) [2167398]
- arm64: Add Cortex-715 CPU part definition (Mark Salter) [2167398]
- arm64/hwcap: Add support for SVE 2.1 (Mark Salter) [2167398]
- arm64/hwcap: Add support for FEAT_RPRFM (Mark Salter) [2167398]
- arm64/hwcap: Add support for FEAT_CSSC (Mark Salter) [2167398]
- arm64: Enable data independent timing (DIT) in the kernel (Mark Salter) [2167398]
- arm64/fpsimd: Make kernel_neon_ API _GPL (Mark Salter) [2167398]
- arm64: alternative: constify alternative_has_feature_* argument (Mark Salter) [2167398]
- arm64: jump_label: mark arguments as const to satisfy asm constraints (Mark Salter) [2167398]
- clocksource/drivers/arm_arch_timer: Fix XGene-1 TVAL register math error (Mark Salter) [2167398]
- arm64: efi: Fix handling of misaligned runtime regions and drop warning (Mark Salter) [2167398]
- arm64: cpufeature: Fix the visibility of compat hwcaps (Mark Salter) [2167398]
- arm64: entry: avoid kprobe recursion (Mark Salter) [2167398]
- clocksource/drivers/arm_arch_timer: Fix CNTPCT_LO and CNTVCT_LO value (Mark Salter) [2167398]
- clocksource/drivers/arm_arch_timer: Fix handling of ARM erratum 858921 (Mark Salter) [2167398]
- redhat/configs: Enable CONFIG_ARM64_ERRATUM_2441007 (Mark Salter) [2167398]
- arm64: errata: Add Cortex-A55 to the repeat tlbi list (Mark Salter) [2167398]
- arm64/sysreg: Fix typo in SCTR_EL1.SPINTMASK (Mark Salter) [2167398]
- arm64: alternatives: Use vdso/bits.h instead of linux/bits.h (Mark Salter) [2167398]
- arm64: uaccess: simplify uaccess_mask_ptr() (Mark Salter) [2167398]
- arm64: support huge vmalloc mappings (Mark Salter) [2167398]
- arm64: spectre: increase parameters that can be used to turn off bhb mitigation individually (Mark Salter) [2167398]
- arm64: run softirqs on the per-CPU IRQ stack (Mark Salter) [2167398]
- arm64: vdso: use SYS_CNTVCTSS_EL0 for gettimeofday (Mark Salter) [2167398]
- arm64: alternative: patch alternatives in the vDSO (Mark Salter) [2167398]
- arm64: module: move find_section to header (Mark Salter) [2167398]
- arm64: module: Remove unused plt_entry_is_initialized() (Mark Salter) [2167398]
- arm64: module: Make plt_equals_entry() static (Mark Salter) [2167398]
- arm64: fix the build with binutils 2.27 (Mark Salter) [2167398]
- arm64: avoid BUILD_BUG_ON() in alternative-macros (Mark Salter) [2167398]
- arm64: alternatives: add shared NOP callback (Mark Salter) [2167398]
- arm64: alternatives: add alternative_has_feature_*() (Mark Salter) [2167398]
- arm64: alternatives: have callbacks take a cap (Mark Salter) [2167398]
- arm64: alternatives: make alt_region const (Mark Salter) [2167398]
- arm64: alternatives: hoist print out of __apply_alternatives() (Mark Salter) [2167398]
- arm64: alternatives: proton-pack: prepare for cap changes (Mark Salter) [2167398]
- arm64: alternatives: kvm: prepare for cap changes (Mark Salter) [2167398]
- arm64: cpufeature: make cpus_have_cap() noinstr-safe (Mark Salter) [2167398]
- arm64/ptrace: Support access to TPIDR2_EL0 (Mark Salter) [2167398]
- arm64/sysreg: Fix a few missed conversions (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_AA64AFRn_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_AA64DFR1_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_AA64FDR0_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Use feature numbering for PMU and SPE revisions (Mark Salter) [2167398]
- arm64/sysreg: Add _EL1 into ID_AA64DFR0_EL1 definition names (Mark Salter) [2167398]
- arm64/sysreg: Align field names in ID_AA64DFR0_EL1 with architecture (Mark Salter) [2167398]
- arm64/sysreg: Add defintion for ALLINT (Mark Salter) [2167398]
- arm64/sysreg: Convert SCXTNUM_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert TIPDR_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_AA64PFR1_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_AA64PFR0_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_AA64MMFR2_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_AA64MMFR1_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_AA64MMFR0_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert HCRX_EL2 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming of ID_AA64PFR1_EL1 SME enumeration (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming of ID_AA64PFR1_EL1 BTI enumeration (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming of ID_AA64PFR1_EL1 fractional version fields (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for MTE feature enumeration (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for SSBS feature enumeration (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_AA64PFR0_EL1.AdvSIMD constants (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_AA64PFR0_EL1 constants (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_AA64MMFR2_EL1.CnP (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_AA64MMFR2_EL1.VARange (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_AA64MMFR1_EL1 fields (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming of ID_AA64MMFR0_EL1.ASIDBits (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming of ID_AA64MMFR0_EL1.BigEnd (Mark Salter) [2167398]
- arm64/sysreg: Add _EL1 into ID_AA64PFR1_EL1 constant names (Mark Salter) [2167398]
- arm64/sysreg: Add _EL1 into ID_AA64PFR0_EL1 definition names (Mark Salter) [2167398]
- arm64/sysreg: Add _EL1 into ID_AA64MMFR2_EL1 definition names (Mark Salter) [2167398]
- arm64/sysreg: Add _EL1 into ID_AA64MMFR0_EL1 definition names (Mark Salter) [2167398]
- arm64: cache: Remove unused CTR_CACHE_MINLINE_MASK (Mark Salter) [2167398]
- arm64/sysreg: Describe ID_AA64SMFR0_EL1.SMEVer as an enumeration (Mark Salter) [2167398]
- arm64/sysreg: Remove stray SMIDR_EL1 defines (Mark Salter) [2167398]
- redhat/configs: Enable CONFIG_ARM64_ERRATUM_2658417 (Mark Salter) [2167398]
- arm64: errata: remove BF16 HWCAP due to incorrect result on Cortex-A510 (Mark Salter) [2167398]
- arm64: cpufeature: Expose get_arm64_ftr_reg() outside cpufeature.c (Mark Salter) [2167398]
- arm64: cpufeature: Force HWCAP to be based on the sysreg visible to user-space (Mark Salter) [2167398]
- arm64: rework BTI exception handling (Mark Salter) [2167398]
- arm64: rework FPAC exception handling (Mark Salter) [2167398]
- arm64: consistently pass ESR_ELx to die() (Mark Salter) [2167398]
- arm64: die(): pass 'err' as long (Mark Salter) [2167398]
- arm64: report EL1 UNDEFs better (Mark Salter) [2167398]
- arm64: atomic: always inline the assembly (Mark Salter) [2167398]
- arm64: atomics: remove LL/SC trampolines (Mark Salter) [2167398]
- arm64: stacktrace: track hyp stacks in unwinder's address space (Mark Salter) [2167398]
- arm64: stacktrace: track all stack boundaries explicitly (Mark Salter) [2167398]
- arm64: stacktrace: remove stack type from fp translator (Mark Salter) [2167398]
- arm64: stacktrace: rework stack boundary discovery (Mark Salter) [2167398]
- arm64: stacktrace: add stackinfo_on_stack() helper (Mark Salter) [2167398]
- arm64: stacktrace: move SDEI stack helpers to stacktrace code (Mark Salter) [2167398]
- arm64: stacktrace: rename unwind_next_common() -> unwind_next_frame_record() (Mark Salter) [2167398]
- arm64: stacktrace: simplify unwind_next_common() (Mark Salter) [2167398]
- arm64: stacktrace: fix kerneldoc comments (Mark Salter) [2167398]
- arm64/sysreg: Add hwcap for SVE EBF16 (Mark Salter) [2167398]
- redhat/configs: aarch64: enable ERRATUM_2457168 workaround (Mark Salter) [2167398]
- arm64: topology: fix possible overflow in amu_fie_setup() (Mark Salter) [2167398]
- arm64: mm: don't acquire mutex when rewriting swapper (Mark Salter) [2167398]
- arm64: mm: fix resume for 52-bit enabled builds (Mark Salter) [2167398]
- arm64/ptrace: Don't clear calling process' TIF_SME on OOM (Mark Salter) [2167398]
- arm64/bti: Disable in kernel BTI when cross section thunks are broken (Mark Salter) [2167398]
- arm64: mm: Reserve enough pages for the initial ID map (Mark Salter) [2167398]
- perf/arm_pmu_platform: fix tests for platform_get_irq() failure (Mark Salter) [2167398]
- arm64: head: Ignore bogus KASLR displacement on non-relocatable kernels (Mark Salter) [2167398]
- arm64/signal: Raise limit on stack frames (Mark Salter) [2167398]
- arm64/cache: Fix cache_type_cwg() for register generation (Mark Salter) [2167398]
- arm64/sysreg: Guard SYS_FIELD_ macros for asm (Mark Salter) [2167398]
- arm64/sysreg: Directly include bitfield.h (Mark Salter) [2167398]
- arm64: errata: add detection for AMEVCNTR01 incrementing incorrectly (Mark Salter) [2167398]
- arm64: Fix comment typo (Mark Salter) [2167398]
- arm64: Fix match_list for erratum 1286807 on Arm Cortex-A76 (Mark Salter) [2167398]
- arm64: Update 'unwinder howto' (Mark Salter) [2167398]
- arm64: Delay initialisation of cpuinfo_arm64::reg_{zcr,smcr} (Mark Salter) [2167398]
- arm64: fix KASAN_INLINE (Mark Salter) [2167398]
- arm64: Add an override for ID_AA64SMFR0_EL1.FA64 (Mark Salter) [2167398]
- arm64: Add the arm64.nosve command line option (Mark Salter) [2167398]
- arm64: Add the arm64.nosme command line option (Mark Salter) [2167398]
- arm64: Expose a __check_override primitive for oddball features (Mark Salter) [2167398]
- arm64: Allow the idreg override to deal with variable field width (Mark Salter) [2167398]
- arm64: Factor out checking of a feature against the override into a macro (Mark Salter) [2167398]
- arm64: Allow sticky E2H when entering EL1 (Mark Salter) [2167398]
- arm64: Save state of HCR_EL2.E2H before switch to EL1 (Mark Salter) [2167398]
- arm64: Rename the VHE switch to "finalise_el2" (Mark Salter) [2167398]
- arm64: mm: fix booting with 52-bit address space (Mark Salter) [2167398]
- arm64: head: remove __PHYS_OFFSET (Mark Salter) [2167398]
- arm64: lds: use PROVIDE instead of conditional definitions (Mark Salter) [2167398]
- arm64: setup: drop early FDT pointer helpers (Mark Salter) [2167398]
- arm64: head: avoid relocating the kernel twice for KASLR (Mark Salter) [2167398]
- arm64: kaslr: defer initialization to initcall where permitted (Mark Salter) [2167398]
- arm64: head: record CPU boot mode after enabling the MMU (Mark Salter) [2167398]
- arm64: head: populate kernel page tables with MMU and caches on (Mark Salter) [2167398]
- arm64: head: factor out TTBR1 assignment into a macro (Mark Salter) [2167398]
- arm64: idreg-override: use early FDT mapping in ID map (Mark Salter) [2167398]
- arm64: head: create a temporary FDT mapping in the initial ID map (Mark Salter) [2167398]
- arm64: head: use relative references to the RELA and RELR tables (Mark Salter) [2167398]
- arm64: head: cover entire kernel image in initial ID map (Mark Salter) [2167398]
- arm64: head: add helper function to remap regions in early page tables (Mark Salter) [2167398]
- arm64: mm: provide idmap pointer to cpu_replace_ttbr1() (Mark Salter) [2167398]
- arm64: head: pass ID map root table address to __enable_mmu() (Mark Salter) [2167398]
- arm64: kernel: drop unnecessary PoC cache clean+invalidate (Mark Salter) [2167398]
- arm64: head: split off idmap creation code (Mark Salter) [2167398]
- arm64: head: switch to map_memory macro for the extended ID map (Mark Salter) [2167398]
- arm64: head: simplify page table mapping macros (slightly) (Mark Salter) [2167398]
- arm64: head: drop idmap_ptrs_per_pgd (Mark Salter) [2167398]
- arm64: head: move assignment of idmap_t0sz to C code (Mark Salter) [2167398]
- arm64: mm: make vabits_actual a build time constant if possible (Mark Salter) [2167398]
- arm64: head: move kimage_vaddr variable into C file (Mark Salter) [2167398]
- arm64: trap implementation defined functionality in userspace (Mark Salter) [2167398]
- arm64/mm: use GENMASK_ULL for TTBR_BADDR_MASK_52 (Mark Salter) [2167398]
- arm64: numa: Don't check node against MAX_NUMNODES (Mark Salter) [2167398]
- arm64: Do not forget syscall when starting a new thread. (Mark Salter) [2167398]
- arm64: boot: add zstd support (Mark Salter) [2167398]
- arm64: cpufeature: Allow different PMU versions in ID_DFR0_EL1 (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_AA64ZFR0_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_AA64SMFR0_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert LORID_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert LORC_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert LORN_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert LOREA_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert LORSA_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_AA64ISAR2_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert ID_AA64ISAR1_EL1 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert GMID to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert DCZID_EL0 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Convert CTR_EL0 to automatic generation (Mark Salter) [2167398]
- arm64/sysreg: Add _EL1 into ID_AA64ISAR2_EL1 definition names (Mark Salter) [2167398]
- arm64/sysreg: Add _EL1 into ID_AA64ISAR1_EL1 definition names (Mark Salter) [2167398]
- arm64/sysreg: Remove defines for RPRES enumeration (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_AA64ZFR0_EL1 fields (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for ID_AA64SMFR0_EL1 enums (Mark Salter) [2167398]
- arm64/sysreg: Standardise naming for WFxT defines (Mark Salter) [2167398]
- arm64: stackleak: fix current_top_of_stack() (Mark Salter) [2167398]
- clocksource/drivers/arm_arch_timer: Force inlining of erratum_set_next_event_generic() (Mark Salter) [2167398]
- clocksource/drivers/arm_arch_timer: Move drop _tval from erratum function names (Mark Salter) [2167398]
- arm64: Add handling of CNTVCTSS traps (Mark Salter) [2167398]
- arm64: Add CNT{P,V}CTSS_EL0 alternatives to cnt{p,v}ct_el0 (Mark Salter) [2167398]
- clocksource/drivers/arch_arm_timer: Move workaround synchronisation around (Mark Salter) [2167398]
- clocksource/drivers/arm_arch_timer: Fix masking for high freq counters (Mark Salter) [2167398]
- clocksource/drivers/arm_arch_timer: Drop unnecessary ISB on CVAL programming (Mark Salter) [2167398]
- clocksource/drivers/arm_arch_timer: Remove any trace of the TVAL programming interface (Mark Salter) [2167398]
- clocksource/drivers/arm_arch_timer: Work around broken CVAL implementations (Mark Salter) [2167398]
- clocksource/drivers/arm_arch_timer: Advertise 56bit timer to the core code (Mark Salter) [2167398]
- clocksource/drivers/arm_arch_timer: Move MMIO timer programming over to CVAL (Mark Salter) [2167398]
- clocksource/drivers/arm_arch_timer: Fix MMIO base address vs callback ordering issue (Mark Salter) [2167398]
- clocksource/drivers/arm_arch_timer: Move system register timer programming over to CVAL (Mark Salter) [2167398]
- clocksource/drivers/arm_arch_timer: Extend write side of timer register accessors to u64 (Mark Salter) [2167398]
- clocksource/drivers/arm_arch_timer: Drop CNT*_TVAL read accessors (Mark Salter) [2167398]
- clocksource/arm_arch_timer: Add build-time guards for unhandled register accesses (Mark Salter) [2167398]
- s390/qeth: fix use-after-free in hsci (Tobias Huschle) [2183991]
- s390/uaccess: add missing earlyclobber annotations to __clear_user() (Tobias Huschle) [2183079]
Resolves: rhbz#2164967, rhbz#2167398, rhbz#2170423, rhbz#2183079, rhbz#2183213, rhbz#2183991, rhbz#2184109, rhbz#2185505, rhbz#2186507

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-19 19:39:09 +02:00
Jan Stancek
e4ca3a67cd kernel-5.14.0-301.el9
* Tue Apr 18 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-301.el9]
- kernel.spec: gcov: make gcov subpackages per variant (Jan Stancek) [2180784]
- block: don't set GD_NEED_PART_SCAN if scan partition failed (Ming Lei) [2179915]
- net: ethtool: fix __ethtool_dev_mm_supported() implementation (Ivan Vecera) [2175237]
- ethtool: pse-pd: Fix double word in comments (Ivan Vecera) [2175237]
- netlink-specs: add rx-push to ethtool family (Ivan Vecera) [2175237]
- net: ethtool: extend ringparam set/get APIs for rx_push (Ivan Vecera) [2175237]
- ethtool: mm: fix get_mm() return code not propagating to user space (Ivan Vecera) [2175237]
- netlink: specs: add partial specification for ethtool (Ivan Vecera) [2175237]
- Documentation: networking: correct spelling (Ivan Vecera) [2175237]
- ethtool: netlink: convert commands to common SET (Ivan Vecera) [2175237]
- ethtool: netlink: handle SET intro/outro in the common code (Ivan Vecera) [2175237]
- net: ethtool: provide shims for stats aggregation helpers when CONFIG_ETHTOOL_NETLINK=n (Ivan Vecera) [2175237]
- net: ethtool: fix NULL pointer dereference in pause_prepare_data() (Ivan Vecera) [2175237]
- net: ethtool: fix NULL pointer dereference in stats_prepare_data() (Ivan Vecera) [2175237]
- ethtool: Add and use ethnl_update_bool. (Ivan Vecera) [2175237]
- net: ethtool: add helpers for MM fragment size translation (Ivan Vecera) [2175237]
- net: ethtool: add helpers for aggregate statistics (Ivan Vecera) [2175237]
- docs: ethtool: document ETHTOOL_A_STATS_SRC and ETHTOOL_A_PAUSE_STATS_SRC (Ivan Vecera) [2175237]
- net: ethtool: netlink: retrieve stats from multiple sources (eMAC, pMAC) (Ivan Vecera) [2175237]
- docs: ethtool-netlink: document interface for MAC Merge layer (Ivan Vecera) [2175237]
- net: ethtool: add support for MAC Merge layer (Ivan Vecera) [2175237]
- plca.c: fix obvious mistake in checking retval (Ivan Vecera) [2175237]
- ethtool: add tx aggregation parameters (Ivan Vecera) [2175237]
- ethtool: add netlink attr in rss get reply only if value is not null (Ivan Vecera) [2175237]
- net/ethtool: add netlink interface for the PLCA RS (Ivan Vecera) [2175237]
- ethtool: Replace 0-length array with flexible array (Ivan Vecera) [2175237]
- net/ethtool/ioctl: split ethtool_get_phy_stats into multiple helpers (Ivan Vecera) [2175237]
- net/ethtool/ioctl: remove if n_stats checks from ethtool_get_phy_stats (Ivan Vecera) [2175237]
- net/ethtool/ioctl: return -EOPNOTSUPP if we have no phy stats (Ivan Vecera) [2175237]
- ethtool: add netlink based get rss support (Ivan Vecera) [2175237]
- ethtool: avoiding integer overflow in ethtool_phys_id() (Ivan Vecera) [2175237]
- ethtool: doc: clarify what drivers can implement in their get_drvinfo() (Ivan Vecera) [2175237]
- ethtool: ethtool_get_drvinfo: populate drvinfo fields even if callback exits (Ivan Vecera) [2175237]
- ethtool: Fail number of channels change when it conflicts with rxnfc (Ivan Vecera) [2175237]
- ethtool: linkstate: add a statistic for PHY down events (Ivan Vecera) [2175237]
- ethtool: Add support for 800Gbps link modes (Ivan Vecera) [2175237]
- ethtool: pse-pd: fix null-deref on genl_info in dump (Ivan Vecera) [2175237]
- eth: pse: add missing static inlines (Ivan Vecera) [2175237]
- ethtool: add interface to interact with Ethernet Power Equipment (Ivan Vecera) [2175237]
- net: mdiobus: search for PSE nodes by parsing PHY nodes. (Ivan Vecera) [2175237]
- net: mdiobus: fwnode_mdiobus_register_phy() rework error handling (Ivan Vecera) [2175237]
- redhat: configs: Add config for PSE_CONTROLLER (Ivan Vecera) [2175237]
- net: add framework to support Ethernet PSE and PDs devices (Ivan Vecera) [2175237]
- ethtool: tunnels: check the return value of nla_nest_start() (Ivan Vecera) [2175237]
- ethtool: move from strlcpy with unused retval to strscpy (Ivan Vecera) [2175237]
- net: delete extra space and tab in blank line (Ivan Vecera) [2175237]
- treewide: uapi: Replace zero-length arrays with flexible-array members (Ivan Vecera) [2175237]
- ethtool: Fix and simplify ethtool_convert_link_mode_to_legacy_u32() (Ivan Vecera) [2175237]
- net: ethtool: move checks before rtnl_lock() in ethnl_set_rings (Ivan Vecera) [2175237]
- net: ethtool: extend ringparam set/get APIs for tx_push (Ivan Vecera) [2175237]
- ethtool: add support to set/get completion queue event size (Ivan Vecera) [2175237]
- ethtool: stats: Use struct_group() to clear all stats at once (Ivan Vecera) [2175237]
- net: convert users of bitmap_foo() to linkmode_foo() (Ivan Vecera) [2175237]
- cifs: Fix pages leak when writedata alloc failed in cifs_write_from_iter() (Ronnie Sahlberg) [2182524]
- cifs: Fix pages array leak when writedata alloc failed in cifs_writedata_alloc() (Ronnie Sahlberg) [2182524]
- cifs: update internal module number (Ronnie Sahlberg) [2182524]
- cifs: fix double free on failed kerberos auth (Ronnie Sahlberg) [2182524]
- cifs: fix interface count calculation during refresh (Ronnie Sahlberg) [2182524]
- cifs: fix memory leaks in session setup (Ronnie Sahlberg) [2182524]
- smb3: interface count displayed incorrectly (Ronnie Sahlberg) [2182524]
- cifs: Fix memory leak when build ntlmssp negotiate blob failed (Ronnie Sahlberg) [2182524]
- cifs: Fix xid leak in cifs_ses_add_channel() (Ronnie Sahlberg) [2182524]
- cifs: Fix xid leak in cifs_flock() (Ronnie Sahlberg) [2182524]
- cifs: Fix xid leak in cifs_create() (Ronnie Sahlberg) [2182524]
- cifs: Fix xid leak in cifs_copy_file_range() (Ronnie Sahlberg) [2182524]
- smb3: improve SMB3 change notification support (Ronnie Sahlberg) [2182524]
- cifs: lease key is uninitialized in two additional functions when smb1 (Ronnie Sahlberg) [2182524]
- cifs: lease key is uninitialized in smb1 paths (Ronnie Sahlberg) [2182524]
- cifs: fix double-fault crash during ntlmssp (Ronnie Sahlberg) [2182524]
- cifs: fix mount on old smb servers (Ronnie Sahlberg) [2182524]
- cifs: use ALIGN() and round_up() macros (Ronnie Sahlberg) [2182524]
- cifs: prevent copying past input buffer boundaries (Ronnie Sahlberg) [2182524]
- cifs: Fix the error length of VALIDATE_NEGOTIATE_INFO message (Ronnie Sahlberg) [2182524]
- cifs: destage dirty pages before re-reading them for cache=none (Ronnie Sahlberg) [2182524]
- cifs: return correct error in ->calc_signature() (Ronnie Sahlberg) [2182524]
- smb3: rename encryption/decryption TFMs (Ronnie Sahlberg) [2182524]
- cifs: replace kfree() with kfree_sensitive() for sensitive data (Ronnie Sahlberg) [2182524]
- cifs: remove initialization value (Ronnie Sahlberg) [2182524]
- cifs: Replace a couple of one-element arrays with flexible-array members (Ronnie Sahlberg) [2182524]
- smb3: add dynamic trace points for tree disconnect (Ronnie Sahlberg) [2182524]
- cifs: misc: fix spelling typo in comment (Ronnie Sahlberg) [2182524]
- cifs: update internal module number (Ronnie Sahlberg) [2182524]
- cifs: Fix memory leak on the deferred close (Ronnie Sahlberg) [2182524]
- SMB3: fix lease break timeout when multiple deferred close handles for the same file. (Ronnie Sahlberg) [2182524]
- smb3: lower default deferred close timeout to address perf regression (Ronnie Sahlberg) [2182524]
- smb3: allow deferred close timeout to be configurable (Ronnie Sahlberg) [2182524]
- cifs: update internal module number (Ronnie Sahlberg) [2182524]
- cifs: alloc_mid function should be marked as static (Ronnie Sahlberg) [2182524]
- cifs: remove "cifs_" prefix from init/destroy mids functions (Ronnie Sahlberg) [2182524]
- cifs: remove useless DeleteMidQEntry() (Ronnie Sahlberg) [2182524]
- cifs: fix wrong unlock before return from cifs_tree_connect() (Ronnie Sahlberg) [2182524]
- Documentation: networking: TC queue based filtering (Ivan Vecera) [2178209]
- act_skbedit: skbedit queue mapping for receive queue (Ivan Vecera) [2178209]
- wifi: iwlwifi: mvm: protect TXQ list manipulation (Jose Ignacio Tornos Martinez) [2183490]
- wifi: iwlwifi: mvm: fix mvmtxq->stopped handling (Jose Ignacio Tornos Martinez) [2183490]
- smb3: clarify multichannel warning (Ronnie Sahlberg) [2180669]
- smb3: do not log confusing message when server returns no network interfaces (Ronnie Sahlberg) [2180669]
- cifs: do not query ifaces on smb1 mounts (Ronnie Sahlberg) [2180669]
- cifs: periodically query network interfaces from server (Ronnie Sahlberg) [2180669]
- smb3: workaround negprot bug in some Samba servers (Ronnie Sahlberg) [2180669]
- smb3: use netname when available on secondary channels (Ronnie Sahlberg) [2180669]
- smb3: fix empty netname context on secondary channels (Ronnie Sahlberg) [2180669]
- cifs: populate empty hostnames for extra channels (Ronnie Sahlberg) [2180669]
- redhat/kernel.spec.template: fix installonlypkg for meta package (Jan Stancek)
- s390/dump: save IPL CPU registers once DAT is available (Chris von Recklinghausen) [2185692]
- gen_compile_commands: handle multiple lines per .mod file (Joel Slebodnick) [2160559]
- scripts/nsdeps: adjust to the format change of *.mod files (Joel Slebodnick) [2160559]
- kbuild: avoid regex RS for POSIX awk (Joel Slebodnick) [2160559]
- kbuild: make *.mod rule robust against too long argument error (Joel Slebodnick) [2160559]
- kbuild: make built-in.a rule robust against too long argument error (Joel Slebodnick) [2160559]
- kbuild: read *.mod to get objects passed to $(LD) or $(AR) (Joel Slebodnick) [2160559]
- kbuild: make *.mod not depend on *.o (Joel Slebodnick) [2160559]
- kbuild: get rid of duplication in *.mod files (Joel Slebodnick) [2160559]
- kbuild: split the second line of *.mod into *.usyms (Joel Slebodnick) [2160559]
- kbuild: reuse real-search to simplify cmd_mod (Joel Slebodnick) [2160559]
- kbuild: Fixup the IBT kbuild changes (Joel Slebodnick) [2160559]
Resolves: rhbz#2160559, rhbz#2175237, rhbz#2178209, rhbz#2179915, rhbz#2180669, rhbz#2180784, rhbz#2182524, rhbz#2183490, rhbz#2185692

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-18 14:27:12 +02:00
Jan Stancek
d81c4b6026 kernel-5.14.0-300.el9
* Sun Apr 16 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-300.el9]
- remoteproc: imx_rproc: Correct i.MX93 DRAM mapping (Steve Best) [2180764]
- remoteproc: imx_rproc: Enable attach recovery for i.MX8QM/QXP (Steve Best) [2180764]
- remoteproc: imx_rproc: Request mbox channel later (Steve Best) [2180764]
- remoteproc: imx_rproc: Support i.MX8QM (Steve Best) [2180764]
- remoteproc: imx_rproc: Support kicking Mcore from Linux for i.MX8QXP (Steve Best) [2180764]
- remoteproc: imx_rproc: Support attaching to i.MX8QXP M4 (Steve Best) [2180764]
- netdevsim: Fix memory leak of nsim_dev->fa_cookie (Petr Oros) [2181505]
- netdevsim: fix memory leak in nsim_bus_dev_new() (Petr Oros) [2181505]
- netdevsim: remove dir in nsim_dev_debugfs_init() when creating ports dir failed (Petr Oros) [2181505]
- netdevsim: fix memory leak in nsim_drv_probe() when nsim_dev_resources_register() failed (Petr Oros) [2181505]
- netdevsim: remove redundant variable ret (Petr Oros) [2181505]
- selftests: netdevsim: Add test cases for route deletion failure (Petr Oros) [2181505]
- netdevsim: fib: Add debugfs knob to simulate route deletion failure (Petr Oros) [2181505]
- netdevsim: fib: Fix reference count leak on route deletion failure (Petr Oros) [2181505]
- netdevsim: rely on XFRM state direction instead of flags (Petr Oros) [2181505]
- powerpc/64s/radix: Fix RWX mapping with relocated kernel (Avnish Chouhan) [2161680]
- powerpc/64s/radix: Fix crash with unaligned relocated kernel (Avnish Chouhan) [2161680]
- mm/filemap: fix page end in filemap_get_read_batch (Nico Pache) [2181263]
- dt-bindings: clock: imx93: drop TPM1/3 LPIT1/2 entry (Steve Best) [2178965]
- clk: imx: imx93: invoke imx_register_uart_clocks (Steve Best) [2178965]
- clk: imx: rename imx_obtain_fixed_clk_hw() to imx_get_clk_hw_by_name() (Steve Best) [2178965]
- clk: imx93: keep sys ctr clock always on (Steve Best) [2178965]
- clk: imx: keep hsio bus clock always on (Steve Best) [2178965]
- clk: imx93: drop tpm1/3, lpit1/2 clk (Steve Best) [2178965]
- clk: imx93: correct enet clock (Steve Best) [2178965]
- clk: imx93: unmap anatop base in error handling path (Steve Best) [2178965]
- clk: imx93: correct the flexspi1 clock setting (Steve Best) [2178965]
- soc: imx: imx93-src: No need to set device_driver owner (Steve Best) [2180773]
- soc: imx: imx93-pd: No need to set device_driver owner (Steve Best) [2180773]
- sched/topology: fix KASAN warning in hop_cmp() (Phil Auld) [RHEL-318]
- lib/cpumask: update comment for cpumask_local_spread() (Phil Auld) [RHEL-318]
- sched/topology: Introduce for_each_numa_hop_mask() (Phil Auld) [RHEL-318]
- sched/topology: Introduce sched_numa_hop_mask() (Phil Auld) [RHEL-318]
- lib/cpumask: reorganize cpumask_local_spread() logic (Phil Auld) [RHEL-318]
- cpumask: improve on cpumask_local_spread() locality (Phil Auld) [RHEL-318]
- sched: add sched_numa_find_nth_cpu() (Phil Auld) [RHEL-318]
- cpumask: introduce cpumask_nth_and_andnot (Phil Auld) [RHEL-318]
- lib/find: introduce find_nth_and_andnot_bit (Phil Auld) [RHEL-318]
- cpumask: add cpumask_nth_{,and,andnot} (Phil Auld) [RHEL-318]
- lib/bitmap: remove bitmap_ord_to_pos (Phil Auld) [RHEL-318]
- lib: remove lib/nodemask.c (Phil Auld) [RHEL-318]
- gcc-plugins: Undefine LATENT_ENTROPY_PLUGIN when plugin disabled for a file (Phil Auld) [RHEL-318]
- powerpc: drop dependency on <asm/machdep.h> in archrandom.h (Phil Auld) [RHEL-318]
- lib/nodemask: inline next_node_in() and node_random() (Phil Auld) [RHEL-318]
- lib/bitmap: add tests for find_nth_bit() (Phil Auld) [RHEL-318]
- lib: add find_nth{,_and,_andnot}_bit() (Phil Auld) [RHEL-318]
- lib/find_bit: optimize find_next_bit() functions (Phil Auld) [RHEL-318]
- lib/find_bit: create find_first_zero_bit_le() (Phil Auld) [RHEL-318]
- lib/find_bit: introduce FIND_FIRST_BIT() macro (Phil Auld) [RHEL-318]
- lib/bitmap: add bitmap_weight_and() (Phil Auld) [RHEL-318]
- lib/bitmap: don't call __bitmap_weight() in kernel code (Phil Auld) [RHEL-318]
- lib/cpumask: move trivial wrappers around find_bit to the header (Phil Auld) [RHEL-318]
- lib/cpumask: change return types to unsigned where appropriate (Phil Auld) [RHEL-318]
- cpumask: change return types to bool where appropriate (Phil Auld) [RHEL-318]
- cpumask: Always inline helpers which use bit manipulation functions (Phil Auld) [RHEL-318]
- cpumask: use find_first_and_bit() (Phil Auld) [RHEL-318]
- asm-generic/bitops: Always inline all bit manipulation helpers (Phil Auld) [RHEL-318]
- lib/bitmap: change type of bitmap_weight to unsigned long (Phil Auld) [RHEL-318]
- lib/bitmap: change return types to bool where appropriate (Phil Auld) [RHEL-318]
- arm: align find_bit declarations with generic kernel (Phil Auld) [RHEL-318]
- nodemask: Fix return values to be unsigned (Phil Auld) [RHEL-318]
- bitmap: Fix return values to be unsigned (Phil Auld) [RHEL-318]
- net: thunderbolt: fix memory leak in tbnet_open() (Desnes Nunes) [2181663]
- usb: dwc3: gadget: Clear ep descriptor last (Desnes Nunes) [2181663]
- usb: dwc3: exynos: Fix remove() function (Desnes Nunes) [2181663]
- usb: dwc3: gadget: Disable GUSB2PHYCFG.SUSPHY for End Transfer (Desnes Nunes) [2181663]
- USB: serial: option: add u-blox LARA-L6 modem (Desnes Nunes) [2181663]
- USB: serial: option: add u-blox LARA-R6 00B modem (Desnes Nunes) [2181663]
- USB: serial: option: remove old LARA-R6 PID (Desnes Nunes) [2181663]
- net: thunderbolt: Fix error handling in tbnet_init() (Desnes Nunes) [2181663]
- USB: serial: option: add Fibocom FM160 0x0111 composition (Desnes Nunes) [2181663]
- usb: add NO_LPM quirk for Realforce 87U Keyboard (Desnes Nunes) [2181663]
- usb: chipidea: fix deadlock in ci_otg_del_timer (Desnes Nunes) [2181663]
- usb: dwc3: Do not get extcon device when usb-role-switch is used (Desnes Nunes) [2181663]
- usb: typec: tipd: Prevent uninitialized event{1,2} in IRQ handler (Desnes Nunes) [2181663]
- usb: typec: mux: Enter safe mode only when pins need to be reconfigured (Desnes Nunes) [2181663]
- Revert "usb: dwc3: disable USB core PHY management" (Desnes Nunes) [2181663]
- usb: dwc3: gadget: Return -ESHUTDOWN on ep disable (Desnes Nunes) [2181663]
- USB: serial: option: add Sierra Wireless EM9191 (Desnes Nunes) [2181663]
- usb: dwc3: gadget: Don't set IMI for no_interrupt (Desnes Nunes) [2181663]
- usb: dwc3: gadget: Stop processing more requests on IMI (Desnes Nunes) [2181663]
- xhci: Remove device endpoints from bandwidth list when freeing the device (Desnes Nunes) [2181663]
- xhci: Add quirk to reset host back to default state at shutdown (Desnes Nunes) [2181663]
- usb: xhci: add XHCI_SPURIOUS_SUCCESS to ASM1042 despite being a V0.96 controller (Desnes Nunes) [2181663]
- usb: typec: ucsi: acpi: Implement resume callback (Desnes Nunes) [2181663]
- usb: typec: ucsi: Check the connection on resume (Desnes Nunes) [2181663]
- usb: dwc3: gadget: Don't delay End Transfer on delayed_status (Desnes Nunes) [2181663]
- usb: dwc3: Don't switch OTG -> peripheral if extcon is present (Desnes Nunes) [2181663]
- Revert "usb: dwc3: Don't switch OTG -> peripheral if extcon is present" (Desnes Nunes) [2181663]
- Revert "USB: fixup for merge issue with "usb: dwc3: Don't switch OTG -> peripheral if extcon is present"" (Desnes Nunes) [2181663]
- USB: omap_udc: Fix spelling mistake: "tranceiver_ctrl" -> "transceiver_ctrl" (Desnes Nunes) [2181663]
- usb: typec: Replace custom implementation of device_match_fwnode() (Desnes Nunes) [2181663]
- usb: idmouse: fix an uninit-value in idmouse_open (Desnes Nunes) [2181663]
- usb: phy: generic: Switch to use dev_err_probe() helper (Desnes Nunes) [2181663]
- usb: ulpi: use DEFINE_SHOW_ATTRIBUTE to simplify ulpi_regs (Desnes Nunes) [2181663]
- usb: cdc-wdm: Use skb_put_data() instead of skb_put/memcpy pair (Desnes Nunes) [2181663]
- usb: typec: fusb302: Switch to use dev_err_probe() helper (Desnes Nunes) [2181663]
- USB: serial: qcserial: add new usb-id for Dell branded EM7455 (Desnes Nunes) [2181663]
- media: flexcop-usb: use usb_endpoint_maxp() (Desnes Nunes) [2181663]
- media: flexcop-usb: clean up URB initialisation (Desnes Nunes) [2181663]
- media: flexcop-usb: clean up endpoint sanity checks (Desnes Nunes) [2181663]
- media: usb/dvb-usb-v2: fix repeated words in comments (Desnes Nunes) [2181663]
- thunderbolt: Use dev_err_probe() (Desnes Nunes) [2181663]
- thunderbolt: Convert to use sysfs_emit()/sysfs_emit_at() APIs (Desnes Nunes) [2181663]
- Revert "usb: storage: Add quirk for Samsung Fit flash" (Desnes Nunes) [2181663]
- usb: dwc3: core: fix some leaks in probe (Desnes Nunes) [2181663]
- usb: dwc3: core: Enable GUCTL1 bit 10 for fixing termination error after resume bug (Desnes Nunes) [2181663]
- dt-bindings: usb: snps,dwc3: Add 'snps,resume-hs-terminations' quirk (Desnes Nunes) [2181663]
- USB: add RESET_RESUME quirk for NVIDIA Jetson devices in RCM (Desnes Nunes) [2181663]
- usb: dwc3: core: add gfladj_refclk_lpm_sel quirk (Desnes Nunes) [2181663]
- dt-bindings: usb: dwc3: Add gfladj-refclk-lpm-sel-quirk (Desnes Nunes) [2181663]
- usb: dwc3: pci: Add PCIe device ID for USB3 controller on CPU sub-system for Alder Lake P (Desnes Nunes) [2181663]
- usb: dwc3: pci: Update the macro names for USB PCIe device ID's for Alder Lake platforms (Desnes Nunes) [2181663]
- usb: dwc3: xilinx: fix usb3 non-wakeup source resume failure (Desnes Nunes) [2181663]
- usb: dwc3: xilinx: add power management ops support (Desnes Nunes) [2181663]
- media: uvcvideo: move uvc_format_desc to common header (Desnes Nunes) [2181663]
- media: v4l: move helper functions for fractions from uvc to v4l2-common (Desnes Nunes) [2181663]
- dt-bindings: usb: dwc3: add sdm670 compatible (Desnes Nunes) [2181663]
- usb: dwc3: qcom: drop unneeded compatibles (Desnes Nunes) [2181663]
- usb: dwc3: gadget: Do not clear ep delayed stop flag during ep disable (Desnes Nunes) [2181663]
- dt-bindings: usb: dwc2: rockchip: add rockchip,rk3128-usb (Desnes Nunes) [2181663]
- dt-bindings: usb: qcom,dwc3: Fix SM6115 clocks, irqs (Desnes Nunes) [2181663]
- usb: dwc2: Remove redundant license text (Desnes Nunes) [2181663]
- usb: dwc3: Fix typos in gadget.c (Desnes Nunes) [2181663]
- xhci: remove unused lpm_failed_dev member from struct xhci_hcd (Desnes Nunes) [2181663]
- xhci: remove unused command member from struct xhci_hcd struct (Desnes Nunes) [2181663]
- xhci: show fault reason for a failed enable slot command (Desnes Nunes) [2181663]
- xhci: Don't show warning for reinit on known broken suspend (Desnes Nunes) [2181663]
- xhci: dbc: Fix memory leak in xhci_alloc_dbc() (Desnes Nunes) [2181663]
- usb: host: xhci: Fix potential memory leak in xhci_alloc_stream_info() (Desnes Nunes) [2181663]
- USB: serial: ftdi_sio: clean up driver prefix (Desnes Nunes) [2181663]
- USB: serial: ftdi_sio: move driver structure (Desnes Nunes) [2181663]
- USB: serial: ftdi_sio: clean up attribute visibility logic (Desnes Nunes) [2181663]
- USB: serial: console: move mutex_unlock() before usb_serial_put() (Desnes Nunes) [2181663]
- USB: serial: ftdi_sio: convert to use dev_groups (Desnes Nunes) [2181663]
- USB: serial: ftdi_sio: add support for HP and HA devices (Desnes Nunes) [2181663]
- USB: serial: ftdi_sio: simplify divisor handling (Desnes Nunes) [2181663]
- USB: serial: ftdi_sio: assume hi-speed type (Desnes Nunes) [2181663]
- USB: serial: ftdi_sio: clean up baudrate request (Desnes Nunes) [2181663]
- USB: serial: ftdi_sio: clean up attribute handling (Desnes Nunes) [2181663]
- USB: serial: ftdi_sio: clean up modem-status handling (Desnes Nunes) [2181663]
- USB: serial: ftdi_sio: tighten device-type detection (Desnes Nunes) [2181663]
- USB: serial: ftdi_sio: rename channel index (Desnes Nunes) [2181663]
- USB: serial: ftdi_sio: include FT2232D in type string (Desnes Nunes) [2181663]
- USB: serial: ftdi_sio: rename chip types (Desnes Nunes) [2181663]
- USB: serial: ftdi_sio: drop redundant chip type comments (Desnes Nunes) [2181663]
- USB: serial: ftdi_sio: clean up chip type enum (Desnes Nunes) [2181663]
- USB: serial: ftdi_sio: fix 300 bps rate for SIO (Desnes Nunes) [2181663]
- usb: typec: intel_pmc_mux: Use the helper acpi_dev_get_memory_resources() (Desnes Nunes) [2181663]
- usb: misc: usb3503: call clk_disable_unprepare in the error handling (Desnes Nunes) [2181663]
- usb: chipidea: make configs for glue drivers visible with EXPERT (Desnes Nunes) [2181663]
- usb: dwc3: gadget: Submit endxfer command if delayed during disconnect (Desnes Nunes) [2181663]
- usb: dwc3: gadget: Skip waiting for CMDACT cleared during endxfer (Desnes Nunes) [2181663]
- usb: dwc3: Increase DWC3 controller halt timeout (Desnes Nunes) [2181663]
- usb: dwc3: Remove DWC3 locking during gadget suspend/resume (Desnes Nunes) [2181663]
- usb: dwc3: Avoid unmapping USB requests if endxfer is not complete (Desnes Nunes) [2181663]
- usb: misc: uss720: fix uninitialized variable rlen (Desnes Nunes) [2181663]
- thunderbolt: debugfs: Fix spelling mistakes in seq_puts text (Desnes Nunes) [2181663]
- thunderbolt: Add support for ASMedia NVM image format (Desnes Nunes) [2181663]
- thunderbolt: Move vendor specific NVM handling into nvm.c (Desnes Nunes) [2181663]
- thunderbolt: Provide tb_retimer_nvm_read() analogous to tb_switch_nvm_read() (Desnes Nunes) [2181663]
- thunderbolt: Rename and make nvm_read() available for other files (Desnes Nunes) [2181663]
- thunderbolt: Extend NVM version fields to 32-bits (Desnes Nunes) [2181663]
- thunderbolt: Allow NVM upgrade of USB4 host routers (Desnes Nunes) [2181663]
- scsi: uas: Drop DID_TARGET_FAILURE use (Desnes Nunes) [2181663]
- usb: clean up after dropping driver registration log spam (Desnes Nunes) [2181663]
- usb: phy: tegra: switch to using devm_gpiod_get() (Desnes Nunes) [2181663]
- USB: xhci: make xhci_get_endpoint_address static (Desnes Nunes) [2181663]
- thunderbolt: Add support for receiver lane margining (Desnes Nunes) [2181663]
- thunderbolt: Add helper to check if CL states are enabled on port (Desnes Nunes) [2181663]
- thunderbolt: Pass CL state bitmask to tb_port_clx_supported() (Desnes Nunes) [2181663]
- thunderbolt: Move port CL state functions into correct place in switch.c (Desnes Nunes) [2181663]
- thunderbolt: Move tb_xdomain_parent() to tb.h (Desnes Nunes) [2181663]
- USB: hcd-pci: Drop the unused id parameter from usb_hcd_pci_probe() (Desnes Nunes) [2181663]
- net: thunderbolt: Update module description with mention of USB4 (Desnes Nunes) [2181663]
- net: thunderbolt: Enable full end-to-end flow control (Desnes Nunes) [2181663]
- thunderbolt: Add back Intel Falcon Ridge end-to-end flow control workaround (Desnes Nunes) [2181663]
- thunderbolt: Show link type for XDomain connections too (Desnes Nunes) [2181663]
- net: thunderbolt: Enable DMA paths only after rings are enabled (Desnes Nunes) [2181663]
- usb: reduce kernel log spam on driver registration (Desnes Nunes) [2181663]
- usb: dwc3: gadget: Continue handling EP0 xfercomplete events (Desnes Nunes) [2181663]
- usb: dwc3: gadget: Synchronize IRQ between soft connect/disconnect (Desnes Nunes) [2181663]
- usb: dwc3: gadget: Force sending delayed status during soft disconnect (Desnes Nunes) [2181663]
- usb: dwc3: Do not service EP0 and conndone events if soft disconnected (Desnes Nunes) [2181663]
- usb: host: xhci-plat: suspend/resume clks for brcm (Desnes Nunes) [2181663]
- usb: host: xhci-plat: suspend and resume clocks (Desnes Nunes) [2181663]
- usb: phy: mxs: fix MXS_PHY_TX_CAL45_MIN and MXS_PHY_TX_CAL45_MAX (Desnes Nunes) [2181663]
- usb: host: Initiate urb ep with udev ep0 (Desnes Nunes) [2181663]
- usb: ehci: Use endpoint in URB to get maxpacket (Desnes Nunes) [2181663]
- usb: chipidea: Add support for VBUS control with PHY (Desnes Nunes) [2181663]
- dt-bindings: usb: Add missing (unevaluated|additional)Properties on child nodes (Desnes Nunes) [2181663]
- media: uvcvideo: Limit power line control for Sonix Technology (Desnes Nunes) [2181663]
- media: uvcvideo: Use entity get_cur in uvc_ctrl_set (Desnes Nunes) [2181663]
- media: uvcvideo: Fix typo 'the the' in comment (Desnes Nunes) [2181663]
- media: uvcvideo: Use indexed loops in uvc_ctrl_init_ctrl() (Desnes Nunes) [2181663]
- media: uvcvideo: Fix memory leak in uvc_gpio_parse (Desnes Nunes) [2181663]
- media: gspca: Fix typo 'the the' in comment (Desnes Nunes) [2181663]
- thunderbolt: Add support for Intel Meteor Lake (Desnes Nunes) [2181663]
- thunderbolt: Add comment where Thunderbolt 4 PCI IDs start (Desnes Nunes) [2181663]
- usb/hcd: Fix dma_map_sg error check (Desnes Nunes) [2181663]
- usb: move from strlcpy with unused retval to strscpy (Desnes Nunes) [2181663]
- dt-bindings: usb: renesas, usb3-peri: Document RZ/V2M r9a09g011 support (Desnes Nunes) [2181663]
- usb: typec: tcpci_rt1711h: Fix CC PHY noise filter of voltage level (Desnes Nunes) [2181663]
- usb: typec: tcpci: Move function "tcpci_to_typec_cc" to common (Desnes Nunes) [2181663]
- usb: typec: tcpci_rt1711h: Add compatible id with rt1715 (Desnes Nunes) [2181663]
- usb: typec: tcpci_rt1711h: Add initial phy setting (Desnes Nunes) [2181663]
- usb: typec: tcpci_rt1711h: Add regulator support when source vbus (Desnes Nunes) [2181663]
- usb: typec: tcpci_rt1711h: Fix vendor setting when set vconn (Desnes Nunes) [2181663]
- dt-bindings usb: typec: rt1711h: Add binding for Richtek RT1711H (Desnes Nunes) [2181663]
- usb: dwc3: qcom: clean up icc init (Desnes Nunes) [2181663]
- usb: dwc3: qcom: only parse 'maximum-speed' once (Desnes Nunes) [2181663]
- usb: common: debug: Check non-standard control requests (Desnes Nunes) [2181663]
- usb: dwc3: trace: add Start of Frame Number to trace event (Desnes Nunes) [2181663]
- usb: dwc3: debug: show events parameters in hex (Desnes Nunes) [2181663]
- usb: dwc3: gadget: conditionally remove requests (Desnes Nunes) [2181663]
- usb: typec: ucsi: stm32g0: Fix spelling mistake "booloader" -> "bootloader" (Desnes Nunes) [2181663]
- usb: common: usb-conn-gpio: Simplify some error message (Desnes Nunes) [2181663]
- block: Add error codes for common PR failures (Maurizio Lombardi) [2160677]
- nvmet: avoid potential UAF in nvmet_req_complete() (Maurizio Lombardi) [2160677]
- nvme-tcp: add nvme-tcp pdu size build protection (Maurizio Lombardi) [2160677]
- nvme-tcp: fix opcode reporting in the timeout handler (Maurizio Lombardi) [2160677]
- nvme-pci: add NVME_QUIRK_BOGUS_NID for Lexar NM620 (Maurizio Lombardi) [2160677]
- nvme-pci: add NVME_QUIRK_BOGUS_NID for Netac NV3000 (Maurizio Lombardi) [2160677]
- nvme-pci: fixing memory leak in probe teardown path (Maurizio Lombardi) [2160677]
- nvme: fix handling single range discard request (Maurizio Lombardi) [2160677]
- nvme-fabrics: show well known discovery name (Maurizio Lombardi) [2160677]
- nvme-tcp: don't access released socket during error recovery (Maurizio Lombardi) [2160677]
- nvme-auth: fix an error code in nvme_auth_process_dhchap_challenge() (Maurizio Lombardi) [2160677]
- nvme: bring back auto-removal of deleted namespaces during sequential scan (Maurizio Lombardi) [2160677]
- nvme: fix sparse warning on effects masking (Maurizio Lombardi) [2160677]
- nvme-pci: remove iod use_sgls (Maurizio Lombardi) [2160677]
- nvme-pci: fix freeing single sgl (Maurizio Lombardi) [2160677]
- nvme: mask CSE effects for security receive (Maurizio Lombardi) [2160677]
- nvme: always initialize known command effects (Maurizio Lombardi) [2160677]
- nvmet: for nvme admin set_features cmd, call nvmet_check_data_len_lte() (Maurizio Lombardi) [2160677]
- nvme-tcp: add additional info for nvme_tcp_timeout log (Maurizio Lombardi) [2160677]
- nvme: add nvme_opcode_str function for all nvme cmd types (Maurizio Lombardi) [2160677]
- nvme: remove nvme_execute_passthru_rq (Maurizio Lombardi) [2160677]
- nvme-pci: place descriptor addresses in iod (Maurizio Lombardi) [2160677]
- nvme-pci: use mapped entries for sgl decision (Maurizio Lombardi) [2160677]
- nvme-pci: remove SGL segment descriptors (Maurizio Lombardi) [2160677]
- nvme-auth: don't use NVMe status codes (Maurizio Lombardi) [2160677]
- nvme-fabrics: clarify AUTHREQ result handling (Maurizio Lombardi) [2160677]
- nvme-pci: add bogus ID quirk for ADATA SX6000PNP (Maurizio Lombardi) [2160677]
- nvme-auth: mark nvme_auth_wq static (Maurizio Lombardi) [2160677]
- nvme-auth: use workqueue dedicated to authentication (Maurizio Lombardi) [2160677]
- nvme: clear the request_queue pointers on failure in nvme_alloc_io_tag_set (Maurizio Lombardi) [2160677]
- nvme: clear the request_queue pointers on failure in nvme_alloc_admin_tag_set (Maurizio Lombardi) [2160677]
- nvme-fc: fix a missing queue put in nvmet_fc_ls_create_association (Maurizio Lombardi) [2160677]
- nvme: fix passthrough csi check (Maurizio Lombardi) [2160677]
- nvme-pci: fix timeout request state check (Maurizio Lombardi) [2160677]
- nvme-apple: only reset the controller when RTKit is running (Maurizio Lombardi) [2160677]
- nvme-apple: reset controller during shutdown (Maurizio Lombardi) [2160677]
- nvme-pci: add NVME_QUIRK_IDENTIFY_CNS quirk to Apple T2 controllers (Maurizio Lombardi) [2160677]
- nvme-apple: add NVME_QUIRK_IDENTIFY_CNS quirk to fix regression (Maurizio Lombardi) [2160677]
- nvme-auth: fix smatch warning complaints (Maurizio Lombardi) [2160677]
- nvme: also return I/O command effects from nvme_command_effects (Maurizio Lombardi) [2160677]
- nvmet: don't defer passthrough commands with trivial effects to the workqueue (Maurizio Lombardi) [2160677]
- nvmet: set the LBCC bit for commands that modify data (Maurizio Lombardi) [2160677]
- nvmet: use NVME_CMD_EFFECTS_CSUPP instead of open coding it (Maurizio Lombardi) [2160677]
- nvme-pci: update sqsize when adjusting the queue depth (Maurizio Lombardi) [2160677]
- nvme: fix setting the queue depth in nvme_alloc_io_tag_set (Maurizio Lombardi) [2160677]
- nvme-pci: use the tagset alloc/free helpers (Maurizio Lombardi) [2160677]
- nvme-pci: fix page size checks (Maurizio Lombardi) [2160677]
- nvme-pci: fix mempool alloc size (Maurizio Lombardi) [2160677]
- nvme-pci: fix doorbell buffer value endianness (Maurizio Lombardi) [2160677]
- nvme: Convert NVMe errors to PR errors (Maurizio Lombardi) [2160677]
- nvmet: don't open-code NVME_NS_ATTR_RO enumeration (Maurizio Lombardi) [2160677]
- nvme: add the Apple shared tag workaround to nvme_alloc_io_tag_set (Maurizio Lombardi) [2160677]
- nvme: only set reserved_tags in nvme_alloc_io_tag_set for fabrics controllers (Maurizio Lombardi) [2160677]
- nvme: consolidate setting the tagset flags (Maurizio Lombardi) [2160677]
- nvme-pci: split out a nvme_pci_ctrl_is_dead helper (Maurizio Lombardi) [2160677]
- nvme-pci: return early on ctrl state mismatch in nvme_reset_work (Maurizio Lombardi) [2160677]
- nvme-pci: rename nvme_disable_io_queues (Maurizio Lombardi) [2160677]
- nvme-pci: cleanup nvme_suspend_queue (Maurizio Lombardi) [2160677]
- nvme-pci: remove nvme_pci_disable (Maurizio Lombardi) [2160677]
- nvme-pci: remove nvme_disable_admin_queue (Maurizio Lombardi) [2160677]
- nvme: merge nvme_shutdown_ctrl into nvme_disable_ctrl (Maurizio Lombardi) [2160677]
- nvme: use nvme_wait_ready in nvme_shutdown_ctrl (Maurizio Lombardi) [2160677]
- nvme-apple: fix controller shutdown in apple_nvme_disable (Maurizio Lombardi) [2160677]
- nvme-fc: move common code into helper (Maurizio Lombardi) [2160677]
- nvme-fc: avoid null pointer dereference (Maurizio Lombardi) [2160677]
- nvme-multipath: support io stats on the mpath device (Maurizio Lombardi) [2160677]
- nvme: introduce nvme_start_request (Maurizio Lombardi) [2160677]
- nvme: use kstrtobool() instead of strtobool() (Maurizio Lombardi) [2160677]
- nvmet: expose firmware revision to configfs (Maurizio Lombardi) [2160677]
- nvmet: expose IEEE OUI to configfs (Maurizio Lombardi) [2160677]
- nvme: rename the queue quiescing helpers (Maurizio Lombardi) [2160677]
- nvme: avoid fallback to sequential scan due to transient issues (Maurizio Lombardi) [2160677]
- nvme-auth: have dhchap_auth_work wait for queues auth to complete (Maurizio Lombardi) [2160677]
- nvme-auth: remove redundant auth_work flush (Maurizio Lombardi) [2160677]
- nvme-auth: convert dhchap_auth_list to an array (Maurizio Lombardi) [2160677]
- nvme-auth: check chap ctrl_key once constructed (Maurizio Lombardi) [2160677]
- nvme-auth: no need to reset chap contexts on re-authentication (Maurizio Lombardi) [2160677]
- nvme-auth: remove redundant deallocations (Maurizio Lombardi) [2160677]
- nvme-auth: clear sensitive info right after authentication completes (Maurizio Lombardi) [2160677]
- nvme-auth: guarantee dhchap buffers under memory pressure (Maurizio Lombardi) [2160677]
- nvme-auth: don't keep long lived 4k dhchap buffer (Maurizio Lombardi) [2160677]
- nvme-auth: remove redundant if statement (Maurizio Lombardi) [2160677]
- nvme-auth: don't override ctrl keys before validation (Maurizio Lombardi) [2160677]
- nvme-auth: don't ignore key generation failures when initializing ctrl keys (Maurizio Lombardi) [2160677]
- nvme-auth: remove redundant buffer deallocations (Maurizio Lombardi) [2160677]
- nvme-auth: don't re-authenticate if the controller is not LIVE (Maurizio Lombardi) [2160677]
- nvme-auth: remove symbol export from nvme_auth_reset (Maurizio Lombardi) [2160677]
- nvme-auth: rename authentication work elements (Maurizio Lombardi) [2160677]
- nvme-auth: rename __nvme_auth_[reset|free] to nvme_auth[reset|free]_dhchap (Maurizio Lombardi) [2160677]
- nvme: implement the DEAC bit for the Write Zeroes command (Maurizio Lombardi) [2160677]
- nvme-fc: improve memory usage in nvme_fc_rcv_ls_req() (Maurizio Lombardi) [2160677]
- nvmet: only allocate a single slab for bvecs (Maurizio Lombardi) [2160677]
- nvmet: force reconnect when number of queue changes (Maurizio Lombardi) [2160677]
- nvmet: use try_cmpxchg in nvmet_update_sq_head (Maurizio Lombardi) [2160677]
- nvme-pci: add NVME_QUIRK_BOGUS_NID for Netac NV7000 (Maurizio Lombardi) [2160677]
- nvme-pci: add NVME_QUIRK_BOGUS_NID for Micron Nitro (Maurizio Lombardi) [2160677]
- nvme: quiet user passthrough command errors (Maurizio Lombardi) [2160677]
- nvme-multipath: set queue dma alignment to 3 (Maurizio Lombardi) [2160677]
- nvme-tcp: replace sg_init_marker() with sg_init_table() (Maurizio Lombardi) [2160677]
- nvme-hwmon: kmalloc the NVME SMART log buffer (Maurizio Lombardi) [2160677]
- nvme-hwmon: consistently ignore errors from nvme_hwmon_init (Maurizio Lombardi) [2160677]
- nvme-apple: don't limit DMA segement size (Maurizio Lombardi) [2160677]
- nvme-pci: disable write zeroes on various Kingston SSD (Maurizio Lombardi) [2160677]
- nvme-pci: avoid the deepest sleep state on ZHITAI TiPro5000 SSDs (Maurizio Lombardi) [2160677]
- nvme-pci: add NVME_QUIRK_BOGUS_NID for Lexar NM760 (Maurizio Lombardi) [2160677]
- nvme: Use blk_rq_map_user_io helper (Maurizio Lombardi) [2160677]
- nvmet: don't look at the request_queue in nvmet_bdev_set_limits (Maurizio Lombardi) [2160677]
- nvmet: don't look at the request_queue in nvmet_bdev_zone_mgmt_emulate_all (Maurizio Lombardi) [2160677]
- nvmet: add helpers to set the result field for connect commands (Maurizio Lombardi) [2160677]
- nvme: improve the NVME_CONNECT_AUTHREQ* definitions (Maurizio Lombardi) [2160677]
- nvmet-tcp: handle ICReq PDU received in NVMET_TCP_Q_LIVE state (Maurizio Lombardi) [2160677]
- nvme-pci: report the actual number of tagset maps (Maurizio Lombardi) [2160677]
- nvme: ensure subsystem reset is single threaded (Maurizio Lombardi) [2160677]
- nvme: restrict management ioctls to admin (Maurizio Lombardi) [2160677]
- nvme: copy firmware_rev on each init (Maurizio Lombardi) [2160677]
- nvme: handle effects after freeing the request (Maurizio Lombardi) [2160677]
- nvme-tcp: print actual source IP address through sysfs "address" attr (Maurizio Lombardi) [2160677]
- nvme-pci: move iod dma_len fill gaps (Maurizio Lombardi) [2160677]
- nvme-pci: iod npages fits in s8 (Maurizio Lombardi) [2160677]
- nvme-pci: iod's 'aborted' is a bool (Maurizio Lombardi) [2160677]
- nvme-pci: remove nvme_queue from nvme_iod (Maurizio Lombardi) [2160677]
- nvme: consider also host_iface when checking ip options (Maurizio Lombardi) [2160677]
- nvmet: avoid unnecessary flush bio (Maurizio Lombardi) [2160677]
- nvmet-auth: remove redundant parameters req (Maurizio Lombardi) [2160677]
- nvmet-auth: clean up with done_kfree (Maurizio Lombardi) [2160677]
- nvme-auth: remove the redundant req->cqe->result.u16 assignment operation (Maurizio Lombardi) [2160677]
- nvme: move from strlcpy with unused retval to strscpy (Maurizio Lombardi) [2160677]
- nvme: add comment for unaligned "fake" nqn (Maurizio Lombardi) [2160677]
- nvme-pci: disable Write Zeroes on Phison E3C/E4C (Maurizio Lombardi) [2160677]
- nvme: Fix IOC_PR_CLEAR and IOC_PR_RELEASE ioctls for nvme devices (Maurizio Lombardi) [2160677]
- locking/rwsem: Disable preemption in all down_write*() and up_write() code paths (Joel Savitz) [2176147]
- locking/rwsem: Disable preemption in all down_read*() and up_read() code paths (Joel Savitz) [2176147]
- locking/rwsem: Prevent non-first waiter from spinning in down_write() slowpath (Joel Savitz) [2176147]
- rtmutex: Ensure that the top waiter is always woken up (Joel Savitz) [2176147]
- locking/lockdep: Print more debug information - report name and key when look_up_lock_class() got confused (Joel Savitz) [2176147]
- locking: Fix qspinlock/x86 inline asm error (Joel Savitz) [2176147]
- locking: Add __lockfunc to slow path functions (Joel Savitz) [2176147]
- locking/rwsem: Allow slowpath writer to ignore handoff bit if not set by first waiter (Joel Savitz) [2176147]
- kernel/lockdep: move lockdep sysctls to its own file (Joel Savitz) [2176147]
- locking/qrwlock: Change "queue rwlock" to "queued rwlock" (Joel Savitz) [2176147]
- locking/mutex: Make contention tracepoints more consistent wrt adaptive spinning (Joel Savitz) [2176147]
- locking: Apply contention tracepoints in the slow path (Joel Savitz) [2176147]
- locking/rwsem: Always try to wake waiters in out_nolock path (Joel Savitz) [2176147]
- locking/rwsem: No need to check for handoff bit if wait queue empty (Joel Savitz) [2176147]
- lockdep: Use memset_startat() helper in reinit_class() (Joel Savitz) [2176147]
- locking/rwsem: Conditionally wake waiters in reader/writer slowpaths (Joel Savitz) [2176147]
- locking/rwsem: Make handoff bit handling more consistent (Joel Savitz) [2176147]
- Revert "locking/rwsem: Conditionally wake waiters in reader/writer slowpaths" (Joel Savitz) [2176147]
- futex: Fix futex_waitv() hrtimer debug object leak on kcalloc error (Joel Savitz) [2176147]
- futex: Remove a PREEMPT_RT_FULL reference. (Joel Savitz) [2176147]
- futex: Fix additional regressions (Joel Savitz) [2176147]
- futex: Fix sparc32/m68k/nds32 build regression (Joel Savitz) [2176147]
- futex: Ensure futex_atomic_cmpxchg_inatomic() is present (Joel Savitz) [2176147]
- futex: Remove futex_cmpxchg detection (Joel Savitz) [2176147]
- dm: fix __send_duplicate_bios() to always allow for splitting IO (Benjamin Marzinski) [2184420]
- dm: fix improper splitting for abnormal bios (Benjamin Marzinski) [2184420]
Resolves: rhbz#2160677, rhbz#2161680, rhbz#2176147, rhbz#2178965, rhbz#2180764, rhbz#2180773, rhbz#2181263, rhbz#2181505, rhbz#2181663, RHEL-318

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-16 19:52:55 +02:00
Jan Stancek
e31b969b55 kernel-5.14.0-299.el9
* Thu Apr 13 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-299.el9]
- powerpc/xive: Use XIVE domain under xmon and debugfs (David Arcari) [2114045]
- powerpc/xics: Drop unmask of MSIs at startup (David Arcari) [2114045]
- iommu/vt-d: Remove unnecessary locking in intel_irq_remapping_alloc() (David Arcari) [2114045]
- Update CONFIG_LOCKDEP_CHAINS_BITS to 18 (David Arcari) [2114045]
- irqdomain: Add missing NULL pointer check in irq_domain_create_hierarchy() (David Arcari) [2114045]
- irqdomain: Switch to per-domain locking (David Arcari) [2114045]
- irqchip/mvebu-odmi: Use irq_domain_create_hierarchy() (David Arcari) [2114045]
- irqchip/gic-v3-mbi: Use irq_domain_create_hierarchy() (David Arcari) [2114045]
- irqchip/gic-v3-its: Use irq_domain_create_hierarchy() (David Arcari) [2114045]
- irqchip/gic-v2m: Use irq_domain_create_hierarchy() (David Arcari) [2114045]
- irqchip/alpine-msi: Use irq_domain_add_hierarchy() (David Arcari) [2114045]
- x86/uv: Use irq_domain_create_hierarchy() (David Arcari) [2114045]
- x86/ioapic: Use irq_domain_create_hierarchy() (David Arcari) [2114045]
- irqdomain: Clean up irq_domain_push/pop_irq() (David Arcari) [2114045]
- irqdomain: Drop leftover brackets (David Arcari) [2114045]
- irqdomain: Drop dead domain-name assignment (David Arcari) [2114045]
- irqdomain: Drop revmap mutex (David Arcari) [2114045]
- irqdomain: Fix domain registration race (David Arcari) [2114045]
- irqdomain: Fix mapping-creation race (David Arcari) [2114045]
- irqdomain: Refactor __irq_domain_alloc_irqs() (David Arcari) [2114045]
- irqdomain: Look for existing mapping only once (David Arcari) [2114045]
- irqdomain: Drop bogus fwspec-mapping error handling (David Arcari) [2114045]
- irqdomain: Fix disassociation race (David Arcari) [2114045]
- irqdomain: Fix association race (David Arcari) [2114045]
- irqdomain: Use hwirq_max instead of revmap_size for NOMAP domains (David Arcari) [2114045]
- PCI: apple: Add INTx and per-port interrupt support (David Arcari) [2114045]
- irqdomain: Change the type of 'size' in __irq_domain_add() to be consistent (David Arcari) [2114045]
- genirq/ipi: Fix NULL pointer deref in irq_data_get_affinity_mask() (David Arcari) [2114045]
- iommu/amd: Enable PCI/IMS (David Arcari) [2114045]
- iommu/vt-d: Enable PCI/IMS (David Arcari) [2114045]
- genirq: Drop redundant irq_init_effective_affinity (David Arcari) [2114045]
- x86/apic/msi: Enable PCI/IMS (David Arcari) [2114045]
- PCI/MSI: Provide pci_ims_alloc/free_irq() (David Arcari) [2114045]
- PCI/MSI: Provide IMS (Interrupt Message Store) support (David Arcari) [2114045]
- genirq: Return a const cpumask from irq_data_get_affinity_mask (David Arcari) [2114045]
- genirq: Add and use an irq_data_update_affinity helper (David Arcari) [2114045]
- genirq: Refactor accessors to use irq_data_get_affinity_mask (David Arcari) [2114045]
- genirq/msi: Provide constants for PCI/IMS support (David Arcari) [2114045]
- x86/apic/msi: Enable MSI_FLAG_PCI_MSIX_ALLOC_DYN (David Arcari) [2114045]
- x86/apic/msi: Remove arch_create_remap_msi_irq_domain() (David Arcari) [2114045]
- iommu/amd: Switch to MSI base domains (David Arcari) [2114045]
- iommu/vt-d: Switch to MSI parent domains (David Arcari) [2114045]
- oc: ti: ti_sci_inta_msi: Switch to domain id aware MSI functions (David Arcari) [2114045]
- genirq/irqdesc: Don't try to remove non-existing sysfs files (David Arcari) [2114045]
- irqchip/gic: Use kstrtobool() instead of strtobool() (David Arcari) [2114045]
- irqchip/irq-mtk-cirq: Add support for System CIRQ on MT8192 (David Arcari) [2114045]
- irqchip/irq-mtk-cirq: Move register offsets to const array (David Arcari) [2114045]
- dt-bindings: interrupt-controller: mediatek,cirq: Document MT8192 (David Arcari) [2114045]
- dt-bindings: interrupt-controller: mediatek,cirq: Migrate to dt schema (David Arcari) [2114045]
- irqchip/sl28cpld: Replace irqchip mask_invert with unmask_base (David Arcari) [2114045]
- irqchip/wpcm450: Fix memory leak in wpcm450_aic_of_init() (David Arcari) [2114045]
- irqchip: gic-pm: Use pm_runtime_resume_and_get() in gic_probe() (David Arcari) [2114045]
- irqchip/al-fic: Drop obsolete dependency on COMPILE_TEST (David Arcari) [2114045]
- irqchip/st: Use device_get_match_data() to simplify the code (David Arcari) [2114045]
- genirq/irqreturn: Fix kernel-doc warnings (David Arcari) [2114045]
- iommu/of: Remove linux/msi.h include (David Arcari) [2114045]
- vfio/fsl-mc: Remove linux/msi.h include (David Arcari) [2114045]
- soc: fsl: dpio: Remove linux/msi.h include (David Arcari) [2114045]
- bus: fsl-mc: Remove linux/msi.h includes (David Arcari) [2114045]
- Documentation: PCI: Add reference to PCI/MSI device driver APIs (David Arcari) [2114045]
- iommu/amd: Remove bogus check for multi MSI-X (David Arcari) [2114045]
- iommu/vt-d: Remove bogus check for multi MSI-X (David Arcari) [2114045]
- genirq: Remove unused argument force of irq_set_affinity_deactivated() (David Arcari) [2114045]
- powerpc/64: warn if local irqs are enabled in NMI or hardirq context (David Arcari) [2114045]
- powerpc/traps: do not enable irqs in _exception (David Arcari) [2114045]
- powerpc/xics: Set the IRQ chip data for the ICS native backend (David Arcari) [2114045]
- powerpc: use IRQF_NO_DEBUG for IPIs (David Arcari) [2114045]
- powerpc/xics: Fix IRQ migration (David Arcari) [2114045]
- powerpc/xics: Add support for IRQ domain hierarchy (David Arcari) [2114045]
- powerpc/xics: Give a name to the default XICS IRQ domain (David Arcari) [2114045]
- powerpc/xics: Rename the map handler in a check handler (David Arcari) [2114045]
- powerpc/xics: Remove ICS list (David Arcari) [2114045]
- powerpc/xive: Remove irqd_is_started() check when setting the affinity (David Arcari) [2114045]
- powerpc/xive: Drop unmask of MSIs at startup (David Arcari) [2114045]
- powerpc/xive: Ease debugging of xive_irq_set_affinity() (David Arcari) [2114045]
- powerpc/xive: Add support for IRQ domain hierarchy (David Arcari) [2114045]
- watchdog: imx7ulp: Use devm_clk_get_enabled() helper (Steve Best) [2180762]
- KVM: VMX: Fix crash due to uninitialized current_vmcs (Vitaly Kuznetsov) [2181329]
- scsi: target: iscsi: Fix an error message in iscsi_check_key() (Maurizio Lombardi) [2175687]
- scsi: target: core: Fix warning on RT kernels (Maurizio Lombardi) [2175687]
- scsi: target: core: Change the way target_xcopy_do_work() sets restiction on max I/O (Maurizio Lombardi) [2175687]
- scsi: target: core: Make hw_max_sectors store the sectors amount in blocks (Maurizio Lombardi) [2175687]
- scsi: target: core: Send max transfer length in blocks (Maurizio Lombardi) [2175687]
- scsi: target: Use kstrtobool() instead of strtobool() (Maurizio Lombardi) [2175687]
- scsi: target: core: Remove unused variable 'unit_serial_len' (Maurizio Lombardi) [2175687]
- scsi: target: core: Dynamically set DPO and FUA in usage_bits (Maurizio Lombardi) [2175687]
- scsi: target: core: Check emulate_3pc for RECEIVE COPY (Maurizio Lombardi) [2175687]
- scsi: target: core: Add emulate_rsoc attribute (Maurizio Lombardi) [2175687]
- scsi: target: core: Dynamic opcode support in RSOC (Maurizio Lombardi) [2175687]
- scsi: target: core: Add list of opcodes for RSOC (Maurizio Lombardi) [2175687]
- scsi: target: core: Add support for RSOC command (Maurizio Lombardi) [2175687]
- scsi: target: Remove the unused function transport_lba_64_ext() (Maurizio Lombardi) [2175687]
- [target] fix iov_iter_bvec() "direction" argument (Maurizio Lombardi) [2175687]
- scsi: target: tcm_loop: Fix possible name leak in tcm_loop_setup_hba_bus() (Maurizio Lombardi) [2175687]
- scsi: target: iblock: Fold iblock_emulate_read_cap_with_block_size() into iblock_get_blocks() (Maurizio Lombardi) [2175687]
- scsi: target: core: UA on all LUNs after reset (Maurizio Lombardi) [2175687]
- scsi: target: core: New key must be used for moved PR (Maurizio Lombardi) [2175687]
- scsi: target: core: Abort all preempted regs if requested (Maurizio Lombardi) [2175687]
- scsi: target: core: Fix memory leak in preempt_and_abort (Maurizio Lombardi) [2175687]
- scsi: target: core: Fix preempt and abort for allreg res (Maurizio Lombardi) [2175687]
- scsi: target: Remove unused se_tmr_req_cache declaration (Maurizio Lombardi) [2175687]
- scsi: target: alua: Do not report emtpy port group (Maurizio Lombardi) [2175687]
- scsi: target: core: Set MULTIP bit for se_device with multiple ports (Maurizio Lombardi) [2175687]
- scsi: target: pscsi: Remove repeated word "calling" (Maurizio Lombardi) [2175687]
- net: avoid double iput when sock_alloc_file fails (Jeff Moyer) [2123490]
- io_uring: do not recycle buffer in READV (Jeff Moyer) [2123490]
- io_uring: fix free of unallocated buffer list (Jeff Moyer) [2123490]
- io_uring: check that we have a file table when allocating update slots (Jeff Moyer) [2123490]
- io_uring: explicit sqe padding for ioctl commands (Jeff Moyer) [2123490]
- io_uring: fix provided buffer import (Jeff Moyer) [2123490]
- io_uring: keep sendrecv flags in ioprio (Jeff Moyer) [2123490]
- io_uring: use original request task for inflight tracking (Jeff Moyer) [2123490]
- io_uring: move io_uring_get_opcode out of TP_printk (Jeff Moyer) [2123490]
- io_uring: fix double poll leak on repolling (Jeff Moyer) [2123490]
- io_uring: fix wrong arm_poll error handling (Jeff Moyer) [2123490]
- io_uring: fail links when poll fails (Jeff Moyer) [2123490]
- io_uring: fix req->apoll_events (Jeff Moyer) [2123490]
- io_uring: mark reissue requests with REQ_F_PARTIAL_IO (Jeff Moyer) [2123490]
- io_uring: recycle provided buffer if we punt to io-wq (Jeff Moyer) [2123490]
- io_uring: do not use prio task_work_add in uring_cmd (Jeff Moyer) [2123490]
- io_uring: commit non-pollable provided mapped buffers upfront (Jeff Moyer) [2123490]
- io_uring: make io_fill_cqe_aux honour CQE32 (Jeff Moyer) [2123490]
- io_uring: remove __io_fill_cqe() helper (Jeff Moyer) [2123490]
- io_uring: fix ->extra{1,2} misuse (Jeff Moyer) [2123490]
- io_uring: fill extra big cqe fields from req (Jeff Moyer) [2123490]
- io_uring: unite fill_cqe and the 32B version (Jeff Moyer) [2123490]
- io_uring: get rid of __io_fill_cqe{32}_req() (Jeff Moyer) [2123490]
- io_uring: remove IORING_CLOSE_FD_AND_FILE_SLOT (Jeff Moyer) [2123490]
- Revert "io_uring: add buffer selection support to IORING_OP_NOP" (Jeff Moyer) [2123490]
- Revert "io_uring: support CQE32 for nop operation" (Jeff Moyer) [2123490]
- io_uring: limit size of provided buffer ring (Jeff Moyer) [2123490]
- io_uring: fix types in provided buffer ring (Jeff Moyer) [2123490]
- io_uring: fix index calculation (Jeff Moyer) [2123490]
- io_uring: fix double unlock for pbuf select (Jeff Moyer) [2123490]
- io_uring: kbuf: fix bug of not consuming ring buffer in partial io case (Jeff Moyer) [2123490]
- io_uring: openclose: fix bug of closing wrong fixed file (Jeff Moyer) [2123490]
- io_uring: fix not locked access to fixed buf table (Jeff Moyer) [2123490]
- io_uring: fix races with buffer table unregister (Jeff Moyer) [2123490]
- io_uring: fix races with file table unregister (Jeff Moyer) [2123490]
- fix the breakage in close_fd_get_file() calling conventions change (Jeff Moyer) [2123490]
- io_uring: reinstate the inflight tracking (Jeff Moyer) [2123490]
- io_uring: fix deadlock on iowq file slot alloc (Jeff Moyer) [2123490]
- io_uring: let IORING_OP_FILES_UPDATE support choosing fixed file slots (Jeff Moyer) [2123490]
- io_uring: defer alloc_hint update to io_file_bitmap_set() (Jeff Moyer) [2123490]
- io_uring: ensure fput() called correspondingly when direct install fails (Jeff Moyer) [2123490]
- io_uring: wire up allocated direct descriptors for socket (Jeff Moyer) [2123490]
- io_uring: fix a memory leak of buffer group list on exit (Jeff Moyer) [2123490]
- io_uring: move shutdown under the general net section (Jeff Moyer) [2123490]
- io_uring: unify calling convention for async prep handling (Jeff Moyer) [2123490]
- io_uring: add io_op_defs 'def' pointer in req init and issue (Jeff Moyer) [2123490]
- io_uring: make prep and issue side of req handlers named consistently (Jeff Moyer) [2123490]
- io_uring: make timeout prep handlers consistent with other prep handlers (Jeff Moyer) [2123490]
- io_uring: cleanup handling of the two task_work lists (Jeff Moyer) [2123490]
- io_uring: fix incorrect __kernel_rwf_t cast (Jeff Moyer) [2123490]
- io_uring: disallow mixed provided buffer group registrations (Jeff Moyer) [2123490]
- io_uring: initialize io_buffer_list head when shared ring is unregistered (Jeff Moyer) [2123490]
- io_uring: add fully sparse buffer registration (Jeff Moyer) [2123490]
- io_uring: use rcu_dereference in io_close (Jeff Moyer) [2123490]
- io_uring: consistently use the EPOLL* defines (Jeff Moyer) [2123490]
- io_uring: make apoll_events a __poll_t (Jeff Moyer) [2123490]
- io_uring: drop a spurious inline on a forward declaration (Jeff Moyer) [2123490]
- io_uring: don't use ERR_PTR for user pointers (Jeff Moyer) [2123490]
- io_uring: use a rwf_t for io_rw.flags (Jeff Moyer) [2123490]
- io_uring: add support for ring mapped supplied buffers (Jeff Moyer) [2123490]
- io_uring: add io_pin_pages() helper (Jeff Moyer) [2123490]
- io_uring: add buffer selection support to IORING_OP_NOP (Jeff Moyer) [2123490]
- io_uring: fix locking state for empty buffer group (Jeff Moyer) [2123490]
- Unify the primitives for file descriptor closing (Jeff Moyer) [2123490]
- file: let pick_file() tell caller it's done (Jeff Moyer) [2123490]
- io_uring: implement multishot mode for accept (Jeff Moyer) [2123490]
- io_uring: let fast poll support multishot (Jeff Moyer) [2123490]
- io_uring: add REQ_F_APOLL_MULTISHOT for requests (Jeff Moyer) [2123490]
- io_uring: add IORING_ACCEPT_MULTISHOT for accept (Jeff Moyer) [2123490]
- io_uring: only wake when the correct events are set (Jeff Moyer) [2123490]
- io_uring: avoid io-wq -EAGAIN looping for !IOPOLL (Jeff Moyer) [2123490]
- io_uring: add flag for allocating a fully sparse direct descriptor space (Jeff Moyer) [2123490]
- io_uring: bump max direct descriptor count to 1M (Jeff Moyer) [2123490]
- io_uring: allow allocated fixed files for accept (Jeff Moyer) [2123490]
- io_uring: allow allocated fixed files for openat/openat2 (Jeff Moyer) [2123490]
- io_uring: add basic fixed file allocator (Jeff Moyer) [2123490]
- io_uring: track fixed files with a bitmap (Jeff Moyer) [2123490]
- io_uring_enter(): don't leave f.flags uninitialized (Jeff Moyer) [2123490]
- nvme: add vectored-io support for uring-cmd (Jeff Moyer) [2123490]
- nvme: wire-up uring-cmd support for io-passthru on char-device. (Jeff Moyer) [2123490]
- nvme: refactor nvme_submit_user_cmd() (Jeff Moyer) [2123490]
- nvme: add vectored-io support for user-passthrough (Jeff Moyer) [2123490]
- fs,io_uring: add infrastructure for uring-cmd (Jeff Moyer) [2123490]
- io_uring: support CQE32 for nop operation (Jeff Moyer) [2123490]
- io_uring: enable CQE32 (Jeff Moyer) [2123490]
- io_uring: support CQE32 in /proc info (Jeff Moyer) [2123490]
- io_uring: add tracing for additional CQE32 fields (Jeff Moyer) [2123490]
- io_uring: overflow processing for CQE32 (Jeff Moyer) [2123490]
- io_uring: flush completions for CQE32 (Jeff Moyer) [2123490]
- io_uring: modify io_get_cqe for CQE32 (Jeff Moyer) [2123490]
- io_uring: add CQE32 completion processing (Jeff Moyer) [2123490]
- io_uring: add CQE32 setup processing (Jeff Moyer) [2123490]
- io_uring: change ring size calculation for CQE32 (Jeff Moyer) [2123490]
- io_uring: store add. return values for CQE32 (Jeff Moyer) [2123490]
- io_uring: support CQE32 in io_uring_cqe (Jeff Moyer) [2123490]
- io_uring: add support for 128-byte SQEs (Jeff Moyer) [2123490]
- io_uring: don't clear req->kbuf when buffer selection is done (Jeff Moyer) [2123490]
- io_uring: eliminate the need to track provided buffer ID separately (Jeff Moyer) [2123490]
- io_uring: move provided buffer state closer to submit state (Jeff Moyer) [2123490]
- io_uring: move provided and fixed buffers into the same io_kiocb area (Jeff Moyer) [2123490]
- io_uring: abstract out provided buffer list selection (Jeff Moyer) [2123490]
- io_uring: never call io_buffer_select() for a buffer re-select (Jeff Moyer) [2123490]
- io_uring: get rid of hashed provided buffer groups (Jeff Moyer) [2123490]
- io_uring: always use req->buf_index for the provided buffer group (Jeff Moyer) [2123490]
- io_uring: ignore ->buf_index if REQ_F_BUFFER_SELECT isn't set (Jeff Moyer) [2123490]
- io_uring: kill io_rw_buffer_select() wrapper (Jeff Moyer) [2123490]
- io_uring: make io_buffer_select() return the user address directly (Jeff Moyer) [2123490]
- io_uring: kill io_recv_buffer_select() wrapper (Jeff Moyer) [2123490]
- io_uring: use 'sr' vs 'req->sr_msg' consistently (Jeff Moyer) [2123490]
- io_uring: add POLL_FIRST support for send/sendmsg and recv/recvmsg (Jeff Moyer) [2123490]
- io_uring: check IOPOLL/ioprio support upfront (Jeff Moyer) [2123490]
- io_uring: replace smp_mb() with smp_mb__after_atomic() in io_sq_thread() (Jeff Moyer) [2123490]
- io_uring: add IORING_SETUP_TASKRUN_FLAG (Jeff Moyer) [2123490]
- io_uring: use TWA_SIGNAL_NO_IPI if IORING_SETUP_COOP_TASKRUN is used (Jeff Moyer) [2123490]
- io_uring: set task_work notify method at init time (Jeff Moyer) [2123490]
- io-wq: use __set_notify_signal() to wake workers (Jeff Moyer) [2123490]
- io_uring: serialize ctx->rings->sq_flags with atomic_or/and (Jeff Moyer) [2123490]
- task_work: allow TWA_SIGNAL without a rescheduling IPI (Jeff Moyer) [2123490]
- io_uring: return hint on whether more data is available after receive (Jeff Moyer) [2123490]
- net: clear msg_get_inq in __get_compat_msghdr() (Jeff Moyer) [2123490]
- net: clear msg_get_inq in __sys_recvfrom() and __copy_msghdr_from_user() (Jeff Moyer) [2123490]
- tcp: pass back data left in socket after receive (Jeff Moyer) [2123490]
- io_uring: use the text representation of ops in trace (Jeff Moyer) [2123490]
- io_uring: rename op -> opcode (Jeff Moyer) [2123490]
- io_uring: add io_uring_get_opcode (Jeff Moyer) [2123490]
- io_uring: add type to op enum (Jeff Moyer) [2123490]
- io_uring: fix compile warning for 32-bit builds (Jeff Moyer) [2123490]
- io_uring: cleanup error-handling around io_req_complete (Jeff Moyer) [2123490]
- io_uring: add socket(2) support (Jeff Moyer) [2123490]
- net: add __sys_socket_file() (Jeff Moyer) [2123490]
- io_uring: fix trace for reduced sqe padding (Jeff Moyer) [2123490]
- io_uring: add fgetxattr and getxattr support (Jeff Moyer) [2123490]
- io_uring: add fsetxattr and setxattr support (Jeff Moyer) [2123490]
- fs: split off do_getxattr from getxattr (Jeff Moyer) [2123490]
- fs: split off setxattr_copy and do_setxattr function from setxattr (Jeff Moyer) [2123490]
- io_uring: return an error when cqe is dropped (Jeff Moyer) [2123490]
- io_uring: use constants for cq_overflow bitfield (Jeff Moyer) [2123490]
- io_uring: rework io_uring_enter to simplify return value (Jeff Moyer) [2123490]
- io_uring: trace cqe overflows (Jeff Moyer) [2123490]
- io_uring: add trace support for CQE overflow (Jeff Moyer) [2123490]
- io_uring: allow re-poll if we made progress (Jeff Moyer) [2123490]
- io_uring: support MSG_WAITALL for IORING_OP_SEND(MSG) (Jeff Moyer) [2123490]
- io_uring: add support for IORING_ASYNC_CANCEL_ANY (Jeff Moyer) [2123490]
- io_uring: allow IORING_OP_ASYNC_CANCEL with 'fd' key (Jeff Moyer) [2123490]
- io_uring: add support for IORING_ASYNC_CANCEL_ALL (Jeff Moyer) [2123490]
- io_uring: pass in struct io_cancel_data consistently (Jeff Moyer) [2123490]
- io_uring: remove dead 'poll_only' argument to io_poll_cancel() (Jeff Moyer) [2123490]
- io_uring: refactor io_disarm_next() locking (Jeff Moyer) [2123490]
- io_uring: move timeout locking in io_timeout_cancel() (Jeff Moyer) [2123490]
- io_uring: store SCM state in io_fixed_file->file_ptr (Jeff Moyer) [2123490]
- io_uring: kill ctx arg from io_req_put_rsrc (Jeff Moyer) [2123490]
- io_uring: add a helper for putting rsrc nodes (Jeff Moyer) [2123490]
- io_uring: store rsrc node in req instead of refs (Jeff Moyer) [2123490]
- io_uring: refactor io_assign_file error path (Jeff Moyer) [2123490]
- io_uring: use right helpers for file assign locking (Jeff Moyer) [2123490]
- io_uring: add data_race annotations (Jeff Moyer) [2123490]
- io_uring: inline io_req_complete_fail_submit() (Jeff Moyer) [2123490]
- io_uring: refactor io_submit_sqe() (Jeff Moyer) [2123490]
- io_uring: refactor lazy link fail (Jeff Moyer) [2123490]
- io_uring: introduce IO_REQ_LINK_FLAGS (Jeff Moyer) [2123490]
- io_uring: refactor io_queue_sqe() (Jeff Moyer) [2123490]
- io_uring: rename io_queue_async_work() (Jeff Moyer) [2123490]
- io_uring: inline io_queue_sqe() (Jeff Moyer) [2123490]
- io_uring: helper for prep+queuing linked timeouts (Jeff Moyer) [2123490]
- io_uring: inline io_free_req() (Jeff Moyer) [2123490]
- io_uring: kill io_put_req_deferred() (Jeff Moyer) [2123490]
- io_uring: minor refactoring for some tw handlers (Jeff Moyer) [2123490]
- io_uring: clean poll tw PF_EXITING handling (Jeff Moyer) [2123490]
- io_uring: optimise io_get_cqe() (Jeff Moyer) [2123490]
- io_uring: optimise submission left counting (Jeff Moyer) [2123490]
- io_uring: optimise submission loop invariant (Jeff Moyer) [2123490]
- io_uring: add helper to return req to cache list (Jeff Moyer) [2123490]
- io_uring: helper for empty req cache checks (Jeff Moyer) [2123490]
- io_uring: inline io_flush_cached_reqs (Jeff Moyer) [2123490]
- io_uring: shrink final link flush (Jeff Moyer) [2123490]
- io_uring: memcpy CQE from req (Jeff Moyer) [2123490]
- io_uring: explicitly keep a CQE in io_kiocb (Jeff Moyer) [2123490]
- io_uring: rename io_sqe_file_register (Jeff Moyer) [2123490]
- io_uring: deduplicate SCM accounting (Jeff Moyer) [2123490]
- io_uring: don't pass around fixed index for scm (Jeff Moyer) [2123490]
- io_uring: refactor __io_sqe_files_scm (Jeff Moyer) [2123490]
- io_uring: uniform SCM accounting (Jeff Moyer) [2123490]
- io_uring: don't scm-account for non af_unix sockets (Jeff Moyer) [2123490]
- io_uring: move finish_wait() outside of loop in cqring_wait() (Jeff Moyer) [2123490]
- io_uring: refactor io_req_add_compl_list() (Jeff Moyer) [2123490]
- io_uring: silence io_for_each_link() warning (Jeff Moyer) [2123490]
- io_uring: partially uninline io_put_task() (Jeff Moyer) [2123490]
- io_uring: cleanup conditional submit locking (Jeff Moyer) [2123490]
- io_uring: optimise mutex locking for submit+iopoll (Jeff Moyer) [2123490]
- io_uring: pre-calculate syscall iopolling decision (Jeff Moyer) [2123490]
- io_uring: split off IOPOLL argument verifiction (Jeff Moyer) [2123490]
- io_uring: clean up io_queue_next() (Jeff Moyer) [2123490]
- io_uring: move poll recycling later in compl flushing (Jeff Moyer) [2123490]
- io_uring: optimise io_free_batch_list (Jeff Moyer) [2123490]
- io_uring: refactor io_req_find_next (Jeff Moyer) [2123490]
- io_uring: remove extra ifs around io_commit_cqring (Jeff Moyer) [2123490]
- io_uring: small optimisation of tctx_task_work (Jeff Moyer) [2123490]
- ipvs: add sysctl_run_estimation to support disable estimation (Hangbin Liu) [2174312]
- netfilter: nf_conntrack: allow early drop of offloaded UDP conns (Florian Westphal) [2183085]
- net/sched: act_ct: offload UDP NEW connections (Florian Westphal) [2183085]
- net/sched: act_ct: set ctinfo in meta action depending on ct state (Florian Westphal) [2183085]
- netfilter: flowtable: cache info of last offload (Florian Westphal) [2183085]
- netfilter: flowtable: allow unidirectional rules (Florian Westphal) [2183085]
- netfilter: flowtable: fixup UDP timeout depending on ct state (Florian Westphal) [2183085]
- ovl: fix use after free in struct ovl_aio_req (Miklos Szeredi) [2176161] {CVE-2023-1252}
- ovl: fail on invalid uid/gid mapping at copy up (Miklos Szeredi) [2165345] {CVE-2023-0386}
Resolves: rhbz#2114045, rhbz#2123490, rhbz#2174312, rhbz#2175687, rhbz#2176161, rhbz#2180762, rhbz#2181329, rhbz#2183085

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-13 07:58:14 +02:00
Jan Stancek
e25db01043 kernel-5.14.0-298.el9
* Mon Apr 10 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-298.el9]
- kernel-rt: config: disable SLUB_CPU_PARTIAL for real time kernels (Luis Claudio R. Goncalves) [2182930]
- kernel-rt: config: disable KGDB in the production and development variants (Luis Claudio R. Goncalves) [2182890]
- mm/migrate: fix wrongly apply write bit after mkdirty on sparc64 (Rafael Aquini) [2168392]
- mm/thp: re-apply mkdirty for small pages after split (Rafael Aquini) [2168392]
- Partly revert "mm/thp: carry over dirty bit when thp splits on pmd" (Rafael Aquini) [2168392]
- mm/swap: fix SWP_PFN_BITS with CONFIG_PHYS_ADDR_T_64BIT on 32bit (Rafael Aquini) [2168392]
- mm/swap: cache swap migration A/D bits support (Rafael Aquini) [2168392]
- mm/swap: cache maximum swapfile size when init swap (Rafael Aquini) [2168392]
- mm: remember young/dirty bit for page migrations (Rafael Aquini) [2168392]
- mm/thp: carry over dirty bit when thp splits on pmd (Rafael Aquini) [2168392]
- mm/swap: add swp_offset_pfn() to fetch PFN from swap entry (Rafael Aquini) [2168392]
- mm/swap: comment all the ifdef in swapops.h (Rafael Aquini) [2168392]
- mm/x86: use SWP_TYPE_BITS in 3-level swap macros (Rafael Aquini) [2168392]
- mm/swapops: make is_pmd_migration_entry more strict (Rafael Aquini) [2168392]
- include/linux/swapops.h: remove stub for non_swap_entry() (Rafael Aquini) [2168392]
- cifs: fix regression in very old smb1 mounts (Ronnie Sahlberg) [2182556]
- hwrng: core - use per-rng quality value instead of global setting (Jose Ignacio Tornos Martinez) [2172887]
- hwrng: core - start and stop in-kernel rngd in separate function (Jose Ignacio Tornos Martinez) [2172887]
- hwrng: core - do not bother to order list of devices by quality (Jose Ignacio Tornos Martinez) [2172887]
- hwrng: core - credit entropy for low quality sources of randomness (Jose Ignacio Tornos Martinez) [2172887]
- hwrng: core - break out of hwrng_fillfn if current rng is not trusted (Jose Ignacio Tornos Martinez) [2172887]
- hwrng: core - only set cur_rng_set_by_user if it is working (Jose Ignacio Tornos Martinez) [2172887]
- hwrng: core - use rng_fillbuf in add_early_randomness() (Jose Ignacio Tornos Martinez) [2172887]
- hwrng: core - read() callback must be called for size of 32 or more bytes (Jose Ignacio Tornos Martinez) [2172887]
- hwrng: core - explicit ordering of initcalls (Jose Ignacio Tornos Martinez) [2172887]
- sfc: Change VF mac via PF as first preference if available. (Íñigo Huguet) [2121027]
- genirq/affinity: Only build SMP-only helper functions on SMP kernels (Ming Lei) [2153649]
- blk-mq: Build default queue map via group_cpus_evenly() (Ming Lei) [2153649]
- genirq/affinity: Move group_cpus_evenly() into lib/ (Ming Lei) [2153649]
- genirq/affinity: Rename irq_build_affinity_masks as group_cpus_evenly (Ming Lei) [2153649]
- genirq/affinity: Don't pass irq_affinity_desc array to irq_build_affinity_masks (Ming Lei) [2153649]
- genirq/affinity: Pass affinity managed mask array to irq_build_affinity_masks (Ming Lei) [2153649]
- genirq/affinity: Remove the 'firstvec' parameter from irq_build_affinity_masks (Ming Lei) [2153649]
- genirq/affinity: Replace cpumask_weight() with cpumask_empty() where appropriate (Ming Lei) [2153649]
- ipmi: ipmb: Fix the MODULE_PARM_DESC associated to 'retry_time_ms' (Tony Camuso) [2174224]
- ipmi:ssif: Add a timer between request retries (Tony Camuso) [2174224]
- ipmi:ssif: Remove rtc_us_timer (Tony Camuso) [2174224]
- ipmi_ssif: Rename idle state and check (Tony Camuso) [2174224]
- ipmi:ssif: resend_msg() cannot fail (Tony Camuso) [2174224]
- ipmi/watchdog: use strscpy() to instead of strncpy() (Tony Camuso) [2174224]
- ipmi: ssif_bmc: Convert to i2c's .probe_new() (Tony Camuso) [2174224]
- ipmi: fix use after free in _ipmi_destroy_user() (Tony Camuso) [2174224]
- ipmi/watchdog: Include <linux/kstrtox.h> when appropriate (Tony Camuso) [2174224]
- ipmi:ssif: Increase the message retry time (Tony Camuso) [2174224]
- ipmi: Fix some kernel-doc warnings (Tony Camuso) [2174224]
- ipmi: ssif_bmc: Use EPOLLIN instead of POLLIN (Tony Camuso) [2174224]
- ipmi: fix msg stack when IPMI is disconnected (Tony Camuso) [2174224]
- ipmi: fix memleak when unload ipmi driver (Tony Camuso) [2174224]
- ipmi: fix long wait in unload when IPMI disconnect (Tony Camuso) [2174224]
- ipmi: kcs: Poll OBF briefly to reduce OBE latency (Tony Camuso) [2174224]
- ipmi: ssif_bmc: Add SSIF BMC driver (Tony Camuso) [2174224]
- ipmi: ssif_bmc: Add SSIF BMC driver config (Tony Camuso) [2174224]
- ipmi: Remove unused struct watcher_entry (Tony Camuso) [2174224]
- ipmi: kcs: aspeed: Update port address comments (Tony Camuso) [2174224]
- ipmi: Add __init/__exit annotations to module init/exit funcs (Tony Camuso) [2174224]
- ipmi:ipmb: Don't call ipmi_unregister_smi() on a register failure (Tony Camuso) [2174224]
- ipmi:ipmb: Fix a vague comment and a typo (Tony Camuso) [2174224]
- ipmi: Fix comment typo (Tony Camuso) [2174224]
- char: ipmi: modify NPCM KCS configuration (Tony Camuso) [2174224]
- KVM: x86: Propagate the AMD Automatic IBRS feature to the guest (Waiman Long) [1898184]
- x86/cpu: Support AMD Automatic IBRS (Waiman Long) [1898184]
- x86/cpu, kvm: Add the SMM_CTL MSR not present feature (Waiman Long) [1898184]
- x86/cpu, kvm: Add the Null Selector Clears Base feature (Waiman Long) [1898184]
- x86/cpu, kvm: Move X86_FEATURE_LFENCE_RDTSC to its native leaf (Waiman Long) [1898184]
- x86/cpu, kvm: Add the NO_NESTED_DATA_BP feature (Waiman Long) [1898184]
- KVM: x86: Move open-coded CPUID leaf 0x80000021 EAX bit propagation code (Waiman Long) [1898184]
- x86/cpu, kvm: Add support for CPUID_80000021_EAX (Waiman Long) [1898184]
- x86/bugs: Make sure MSR_SPEC_CTRL is updated properly upon resume from S3 (Waiman Long) [1898184]
- KVM: x86: Advertise that the SMM_CTL MSR is not supported (Waiman Long) [1898184]
- KVM: x86: Advertise AVX-VNNI-INT8 CPUID to user space (Waiman Long) [1898184]
- KVM: x86: Update KVM-only leaf handling to allow for 100%% KVM-only leafs (Waiman Long) [1898184]
- tools headers cpufeatures: Sync with the kernel sources (Waiman Long) [1898184]
- KVM: x86: Hide IA32_PLATFORM_DCA_CAP[31:0] from the guest (Waiman Long) [1898184]
- Documentation/hw-vuln: Update spectre doc (Waiman Long) [1898184]
- x86/cpufeatures: Add LbrExtV2 feature bit (Waiman Long) [1898184]
Resolves: rhbz#1898184, rhbz#2121027, rhbz#2153649, rhbz#2168392, rhbz#2172887, rhbz#2174224, rhbz#2182556, rhbz#2182890, rhbz#2182930

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-10 10:07:55 +02:00
Jan Stancek
b47a5d54e5 kernel-5.14.0-297.el9
* Thu Apr 06 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-297.el9]
- igb: conditionalize I2C bit banging on external thermal sensor support (Corinna Vinschen) [2173594]
- Add process name and pid to locks warning (Jeffrey Layton) [2172087]
- nfsd: use locks_inode_context helper (Jeffrey Layton) [2172087]
- nfs: use locks_inode_context helper (Jeffrey Layton) [2172087]
- lockd: use locks_inode_context helper (Jeffrey Layton) [2172087]
- cifs: use locks_inode_context helper (Jeffrey Layton) [2172087]
- ceph: use locks_inode_context helper (Jeffrey Layton) [2172087]
- filelock: add a new locks_inode_context accessor function (Jeffrey Layton) [2172087]
- filelock: new helper: vfs_inode_has_locks (Jeffrey Layton) [2172087]
- filelock: WARN_ON_ONCE when ->fl_file and filp don't match (Jeffrey Layton) [2172087]
- locks: Fix dropped call to ->fl_release_private() (Jeffrey Layton) [2172087]
- fs/lock: Rearrange ops in flock syscall. (Jeffrey Layton) [2172087]
- fs/lock: Don't allocate file_lock in flock_make_lock(). (Jeffrey Layton) [2172087]
- fs/lock: add 2 callbacks to lock_manager_operations to resolve conflict (Jeffrey Layton) [2172087]
- fs/lock: add helper locks_owner_has_blockers to check for blockers (Jeffrey Layton) [2172087]
- fs/lock: documentation cleanup. Replace inode->i_lock with flc_lock. (Jeffrey Layton) [2172087]
- net: rename reference+tracking helpers (Íñigo Huguet) [2175258]
- llc: only change llc->dev when bind() succeeds (Íñigo Huguet) [2175258]
- llc: fix netdevice reference leaks in llc_ui_bind() (Íñigo Huguet) [2175258]
- net: macvlan: add net device refcount tracker (Íñigo Huguet) [2175258]
- macsec: dump IFLA_MACSEC_OFFLOAD attribute as part of macsec dump (Sabrina Dubroca) [2173895]
- macsec: add support for IFLA_MACSEC_OFFLOAD in macsec_changelink (Sabrina Dubroca) [2173895]
- net: macsec: fix net device access prior to holding a lock (Sabrina Dubroca) [2173895]
- macsec: add missing attribute validation for offload (Sabrina Dubroca) [2173895]
- macsec: Fix invalid error code set (Sabrina Dubroca) [2173895]
- macsec: clear encryption keys from the stack after setting up offload (Sabrina Dubroca) [2173895]
- macsec: fix detection of RXSCs when toggling offloading (Sabrina Dubroca) [2173895]
- macsec: fix secy->n_rx_sc accounting (Sabrina Dubroca) [2173895]
- macsec: delete new rxsc when offload fails (Sabrina Dubroca) [2173895]
- Revert "net: macsec: report real_dev features when HW offloading is enabled" (Sabrina Dubroca) [2173895]
- macsec: don't free NULL metadata_dst (Sabrina Dubroca) [2173895]
- net: atlantic: macsec: remove checks on the prepare phase (Sabrina Dubroca) [2173895]
- net: phy: mscc: macsec: remove checks on the prepare phase (Sabrina Dubroca) [2173895]
- net: macsec: remove the prepare phase when offloading (Sabrina Dubroca) [2173895]
- net: atlantic: macsec: make the prepare phase a noop (Sabrina Dubroca) [2173895]
- net: phy: mscc: macsec: make the prepare phase a noop (Sabrina Dubroca) [2173895]
- net: macsec: Expose extended packet number (EPN) properties to macsec offload (Sabrina Dubroca) [2173895]
- net/macsec: Move some code for sharing with various drivers that implements offload (Sabrina Dubroca) [2173895]
- net: drivers: get ready for const netdev->dev_addr (Sabrina Dubroca) [2173895]
- net/macsec: Add MACsec skb_metadata_dst Rx Data path support (Sabrina Dubroca) [2173895]
- net/macsec: Add MACsec skb_metadata_dst Tx Data path support (Sabrina Dubroca) [2173895]
- kernel-rt: config: adjust MAX_LOCKDEP_ENTRIES and MAX_LOCKDEP_CHAINS for RT (Luis Claudio R. Goncalves) [2178947]
- vfio: fix deadlock between group lock and kvm lock (Alex Williamson) [2177087]
- kvm/vfio: Fix potential deadlock on vfio group_lock (Alex Williamson) [2177087]
- vfio/type1: Respect IOMMU reserved regions in vfio_test_domain_fgsp() (Alex Williamson) [2177087]
- samples: vfio-mdev: Fix missing pci_disable_device() in mdpy_fb_probe() (Alex Williamson) [2177087]
- vfio/ap/ccw/samples: Fix device_register() unwind path (Alex Williamson) [2177087]
- vfio: Fold vfio_virqfd.ko into vfio.ko (Alex Williamson) [2177087]
- vfio: Remove CONFIG_VFIO_SPAPR_EEH (Alex Williamson) [2177087]
- vfio: Move vfio_spapr_iommu_eeh_ioctl into vfio_iommu_spapr_tce.c (Alex Williamson) [2177087]
- vfio/spapr: Move VFIO_CHECK_EXTENSION into tce_iommu_ioctl() (Alex Williamson) [2177087]
- vfio/pci: Move all the SPAPR PCI specific logic to vfio_pci_core.ko (Alex Williamson) [2177087]
- vfio: Remove vfio_free_device (Alex Williamson) [2177087]
- vfio/ccw: replace vfio_init_device with _alloc_ (Alex Williamson) [2177087]
- vfio/ccw: remove release completion (Alex Williamson) [2177087]
- vfio/ccw: move private to mdev lifecycle (Alex Williamson) [2177087]
- vfio/ccw: move private initialization to callback (Alex Williamson) [2177087]
- vfio/ccw: remove private->sch (Alex Williamson) [2177087]
- vfio/ccw: remove WARN_ON during shutdown (Alex Williamson) [2177087]
- vfio/ccw: create a parent struct (Alex Williamson) [2177087]
- vfio/iova_bitmap: Explicitly include linux/slab.h (Alex Williamson) [2177087]
- vfio: platform: Do not pass return buffer to ACPI _RST method (Alex Williamson) [2177087]
- MAINTAINERS: git://github -> https://github.com for awilliam (Alex Williamson) [2177087]
- s390/vfio-ap: GISA: sort out physical vs virtual pointers usage (Alex Williamson) [2177087]
- iommufd: Change the order of MSI setup (Alex Williamson) [2177087]
- iommufd: Improve a few unclear bits of code (Alex Williamson) [2177087]
- iommufd: Fix comment typos (Alex Williamson) [2177087]
- vfio: Fix NULL pointer dereference caused by uninitialized group->iommufd (Alex Williamson) [2177087]
- vfio: Move vfio group specific code into group.c (Alex Williamson) [2177087]
- vfio: Refactor dma APIs for emulated devices (Alex Williamson) [2177087]
- vfio: Wrap vfio group module init/clean code into helpers (Alex Williamson) [2177087]
- vfio: Refactor vfio_device open and close (Alex Williamson) [2177087]
- vfio: Make vfio_device_open() truly device specific (Alex Williamson) [2177087]
- vfio: Swap order of vfio_device_container_register() and open_device() (Alex Williamson) [2177087]
- vfio: Set device->group in helper function (Alex Williamson) [2177087]
- vfio: Create wrappers for group register/unregister (Alex Williamson) [2177087]
- vfio: Move the sanity check of the group to vfio_create_group() (Alex Williamson) [2177087]
- vfio: Simplify vfio_create_group() (Alex Williamson) [2177087]
- iommufd: Allow iommufd to supply /dev/vfio/vfio (Alex Williamson) [2177087]
- vfio: Make vfio_container optionally compiled (Alex Williamson) [2177087]
- vfio: Move container related MODULE_ALIAS statements into container.c (Alex Williamson) [2177087]
- vfio-iommufd: Support iommufd for emulated VFIO devices (Alex Williamson) [2177087]
- vfio-iommufd: Support iommufd for physical VFIO devices (Alex Williamson) [2177087]
- vfio-iommufd: Allow iommufd to be used in place of a container fd (Alex Williamson) [2177087]
- vfio: Use IOMMU_CAP_ENFORCE_CACHE_COHERENCY for vfio_file_enforced_coherent() (Alex Williamson) [2177087]
- vfio: Rename vfio_device_assign/unassign_container() (Alex Williamson) [2177087]
- vfio: Move vfio_device_assign_container() into vfio_device_first_open() (Alex Williamson) [2177087]
- vfio: Move vfio_device driver open/close code to a function (Alex Williamson) [2177087]
- vfio/ap: Validate iova during dma_unmap and trigger irq disable (Alex Williamson) [2177087]
- i915/gvt: Move gvt mapping cache initialization to intel_vgpu_init_dev() (Alex Williamson) [2177087]
- selftests: iommu: Fix test_cmd_destroy_access() call in user_copy (Alex Williamson) [2177087]
- iommufd: Make sure to zero vfio_iommu_type1_info before copying to user (Alex Williamson) [2177087]
- iommufd: Do not add the same hwpt to the ioas->hwpt_list twice (Alex Williamson) [2177087]
- iommufd: Add three missing structures in ucmd_buffer (Alex Williamson) [2177087]
- iommufd: Add a selftest (Alex Williamson) [2177087]
- iommufd: Add additional invariant assertions (Alex Williamson) [2177087]
- iommufd: Add some fault injection points (Alex Williamson) [2177087]
- iommufd: Add kernel support for testing iommufd (Alex Williamson) [2177087]
- iommufd: vfio container FD ioctl compatibility (Alex Williamson) [2177087]
- iommufd: Add kAPI toward external drivers for kernel access (Alex Williamson) [2177087]
- iommufd: Add kAPI toward external drivers for physical devices (Alex Williamson) [2177087]
- iommufd: Add a HW pagetable object (Alex Williamson) [2177087]
- iommufd: IOCTLs for the io_pagetable (Alex Williamson) [2177087]
- iommufd: Data structure to provide IOVA to PFN mapping (Alex Williamson) [2177087]
- iommufd: Algorithms for PFN storage (Alex Williamson) [2177087]
- iommufd: PFN handling for iopt_pages (Alex Williamson) [2177087]
- kernel/user: Allow user_struct::locked_vm to be usable for iommufd (Alex Williamson) [2177087]
- redhat/configs: Add CONFIG_IOMMUFD (Alex Williamson) [2177087]
- iommufd: File descriptor, context, kconfig and makefiles (Alex Williamson) [2177087]
- iommufd: Document overview of iommufd (Alex Williamson) [2177087]
- scripts/kernel-doc: support EXPORT_SYMBOL_NS_GPL() with -export (Alex Williamson) [2177087]
- interval-tree: Add a utility to iterate over spans in an interval tree (Alex Williamson) [2177087]
- iommu: Fix refcount leak in iommu_device_claim_dma_owner (Alex Williamson) [2177087]
- iommu: Add device-centric DMA ownership interfaces (Alex Williamson) [2177087]
- iommu: Add IOMMU_CAP_ENFORCE_CACHE_COHERENCY (Alex Williamson) [2177087]
- scripts: kernel-doc: Refresh the copyright lines (Alex Williamson) [2177087]
- scripts: kernel-doc: Drop obsolete comments (Alex Williamson) [2177087]
- scripts: kernel-doc: Replace the usage function (Alex Williamson) [2177087]
- scripts: kernel-doc: Translate the "Other parameters" subsection of OPTIONS (Alex Williamson) [2177087]
- scripts: kernel-doc: Translate the "Output selection modifiers" subsection of OPTIONS (Alex Williamson) [2177087]
- scripts: kernel-doc: Translate the "Output selection" subsection of OPTIONS (Alex Williamson) [2177087]
- scripts: kernel-doc: Translate the "Output format selection modifier" subsection of OPTIONS (Alex Williamson) [2177087]
- scripts: kernel-doc: Translate the "Output format selection" subsection of OPTIONS (Alex Williamson) [2177087]
- scripts: kernel-doc: Translate the DESCRIPTION section (Alex Williamson) [2177087]
- scripts: kernel-doc: Relink argument parsing error handling to pod2usage (Alex Williamson) [2177087]
- scripts: kernel-doc: Add the basic POD sections (Alex Williamson) [2177087]
- scripts: kernel-doc: Ignore __alloc_size() attribute (Alex Williamson) [2177087]
- scripts/kernel-doc: Override -Werror from KCFLAGS with KDOC_WERROR (Alex Williamson) [2177087]
- iommu: Rename iommu-sva-lib.{c,h} (Alex Williamson) [2177087]
- iommu: Per-domain I/O page fault handling (Alex Williamson) [2177087]
- iommu: Prepare IOMMU domain for IOPF (Alex Williamson) [2177087]
- iommu: Remove SVA related callbacks from iommu ops (Alex Williamson) [2177087]
- iommu/sva: Refactoring iommu_sva_bind/unbind_device() (Alex Williamson) [2177087]
- arm-smmu-v3/sva: Add SVA domain support (Alex Williamson) [2177087]
- iommu/vt-d: Add SVA domain support (Alex Williamson) [2177087]
- iommu: Add IOMMU SVA domain support (Alex Williamson) [2177087]
- iommu: Add attach/detach_dev_pasid iommu interfaces (Alex Williamson) [2177087]
- PCI: Enable PASID only when ACS RR & UF enabled on upstream path (Alex Williamson) [2177087]
- iommu: Remove SVM_FLAG_SUPERVISOR_MODE support (Alex Williamson) [2177087]
- iommu: Add max_pasids field in struct dev_iommu (Alex Williamson) [2177087]
- iommu: Add max_pasids field in struct iommu_device (Alex Williamson) [2177087]
- iommu: Propagate return value in ->attach_dev callback functions (Alex Williamson) [2177087]
- iommu: Use EINVAL for incompatible device/domain in ->attach_dev (Alex Williamson) [2177087]
- iommu: Regulate EINVAL in ->attach_dev callback functions (Alex Williamson) [2177087]
- iommu: Add return value rules to attach_dev op and APIs (Alex Williamson) [2177087]
- iommu/amd: Drop unnecessary checks in amd_iommu_attach_device() (Alex Williamson) [2177087]
- iommu/vt-d: Fix PCI device refcount leak in prq_event_thread() (Alex Williamson) [2177087]
- iommu/vt-d: Remove unnecessary SVA data accesses in page fault path (Alex Williamson) [2177087]
- iommu/virtio: Fix compile error with viommu_capable() (Alex Williamson) [2177087]
- iommu/arm-smmu: Report IOMMU_CAP_CACHE_COHERENCY even betterer (Alex Williamson) [2177087]
- iommu/arm-smmu: Report IOMMU_CAP_CACHE_COHERENCY better (Alex Williamson) [2177087]
- iommu: Retire iommu_capable() (Alex Williamson) [2177087]
- vfio/fsl-mc: Remove linux/msi.h include (Alex Williamson) [2177087]
- vfio/ccw: identify CCW data addresses as physical (Alex Williamson) [2177087]
- vfio/ccw: sort out physical vs virtual pointers usage (Alex Williamson) [2177087]
- s390/vfio-ap: Fix memory allocation for mdev_types array (Alex Williamson) [2177087]
- vfio: More vfio_file_is_group() use cases (Alex Williamson) [2177087]
- vfio: Make the group FD disassociate from the iommu_group (Alex Williamson) [2177087]
- vfio: Hold a reference to the iommu_group in kvm for SPAPR (Alex Williamson) [2177087]
- vfio: Add vfio_file_is_group() (Alex Williamson) [2177087]
- vfio: Change vfio_group->group_rwsem to a mutex (Alex Williamson) [2177087]
- vfio: Remove the vfio_group->users and users_comp (Alex Williamson) [2177087]
- vfio/mdev: add mdev available instance checking to the core (Alex Williamson) [2177087]
- vfio/mdev: consolidate all the description sysfs into the core code (Alex Williamson) [2177087]
- vfio/mdev: consolidate all the available_instance sysfs into the core code (Alex Williamson) [2177087]
- vfio/mdev: consolidate all the name sysfs into the core code (Alex Williamson) [2177087]
- vfio/mdev: consolidate all the device_api sysfs into the core code (Alex Williamson) [2177087]
- vfio/mdev: remove mtype_get_parent_dev (Alex Williamson) [2177087]
- vfio/mdev: remove mdev_parent_dev (Alex Williamson) [2177087]
- vfio/mdev: remove mdev_from_dev (Alex Williamson) [2177087]
- vfio/mdev: simplify mdev_type handling (Alex Williamson) [2177087]
- vfio/mdev: embedd struct mdev_parent in the parent data structure (Alex Williamson) [2177087]
- vfio/mdev: make mdev.h standalone includable (Alex Williamson) [2177087]
- drm/i915/gvt: simplify vgpu configuration management (Alex Williamson) [2177087]
- drm/i915/gvt: fix a memory leak in intel_gvt_init_vgpu_types (Alex Williamson) [2177087]
- vfio: Follow a strict lifetime for struct iommu_group (Alex Williamson) [2177087]
- vfio: Move container code into drivers/vfio/container.c (Alex Williamson) [2177087]
- vfio: Rename vfio_ioctl_check_extension() (Alex Williamson) [2177087]
- vfio: Split out container code from the init/cleanup functions (Alex Williamson) [2177087]
- vfio: Remove #ifdefs around CONFIG_VFIO_NOIOMMU (Alex Williamson) [2177087]
- vfio: Split the container logic into vfio_container_attach_group() (Alex Williamson) [2177087]
- vfio: Rename __vfio_group_unset_container() (Alex Williamson) [2177087]
- vfio: Add header guards and includes to drivers/vfio/vfio.h (Alex Williamson) [2177087]
- vfio: Add struct device to vfio_device (Alex Williamson) [2177087]
- vfio: Rename vfio_device_put() and vfio_device_try_get() (Alex Williamson) [2177087]
- vfio/ccw: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio/amba: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio: platform: ignore missing reset if disabled at module init (Alex Williamson) [2177087]
- vfio/platform: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio/fsl-mc: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio/ap: Use the new device life cycle helpers (Alex Williamson) [2177087]
- drm/i915/gvt: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio/mbochs: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio/mtty: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio/mdpy: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio/hisi_acc: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio/pci: Use the new device life cycle helpers (Alex Williamson) [2177087]
- vfio: Add helpers for unifying vfio_device life cycle (Alex Williamson) [2177087]
- vfio/fsl-mc: Fix a typo in a message (Alex Williamson) [2177087]
- vfio: Remove vfio_group dev_counter (Alex Williamson) [2177087]
- vfio/pci: Implement VFIO_DEVICE_FEATURE_LOW_POWER_ENTRY_WITH_WAKEUP (Alex Williamson) [2177087]
- vfio/pci: Implement VFIO_DEVICE_FEATURE_LOW_POWER_ENTRY/EXIT (Alex Williamson) [2177087]
- vfio/pci: Mask INTx during runtime suspend (Alex Williamson) [2177087]
- vfio: Increment the runtime PM usage count during IOCTL call (Alex Williamson) [2177087]
- vfio: Add the device features for the low power entry and exit (Alex Williamson) [2177087]
- vfio: Split VFIO_GROUP_GET_STATUS into a function (Alex Williamson) [2177087]
- vfio: Follow the naming pattern for vfio_group_ioctl_unset_container() (Alex Williamson) [2177087]
- vfio: Fold VFIO_GROUP_SET_CONTAINER into vfio_group_set_container() (Alex Williamson) [2177087]
- vfio: Fold VFIO_GROUP_GET_DEVICE_FD into vfio_group_get_device_fd() (Alex Williamson) [2177087]
- vfio-pci: Replace 'void __user *' with proper types in the ioctl functions (Alex Williamson) [2177087]
- vfio-pci: Re-indent what was vfio_pci_core_ioctl() (Alex Williamson) [2177087]
- vfio-pci: Break up vfio_pci_core_ioctl() into one function per ioctl (Alex Williamson) [2177087]
- vfio-pci: Fix vfio_pci_ioeventfd() to return int (Alex Williamson) [2177087]
- vfio/pci: Simplify the is_intx/msi/msix/etc defines (Alex Williamson) [2177087]
- vfio/pci: Rename vfio_pci_register_dev_region() (Alex Williamson) [2177087]
- vfio/pci: Split linux/vfio_pci_core.h (Alex Williamson) [2177087]
- vfio/pci: Remove console drivers (Alex Williamson) [2177087]
- powerpc/pseries: Avoid hcall in plpks_is_available() on non-pseries (Mamatha Inamdar) [1873865]
- powerpc/pseries: Fix endianness issue when parsing PLPKS secvar flags (Mamatha Inamdar) [1873865]
- integrity/powerpc: Support loading keys from PLPKS (Mamatha Inamdar) [1873865]
- integrity/powerpc: Improve error handling & reporting when loading certs (Mamatha Inamdar) [1873865]
- powerpc/pseries: Implement secvars for dynamic secure boot (Mamatha Inamdar) [1873865]
- powerpc/pseries: Pass PLPKS password on kexec (Mamatha Inamdar) [1873865]
- powerpc/pseries: Add helper to get PLPKS password length (Mamatha Inamdar) [1873865]
- powerpc/pseries: Clarify warning when PLPKS password already set (Mamatha Inamdar) [1873865]
- powerpc/pseries: Turn PSERIES_PLPKS into a hidden option (Mamatha Inamdar) [1873865]
- powerpc/pseries: Make caller pass buffer to plpks_read_var() (Mamatha Inamdar) [1873865]
- powerpc/pseries: Log hcall return codes for PLPKS debug (Mamatha Inamdar) [1873865]
- powerpc/pseries: Implement signed update for PLPKS objects (Mamatha Inamdar) [1873865]
- powerpc/pseries: Expose PLPKS config values, support additional fields (Mamatha Inamdar) [1873865]
- powerpc/pseries: Move PLPKS constants to header file (Mamatha Inamdar) [1873865]
- powerpc/pseries: Move plpks.h to include directory (Mamatha Inamdar) [1873865]
- powerpc/secvar: Don't print error on ENOENT when reading variables (Mamatha Inamdar) [1873865]
- powerpc/secvar: Warn when PAGE_SIZE is smaller than max object size (Mamatha Inamdar) [1873865]
- powerpc/secvar: Allow backend to populate static list of variable names (Mamatha Inamdar) [1873865]
- powerpc/secvar: Extend sysfs to include config vars (Mamatha Inamdar) [1873865]
- powerpc/secvar: Clean up init error messages (Mamatha Inamdar) [1873865]
- powerpc/secvar: Handle max object size in the consumer (Mamatha Inamdar) [1873865]
- powerpc/secvar: Handle format string in the consumer (Mamatha Inamdar) [1873865]
- powerpc/secvar: Use sysfs_emit() instead of sprintf() (Mamatha Inamdar) [1873865]
- powerpc/secvar: Warn and error if multiple secvar ops are set (Mamatha Inamdar) [1873865]
- powerpc/secvar: Use u64 in secvar_operations (Mamatha Inamdar) [1873865]
- powerpc/secvar: Fix incorrect return in secvar_sysfs_load() (Mamatha Inamdar) [1873865]
- powerpc/pseries: Fix alignment of PLPKS structures and buffers (Mamatha Inamdar) [1873865]
- powerpc/pseries: Fix handling of PLPKS object flushing timeout (Mamatha Inamdar) [1873865]
- powerpc/pseries: fix plpks_read_var() code for different consumers (Mamatha Inamdar) [1873865]
- powerpc/pseries: replace kmalloc with kzalloc in PLPKS driver (Mamatha Inamdar) [1873865]
- powerpc/pseries: cleanup error logs in plpks driver (Mamatha Inamdar) [1873865]
- powerpc/pseries: Return -EIO instead of -EINTR for H_ABORTED error (Mamatha Inamdar) [1873865]
- powerpc/pseries: Fix the H_CALL error code in PLPKS driver (Mamatha Inamdar) [1873865]
- powerpc/pseries: fix the object owners enum value in plpks driver (Mamatha Inamdar) [1873865]
- powerpc/pseries: Fix plpks crash on non-pseries (Mamatha Inamdar) [1873865]
- powerpc/pseries: define driver for Platform KeyStore (Mamatha Inamdar) [1873865]
- x86/tsc: Add option to force frequency recalibration with HW timer (David Arcari) [2100598]
- Reinstate "GFS2: free disk inode which is deleted by remote node -V2" (Bob Peterson) [2181344]
- iavf: fix hang on reboot with ice (Stefan Assmann) [2175775]
Resolves: rhbz#1873865, rhbz#2100598, rhbz#2172087, rhbz#2173594, rhbz#2173895, rhbz#2175258, rhbz#2177087, rhbz#2178947

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-06 14:59:16 +02:00
Jan Stancek
64d95ea937 kernel-5.14.0-296.el9
* Tue Apr 04 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-296.el9]
- fs: hold writers when changing mount's idmapping (Alex Gladkov) [2179877]
- fs: simplify check in mount_setattr_commit() (Alex Gladkov) [2179877]
- filelocks: use mount idmapping for setlease permission check (Alex Gladkov) [2179877]
- fs: require CAP_SYS_ADMIN in target namespace for idmapped mounts (Alex Gladkov) [2179877]
- fs: account for group membership (Alex Gladkov) [2179877]
- fs/mount_setattr: always cleanup mount_kattr (Alex Gladkov) [2179877]
- fs: handle circular mappings correctly (Alex Gladkov) [2179877]
- hvcs: Synchronize hotplug remove with port free (Mamatha Inamdar) [2182275]
- hvcs: Use vhangup in hotplug remove (Mamatha Inamdar) [2182275]
- hvcs: Get reference to tty in remove (Mamatha Inamdar) [2182275]
- hvcs: Use driver groups to manage driver attributes (Mamatha Inamdar) [2182275]
- hvcs: Use dev_groups to manage hvcs device attributes (Mamatha Inamdar) [2182275]
- hvcs: Fix hvcs port reference counting (Mamatha Inamdar) [2182275]
- thermal: intel: powerclamp: Fix cpumask and max_idle module parameters (David Arcari) [2180653]
- Documentation: powerclamp: Fix numbered lists formatting (David Arcari) [2180653]
- Documentation: powerclamp: Escape wildcard in cpumask description (David Arcari) [2180653]
- Documentation: admin-guide: Add toctree entry for thermal docs (David Arcari) [2180653]
- thermal: intel: powerclamp: Add two module parameters (David Arcari) [2180653]
- Documentation: admin-guide: Move intel_powerclamp documentation (David Arcari) [2180653]
- thermal: intel: powerclamp: Fix duration module parameter (David Arcari) [2180653]
- thermal: intel: powerclamp: Return last requested state as cur_state (David Arcari) [2180653]
- thermal: intel: powerclamp: Use powercap idle-inject feature (David Arcari) [2180653]
- thermal: intel: powerclamp: Fix cur_state for multi package system (David Arcari) [2180653]
- powercap: idle_inject: Add update callback (David Arcari) [2180653]
- powercap: idle_inject: Export symbols (David Arcari) [2180653]
- powercap: idle_inject: Support 100%% idle injection (David Arcari) [2180653]
- powercap: fix possible name leak in powercap_register_zone() (David Arcari) [2180653]
- powercap: idle_inject: Fix warnings with make W=1 (David Arcari) [2180653]
- Turn on IDLE_INJECT for x86 (David Arcari) [2180653]
- powercap: Use kstrtobool() instead of strtobool() (David Arcari) [2180653]
- thermal: intel_powerclamp: Use first online CPU as control_cpu (David Arcari) [2180653]
- powercap: intel_rapl: Use standard Energy Unit for SPR Dram RAPL domain (David Arcari) [2180653]
- thermal: intel_powerclamp: Remove accounting for IRQ wakes (David Arcari) [2180653]
- thermal: intel_powerclamp: Use get_cpu() instead of smp_processor_id() to avoid crash (David Arcari) [2180653]
- powercap: intel_rapl: fix UBSAN shift-out-of-bounds issue (David Arcari) [2180653]
- thermal: intel_powerclamp: don't use bitmap_weight() in end_power_clamp() (David Arcari) [2180653]
- thermal: fix Documentation bullet list warning (David Arcari) [2180653]
- thermal/drivers/intel_powerclamp: Constify static thermal_cooling_device_ops (David Arcari) [2180653]
- thermal: Move ABI documentation to Documentation/ABI (David Arcari) [2180653]
- thermal: intel_powerclamp: Use bitmap_zalloc/bitmap_free when applicable (David Arcari) [2180653]
- thermal: Update information in MAINTAINERS (David Arcari) [2180653]
- sched/rt: pick_next_rt_entity(): check list_entry (Phil Auld) [RHEL-303]
- sched/deadline: Move __dl_clear_params out of dl_bw lock (Phil Auld) [RHEL-303]
- sched/deadline: Add replenish_dl_new_period helper (Phil Auld) [RHEL-303]
- sched/deadline: Add dl_task_is_earliest_deadline helper (Phil Auld) [RHEL-303]
- sched/deadline: Use sched_dl_entity's dl_density in dl_task_fits_capacity() (Phil Auld) [RHEL-303]
- sched/deadline: Make dl_cpuset_cpumask_can_shrink() capacity-aware (Phil Auld) [RHEL-303]
- sched/core: Introduce sched_asym_cpucap_active() (Phil Auld) [RHEL-303]
- i40e: Fix for VF MAC address 0 (Stefan Assmann) [2169053]
- macsec: fix UAF bug for real_dev (Xin Long) [2180612]
- xfrm: set dst dev to blackhole_netdev instead of loopback_dev in ifdown (Xin Long) [2180612]
- Revert "vlan: move dev_put into vlan_dev_uninit" (Xin Long) [2180612]
- net: avoid quadratic behavior in netdev_wait_allrefs_any() (Xin Long) [2180612]
- net: allow out-of-order netdev unregistration (Xin Long) [2180612]
- net: transition netdev reg state earlier in run_todo (Xin Long) [2180612]
- ipv6: blackhole_netdev needs snmp6 counters (Xin Long) [2180612]
- ipv4: add (struct uncached_list)->quarantine list (Xin Long) [2180612]
- ipv6: add (struct uncached_list)->quarantine list (Xin Long) [2180612]
- ipv6: give an IPv6 dev to blackhole_netdev (Xin Long) [2180612]
- ipv6: get rid of net->ipv6.rt6_stats->fib_rt_uncache (Xin Long) [2180612]
- Make RHJOBS container friendly (Don Zickus)
- Use RHJOBS for create-tarball (Don Zickus)
- rxrpc: Fix wrong error return in rxrpc_connect_call() (Marc Dionne) [2170099]
- rxrpc: Fix incoming call setup race (Marc Dionne) [2170099]
- rxrpc: Move client call connection to the I/O thread (Marc Dionne) [2170099]
- rxrpc: Move the client conn cache management to the I/O thread (Marc Dionne) [2170099]
- rxrpc: Remove call->state_lock (Marc Dionne) [2170099]
- rxrpc: Move call state changes from recvmsg to I/O thread (Marc Dionne) [2170099]
- rxrpc: Move call state changes from sendmsg to I/O thread (Marc Dionne) [2170099]
- rxrpc: Wrap accesses to get call state to put the barrier in one place (Marc Dionne) [2170099]
- rxrpc: Split out the call state changing functions into their own file (Marc Dionne) [2170099]
- rxrpc: Set up a connection bundle from a call, not rxrpc_conn_parameters (Marc Dionne) [2170099]
- rxrpc: Offload the completion of service conn security to the I/O thread (Marc Dionne) [2170099]
- rxrpc: Make the set of connection IDs per local endpoint (Marc Dionne) [2170099]
- rxrpc: Tidy up abort generation infrastructure (Marc Dionne) [2170099]
- rxrpc: Clean up connection abort (Marc Dionne) [2170099]
- rxrpc: Implement a mechanism to send an event notification to a connection (Marc Dionne) [2170099]
- rxrpc: Only disconnect calls in the I/O thread (Marc Dionne) [2170099]
- rxrpc: Only set/transmit aborts in the I/O thread (Marc Dionne) [2170099]
- rxrpc: Separate call retransmission from other conn events (Marc Dionne) [2170099]
- rxrpc: Make the local endpoint hold a ref on a connected call (Marc Dionne) [2170099]
- rxrpc: Stash the network namespace pointer in rxrpc_local (Marc Dionne) [2170099]
- rxrpc: Fix a couple of potential use-after-frees (Marc Dionne) [2170099]
- rxrpc: Fix the return value of rxrpc_new_incoming_call() (Marc Dionne) [2170099]
- rxrpc: rxperf: Fix uninitialised variable (Marc Dionne) [2170099]
- rxrpc: Fix I/O thread stop (Marc Dionne) [2170099]
- rxrpc: Fix switched parameters in peer tracing (Marc Dionne) [2170099]
- rxrpc: Fix locking issues in rxrpc_put_peer_locked() (Marc Dionne) [2170099]
- rxrpc: Fix I/O thread startup getting skipped (Marc Dionne) [2170099]
- rxrpc: Fix NULL deref in rxrpc_unuse_local() (Marc Dionne) [2170099]
- rxrpc: Fix security setting propagation (Marc Dionne) [2170099]
- rxrpc: Fix missing unlock in rxrpc_do_sendmsg() (Marc Dionne) [2170099]
- rxrpc: Transmit ACKs at the point of generation (Marc Dionne) [2170099]
- rxrpc: Fold __rxrpc_unuse_local() into rxrpc_unuse_local() (Marc Dionne) [2170099]
- rxrpc: Move the cwnd degradation after transmitting packets (Marc Dionne) [2170099]
- rxrpc: Trace/count transmission underflows and cwnd resets (Marc Dionne) [2170099]
- rxrpc: Remove the _bh annotation from all the spinlocks (Marc Dionne) [2170099]
- rxrpc: Make the I/O thread take over the call and local processor work (Marc Dionne) [2170099]
- rxrpc: Extract the peer address from an incoming packet earlier (Marc Dionne) [2170099]
- rxrpc: Reduce the use of RCU in packet input (Marc Dionne) [2170099]
- rxrpc: Simplify skbuff accounting in receive path (Marc Dionne) [2170099]
- rxrpc: Remove RCU from peer->error_targets list (Marc Dionne) [2170099]
- rxrpc: Move DATA transmission into call processor work item (Marc Dionne) [2170099]
- rxrpc: Copy client call parameters into rxrpc_call earlier (Marc Dionne) [2170099]
- rxrpc: Implement a mechanism to send an event notification to a call (Marc Dionne) [2170099]
- rxrpc: Don't use sk->sk_receive_queue.lock to guard socket state changes (Marc Dionne) [2170099]
- rxrpc: Remove call->input_lock (Marc Dionne) [2170099]
- rxrpc: Move error processing into the local endpoint I/O thread (Marc Dionne) [2170099]
- rxrpc: Move packet reception processing into I/O thread (Marc Dionne) [2170099]
- rxrpc: Create a per-local endpoint receive queue and I/O thread (Marc Dionne) [2170099]
- rxrpc: Split the receive code (Marc Dionne) [2170099]
- rxrpc: Don't hold a ref for connection workqueue (Marc Dionne) [2170099]
- rxrpc: Don't hold a ref for call timer or workqueue (Marc Dionne) [2170099]
- rxrpc: trace: Don't use __builtin_return_address for sk_buff tracing (Marc Dionne) [2170099]
- rxrpc: Trace rxrpc_bundle refcount (Marc Dionne) [2170099]
- rxrpc: trace: Don't use __builtin_return_address for rxrpc_call tracing (Marc Dionne) [2170099]
- rxrpc: trace: Don't use __builtin_return_address for rxrpc_conn tracing (Marc Dionne) [2170099]
- rxrpc: trace: Don't use __builtin_return_address for rxrpc_peer tracing (Marc Dionne) [2170099]
- rxrpc: trace: Don't use __builtin_return_address for rxrpc_local tracing (Marc Dionne) [2170099]
- rxrpc: Extract the code from a received ABORT packet much earlier (Marc Dionne) [2170099]
- rxrpc: Drop rxrpc_conn_parameters from rxrpc_connection and rxrpc_bundle (Marc Dionne) [2170099]
- rxrpc: Remove the [_k]net() debugging macros (Marc Dionne) [2170099]
- rxrpc: Remove the [k_]proto() debugging macros (Marc Dionne) [2170099]
- rxrpc: Remove handling of duplicate packets in recvmsg_queue (Marc Dionne) [2170099]
- rxrpc: Fix call leak (Marc Dionne) [2170099]
- rxrpc: Enable rxperf test module (Marc Dionne) [2170099]
- rxrpc: Implement an in-kernel rxperf server for testing purposes (Marc Dionne) [2170099]
- rxrpc: Fix checker warning (Marc Dionne) [2170099]
- rxrpc: Fix race between conn bundle lookup and bundle removal [ZDI-CAN-15975] (Marc Dionne) [2170099]
- rxrpc: uninitialized variable in rxrpc_send_ack_packet() (Marc Dionne) [2170099]
- rxrpc: fix rxkad_verify_response() (Marc Dionne) [2170099]
- rxrpc: Fix network address validation (Marc Dionne) [2170099]
- rxrpc: Fix oops from calling udpv6_sendmsg() on AF_INET socket (Marc Dionne) [2170099]
- rxrpc: Allocate an skcipher each time needed rather than reusing (Marc Dionne) [2170099]
- rxrpc: Fix congestion management (Marc Dionne) [2170099]
- rxrpc: Remove the rxtx ring (Marc Dionne) [2170099]
- rxrpc: Save last ACK's SACK table rather than marking txbufs (Marc Dionne) [2170099]
- rxrpc: Remove call->lock (Marc Dionne) [2170099]
- rxrpc: Don't use a ring buffer for call Tx queue (Marc Dionne) [2170099]
- rxrpc: Get rid of the Rx ring (Marc Dionne) [2170099]
- rxrpc: Clone received jumbo subpackets and queue separately (Marc Dionne) [2170099]
- rxrpc: Split the rxrpc_recvmsg tracepoint (Marc Dionne) [2170099]
- rxrpc: Clean up ACK handling (Marc Dionne) [2170099]
- rxrpc: Allocate ACK records at proposal and queue for transmission (Marc Dionne) [2170099]
- rxrpc: Define rxrpc_txbuf struct to carry data to be transmitted (Marc Dionne) [2170099]
- rxrpc: Remove call->tx_phase (Marc Dionne) [2170099]
- rxrpc: Remove the flags from the rxrpc_skb tracepoint (Marc Dionne) [2170099]
- rxrpc: Remove unnecessary header inclusions (Marc Dionne) [2170099]
- rxrpc: Call udp_sendmsg() directly (Marc Dionne) [2170099]
- rxrpc: Fix ack.bufferSize to be 0 when generating an ack (Marc Dionne) [2170099]
- rxrpc: Record stats for why the REQUEST-ACK flag is being set (Marc Dionne) [2170099]
- rxrpc: Record statistics about ACK types (Marc Dionne) [2170099]
- rxrpc: Add stats procfile and DATA packet stats (Marc Dionne) [2170099]
- rxrpc: Track highest acked serial (Marc Dionne) [2170099]
- rxrpc: Split call timer-expiration from call timer-set tracepoint (Marc Dionne) [2170099]
- rxrpc: Trace setting of the request-ack flag (Marc Dionne) [2170099]
- rxrpc: Automatically generate trace tag enums (Marc Dionne) [2170099]
- rxrpc: remove rxrpc_max_call_lifetime declaration (Marc Dionne) [2170099]
- rxrpc: Remove rxrpc_get_reply_time() which is no longer used (Marc Dionne) [2170099]
- rxrpc: Fix calc of resend age (Marc Dionne) [2170099]
- rxrpc: Fix local destruction being repeated (Marc Dionne) [2170099]
- rxrpc: Fix an insufficiently large sglist in rxkad_verify_packet_2() (Marc Dionne) [2170099]
- rxrpc: Fix locking in rxrpc's sendmsg (Marc Dionne) [2170099]
- net: rxrpc: fix clang -Wformat warning (Marc Dionne) [2170099]
- rxrpc: Fix decision on when to generate an IDLE ACK (Marc Dionne) [2170099]
- rxrpc: Don't let ack.previousPacket regress (Marc Dionne) [2170099]
- rxrpc: Fix overlapping ACK accounting (Marc Dionne) [2170099]
- rxrpc: Don't try to resend the request if we're receiving the reply (Marc Dionne) [2170099]
- rxrpc: Fix listen() setting the bar too high for the prealloc rings (Marc Dionne) [2170099]
- rxrpc, afs: Fix selection of abort codes (Marc Dionne) [2170099]
- rxrpc: Return an error to sendmsg if call failed (Marc Dionne) [2170099]
- rxrpc: Fix locking issue (Marc Dionne) [2170099]
- rxrpc: Use refcount_t rather than atomic_t (Marc Dionne) [2170099]
- rxrpc: Allow list of in-use local UDP endpoints to be viewed in /proc (Marc Dionne) [2170099]
- rxrpc: Enable IPv6 checksums on transport socket (Marc Dionne) [2170099]
- rxrpc: Restore removed timer deletion (Marc Dionne) [2170099]
- rxrpc: fix a race in rxrpc_exit_net() (Marc Dionne) [2170099]
- rxrpc: fix some null-ptr-deref bugs in server_key.c (Marc Dionne) [2170099]
- rxrpc: Fix call timer start racing with call destruction (Marc Dionne) [2170099]
- rxrpc: Adjust retransmission backoff (Marc Dionne) [2170099]
- rxrpc: Fix rxrpc_local leak in rxrpc_lookup_peer() (Marc Dionne) [2170099]
- rxrpc: Fix rxrpc_peer leak in rxrpc_look_up_bundle() (Marc Dionne) [2170099]
- rxrpc: Fix _usecs_to_jiffies() by using usecs_to_jiffies() (Marc Dionne) [2170099]
- net: RxRPC: make dependent Kconfig symbols be shown indented (Marc Dionne) [2170099]
Resolves: rhbz#2169053, rhbz#2179877, rhbz#2180612, rhbz#2180653, rhbz#2182275, RHEL-303

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-04 12:03:43 +02:00
Jan Stancek
3176779382 kernel-5.14.0-295.el9
* Sun Apr 02 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-295.el9]
- PCI/sysfs: Constify struct kobj_type pci_slot_ktype (Myron Stowe) [2179137]
- PCI: endpoint: pci-epf-vntb: Add epf_ntb_mw_bar_clear() num_mws kernel-doc (Myron Stowe) [2179137]
- misc: pci_endpoint_test: Drop initial kernel-doc marker (Myron Stowe) [2179137]
- PCI: vmd: Add quirk to configure PCIe ASPM and LTR (Myron Stowe) [2179137]
- PCI: vmd: Create feature grouping for client products (Myron Stowe) [2179137]
- PCI: vmd: Use PCI_VDEVICE in device list (Myron Stowe) [2179137]
- PCI/ASPM: Add pci_enable_link_state() (Myron Stowe) [2179137]
- dt-bindings: PCI: uniphier-ep: Clean up reg, clocks, resets, and their names (Myron Stowe) [2179137]
- PCI: switchtec: Return -EFAULT for copy_to_user() errors (Myron Stowe) [2179137]
- PCI: switchtec: Simplify switchtec_dma_mrpc_isr() (Myron Stowe) [2179137]
- PCI: qcom: Add IPQ8074 Gen3 port support (Myron Stowe) [2179137]
- dt-bindings: PCI: qcom: Add IPQ8074 Gen3 port (Myron Stowe) [2179137]
- dt-bindings: PCI: qcom: Sort compatibles alphabetically (Myron Stowe) [2179137]
- PCI: qcom: Fix host-init error handling (Myron Stowe) [2179137]
- PCI: qcom: Add SM8350 support (Myron Stowe) [2179137]
- dt-bindings: PCI: qcom: Add SM8350 (Myron Stowe) [2179137]
- dt-bindings: PCI: qcom-ep: Correct qcom,perst-regs (Myron Stowe) [2179137]
- dt-bindings: PCI: qcom: Unify MSM8996 and MSM8998 clock order (Myron Stowe) [2179137]
- dt-bindings: PCI: qcom: Add MSM8998 specific compatible (Myron Stowe) [2179137]
- dt-bindings: PCI: qcom: Add oneOf to compatible match (Myron Stowe) [2179137]
- PCI: mvebu: Mark driver as BROKEN (Myron Stowe) [2179137]
- PCI: mt7621: Delay phy ports initialization (Myron Stowe) [2179137]
- misc: pci_endpoint_test: Add i.MX8 PCIe EP device support (Myron Stowe) [2179137]
- dt-bindings: imx6q-pcie: Add i.MX8MP PCIe EP mode compatible string (Myron Stowe) [2179137]
- dt-bindings: imx6q-pcie: Add i.MX8MQ PCIe EP mode compatible string (Myron Stowe) [2179137]
- dt-bindings: imx6q-pcie: Add i.MX8MM PCIe EP mode compatible string (Myron Stowe) [2179137]
- PCI: dwc: Add Root Port and Endpoint controller eDMA engine support (Myron Stowe) [2179137]
- PCI: bt1: Set 64-bit DMA mask (Myron Stowe) [2179137]
- PCI: dwc: Restrict only coherent DMA mask for MSI address allocation (Myron Stowe) [2179137]
- dmaengine: dw-edma: Prepare dw_edma_probe() for builtin callers (Myron Stowe) [2179137]
- dmaengine: dw-edma: Depend on DW_EDMA instead of selecting it (Myron Stowe) [2179137]
- dmaengine: dw-edma: Add mem-mapped LL-entries support (Myron Stowe) [2179137]
- dmaengine: dw-edma: Skip cleanup procedure if no private data found (Myron Stowe) [2179137]
- dmaengine: dw-edma: Replace chip ID number with device name (Myron Stowe) [2179137]
- dmaengine: dw-edma: Drop DT-region allocation (Myron Stowe) [2179137]
- dmaengine: dw-edma: Use non-atomic io-64 methods (Myron Stowe) [2179137]
- dmaengine: dw-edma: Fix readq_ch() return value truncation (Myron Stowe) [2179137]
- dmaengine: dw-edma: Use DMA engine device debugfs subdirectory (Myron Stowe) [2179137]
- dmaengine: dw-edma: Join read/write channels into a single device (Myron Stowe) [2179137]
- dmaengine: dw-edma: Move eDMA data pointer to debugfs node descriptor (Myron Stowe) [2179137]
- dmaengine: dw-edma: Simplify debugfs context CSRs init procedure (Myron Stowe) [2179137]
- dmaengine: dw-edma: Rename debugfs dentry variables to 'dent' (Myron Stowe) [2179137]
- dmaengine: dw-edma: Convert debugfs descs to being heap-allocated (Myron Stowe) [2179137]
- dmaengine: dw-edma: Add dw_edma prefix to debugfs nodes descriptor (Myron Stowe) [2179137]
- dmaengine: dw-edma: Stop checking debugfs_create_*() return value (Myron Stowe) [2179137]
- dmaengine: dw-edma: Drop unnecessary debugfs reg casts (Myron Stowe) [2179137]
- dmaengine: dw-edma: Drop chancnt initialization (Myron Stowe) [2179137]
- dmaengine: dw-edma: Add PCI bus address getter to the remote EP glue driver (Myron Stowe) [2179137]
- dmaengine: dw-edma: Add CPU to PCI bus address translation (Myron Stowe) [2179137]
- dmaengine: dw-edma: Fix invalid interleaved xfers semantics (Myron Stowe) [2179137]
- dmaengine: dw-edma: Don't permit non-inc interleaved xfers (Myron Stowe) [2179137]
- dmaengine: dw-edma: Fix missing src/dst address of interleaved xfers (Myron Stowe) [2179137]
- dmaengine: dw-edma: Convert ll/dt phys address to PCI bus/DMA address (Myron Stowe) [2179137]
- dmaengine: dw-edma: Release requested IRQs on failure (Myron Stowe) [2179137]
- dmaengine: Fix dma_slave_config.dst_addr description (Myron Stowe) [2179137]
- PCI: endpoint: Use link_up() callback in place of LINK_UP notifier (Myron Stowe) [2179137]
- PCI: endpoint: Use callback mechanism for passing events from EPC to EPF (Myron Stowe) [2179137]
- PCI: endpoint: Use a separate lock for protecting epc->pci_epf list (Myron Stowe) [2179137]
- PCI: tegra194: Move dw_pcie_ep_linkup() to threaded IRQ handler (Myron Stowe) [2179137]
- PCI: dra7xx: Use threaded IRQ handler for "dra7xx-pcie-main" IRQ (Myron Stowe) [2179137]
- PCI: Add ACS quirk for Wangxun NICs (Myron Stowe) [2179137]
- PCI: Avoid FLR for AMD FCH AHCI adapters (Myron Stowe) [2179137]
- PCI: Fix dropping valid root bus resources with .end = zero (Myron Stowe) [2179137]
- PCI: Distribute available resources for root buses, too (Myron Stowe) [2179137]
- PCI: Take other bus devices into account when distributing resources (Myron Stowe) [2179137]
- PCI: Align extra resources for hotplug bridges properly (Myron Stowe) [2179137]
- PCI/DPC: Await readiness of secondary bus after reset (Myron Stowe) [2179137]
- PCI: Unify delay handling for reset and resume (Myron Stowe) [2179137]
- PCI/PM: Observe reset delay irrespective of bridge_d3 (Myron Stowe) [2179137]
- PCI/ACPI: Account for _S0W of the target bridge in acpi_pci_bridge_d3() (Myron Stowe) [2179137]
- PCI/P2PDMA: Annotate RCU dereference (Myron Stowe) [2179137]
- PCI/P2PDMA: Allow userspace VMA allocations through sysfs (Myron Stowe) [2179137]
- PCI: Remove MODULE_LICENSE so boolean drivers don't look like modules (Myron Stowe) [2179137]
- PCI/IOV: Enlarge virtfn sysfs name buffer (Myron Stowe) [2179137]
- PCI: hotplug: Allow marking devices as disconnected during bind/unbind (Myron Stowe) [2179137]
- PCI: pciehp: Add Qualcomm quirk for Command Completed erratum (Myron Stowe) [2179137]
- PCI: Honor firmware's device disabled status (Myron Stowe) [2179137]
- PCI: loongson: Add more devices that need MRRS quirk (Myron Stowe) [2179137]
- PCI: loongson: Prevent LS7A MRRS increases (Myron Stowe) [2179137]
- PCI/portdrv: Prevent LS7A Bus Master clearing on shutdown (Myron Stowe) [2179137]
- PCI/AER: Remove redundant Device Control Error Reporting Enable (Myron Stowe) [2179137]
- PCI/AER: Configure ECRC only if AER is native (Myron Stowe) [2179137]
- PCI: Replace magic constant for PCI Sig Vendor ID (Myron Stowe) [2179137]
- PCI: Add vendor ID for the PCI SIG (Myron Stowe) [2179137]
- ntb: intel: add GNR support for Intel PCIe gen5 NTB (Myron Stowe) [2179137]
- NTB: ntb_tool: uninitialized heap data in tool_fn_write() (Myron Stowe) [2179137]
- ntb: idt: fix clang -Wformat warnings (Myron Stowe) [2179137]
- arm64: dts: imx93-11x11-evk: enable fec function (Steve Best) [2179863]
- arm64: dts: imx93-11x11-evk: enable eqos (Steve Best) [2179863]
- arm64: dts: imx93-11x11-evk: correct clock and strobe pad setting (Steve Best) [2179863]
- arm64: dts: imx93: add ADC support (Steve Best) [2179863]
- arm64: dts: imx93: add FEC support (Steve Best) [2179863]
- arm64: dts: imx93: add eqos support (Steve Best) [2179863]
- arm64: dts: imx93: add flexcan nodes (Steve Best) [2179863]
- mm/debug: use valid physical memory for pmd/pud tests (Waiman Long) [2095767]
- kernel-rt: config: disable saa6588, saa6752hs and snd-soc-sdw-mockup to match stock kernel (Luis Claudio R. Goncalves) [2182012]
- genetlink: correctly begin the iteration over policies (Ivan Vecera) [2175250]
- genetlink: fix single op policy dump when do is present (Ivan Vecera) [2175250]
- genetlink: convert control family to split ops (Ivan Vecera) [2175250]
- genetlink: allow families to use split ops directly (Ivan Vecera) [2175250]
- genetlink: inline old iteration helpers (Ivan Vecera) [2175250]
- genetlink: use iterator in the op to policy map dumping (Ivan Vecera) [2175250]
- genetlink: add iterator for walking family ops (Ivan Vecera) [2175250]
- genetlink: inline genl_get_cmd() (Ivan Vecera) [2175250]
- genetlink: support split policies in ctrl_dumppolicy_put_op() (Ivan Vecera) [2175250]
- genetlink: add policies for both doit and dumpit in ctrl_dumppolicy_start() (Ivan Vecera) [2175250]
- genetlink: check for callback type at op load time (Ivan Vecera) [2175250]
- genetlink: load policy based on validation flags (Ivan Vecera) [2175250]
- genetlink: introduce split op representation (Ivan Vecera) [2175250]
- genetlink: move the private fields in struct genl_family (Ivan Vecera) [2175250]
- genetlink: refactor the cmd <> policy mapping dump (Ivan Vecera) [2175250]
- genetlink: fix kdoc warnings (Ivan Vecera) [2175250]
- net: genl: fix error path memory leak in policy dumping (Ivan Vecera) [2175250]
- dax: Remove usage of the deprecated ida_simple_xxx API (Jeff Moyer) [2162211]
- libnvdimm/region: Allow setting align attribute on regions without mappings (Jeff Moyer) [2162211]
- nvdimm/namespace: Fix comment typo (Jeff Moyer) [2162211]
- nvdimm: make __nvdimm_security_overwrite_query static (Jeff Moyer) [2162211]
- nvdimm/region: Fix kernel-doc (Jeff Moyer) [2162211]
- nvdimm/namespace: drop nested variable in create_namespace_pmem() (Jeff Moyer) [2162211]
- nvdimm/namespace: drop unneeded temporary variable in size_store() (Jeff Moyer) [2162211]
- nvdimm/namespace: return uuid_null only once in nd_dev_to_uuid() (Jeff Moyer) [2162211]
- ndtest: Cleanup all of blk namespace specific code (Jeff Moyer) [2162211]
- pmem: fix a name collision (Jeff Moyer) [2162211]
- dax: set did_zero to true when zeroing successfully (Jeff Moyer) [2162211]
- nvdimm: Fix badblocks clear off-by-one error (Jeff Moyer) [2162211]
- pmem: implement pmem_recovery_write() (Jeff Moyer) [2162211]
- pmem: refactor pmem_clear_poison() (Jeff Moyer) [2162211]
- dax: add .recovery_write dax_operation (Jeff Moyer) [2162211]
- dax: introduce DAX_RECOVERY_WRITE dax access mode (Jeff Moyer) [2162211]
- x86/mce: Retrieve poison range from hardware (Jeff Moyer) [1874190 2162211]
- mce: fix set_mce_nospec to always unmap the whole page (Jeff Moyer) [1874190 2162211]
- x86/mce: Change to not send SIGBUS error during copy from user (Jeff Moyer) [2162211]
- x86/mce: relocate set{clear}_mce_nospec() functions (Jeff Moyer) [1874190 2162211]
- testing: nvdimm: asm/mce.h is not needed in nfit.c (Jeff Moyer) [2162211]
- testing: nvdimm: iomap: make __nfit_test_ioremap a macro (Jeff Moyer) [2162211]
- nvdimm: Allow overwrite in the presence of disabled dimms (Jeff Moyer) [2162211]
- nvdimm: Fix firmware activation deadlock scenarios (Jeff Moyer) [2162211]
- device-core: Kill the lockdep_mutex (Jeff Moyer) [2162211]
- nvdimm: Drop nd_device_lock() (Jeff Moyer) [2162211]
- ACPI: NFIT: Drop nfit_device_lock() (Jeff Moyer) [2162211]
- nvdimm: Replace lockdep_mutex with local lock classes (Jeff Moyer) [2162211]
- cxl: Drop cxl_device_lock() (Jeff Moyer) [2162211]
- cxl/acpi: Add root device lockdep validation (Jeff Moyer) [2162211]
- cxl: Replace lockdep_mutex with local lock classes (Jeff Moyer) [2162211]
- tools/testing/nvdimm: remove unneeded flush_workqueue (Jeff Moyer) [2162211]
- nvdimm/blk: Fix title level (Jeff Moyer) [2162211]
- MAINTAINERS: remove section LIBNVDIMM BLK: MMIO-APERTURE DRIVER (Jeff Moyer) [2162211]
- dax: Fix missing kdoc for dax_device (Jeff Moyer) [2162211]
- nvdimm/region: Delete nd_blk_region infrastructure (Jeff Moyer) [2162211]
- nvdimm/namespace: Delete nd_namespace_blk (Jeff Moyer) [2162211]
- nvdimm/namespace: Delete blk namespace consideration in shared paths (Jeff Moyer) [2162211]
- nvdimm/blk: Delete the block-aperture window driver (Jeff Moyer) [2162211]
- nvdimm/region: Fix default alignment for small regions (Jeff Moyer) [2162211]
- dax: make sure inodes are flushed before destroy cache (Jeff Moyer) [2162211]
- fsdax: fix function description (Jeff Moyer) [2162211]
- device-dax: compound devmap support (Jeff Moyer) [2162211]
- device-dax: remove pfn from __dev_dax_{pte,pmd,pud}_fault() (Jeff Moyer) [2162211]
- device-dax: set mapping prior to vmf_insert_pfn{,_pmd,pud}() (Jeff Moyer) [2162211]
- device-dax: factor out page mapping initialization (Jeff Moyer) [2162211]
- device-dax: ensure dev_dax->pgmap is valid for dynamic devices (Jeff Moyer) [2162211]
- device-dax: use struct_size() (Jeff Moyer) [2162211]
- device-dax: use ALIGN() for determining pgoff (Jeff Moyer) [2162211]
- iomap: Fix error handling in iomap_zero_iter() (Jeff Moyer) [2162211]
- dax: remove the copy_from_iter and copy_to_iter methods (Jeff Moyer) [2162211]
- dax: remove the DAXDEV_F_SYNC flag (Jeff Moyer) [2162211]
- dax: simplify dax_synchronous and set_dax_synchronous (Jeff Moyer) [2162211]
- uio: remove copy_from_iter_flushcache() and copy_mc_to_iter() (Jeff Moyer) [2162211]
- iomap: turn the byte variable in iomap_zero_iter into a ssize_t (Jeff Moyer) [2162211]
- fsdax: don't require CONFIG_BLOCK (Jeff Moyer) [2162211]
- iomap: build the block based code conditionally (Jeff Moyer) [2162211]
- dax: fix up some of the block device related ifdefs (Jeff Moyer) [2162211]
- fsdax: shift partition offset handling into the file systems (Jeff Moyer) [2162211]
- dax: return the partition offset from fs_dax_get_by_bdev (Jeff Moyer) [2162211]
- iomap: add a IOMAP_DAX flag (Jeff Moyer) [2162211]
- xfs: pass the mapping flags to xfs_bmbt_to_iomap (Jeff Moyer) [2162211]
- xfs: use xfs_direct_write_iomap_ops for DAX zeroing (Jeff Moyer) [2162211]
- xfs: move dax device handling into xfs_{alloc,free}_buftarg (Jeff Moyer) [2162211]
- ext4: cleanup the dax handling in ext4_fill_super (Jeff Moyer) [2162211]
- fsdax: decouple zeroing from the iomap buffered I/O code (Jeff Moyer) [2162211]
- fsdax: factor out a dax_memzero helper (Jeff Moyer) [2162211]
- fsdax: simplify the offset check in dax_iomap_zero (Jeff Moyer) [2162211]
- xfs: add xfs_zero_range and xfs_truncate_page helpers (Jeff Moyer) [2162211]
- fsdax: simplify the pgoff calculation (Jeff Moyer) [2162211]
- fsdax: use a saner calling convention for copy_cow_page_dax (Jeff Moyer) [2162211]
- fsdax: remove a pointless __force cast in copy_cow_page_dax (Jeff Moyer) [2162211]
- dm-stripe: add a stripe_dax_pgoff helper (Jeff Moyer) [2162211]
- dm-log-writes: add a log_writes_dax_pgoff helper (Jeff Moyer) [2162211]
- dm-linear: add a linear_dax_pgoff helper (Jeff Moyer) [2162211]
- dax: remove dax_capable (Jeff Moyer) [2162211]
- xfs: factor out a xfs_setup_dax_always helper (Jeff Moyer) [2162211]
- dax: move the partition alignment check into fs_dax_get_by_bdev (Jeff Moyer) [2162211]
- dax: simplify the dax_device <-> gendisk association (Jeff Moyer) [2162211]
- dax: remove CONFIG_DAX_DRIVER (Jeff Moyer) [2162211]
- dm: make the DAX support depend on CONFIG_FS_DAX (Jeff Moyer) [2162211]
- dm: fix alloc_dax error handling in alloc_dev (Jeff Moyer) [2162211]
- nvdimm/pmem: move dax_attribute_group from dax to pmem (Jeff Moyer) [2162211]
- libnvdimm/labels: Introduce CXL labels (Jeff Moyer) [2162211]
- libnvdimm/label: Define CXL region labels (Jeff Moyer) [2162211]
- libnvdimm/labels: Fix kernel-doc for label.h (Jeff Moyer) [2162211]
- libnvdimm/labels: Introduce the concept of multi-range namespace labels (Jeff Moyer) [2162211]
- libnvdimm/label: Add a helper for nlabel validation (Jeff Moyer) [2162211]
- libnvdimm/labels: Add uuid helpers (Jeff Moyer) [2162211]
- libnvdimm/labels: Add claim class helpers (Jeff Moyer) [2162211]
- libnvdimm/labels: Add type-guid helpers (Jeff Moyer) [2162211]
- libnvdimm/labels: Add blk special cases for nlabel and position helpers (Jeff Moyer) [2162211]
- libnvdimm/labels: Add blk isetcookie set / validation helpers (Jeff Moyer) [2162211]
- libnvdimm/labels: Add a checksum calculation helper (Jeff Moyer) [2162211]
- libnvdimm/labels: Introduce label setter helpers (Jeff Moyer) [2162211]
- libnvdimm/labels: Add isetcookie validation helper (Jeff Moyer) [2162211]
- libnvdimm/labels: Introduce getters for namespace label fields (Jeff Moyer) [2162211]
- cpuidle: lib/bug: Disable rcu_is_watching() during WARN/BUG (Waiman Long) [2169516]
- rcu: Fix __this_cpu_read() lockdep warning in rcu_force_quiescent_state() (Waiman Long) [2169516]
- rcu: Keep synchronize_rcu() from enabling irqs in early boot (Waiman Long) [2169516]
- powerpc/64/interrupt: Fix false warning in context tracking due to idle state (Waiman Long) [2169516]
- rcutorture: Use the barrier operation specified by cur_ops (Waiman Long) [2169516]
- rcu-tasks: Make RCU Tasks Trace check for userspace execution (Waiman Long) [2169516]
- rcu-tasks: Ensure RCU Tasks Trace loops have quiescent states (Waiman Long) [2169516]
- rcu-tasks: Convert RCU_LOCKDEP_WARN() to WARN_ONCE() (Waiman Long) [2169516]
- srcu: Make Tiny SRCU use full-sized grace-period counters (Waiman Long) [2169516]
- srcu: Make Tiny SRCU poll_state_synchronize_srcu() more precise (Waiman Long) [2169516]
- srcu: Add GP and maximum requested GP to Tiny SRCU rcutorture output (Waiman Long) [2169516]
- rcutorture: Make "srcud" option also test polled grace-period API (Waiman Long) [2169516]
- rcutorture: Limit read-side polling-API testing (Waiman Long) [2169516]
- rcu: Add functions to compare grace-period state values (Waiman Long) [2169516]
- rcutorture: Expand rcu_torture_write_types() first "if" statement (Waiman Long) [2169516]
- rcutorture: Use 1-suffixed variable in rcu_torture_write_types() check (Waiman Long) [2169516]
- rcu: Make synchronize_rcu() fastpath update only boot-CPU counters (Waiman Long) [2169516]
- rcutorture: Adjust rcu_poll_need_2gp() for rcu_gp_oldstate field removal (Waiman Long) [2169516]
- rcu: Remove ->rgos_polled field from rcu_gp_oldstate structure (Waiman Long) [2169516]
- rcu: Make synchronize_rcu_expedited() fast path update .expedited_sequence (Waiman Long) [2169516]
- rcu: Remove expedited grace-period fast-path forward-progress helper (Waiman Long) [2169516]
- rcu: Make synchronize_rcu() fast path update ->gp_seq counters (Waiman Long) [2169516]
- rcu-tasks: Remove grace-period fast-path rcu-tasks helper (Waiman Long) [2169516]
- rcu: Set rcu_data structures' initial ->gpwrap value to true (Waiman Long) [2169516]
- rcu: Disable run-time single-CPU grace-period optimization (Waiman Long) [2169516]
- rcu: Add full-sized polling for cond_sync_exp_full() (Waiman Long) [2169516]
- rcu: Add full-sized polling for cond_sync_full() (Waiman Long) [2169516]
- rcu: Remove blank line from poll_state_synchronize_rcu() docbook header (Waiman Long) [2169516]
- rcu: Add full-sized polling for start_poll_expedited() (Waiman Long) [2169516]
- rcu: Add full-sized polling for start_poll() (Waiman Long) [2169516]
- rcutorture: Verify long-running reader prevents full polling from completing (Waiman Long) [2169516]
- rcutorture: Remove redundant RTWS_DEF_FREE check (Waiman Long) [2169516]
- rcutorture: Verify RCU reader prevents full polling from completing (Waiman Long) [2169516]
- rcutorture: Allow per-RCU-flavor polled double-GP check (Waiman Long) [2169516]
- rcutorture: Abstract synchronous and polled API testing (Waiman Long) [2169516]
- rcu: Add full-sized polling for get_state() (Waiman Long) [2169516]
- rcu: Add full-sized polling for get_completed*() and poll_state*() (Waiman Long) [2169516]
- rcu/nocb: Choose the right rcuog/rcuop kthreads to output (Waiman Long) [2169516]
- rcu/kvfree: Update KFREE_DRAIN_JIFFIES interval (Waiman Long) [2169516]
- rcu/kfree: Fix kfree_rcu_shrink_count() return value (Waiman Long) [2169516]
- rcu: Back off upon fill_page_cache_func() allocation failure (Waiman Long) [2169516]
- rcu: Exclude outgoing CPU when it is the last to leave (Waiman Long) [2169516]
- rcu: Avoid triggering strict-GP irq-work when RCU is idle (Waiman Long) [2169516]
- sched/debug: Show the registers of 'current' in dump_cpu_task() (Waiman Long) [2169516]
- sched/debug: Try trigger_single_cpu_backtrace(cpu) in dump_cpu_task() (Waiman Long) [2169516]
- rcu: Update rcu_access_pointer() header for rcu_dereference_protected() (Waiman Long) [2169516]
- rcu: Document reason for rcu_all_qs() call to preempt_disable() (Waiman Long) [2169516]
- rcu: Make tiny RCU support leak callbacks for debug-object errors (Waiman Long) [2169516]
- rcu: Add QS check in rcu_exp_handler() for non-preemptible kernels (Waiman Long) [2169516]
- rcu: Update rcu_preempt_deferred_qs() comments for !PREEMPT kernels (Waiman Long) [2169516]
- rcu: Fix rcu_read_unlock_strict() strict QS reporting (Waiman Long) [2169516]
- rcu: Add irqs-disabled indicator to expedited RCU CPU stall warnings (Waiman Long) [2169516]
- rcu: Diagnose extended sync_rcu_do_polled_gp() loops (Waiman Long) [2169516]
- rcu: Put panic_on_rcu_stall() after expedited RCU CPU stall warnings (Waiman Long) [2169516]
- rcutorture: Test polled expedited grace-period primitives (Waiman Long) [2169516]
- rcu: Add polled expedited grace-period primitives (Waiman Long) [2169516]
- rcutorture: Verify that polled GP API sees synchronous grace periods (Waiman Long) [2169516]
- rcu: Make Tiny RCU grace periods visible to polled APIs (Waiman Long) [2169516]
- rcu: Make polled grace-period API account for expedited grace periods (Waiman Long) [2169516]
- rcu: Switch polled grace-period APIs to ->gp_seq_polled (Waiman Long) [2169516]
- rcu/nocb: Avoid polling when my_rdp->nocb_head_rdp list is empty (Waiman Long) [2169516]
- redhat/configs: Add CONFIG_RCU_NOCB_CPU_CB_BOOST (Waiman Long) [2169516]
- rcu/nocb: Add option to opt rcuo kthreads out of RT priority (Waiman Long) [2169516]
- rcu: Add nocb_cb_kthread check to rcu_is_callbacks_kthread() (Waiman Long) [2169516]
- redhat/configs: Add CONFIG_RCU_NOCB_CPU_DEFAULT_ALL (Waiman Long) [2169516]
- rcu/nocb: Add an option to offload all CPUs on boot (Waiman Long) [2169516]
- rcu/nocb: Fix NOCB kthreads spawn failure with rcu_nocb_rdp_deoffload() direct call (Waiman Long) [2169516]
- rcu/nocb: Invert rcu_state.barrier_mutex VS hotplug lock locking order (Waiman Long) [2169516]
- rcu/nocb: Add/del rdp to iterate from rcuog itself (Waiman Long) [2169516]
- rcu/tree: Add comment to describe GP-done condition in fqs loop (Waiman Long) [2169516]
- rcu: Initialize first_gp_fqs at declaration in rcu_gp_fqs() (Waiman Long) [2169516]
- rcu/kvfree: Remove useless monitor_todo flag (Waiman Long) [2169516]
- rcu: Cleanup RCU urgency state for offline CPU (Waiman Long) [2169516]
- rcu: tiny: Record kvfree_call_rcu() call stack for KASAN (Waiman Long) [2169516]
- locking/csd_lock: Change csdlock_debug from early_param to __setup (Waiman Long) [2169516]
- rcu: Forbid RCU_STRICT_GRACE_PERIOD in TINY_RCU kernels (Waiman Long) [2169516]
- rcu: Immediately boost preempted readers for strict grace periods (Waiman Long) [2169516]
- rcu: Add rnp->cbovldmask check in rcutree_migrate_callbacks() (Waiman Long) [2169516]
- rcu: Avoid tracing a few functions executed in stop machine (Waiman Long) [2169516]
- rcu: Decrease FQS scan wait time in case of callback overloading (Waiman Long) [2169516]
- context_tracking: Use arch_atomic_read() in __ct_state for KASAN (Waiman Long) [2169516]
- MAINTAINERS: Add Paul as context tracking maintainer (Waiman Long) [2169516]
- context_tracking: Convert state to atomic_t (Waiman Long) [2169516]
- rcu/context-tracking: Remove unused and/or unecessary middle functions (Waiman Long) [2169516]
- rcu/context-tracking: Move RCU-dynticks internal functions to context_tracking (Waiman Long) [2169516]
- rcu/context-tracking: Move deferred nocb resched to context tracking (Waiman Long) [2169516]
- rcu/context_tracking: Move dynticks_nmi_nesting to context tracking (Waiman Long) [2169516]
- rcu/context_tracking: Move dynticks_nesting to context tracking (Waiman Long) [2169516]
- rcu/context_tracking: Move dynticks counter to context tracking (Waiman Long) [2169516]
- rcu/context-tracking: Remove rcu_irq_enter/exit() (Waiman Long) [2169516]
- context_tracking: Take NMI eqs entrypoints over RCU (Waiman Long) [2169516]
- context_tracking: Take IRQ eqs entrypoints over RCU (Waiman Long) [2169516]
- context_tracking: Take idle eqs entrypoints over RCU (Waiman Long) [2169516]
- redhat/configs: Rename CONFIG_CONTEXT_TRACKING_FORCE to CONFIG_CONTEXT_TRACKING_USER_FORCE (Waiman Long) [2169516]
- context_tracking: Split user tracking Kconfig (Waiman Long) [2169516]
- context_tracking: Rename context_tracking_cpu_set() to ct_cpu_track_user() (Waiman Long) [2169516]
- context_tracking: Rename context_tracking_enter/exit() to ct_user_enter/exit() (Waiman Long) [2169516]
- context_tracking: Rename context_tracking_user_enter/exit() to user_enter/exit_callable() (Waiman Long) [2169516]
- context_tracking: Rename __context_tracking_enter/exit() to __ct_user_enter/exit() (Waiman Long) [2169516]
- refscale: Convert test_lock spinlock to raw_spinlock (Waiman Long) [2169516]
- rcutorture: Handle failure of memory allocation functions (Waiman Long) [2169516]
- torture: Create kvm-check-branches.sh output in proper location (Waiman Long) [2169516]
- rcuscale: Fix smp_processor_id()-in-preemptible warnings (Waiman Long) [2169516]
- rcutorture: Make failure indication note reader-batch overflow (Waiman Long) [2169516]
- torture: Adjust to again produce debugging information (Waiman Long) [2169516]
- rcutorture: Fix memory leak in rcu_test_debug_objects() (Waiman Long) [2169516]
- rcutorture: Simplify rcu_torture_read_exit_child() loop (Waiman Long) [2169516]
- rcu/torture: Change order of warning and trace dump (Waiman Long) [2169516]
- rcu-tasks: Update comments (Waiman Long) [2169516]
- rcu-tasks: Disable and enable CPU hotplug in same function (Waiman Long) [2169516]
- rcu-tasks: Eliminate RCU Tasks Trace IPIs to online CPUs (Waiman Long) [2169516]
- rcu-tasks: Maintain a count of tasks blocking RCU Tasks Trace grace period (Waiman Long) [2169516]
- rcu-tasks: Stop RCU Tasks Trace from scanning full tasks list (Waiman Long) [2169516]
- rcutorture: Update rcutorture.fwd_progress help text (Waiman Long) [2169516]
- context_tracking: Add a note about noinstr VS unsafe context tracking functions (Waiman Long) [2169516]
- context_tracking: Remove unused context_tracking_in_user() (Waiman Long) [2169516]
- rcu: Apply noinstr to rcu_idle_enter() and rcu_idle_exit() (Waiman Long) [2169516]
- rcu: Dump rcuc kthread status for CPUs not reporting quiescent state (Waiman Long) [2169516]
- torture: Make kvm-remote.sh announce which system is being waited on (Waiman Long) [2169516]
- rcu-tasks: Stop RCU Tasks Trace from scanning idle tasks (Waiman Long) [2169516]
- rcu-tasks: Pull in tasks blocked within RCU Tasks Trace readers (Waiman Long) [2169516]
- rcu-tasks: Scan running tasks for RCU Tasks Trace readers (Waiman Long) [2169516]
- rcu-tasks: Avoid rcu_tasks_trace_pertask() duplicate list additions (Waiman Long) [2169516]
- rcu-tasks: Move rcu_tasks_trace_pertask() before rcu_tasks_trace_pregp_step() (Waiman Long) [2169516]
- rcu-tasks: Add blocked-task indicator to RCU Tasks Trace stall warnings (Waiman Long) [2169516]
- rcu-tasks: Untrack blocked RCU Tasks Trace at reader end (Waiman Long) [2169516]
- rcu-tasks: Track blocked RCU Tasks Trace readers (Waiman Long) [2169516]
- rcu-tasks: Add data structures for lightweight grace periods (Waiman Long) [2169516]
- rcu-tasks: Make RCU Tasks Trace stall warning handle idle offline tasks (Waiman Long) [2169516]
- rcu-tasks: Make RCU Tasks Trace stall warnings print full .b.need_qs field (Waiman Long) [2169516]
- rcu-tasks: Flag offline CPUs in RCU Tasks Trace stall warnings (Waiman Long) [2169516]
- rcu-tasks: Add slow-IPI indicator to RCU Tasks Trace stall warnings (Waiman Long) [2169516]
- rcu-tasks: Simplify trc_inspect_reader() QS logic (Waiman Long) [2169516]
- rcu-tasks: Make rcu_note_context_switch() unconditionally call rcu_tasks_qs() (Waiman Long) [2169516]
- rcu-tasks: RCU Tasks Trace grace-period kthread has implicit QS (Waiman Long) [2169516]
- rcu-tasks: Handle idle tasks for recently offlined CPUs (Waiman Long) [2169516]
- rcu-tasks: Idle tasks on offline CPUs are in quiescent states (Waiman Long) [2169516]
- rcu-tasks: Make trc_read_check_handler() fetch ->trc_reader_nesting only once (Waiman Long) [2169516]
- rcu-tasks: Remove rcu_tasks_trace_postgp() wait for counter (Waiman Long) [2169516]
- rcu-tasks: Merge state into .b.need_qs and atomically update (Waiman Long) [2169516]
- rcu-tasks: Drive synchronous grace periods from calling task (Waiman Long) [2169516]
- rcu-tasks: Move synchronize_rcu_tasks_generic() down (Waiman Long) [2169516]
- rcu-tasks: Split rcu_tasks_one_gp() from rcu_tasks_kthread() (Waiman Long) [2169516]
- rcu-tasks: Check for abandoned callbacks (Waiman Long) [2169516]
- rcutorture: Validate get_completed_synchronize_rcu() (Waiman Long) [2169516]
- rcu: Provide a get_completed_synchronize_rcu() function (Waiman Long) [2169516]
- rcu: Make normal polling GP be more precise about sequence numbers (Waiman Long) [2169516]
- cfi: Fix __cfi_slowpath_diag RCU usage with cpuidle (Waiman Long) [2169516]
- context_tracking: Always inline empty stubs (Waiman Long) [2169516]
- powerpc/64/interrupt: reduce expensive debug tests (Waiman Long) [2169516]
- sched/deadline: Add more reschedule cases to prio_changed_dl() (Valentin Schneider) [2123590]
Resolves: rhbz#1874190, rhbz#2095767, rhbz#2123590, rhbz#2162211, rhbz#2169516, rhbz#2175250, rhbz#2179137, rhbz#2179863, rhbz#2182012

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-04-02 15:25:16 +02:00
Jan Stancek
e22e321f07 kernel-5.14.0-293.el9
* Wed Mar 29 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-293.el9]
- redhat: fix trivial syntax error in 64k-debug modules signing (Herton R. Krzesinski)
- redhat/configs: Revert "enable DAMON configs" (Vladis Dronov)
- block: bio-integrity: Copy flags when bio_integrity_payload is cloned (Ming Lei) [2164903]
- afs: remove afs_cache_netfs and afs_zap_permits() declarations (Marc Dionne) [2166628]
- afs: remove variable nr_servers (Marc Dionne) [2166628]
- afs: Fix lost servers_outstanding count (Marc Dionne) [2166628]
- afs: Fix server->active leak in afs_put_server (Marc Dionne) [2166628]
- afs: Fix fileserver probe RTT handling (Marc Dionne) [2166628]
- afs: Return -EAGAIN, not -EREMOTEIO, when a file already locked (Marc Dionne) [2166628]
- afs: Use the operation issue time instead of the reply time for callbacks (Marc Dionne) [2166628]
- afs: Enable multipage folio support (Marc Dionne) [2166628]
- afs: Fix access after dec in put functions (Marc Dionne) [2166628]
- afs: Use refcount_t rather than atomic_t (Marc Dionne) [2166628]
- afs: Fix dynamic root getattr (Marc Dionne) [2166628]
- afs: Remove check of PageError (Marc Dionne) [2166628]
- afs: Fix some checker issues (Marc Dionne) [2166628]
- afs: Fix infinite loop found by xfstest generic/676 (Marc Dionne) [2166628]
- afs: Adjust ACK interpretation to try and cope with NAT (Marc Dionne) [2166628]
- afs: Prefer struct_size over open coded arithmetic (Marc Dionne) [2166628]
- afs: Convert invalidatepage to invalidate_folio (Marc Dionne) [2166628]
- afs: Convert directory aops to invalidate_folio (Marc Dionne) [2166628]
- blk-mq: fix "bad unlock balance detected" on q->srcu in __blk_mq_run_dispatch_ops (Chris Leech) [2170101]
- KVM: VMX: Execute IBPB on emulated VM-exit when guest has IBRS (Jon Maloy) [2160029] {CVE-2022-2196}
- ice: fix lost multicast packets in promisc mode (Petr Oros) [2170694]
- net: use indirect calls helpers for sk_exit_memory_pressure() (Florian Westphal) [2155182]
- bpf: pull before calling skb_postpull_rcsum() (Felix Maurer) [2164806]
- bpf, sockmap: fix race in sock_map_free() (Felix Maurer) [2164806]
- bpf, sock_map: Move cancel_work_sync() out of sock lock (Felix Maurer) [2164806]
- xsk: Inherit need_wakeup flag for shared sockets (Felix Maurer) [2164806]
- xsk: Fix backpressure mechanism on Tx (Felix Maurer) [2164806]
- redhat: Fix kernel-rt-kvm scripts (Juri Lelli) [2179874]
- bpf/selftests: disable get_branch_snapshot test (Artem Savkov) [2161527]
- scsi: qla2xxx: Perform lockless command completion in abort path (Nilesh Javali) [2093878]
- platform/x86: ISST: PUNIT device mapping with Sub-NUMA clustering (Prarit Bhargava) [2139964]
- net: openvswitch: add missing .resv_start_op (Ivan Vecera) [2175249]
- genetlink: limit the use of validation workarounds to old ops (Ivan Vecera) [2175249]
- genetlink: piggy back on resv_op to default to a reject policy (Ivan Vecera) [2175249]
- genetlink: reject use of nlmsg_flags for new commands (Ivan Vecera) [2175249]
- net: ieee802154: Fix compilation error when CONFIG_IEEE802154_NL802154_EXPERIMENTAL is disabled (Ivan Vecera) [2175249]
- genetlink: start to validate reserved header bytes (Ivan Vecera) [2175249]
- net: move from strlcpy with unused retval to strscpy (Ivan Vecera) [2175236]
- net: ethernet: move from strlcpy with unused retval to strscpy (Ivan Vecera) [2175236]
- Add CONFIG_SHRINKER_DEBUG to RHEL configs (Chris von Recklinghausen) [2160210]
- Add CONFIG_DAMON_LRU_SORT to configs collection (Chris von Recklinghausen) [2160210]
- arm64: Reset KASAN tag in copy_highpage with HW tags only (Chris von Recklinghausen) [2160210]
- mm: shrinkers: fix deadlock in shrinker debugfs (Chris von Recklinghausen) [2160210]
- dm: improve shrinker debug names (Chris von Recklinghausen) [2160210]
- KVM: arm64: Pass the actual page address to mte_clear_page_tags() (Chris von Recklinghausen) [2160210]
- mm/uffd: detect pgtable allocation failures (Chris von Recklinghausen) [2160210]
- mm/mprotect: use long for page accountings and retval (Chris von Recklinghausen) [2160210]
- mm/mprotect: drop pgprot_t parameter from change_protection() (Chris von Recklinghausen) [2160210]
- mm/userfaultfd: rely on vma->vm_page_prot in uffd_wp_range() (Chris von Recklinghausen) [2160210]
- mm/hugetlb: pre-allocate pgtable pages for uffd wr-protects (Chris von Recklinghausen) [2160210]
- mm/userfaultfd: enable writenotify while userfaultfd-wp is enabled for a VMA (Chris von Recklinghausen) [2160210]
- mm/hugetlb: fix uffd-wp handling for migration entries in hugetlb_change_protection() (Chris von Recklinghausen) [2160210]
- mm/hugetlb: fix PTE marker handling in hugetlb_change_protection() (Chris von Recklinghausen) [2160210]
- mm/gup: disallow FOLL_FORCE|FOLL_WRITE on hugetlb mappings (Chris von Recklinghausen) [2160210]
- mm: remove unused savedwrite infrastructure (Chris von Recklinghausen) [2160210]
- mm/autonuma: use can_change_(pte|pmd)_writable() to replace savedwrite (Chris von Recklinghausen) [2160210]
- mm/mprotect: factor out check whether manual PTE write upgrades are required (Chris von Recklinghausen) [2160210]
- mm/huge_memory: try avoiding write faults when changing PMD protection (Chris von Recklinghausen) [2160210]
- mm/mprotect: minor can_change_pte_writable() cleanups (Chris von Recklinghausen) [2160210]
- mm/mprotect: allow clean exclusive anon pages to be writable (Chris von Recklinghausen) [2160210]
- mm/damon/sysfs: fix wrong empty schemes assumption under online tuning in damon_sysfs_set_schemes() (Chris von Recklinghausen) [2160210]
- arm64: mte: Lock a page for MTE tag initialisation (Chris von Recklinghausen) [2160210]
- mm: Add PG_arch_3 page flag (Chris von Recklinghausen) [2160210]
- arm64: mte: Fix/clarify the PG_mte_tagged semantics (Chris von Recklinghausen) [2160210]
- mm: Do not enable PG_arch_2 for all 64-bit architectures (Chris von Recklinghausen) [2160210]
- mm: fix unexpected changes to {failslab|fail_page_alloc}.attr (Chris von Recklinghausen) [2160210]
- arch/x86/mm/hugetlbpage.c: pud_huge() returns 0 when using 2-level paging (Chris von Recklinghausen) [2160210]
- mm: hugetlb_vmemmap: include missing linux/moduleparam.h (Chris von Recklinghausen) [2160210]
- mm/uffd: fix vma check on userfault for wp (Chris von Recklinghausen) [2160210]
- mm/uffd: fix warning without PTE_MARKER_UFFD_WP compiled in (Chris von Recklinghausen) [2160210]
- arm64: mte: Avoid setting PG_mte_tagged if no tags cleared or restored (Chris von Recklinghausen) [2160210]
- mm/damon: introduce struct damos_access_pattern (Chris von Recklinghausen) [2160210]
- iomap: iomap: fix memory corruption when recording errors during writeback (Chris von Recklinghausen) [2160210]
- damon/sysfs: fix possible memleak on damon_sysfs_add_target (Chris von Recklinghausen) [2160210]
- mm: fix BUG splat with kvmalloc + GFP_ATOMIC (Chris von Recklinghausen) [2160210]
- powerpc/64s: Allow double call of kernel_[un]map_linear_page() (Chris von Recklinghausen) [2160210]
- powerpc/64s: Remove unneeded #ifdef CONFIG_DEBUG_PAGEALLOC in hash_utils (Chris von Recklinghausen) [2160210]
- powerpc/64s: Add DEBUG_PAGEALLOC for radix (Chris von Recklinghausen) [2160210]
- x86/uaccess: avoid check_object_size() in copy_from_user_nmi() (Chris von Recklinghausen) [2160210]
- mm/page_isolation: fix isolate_single_pageblock() isolation behavior (Chris von Recklinghausen) [2160210]
- mm,hwpoison: check mm when killing accessing process (Chris von Recklinghausen) [2160210]
- mm: bring back update_mmu_cache() to finish_fault() (Chris von Recklinghausen) [2160210]
- um: Prevent KASAN splats in dump_stack() (Chris von Recklinghausen) [2160210]
- kasan: call kasan_malloc() from __kmalloc_*track_caller() (Chris von Recklinghausen) [2160210]
- mm/vmalloc: extend find_vmap_lowest_match_check with extra arguments (Chris von Recklinghausen) [2160210]
- mm, hwpoison: fix extra put_page() in soft_offline_page() (Chris von Recklinghausen) [2160210]
- memory tiering: hot page selection with hint page fault latency (Chris von Recklinghausen) [2160210]
- vmscan: check folio_test_private(), not folio_get_private() (Chris von Recklinghausen) [2160210]
- mm: fix VM_BUG_ON in __delete_from_swap_cache() (Chris von Recklinghausen) [2160210]
- mm/damon/dbgfs: fix memory leak when using debugfs_lookup() (Chris von Recklinghausen) [2160210]
- mm/damon/dbgfs: avoid duplicate context directory creation (Chris von Recklinghausen) [2160210]
- mm/zsmalloc: do not attempt to free IS_ERR handle (Chris von Recklinghausen) [2160210]
- mm: re-allow pinning of zero pfns (again) (Chris von Recklinghausen) [2160210]
- shmem: update folio if shmem_replace_page() updates the page (Chris von Recklinghausen) [2160210]
- mm/shmem: shmem_replace_page() remember NR_SHMEM (Chris von Recklinghausen) [2160210]
- mm/shmem: tmpfs fallocate use file_modified() (Chris von Recklinghausen) [2160210]
- mm/shmem: fix chattr fsflags support in tmpfs (Chris von Recklinghausen) [2160210]
- mm/uffd: reset write protection when unregister with wp-mode (Chris von Recklinghausen) [2160210]
- mm: add DEVICE_ZONE to FOR_ALL_ZONES (Chris von Recklinghausen) [2160210]
- Revert "zram: remove double compression logic" (Chris von Recklinghausen) [2160210]
- mm, hwpoison: enable memory error handling on 1GB hugepage (Chris von Recklinghausen) [2160210]
- mm, hwpoison: skip raw hwpoison page in freeing 1GB hugepage (Chris von Recklinghausen) [2160210]
- mm, hwpoison: make __page_handle_poison returns int (Chris von Recklinghausen) [2160210]
- mm, hwpoison: make unpoison aware of raw error info in hwpoisoned hugepage (Chris von Recklinghausen) [2160210]
- mm, hwpoison, hugetlb: support saving mechanism of raw error pages (Chris von Recklinghausen) [2160210]
- mm/hugetlb: make pud_huge() and follow_huge_pud() aware of non-present pud entry (Chris von Recklinghausen) [2160210]
- mm/hugetlb: check gigantic_page_runtime_supported() in return_unused_surplus_pages() (Chris von Recklinghausen) [2160210]
- mm: hugetlb_vmemmap: use PTRS_PER_PTE instead of PMD_SIZE / PAGE_SIZE (Chris von Recklinghausen) [2160210]
- mm: hugetlb_vmemmap: improve hugetlb_vmemmap code readability (Chris von Recklinghausen) [2160210]
- mm: hugetlb_vmemmap: replace early_param() with core_param() (Chris von Recklinghausen) [2160210]
- mm: hugetlb_vmemmap: move vmemmap code related to HugeTLB to hugetlb_vmemmap.c (Chris von Recklinghausen) [2160210]
- mm: hugetlb_vmemmap: introduce the name HVO (Chris von Recklinghausen) [2160210]
- mm: hugetlb_vmemmap: optimize vmemmap_optimize_mode handling (Chris von Recklinghausen) [2160210]
- mm: hugetlb_vmemmap: delete hugetlb_optimize_vmemmap_enabled() (Chris von Recklinghausen) [2160210]
- tools/testing/selftests/vm/hmm-tests.c: fix build (Chris von Recklinghausen) [2160210]
- ext4: fix reading leftover inlined symlinks (Chris von Recklinghausen) [2160210]
- mm/folio-compat: Remove migration compatibility functions (Chris von Recklinghausen) [2160210]
- fs: Remove aops->migratepage() (Chris von Recklinghausen) [2160210]
- secretmem: Convert to migrate_folio (Chris von Recklinghausen) [2160210]
- hugetlb: Convert to migrate_folio (Chris von Recklinghausen) [2160210]
- aio: Convert to migrate_folio (Chris von Recklinghausen) [2160210]
- mm/migrate: Add filemap_migrate_folio() (Chris von Recklinghausen) [2160210]
- mm/migrate: Convert migrate_page() to migrate_folio() (Chris von Recklinghausen) [2160210]
- nfs: Convert to migrate_folio (Chris von Recklinghausen) [2160210]
- mm/migrate: Convert expected_page_refs() to folio_expected_refs() (Chris von Recklinghausen) [2160210]
- mm/migrate: Convert buffer_migrate_page() to buffer_migrate_folio() (Chris von Recklinghausen) [2160210]
- mm/migrate: Convert writeout() to take a folio (Chris von Recklinghausen) [2160210]
- mm/migrate: Convert fallback_migrate_page() to fallback_migrate_folio() (Chris von Recklinghausen) [2160210]
- fs: Add aops->migrate_folio (Chris von Recklinghausen) [2160210]
- mm: Convert all PageMovable users to movable_operations (Chris von Recklinghausen) [2160210]
- secretmem: Remove isolate_page (Chris von Recklinghausen) [2160210]
- mm: Kconfig: fix typo (Chris von Recklinghausen) [2160210]
- mm: memory-failure: convert to pr_fmt() (Chris von Recklinghausen) [2160210]
- mm: use is_zone_movable_page() helper (Chris von Recklinghausen) [2160210]
- mm/hmm: add a test for cross device private faults (Chris von Recklinghausen) [2160210]
- mm/gup.c: fix formatting in check_and_migrate_movable_page() (Chris von Recklinghausen) [2160210]
- mm/cma_debug.c: align the name buffer length as struct cma (Chris von Recklinghausen) [2160210]
- mm/mempolicy: remove unneeded out label (Chris von Recklinghausen) [2160210]
- mm/page_alloc: correct the wrong cpuset file path in comment (Chris von Recklinghausen) [2160210]
- mm: remove unneeded PageAnon check in restore_exclusive_pte() (Chris von Recklinghausen) [2160210]
- mm/shmem: support FS_IOC_[SG]ETFLAGS in tmpfs (Chris von Recklinghausen) [2160210]
- mm: vmpressure: don't count proactive reclaim in vmpressure (Chris von Recklinghausen) [2160210]
- zsmalloc: zs_malloc: return ERR_PTR on failure (Chris von Recklinghausen) [2160210]
- memblock,arm64: expand the static memblock memory table (Chris von Recklinghausen) [2160210]
- mm: remove obsolete comment in do_fault_around() (Chris von Recklinghausen) [2160210]
- mm: compaction: include compound page count for scanning in pageblock isolation (Chris von Recklinghausen) [2160210]
- mm: memcontrol: do not miss MEMCG_MAX events for enforced allocations (Chris von Recklinghausen) [2160210]
- filemap: minor cleanup for filemap_write_and_wait_range (Chris von Recklinghausen) [2160210]
- mm: shrinkers: fix double kfree on shrinker name (Chris von Recklinghausen) [2160210]
- memblock tests: fix compilation errors (Chris von Recklinghausen) [2160210]
- highmem: Make __kunmap_{local,atomic}() take const void pointer (Chris von Recklinghausen) [2160210]
- mm: honor FGP_NOWAIT for page cache page allocation (Chris von Recklinghausen) [2160210]
- mm: Add balance_dirty_pages_ratelimited_flags() function (Chris von Recklinghausen) [2160210]
- mm: Move updates of dirty_exceeded into one place (Chris von Recklinghausen) [2160210]
- mm: Move starting of background writeback into the main balancing loop (Chris von Recklinghausen) [2160210]
- mm/slab_common: move generic bulk alloc/free functions to SLOB (Chris von Recklinghausen) [2160210]
- mm/sl[au]b: use own bulk free function when bulk alloc failed (Chris von Recklinghausen) [2160210]
- arm64: enable THP_SWAP for arm64 (Chris von Recklinghausen) [2160210]
- hugetlb: fix memoryleak in hugetlb_mcopy_atomic_pte (Chris von Recklinghausen) [2160210]
- secretmem: fix unhandled fault in truncate (Chris von Recklinghausen) [2160210]
- tmpfs: fix the issue that the mount and remount results are inconsistent. (Chris von Recklinghausen) [2160210]
- mm/mmap: fix obsolete comment of find_extend_vma (Chris von Recklinghausen) [2160210]
- mm/page_vma_mapped.c: use helper function huge_pte_lock (Chris von Recklinghausen) [2160210]
- mm/page_alloc: use try_cmpxchg in set_pfnblock_flags_mask (Chris von Recklinghausen) [2160210]
- mm, hugetlb: skip irrelevant nodes in show_free_areas() (Chris von Recklinghausen) [2160210]
- mm/mprotect: remove the redundant initialization for error (Chris von Recklinghausen) [2160210]
- mm/huge_memory: use helper macro IS_ERR_OR_NULL in split_huge_pages_pid (Chris von Recklinghausen) [2160210]
- mm/huge_memory: comment the subtly logic in __split_huge_pmd (Chris von Recklinghausen) [2160210]
- mm/huge_memory: correct comment of prep_transhuge_page (Chris von Recklinghausen) [2160210]
- mm/huge_memory: minor cleanup for split_huge_pages_all (Chris von Recklinghausen) [2160210]
- mm/huge_memory: try to free subpage in swapcache when possible (Chris von Recklinghausen) [2160210]
- mm/huge_memory: fix comment in zap_huge_pud (Chris von Recklinghausen) [2160210]
- mm/huge_memory: use helper macro __ATTR_RW (Chris von Recklinghausen) [2160210]
- mm/huge_memory: use helper function vma_lookup in split_huge_pages_pid (Chris von Recklinghausen) [2160210]
- mm/huge_memory: rename mmun_start to haddr in remove_migration_pmd (Chris von Recklinghausen) [2160210]
- mm/huge_memory: use helper touch_pmd in huge_pmd_set_accessed (Chris von Recklinghausen) [2160210]
- mm/huge_memory: use helper touch_pud in huge_pud_set_accessed (Chris von Recklinghausen) [2160210]
- mm/huge_memory: fix comment of __pud_trans_huge_lock (Chris von Recklinghausen) [2160210]
- mm/huge_memory: access vm_page_prot with READ_ONCE in remove_migration_pmd (Chris von Recklinghausen) [2160210]
- mm/huge_memory: use flush_pmd_tlb_range in move_huge_pmd (Chris von Recklinghausen) [2160210]
- mm/mmap: build protect protection_map[] with ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2160210]
- x86/mm: move protection_map[] inside the platform (Chris von Recklinghausen) [2160210]
- arm64/mm: move protection_map[] inside the platform (Chris von Recklinghausen) [2160210]
- powerpc/mm: move protection_map[] inside the platform (Chris von Recklinghausen) [2160210]
- mm/mmap: define DECLARE_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2160210]
- mm/mmap: build protect protection_map[] with __P000 (Chris von Recklinghausen) [2160210]
- mm: nommu: pass a pointer to virt_to_page() (Chris von Recklinghausen) [2160210]
- mm: gup: pass a pointer to virt_to_page() (Chris von Recklinghausen) [2160210]
- mm: kfence: pass a pointer to virt_to_page() (Chris von Recklinghausen) [2160210]
- mm/highmem: pass a pointer to virt_to_page() (Chris von Recklinghausen) [2160210]
- mm/memcontrol.c: replace cgroup_memory_nokmem with mem_cgroup_kmem_disabled() (Chris von Recklinghausen) [2160210]
- mm/page_alloc: replace local_lock with normal spinlock (Chris von Recklinghausen) [2160210]
- mm/page_alloc: remotely drain per-cpu lists (Chris von Recklinghausen) [2160210]
- mm/page_alloc: protect PCP lists with a spinlock (Chris von Recklinghausen) [2160210]
- mm/page_alloc: remove mistaken page == NULL check in rmqueue (Chris von Recklinghausen) [2160210]
- mm/page_alloc: split out buddy removal code from rmqueue into separate helper (Chris von Recklinghausen) [2160210]
- mm/page_alloc: use only one PCP list for THP-sized allocations (Chris von Recklinghausen) [2160210]
- mm/page_alloc: add page->buddy_list and page->pcp_list (Chris von Recklinghausen) [2160210]
- hugetlb: lazy page table copies in fork() (Chris von Recklinghausen) [2160210]
- hugetlb: do not update address in huge_pmd_unshare (Chris von Recklinghausen) [2160210]
- hugetlb: skip to end of PT page mapping when pte not present (Chris von Recklinghausen) [2160210]
- kasan: separate double free case from invalid free (Chris von Recklinghausen) [2160210]
- mm: khugepaged: reorg some khugepaged helpers (Chris von Recklinghausen) [2160210]
- mm: thp: kill __transhuge_page_enabled() (Chris von Recklinghausen) [2160210]
- mm: thp: kill transparent_hugepage_active() (Chris von Recklinghausen) [2160210]
- mm: khugepaged: better comments for anon vma check in hugepage_vma_revalidate (Chris von Recklinghausen) [2160210]
- mm: thp: consolidate vma size check to transhuge_vma_suitable (Chris von Recklinghausen) [2160210]
- mm: khugepaged: check THP flag in hugepage_vma_check() (Chris von Recklinghausen) [2160210]
- mm: factor helpers for memory_failure_dev_pagemap (Chris von Recklinghausen) [2160210]
- tools: add selftests to hmm for COW in device memory (Chris von Recklinghausen) [2160210]
- tools: add hmm gup tests for device coherent type (Chris von Recklinghausen) [2160210]
- tools: update hmm-test to support device coherent type (Chris von Recklinghausen) [2160210]
- lib: add support for device coherent type in test_hmm (Chris von Recklinghausen) [2160210]
- lib: test_hmm add module param for zone device type (Chris von Recklinghausen) [2160210]
- lib: test_hmm add ioctl to get zone device type (Chris von Recklinghausen) [2160210]
- mm/gup: migrate device coherent pages when pinning instead of failing (Chris von Recklinghausen) [2160210]
- mm: add device coherent vma selection for memory migration (Chris von Recklinghausen) [2160210]
- mm: add zone device coherent type memory support (Chris von Recklinghausen) [2160210]
- mm: move page zone helpers from mm.h to mmzone.h (Chris von Recklinghausen) [2160210]
- mm: rename is_pinnable_page() to is_longterm_pinnable_page() (Chris von Recklinghausen) [2160210]
- mm/damon/lru_sort: fix potential memory leak in damon_lru_sort_init() (Chris von Recklinghausen) [2160210]
- UML: add support for KASAN under x86_64 (Chris von Recklinghausen) [2160210]
- mm: Add PAGE_ALIGN_DOWN macro (Chris von Recklinghausen) [2160210]
- docs: zh_CN/vm/zsmalloc.rst: fix a typo (Chris von Recklinghausen) [2160210]
- docs: zh_CN/vm: fix a typo for page reporting ReST file (Chris von Recklinghausen) [2160210]
- docs: zh_CN: page_frags.rst: fix a broken reference (Chris von Recklinghausen) [2160210]
- arm64: kasan: Revert "arm64: mte: reset the page tag in page->flags" (Chris von Recklinghausen) [2160210]
- mm: kasan: Skip page unpoisoning only if __GFP_SKIP_KASAN_UNPOISON (Chris von Recklinghausen) [2160210]
- mm: kasan: Skip unpoisoning of user pages (Chris von Recklinghausen) [2160210]
- mm: kasan: Ensure the tags are visible before the tag in page->flags (Chris von Recklinghausen) [2160210]
- first_iovec_segment(): just return address (Chris von Recklinghausen) [2160210]
- iov_iter: massage calling conventions for first_{iovec,bvec}_segment() (Chris von Recklinghausen) [2160210]
- iov_iter: first_{iovec,bvec}_segment() - simplify a bit (Chris von Recklinghausen) [2160210]
- iov_iter: lift dealing with maxpages out of first_{iovec,bvec}_segment() (Chris von Recklinghausen) [2160210]
- mm: slab: optimize memcg_slab_free_hook() (Chris von Recklinghausen) [2160210]
- mm/tracing: add 'accounted' entry into output of allocation tracepoints (Chris von Recklinghausen) [2160210]
- mm/slub: Simplify __kmem_cache_alias() (Chris von Recklinghausen) [2160210]
- mm, slab: fix bad alignments (Chris von Recklinghausen) [2160210]
- mm/khugepaged: try to free transhuge swapcache when possible (Chris von Recklinghausen) [2160210]
- mm/khugepaged: remove unneeded return value of khugepaged_add_pte_mapped_thp() (Chris von Recklinghausen) [2160210]
- mm/khugepaged: use helper macro __ATTR_RW (Chris von Recklinghausen) [2160210]
- mm/khugepaged: minor cleanup for collapse_file (Chris von Recklinghausen) [2160210]
- mm/khugepaged: trivial typo and codestyle cleanup (Chris von Recklinghausen) [2160210]
- mm/khugepaged: stop swapping in page when VM_FAULT_RETRY occurs (Chris von Recklinghausen) [2160210]
- mm/khugepaged: remove unneeded shmem_huge_enabled() check (Chris von Recklinghausen) [2160210]
- mm: sparsemem: drop unexpected word 'a' in comments (Chris von Recklinghausen) [2160210]
- mm: hugetlb: kill set_huge_swap_pte_at() (Chris von Recklinghausen) [2160210]
- mm/page_alloc: make the annotations of available memory more accurate (Chris von Recklinghausen) [2160210]
- mm, docs: fix comments that mention mem_hotplug_end() (Chris von Recklinghausen) [2160210]
- mm: rmap: simplify the hugetlb handling when unmapping or migration (Chris von Recklinghausen) [2160210]
- mm/madvise: minor cleanup for swapin_walk_pmd_entry() (Chris von Recklinghausen) [2160210]
- mm: hugetlb: remove minimum_order variable (Chris von Recklinghausen) [2160210]
- mm: memory_hotplug: make hugetlb_optimize_vmemmap compatible with memmap_on_memory (Chris von Recklinghausen) [2160210]
- mm: memory_hotplug: enumerate all supported section flags (Chris von Recklinghausen) [2160210]
- mm/swap: convert __delete_from_swap_cache() to a folio (Chris von Recklinghausen) [2160210]
- mm/swap: convert delete_from_swap_cache() to take a folio (Chris von Recklinghausen) [2160210]
- mm: convert page_swap_flags to folio_swap_flags (Chris von Recklinghausen) [2160210]
- mm: convert destroy_compound_page() to destroy_large_folio() (Chris von Recklinghausen) [2160210]
- mm/swap: convert __page_cache_release() to use a folio (Chris von Recklinghausen) [2160210]
- mm/swap: convert __put_compound_page() to __folio_put_large() (Chris von Recklinghausen) [2160210]
- mm/swap: convert __put_single_page() to __folio_put_small() (Chris von Recklinghausen) [2160210]
- mm/swap: convert __put_page() to __folio_put() (Chris von Recklinghausen) [2160210]
- mm/swap: convert put_pages_list to use folios (Chris von Recklinghausen) [2160210]
- mm/swap: convert release_pages to use a folio internally (Chris von Recklinghausen) [2160210]
- mm/swap: convert try_to_free_swap to use a folio (Chris von Recklinghausen) [2160210]
- mm/swap: optimise lru_add_drain_cpu() (Chris von Recklinghausen) [2160210]
- mm/swap: pull the CPU conditional out of __lru_add_drain_all() (Chris von Recklinghausen) [2160210]
- mm/swap: rename lru_pvecs to cpu_fbatches (Chris von Recklinghausen) [2160210]
- mm/swap: convert activate_page to a folio_batch (Chris von Recklinghausen) [2160210]
- mm/swap: convert lru_lazyfree to a folio_batch (Chris von Recklinghausen) [2160210]
- mm/swap: convert lru_deactivate to a folio_batch (Chris von Recklinghausen) [2160210]
- mm/swap: convert lru_deactivate_file to a folio_batch (Chris von Recklinghausen) [2160210]
- mm/swap: convert lru_add to a folio_batch (Chris von Recklinghausen) [2160210]
- mm/swap: make __pagevec_lru_add static (Chris von Recklinghausen) [2160210]
- mm/swap: add folio_batch_move_lru() (Chris von Recklinghausen) [2160210]
- mm: add folios_put() (Chris von Recklinghausen) [2160210]
- mm/vmscan: convert reclaim_pages() to use a folio (Chris von Recklinghausen) [2160210]
- mm/vmscan: convert shrink_active_list() to use a folio (Chris von Recklinghausen) [2160210]
- mm/vmscan: convert move_pages_to_lru() to use a folio (Chris von Recklinghausen) [2160210]
- mm/vmscan: convert isolate_lru_pages() to use a folio (Chris von Recklinghausen) [2160210]
- mm/vmscan: convert reclaim_clean_pages_from_list() to folios (Chris von Recklinghausen) [2160210]
- mm/damon: introduce DAMON-based LRU-lists Sorting (Chris von Recklinghausen) [2160210]
- mm/damon/schemes: add 'LRU_DEPRIO' action (Chris von Recklinghausen) [2160210]
- mm/damon/schemes: add 'LRU_PRIO' DAMOS action (Chris von Recklinghausen) [2160210]
- mm/damon/paddr: use a separate function for 'DAMOS_PAGEOUT' handling (Chris von Recklinghausen) [2160210]
- mm/damon/dbgfs: add and use mappings between 'schemes' action inputs and 'damos_action' values (Chris von Recklinghausen) [2160210]
- mm/swap: remove swap_cache_info statistics (Chris von Recklinghausen) [2160210]
- mm/swapfile: fix possible data races of inuse_pages (Chris von Recklinghausen) [2160210]
- mm/vmalloc: extend __find_vmap_area() with one more argument (Chris von Recklinghausen) [2160210]
- mm/vmalloc: initialize VA's list node after unlink (Chris von Recklinghausen) [2160210]
- mm/vmalloc: extend __alloc_vmap_area() with extra arguments (Chris von Recklinghausen) [2160210]
- mm/vmalloc: make link_va()/unlink_va() common to different rb_root (Chris von Recklinghausen) [2160210]
- mm: shrinkers: add scan interface for shrinker debugfs (Chris von Recklinghausen) [2160210]
- mm: docs: document shrinker debugfs (Chris von Recklinghausen) [2160210]
- mm: shrinkers: provide shrinkers with names (Chris von Recklinghausen) [2160210]
- mm: shrinkers: introduce debugfs interface for memory shrinkers (Chris von Recklinghausen) [2160210]
- mm/hugetlb: remove unnecessary huge_ptep_set_access_flags() in hugetlb_mcopy_atomic_pte() (Chris von Recklinghausen) [2160210]
- mm: introduce clear_highpage_kasan_tagged (Chris von Recklinghausen) [2160210]
- mm: rename kernel_init_free_pages to kernel_init_pages (Chris von Recklinghausen) [2160210]
- mm/damon/reclaim: add 'damon_reclaim_' prefix to 'enabled_store()' (Chris von Recklinghausen) [2160210]
- mm/damon/reclaim: make 'enabled' checking timer simpler (Chris von Recklinghausen) [2160210]
- mm/damon/sysfs: deduplicate inputs applying (Chris von Recklinghausen) [2160210]
- mm/damon/reclaim: deduplicate 'commit_inputs' handling (Chris von Recklinghausen) [2160210]
- mm/damon/{dbgfs,sysfs}: move target_has_pid() from dbgfs to damon.h (Chris von Recklinghausen) [2160210]
- mm/page_vma_mapped.c: check possible huge PMD map with transhuge_vma_suitable() (Chris von Recklinghausen) [2160210]
- Documentation: highmem: use literal block for code example in highmem.h comment (Chris von Recklinghausen) [2160210]
- usercopy: use unsigned long instead of uintptr_t (Chris von Recklinghausen) [2160210]
- memblock: avoid some repeat when add new range (Chris von Recklinghausen) [2160210]
- filemap: Use filemap_read_folio() in do_read_cache_folio() (Chris von Recklinghausen) [2160210]
- filemap: Handle AOP_TRUNCATED_PAGE in do_read_cache_folio() (Chris von Recklinghausen) [2160210]
- filemap: Move 'filler' case to the end of do_read_cache_folio() (Chris von Recklinghausen) [2160210]
- filemap: Remove find_get_pages_range() and associated functions (Chris von Recklinghausen) [2160210]
- shmem: Convert shmem_unlock_mapping() to use filemap_get_folios() (Chris von Recklinghausen) [2160210]
- vmscan: Add check_move_unevictable_folios() (Chris von Recklinghausen) [2160210]
- hugetlbfs: Convert remove_inode_hugepages() to use filemap_get_folios() (Chris von Recklinghausen) [2160210]
- ext4: Convert mpage_map_and_submit_buffers() to use filemap_get_folios() (Chris von Recklinghausen) [2160210]
- ext4: Convert mpage_release_unused_pages() to use filemap_get_folios() (Chris von Recklinghausen) [2160210]
- buffer: Convert clean_bdev_aliases() to use filemap_get_folios() (Chris von Recklinghausen) [2160210]
- filemap: Add filemap_get_folios() (Chris von Recklinghausen) [2160210]
- filemap: Remove add_to_page_cache() and add_to_page_cache_locked() (Chris von Recklinghausen) [2160210]
- hugetlb: Convert huge_add_to_page_cache() to use a folio (Chris von Recklinghausen) [2160210]
- mm: Remove __delete_from_page_cache() (Chris von Recklinghausen) [2160210]
- arch/*/: remove CONFIG_VIRT_TO_BUS (Chris von Recklinghausen) [2160210]
- docs: rename Documentation/vm to Documentation/mm (Chris von Recklinghausen) [2160210]
- mm: ioremap: Add ioremap/iounmap_allowed() (Chris von Recklinghausen) [2160210]
- mm: ioremap: Setup phys_addr of struct vm_struct (Chris von Recklinghausen) [2160210]
- mm: ioremap: Use more sensible name in ioremap_prot() (Chris von Recklinghausen) [2160210]
- filemap: Handle sibling entries in filemap_get_read_batch() (Chris von Recklinghausen) [2160210]
- filemap: Correct the conditions for marking a folio as accessed (Chris von Recklinghausen) [2160210]
- powerpc/mm: Move CMA reservations after initmem_init() (Chris von Recklinghausen) [2160210]
- mm/sparse-vmemmap.c: remove unwanted initialization in vmemmap_populate_compound_pages() (Chris von Recklinghausen) [2160210]
- mm: kmem: make mem_cgroup_from_obj() vmalloc()-safe (Chris von Recklinghausen) [2160210]
- lib/test_hmm: avoid accessing uninitialized pages (Chris von Recklinghausen) [2160210]
- mm/memremap: fix wrong function name above memremap_pages() (Chris von Recklinghausen) [2160210]
- mm/mempool: use might_alloc() (Chris von Recklinghausen) [2160210]
- mm/slab: delete cache_alloc_debugcheck_before() (Chris von Recklinghausen) [2160210]
- mm/page_alloc: use might_alloc() (Chris von Recklinghausen) [2160210]
- mm/vmalloc: add code comment for find_vmap_area_exceed_addr() (Chris von Recklinghausen) [2160210]
- mm/vmalloc: fix typo in local variable name (Chris von Recklinghausen) [2160210]
- mm/vmalloc: remove the redundant boundary check (Chris von Recklinghausen) [2160210]
- mm/vmalloc: invoke classify_va_fit_type() in adjust_va_to_fit_type() (Chris von Recklinghausen) [2160210]
- mm/memory_hotplug: drop 'reason' argument from check_pfn_span() (Chris von Recklinghausen) [2160210]
- mm/shmem.c: clean up comment of shmem_swapin_folio (Chris von Recklinghausen) [2160210]
- mm: use PAGE_ALIGNED instead of IS_ALIGNED (Chris von Recklinghausen) [2160210]
- mm: memcontrol: reference to tools/cgroup/memcg_slabinfo.py (Chris von Recklinghausen) [2160210]
- mm: re-allow pinning of zero pfns (Chris von Recklinghausen) [2160210]
- mm/kfence: select random number before taking raw lock (Chris von Recklinghausen) [2160210]
- mm/damon/reclaim: schedule 'damon_reclaim_timer' only after 'system_wq' is initialized (Chris von Recklinghausen) [2160210]
- mm/page_isolation.c: fix one kernel-doc comment (Chris von Recklinghausen) [2160210]
- NFSv4: Add FMODE_CAN_ODIRECT after successful open of a NFS4.x file (Chris von Recklinghausen) [2160210]
- memblock: Disable mirror feature if kernelcore is not specified (Chris von Recklinghausen) [2160210]
- mm: Limit warning message in vmemmap_verify() to once (Chris von Recklinghausen) [2160210]
- mm: Ratelimited mirrored memory related warning messages (Chris von Recklinghausen) [2160210]
- mm: create security context for memfd_secret inodes (Chris von Recklinghausen) [2160210]
- usercopy: Make usercopy resilient against ridiculously large copies (Chris von Recklinghausen) [2160210]
- usercopy: Cast pointer to an integer once (Chris von Recklinghausen) [2160210]
- usercopy: Handle vm_map_ram() areas (Chris von Recklinghausen) [2160210]
- filemap: Don't release a locked folio (Chris von Recklinghausen) [2160210]
- mm/vmstat: replace cpumask_weight with cpumask_empty where appropriate (Chris von Recklinghausen) [2160210]
- mm/oom_kill.c: fix vm_oom_kill_table[] ifdeffery (Chris von Recklinghausen) [2160210]
- mm: page_isolation: use compound_nr() correctly in isolate_single_pageblock() (Chris von Recklinghausen) [2160210]
- delayacct: track delays from write-protect copy (Chris von Recklinghausen) [2160210]
- mm/shmem.c: suppress shift warning (Chris von Recklinghausen) [2160210]
- mm: fix is_pinnable_page against a cma page (Chris von Recklinghausen) [2160210]
- mm: filter out swapin error entry in shmem mapping (Chris von Recklinghausen) [2160210]
- mm/shmem: fix infinite loop when swap in shmem error at swapoff time (Chris von Recklinghausen) [2160210]
- mm/madvise: free hwpoison and swapin error entry in madvise_free_pte_range (Chris von Recklinghausen) [2160210]
- mm/swapfile: fix lost swap bits in unuse_pte() (Chris von Recklinghausen) [2160210]
- mm/swapfile: unuse_pte can map random data if swap read fails (Chris von Recklinghausen) [2160210]
- mm: split free page with properly free memory accounting and without race (Chris von Recklinghausen) [2160210]
- mm: page-isolation: skip isolated pageblock in start_isolate_page_range() (Chris von Recklinghausen) [2160210]
- mm: kfence: use PAGE_ALIGNED helper (Chris von Recklinghausen) [2160210]
- ksm: fix typo in comment (Chris von Recklinghausen) [2160210]
- Revert "mm/vmscan: never demote for memcg reclaim" (Chris von Recklinghausen) [2160210]
- mm/kfence: print disabling or re-enabling message (Chris von Recklinghausen) [2160210]
- include/trace/events/percpu.h: cleanup for "percpu: improve percpu_alloc_percpu event trace" (Chris von Recklinghausen) [2160210]
- include/trace/events/mmflags.h: cleanup for "tracing: incorrect gfp_t conversion" (Chris von Recklinghausen) [2160210]
- mm: fix a potential infinite loop in start_isolate_page_range() (Chris von Recklinghausen) [2160210]
- MAINTAINERS: add Muchun as co-maintainer for HugeTLB (Chris von Recklinghausen) [2160210]
- mm/shmem: fix shmem folio swapoff hang (Chris von Recklinghausen) [2160210]
- powerpc/kasan: Disable address sanitization in kexec paths (Chris von Recklinghausen) [2160210]
- kasan: Document support on 32-bit powerpc (Chris von Recklinghausen) [2160210]
- mm: damon: use HPAGE_PMD_SIZE (Chris von Recklinghausen) [2160210]
- mm: fix missing handler for __GFP_NOWARN (Chris von Recklinghausen) [2160210]
- mm/page_alloc: fix tracepoint mm_page_alloc_zone_locked() (Chris von Recklinghausen) [2160210]
- mm/page_owner.c: add missing __initdata attribute (Chris von Recklinghausen) [2160210]
- tmpfs: fix undefined-behaviour in shmem_reconfigure() (Chris von Recklinghausen) [2160210]
- mm/mempolicy: fix uninit-value in mpol_rebind_policy() (Chris von Recklinghausen) [2160210]
- mm: don't be stuck to rmap lock on reclaim path (Chris von Recklinghausen) [2160210]
- mm/swap: fix comment about swap extent (Chris von Recklinghausen) [2160210]
- mm/swap: fix the comment of get_kernel_pages (Chris von Recklinghausen) [2160210]
- mm/swap: add helper swap_offset_available() (Chris von Recklinghausen) [2160210]
- mm/swap: avoid calling swp_swap_info when try to check SWP_STABLE_WRITES (Chris von Recklinghausen) [2160210]
- mm/swap: make page_swapcount and __lru_add_drain_all static (Chris von Recklinghausen) [2160210]
- mm/swap: remove unneeded p != NULL check in __swap_duplicate (Chris von Recklinghausen) [2160210]
- mm/swap: remove buggy cache->nr check in refill_swap_slots_cache (Chris von Recklinghausen) [2160210]
- mm/swap: print bad swap offset entry in get_swap_device (Chris von Recklinghausen) [2160210]
- mm/swap: remove unneeded return value of free_swap_slot (Chris von Recklinghausen) [2160210]
- mm/swap: fold __swap_info_get() into its sole caller (Chris von Recklinghausen) [2160210]
- mm/swap: use helper macro __ATTR_RW (Chris von Recklinghausen) [2160210]
- mm/swap: use helper is_swap_pte() in swap_vma_readahead (Chris von Recklinghausen) [2160210]
- mm: mmap: register suitable readonly file vmas for khugepaged (Chris von Recklinghausen) [2160210]
- mm: khugepaged: introduce khugepaged_enter_vma() helper (Chris von Recklinghausen) [2160210]
- mm: khugepaged: make hugepage_vma_check() non-static (Chris von Recklinghausen) [2160210]
- mm: khugepaged: make khugepaged_enter() void function (Chris von Recklinghausen) [2160210]
- mm: thp: only regular file could be THP eligible (Chris von Recklinghausen) [2160210]
- mm: khugepaged: skip DAX vma (Chris von Recklinghausen) [2160210]
- mm: khugepaged: remove redundant check for VM_NO_KHUGEPAGED (Chris von Recklinghausen) [2160210]
- ext4: convert symlink external data block mapping to bdev (Chris von Recklinghausen) [2160210]
- ext4: add nowait mode for ext4_getblk() (Chris von Recklinghausen) [2160210]
- mm: usercopy: move the virt_addr_valid() below the is_vmalloc_addr() (Chris von Recklinghausen) [2160210]
- mm/memcontrol: export memcg->watermark via sysfs for v2 memcg (Chris von Recklinghausen) [2160210]
- mm: rmap: fix CONT-PTE/PMD size hugetlb issue when unmapping (Chris von Recklinghausen) [2160210]
- mm: rmap: fix CONT-PTE/PMD size hugetlb issue when migration (Chris von Recklinghausen) [2160210]
- Documentation/vm: rework "Temporary Virtual Mappings" section (Chris von Recklinghausen) [2160210]
- Documentation/vm: move "Using kmap-atomic" to highmem.h (Chris von Recklinghausen) [2160210]
- Documentation/vm: include kdocs from highmem*.h into highmem.rst (Chris von Recklinghausen) [2160210]
- mm/memory-failure.c: simplify num_poisoned_pages_inc/dec (Chris von Recklinghausen) [2160210]
- mm/hwpoison: disable hwpoison filter during removing (Chris von Recklinghausen) [2160210]
- mm/memory-failure.c: add hwpoison_filter for soft offline (Chris von Recklinghausen) [2160210]
- mm/memory-failure.c: simplify num_poisoned_pages_dec (Chris von Recklinghausen) [2160210]
- mm/memory-failure.c: move clear_hwpoisoned_pages (Chris von Recklinghausen) [2160210]
- kasan: give better names to shadow values (Chris von Recklinghausen) [2160210]
- kasan: use tabs to align shadow values (Chris von Recklinghausen) [2160210]
- kasan: clean up comments in internal kasan.h (Chris von Recklinghausen) [2160210]
- tracing: incorrect gfp_t conversion (Chris von Recklinghausen) [2160210]
- zram: remove double compression logic (Chris von Recklinghausen) [2160210]
- percpu: improve percpu_alloc_percpu event trace (Chris von Recklinghausen) [2160210]
- docs: vm/page_owner: tweak literal block in STANDARD FORMAT SPECIFIERS (Chris von Recklinghausen) [2160210]
- mm/damon/reclaim: use resource_size function on resource object (Chris von Recklinghausen) [2160210]
- mm: page_table_check: move pxx_user_accessible_page into x86 (Chris von Recklinghausen) [2160210]
- mm: page_table_check: using PxD_SIZE instead of PxD_PAGE_SIZE (Chris von Recklinghausen) [2160210]
- mm/migrate: convert move_to_new_page() into move_to_new_folio() (Chris von Recklinghausen) [2160210]
- mm: add folio_test_movable() (Chris von Recklinghausen) [2160210]
- mm: add folio_mapping_flags() (Chris von Recklinghausen) [2160210]
- mm/shmem: convert shmem_swapin_page() to shmem_swapin_folio() (Chris von Recklinghausen) [2160210]
- mm/shmem: convert shmem_getpage_gfp to use a folio (Chris von Recklinghausen) [2160210]
- mm/shmem: convert shmem_alloc_and_acct_page to use a folio (Chris von Recklinghausen) [2160210]
- mm/shmem: add shmem_alloc_folio() (Chris von Recklinghausen) [2160210]
- mm/shmem: turn shmem_should_replace_page into shmem_should_replace_folio (Chris von Recklinghausen) [2160210]
- mm/shmem: convert shmem_add_to_page_cache to take a folio (Chris von Recklinghausen) [2160210]
- mm/swap: add folio_throttle_swaprate (Chris von Recklinghausen) [2160210]
- mm/shmem: use a folio in shmem_unused_huge_shrink (Chris von Recklinghausen) [2160210]
- vmscan: remove remaining uses of page in shrink_page_list (Chris von Recklinghausen) [2160210]
- mm: allow can_split_folio() to be called when THP are disabled (Chris von Recklinghausen) [2160210]
- vmscan: convert the activate_locked portion of shrink_page_list to folios (Chris von Recklinghausen) [2160210]
- vmscan: move initialisation of mapping down (Chris von Recklinghausen) [2160210]
- vmscan: convert lazy freeing to folios (Chris von Recklinghausen) [2160210]
- vmscan: convert page buffer handling to use folios (Chris von Recklinghausen) [2160210]
- vmscan: convert dirty page handling to folios (Chris von Recklinghausen) [2160210]
- swap: convert add_to_swap() to take a folio (Chris von Recklinghausen) [2160210]
- swap: turn get_swap_page() into folio_alloc_swap() (Chris von Recklinghausen) [2160210]
- vmscan: convert the writeback handling in shrink_page_list() to folios (Chris von Recklinghausen) [2160210]
- vmscan: use folio_mapped() in shrink_page_list() (Chris von Recklinghausen) [2160210]
- mm: remove alloc_pages_vma() (Chris von Recklinghausen) [2160210]
- mm/huge_memory: convert do_huge_pmd_anonymous_page() to use vma_alloc_folio() (Chris von Recklinghausen) [2160210]
- shmem: convert shmem_alloc_hugepage() to use vma_alloc_folio() (Chris von Recklinghausen) [2160210]
- mm/shmem: remove duplicate include in memory.c (Chris von Recklinghausen) [2160210]
- mm/vmscan: don't use NUMA_NO_NODE as indicator of page on different node (Chris von Recklinghausen) [2160210]
- mm/vmscan: filter empty page_list at the beginning (Chris von Recklinghausen) [2160210]
- mm/vmscan: use helper folio_is_file_lru() (Chris von Recklinghausen) [2160210]
- mm/vmscan: remove obsolete comment in kswapd_run (Chris von Recklinghausen) [2160210]
- mm/vmscan: take all base pages of THP into account when race with speculative reference (Chris von Recklinghausen) [2160210]
- mm/vmscan: introduce helper function reclaim_page_list() (Chris von Recklinghausen) [2160210]
- mm/vmscan: add a comment about MADV_FREE pages check in folio_check_dirty_writeback (Chris von Recklinghausen) [2160210]
- mm/vmscan: not necessary to re-init the list for each iteration (Chris von Recklinghausen) [2160210]
- mm: convert sysfs input to bool using kstrtobool() (Chris von Recklinghausen) [2160210]
- mm/vmscan: take min_slab_pages into account when try to call shrink_node (Chris von Recklinghausen) [2160210]
- mm: cma: use pageblock_order as the single alignment (Chris von Recklinghausen) [2160210]
- mm: page_isolation: enable arbitrary range page isolation. (Chris von Recklinghausen) [2160210]
- mm: make alloc_contig_range work at pageblock granularity (Chris von Recklinghausen) [2160210]
- mm: page_isolation: check specified range for unmovable pages (Chris von Recklinghausen) [2160210]
- mm: page_isolation: move has_unmovable_pages() to mm/page_isolation.c (Chris von Recklinghausen) [2160210]
- mm/uffd: enable write protection for shmem & hugetlbfs (Chris von Recklinghausen) [2160210]
- mm/khugepaged: don't recycle vma pgtable if uffd-wp registered (Chris von Recklinghausen) [2160210]
- mm/hugetlb: only drop uffd-wp special pte if required (Chris von Recklinghausen) [2160210]
- mm/hugetlb: allow uffd wr-protect none ptes (Chris von Recklinghausen) [2160210]
- mm/hugetlb: handle UFFDIO_WRITEPROTECT (Chris von Recklinghausen) [2160210]
- mm/hugetlb: take care of UFFDIO_COPY_MODE_WP (Chris von Recklinghausen) [2160210]
- mm/hugetlb: hook page faults for uffd write protection (Chris von Recklinghausen) [2160210]
- mm/shmem: allows file-back mem to be uffd wr-protected on thps (Chris von Recklinghausen) [2160210]
- mm/shmem: allow uffd wr-protect none pte for file-backed mem (Chris von Recklinghausen) [2160210]
- mm/shmem: persist uffd-wp bit across zapping for file-backed (Chris von Recklinghausen) [2160210]
- mm/shmem: handle uffd-wp special pte in page fault handler (Chris von Recklinghausen) [2160210]
- mm/shmem: take care of UFFDIO_COPY_MODE_WP (Chris von Recklinghausen) [2160210]
- mm: check against orig_pte for finish_fault() (Chris von Recklinghausen) [2160210]
- mm: teach core mm about pte markers (Chris von Recklinghausen) [2160210]
- mm/page_alloc: cache the result of node_dirty_ok() (Chris von Recklinghausen) [2160210]
- mm/damon/reclaim: support online inputs update (Chris von Recklinghausen) [2160210]
- mm/damon/sysfs: support online inputs update (Chris von Recklinghausen) [2160210]
- mm/damon/sysfs: update schemes stat in the kdamond context (Chris von Recklinghausen) [2160210]
- mm/damon/sysfs: use enum for 'state' input handling (Chris von Recklinghausen) [2160210]
- mm/damon/sysfs: reuse damon_set_regions() for regions setting (Chris von Recklinghausen) [2160210]
- mm/damon/sysfs: move targets setup code to a separated function (Chris von Recklinghausen) [2160210]
- mm/damon/sysfs: prohibit multiple physical address space monitoring targets (Chris von Recklinghausen) [2160210]
- mm/damon/vaddr: remove damon_va_apply_three_regions() (Chris von Recklinghausen) [2160210]
- mm/damon/vaddr: move 'damon_set_regions()' to core (Chris von Recklinghausen) [2160210]
- mm/damon/vaddr: generalize damon_va_apply_three_regions() (Chris von Recklinghausen) [2160210]
- mm/damon/core: finish kdamond as soon as any callback returns an error (Chris von Recklinghausen) [2160210]
- mm/damon/core: add a new callback for watermarks checks (Chris von Recklinghausen) [2160210]
- mm: rmap: use flush_cache_range() to flush cache for hugetlb pages (Chris von Recklinghausen) [2160210]
- mm: rmap: move the cache flushing to the correct place for hugetlb PMD sharing (Chris von Recklinghausen) [2160210]
- mm/memory_hotplug: use pgprot_val to get value of pgprot (Chris von Recklinghausen) [2160210]
- mm/damon/sysfs: support fixed virtual address ranges monitoring (Chris von Recklinghausen) [2160210]
- mm/damon/vaddr: register a damon_operations for fixed virtual address ranges monitoring (Chris von Recklinghausen) [2160210]
- mm/damon/sysfs: add a file for listing available monitoring ops (Chris von Recklinghausen) [2160210]
- mm/damon/core: add a function for damon_operations registration checks (Chris von Recklinghausen) [2160210]
- kfence: enable check kfence canary on panic via boot param (Chris von Recklinghausen) [2160210]
- mm: avoid unnecessary flush on change_huge_pmd() (Chris von Recklinghausen) [2160210]
- mm/mprotect: do not flush when not required architecturally (Chris von Recklinghausen) [2160210]
- fs: Remove aops->freepage (Chris von Recklinghausen) [2160210]
- secretmem: Convert to free_folio (Chris von Recklinghausen) [2160210]
- nfs: Convert to free_folio (Chris von Recklinghausen) [2160210]
- fs: Add free_folio address space operation (Chris von Recklinghausen) [2160210]
- fs: Change try_to_free_buffers() to take a folio (Chris von Recklinghausen) [2160210]
- jbd2: Convert release_buffer_page() to use a folio (Chris von Recklinghausen) [2160210]
- jbd2: Convert jbd2_journal_try_to_free_buffers to take a folio (Chris von Recklinghausen) [2160210]
- fs: Remove last vestiges of releasepage (Chris von Recklinghausen) [2160210]
- nfs: Convert to release_folio (Chris von Recklinghausen) [2160210]
- gfs2: Convert to release_folio (Chris von Recklinghausen) [2160210]
- ext4: Convert to release_folio (Chris von Recklinghausen) [2160210]
- cifs: Convert to release_folio (Chris von Recklinghausen) [2160210]
- ceph: Convert to release_folio (Chris von Recklinghausen) [2160210]
- afs: Convert to release_folio (Chris von Recklinghausen) [2160210]
- iomap: Convert to release_folio (Chris von Recklinghausen) [2160210]
- fs: Add aops->release_folio (Chris von Recklinghausen) [2160210]
- VFS: add FMODE_CAN_ODIRECT file flag (Chris von Recklinghausen) [2160210]
- MM: handle THP in swap_*page_fs() - count_vm_events() (Chris von Recklinghausen) [2160210]
- mm: handle THP in swap_*page_fs() (Chris von Recklinghausen) [2160210]
- mm: submit multipage write for SWP_FS_OPS swap-space (Chris von Recklinghausen) [2160210]
- mm: submit multipage reads for SWP_FS_OPS swap-space (Chris von Recklinghausen) [2160210]
- mm: perform async writes to SWP_FS_OPS swap-space using ->swap_rw (Chris von Recklinghausen) [2160210]
- mm: introduce ->swap_rw and use it for reads from SWP_FS_OPS swap-space (Chris von Recklinghausen) [2160210]
- mm: reclaim mustn't enter FS for SWP_FS_OPS swap-space (Chris von Recklinghausen) [2160210]
- mm: move responsibility for setting SWP_FS_OPS to ->swap_activate (Chris von Recklinghausen) [2160210]
- mm: drop swap_dirty_folio (Chris von Recklinghausen) [2160210]
- mm: create new mm/swap.h header file (Chris von Recklinghausen) [2160210]
- mm/gup: fix comments to pin_user_pages_*() (Chris von Recklinghausen) [2160210]
- mm/rmap: fix missing swap_free() in try_to_unmap() after arch_unmap_one() failed (Chris von Recklinghausen) [2160210]
- mm,doc: Add new documentation structure (Chris von Recklinghausen) [2160210]
- mm/filemap: Hoist filler_t decision to the top of do_read_cache_folio() (Chris von Recklinghausen) [2160210]
- fs: Change the type of filler_t (Chris von Recklinghausen) [2160210]
- nfs: Pass the file pointer to nfs_symlink_filler() (Chris von Recklinghausen) [2160210]
- mm,fs: Remove aops->readpage (Chris von Recklinghausen) [2160210]
- mm: Convert swap_readpage to call read_folio instead of readpage (Chris von Recklinghausen) [2160210]
- udf: Convert adinicb and symlinks to read_folio (Chris von Recklinghausen) [2160210]
- squashfs: Convert squashfs to read_folio (Chris von Recklinghausen) [2160210]
- nfs: Convert nfs to read_folio (Chris von Recklinghausen) [2160210]
- isofs: Convert symlinks and zisofs to read_folio (Chris von Recklinghausen) [2160210]
- fuse: Convert fuse to read_folio (Chris von Recklinghausen) [2160210]
- ext4: Convert ext4 to read_folio (Chris von Recklinghausen) [2160210]
- cifs: Convert cifs to read_folio (Chris von Recklinghausen) [2160210]
- afs: Convert afs_symlink_readpage to afs_symlink_read_folio (Chris von Recklinghausen) [2160210]
- fs: Convert simple_readpage to simple_read_folio (Chris von Recklinghausen) [2160210]
- fs: Convert mpage_readpage to mpage_read_folio (Chris von Recklinghausen) [2160210]
- fs: Convert block_read_full_page() to block_read_full_folio() (Chris von Recklinghausen) [2160210]
- fs: Convert iomap_readpage to iomap_read_folio (Chris von Recklinghausen) [2160210]
- fs: Convert netfs_readpage to netfs_read_folio (Chris von Recklinghausen) [2160210]
- fs: Add read_folio documentation (Chris von Recklinghausen) [2160210]
- fs: Introduce aops->read_folio (Chris von Recklinghausen) [2160210]
- buffer: Rewrite nobh_truncate_page() to use folios (Chris von Recklinghausen) [2160210]
- fs: Convert is_dirty_writeback() to take a folio (Chris von Recklinghausen) [2160210]
- readahead: Use a folio in read_pages() (Chris von Recklinghausen) [2160210]
- filemap: Update the folio_mark_dirty documentation (Chris von Recklinghausen) [2160210]
- fs: Remove pagecache_write_begin() and pagecache_write_end() (Chris von Recklinghausen) [2160210]
- i915: Call aops write_begin() and write_end() directly (Chris von Recklinghausen) [2160210]
- ext4: Call aops write_begin() and write_end() directly (Chris von Recklinghausen) [2160210]
- namei: Call aops write_begin() and write_end() directly (Chris von Recklinghausen) [2160210]
- buffer: Call aops write_begin() and write_end() directly (Chris von Recklinghausen) [2160210]
- fs: Remove flags parameter from aops->write_begin (Chris von Recklinghausen) [2160210]
- fs: Remove aop flags parameter from grab_cache_page_write_begin() (Chris von Recklinghausen) [2160210]
- fs: Remove aop flags parameter from cont_write_begin() (Chris von Recklinghausen) [2160210]
- fs: Remove aop flags parameter from block_write_begin() (Chris von Recklinghausen) [2160210]
- fs: Remove aop_flags parameter from netfs_write_begin() (Chris von Recklinghausen) [2160210]
- fs: Remove AOP_FLAG_NOFS (Chris von Recklinghausen) [2160210]
- f2fs: Convert f2fs_grab_cache_page() to use scoped memory APIs (Chris von Recklinghausen) [2160210]
- namei: Convert page_symlink() to use memalloc_nofs_save() (Chris von Recklinghausen) [2160210]
- kfence: test: use new suite_{init/exit} support, add .kunitconfig (Chris von Recklinghausen) [2160210]
- mm/slub: remove unused kmem_cache_order_objects max (Chris von Recklinghausen) [2160210]
- taskstats: version 12 with thread group and exe info (Chris von Recklinghausen) [2160210]
- mm/damon/reclaim: fix the timer always stays active (Chris von Recklinghausen) [2160210]
- mm/damon: remove unnecessary type castings (Chris von Recklinghausen) [2160210]
- mm/damon/core-test: add a kunit test case for ops registration (Chris von Recklinghausen) [2160210]
- damon: vaddr-test: tweak code to make the logic clearer (Chris von Recklinghausen) [2160210]
- mm/page_alloc: simplify update of pgdat in wake_all_kswapds (Chris von Recklinghausen) [2160210]
- kasan: fix sleeping function called from invalid context on RT kernel (Chris von Recklinghausen) [2160210]
- mm/khugepaged: use vma_is_anonymous (Chris von Recklinghausen) [2160210]
- mm: compaction: make sure highest is above the min_pfn (Chris von Recklinghausen) [2160210]
- mm: compaction: simplify the code in __compact_finished (Chris von Recklinghausen) [2160210]
- mm: compaction: make compaction_zonelist_suitable return false when COMPACT_SUCCESS (Chris von Recklinghausen) [2160210]
- mm: compaction: avoid possible NULL pointer dereference in kcompactd_cpu_online (Chris von Recklinghausen) [2160210]
- mm: compaction: clean up comment about async compaction in isolate_migratepages (Chris von Recklinghausen) [2160210]
- mm: compaction: use helper compound_nr in isolate_migratepages_block (Chris von Recklinghausen) [2160210]
- mm: compaction: use COMPACT_CLUSTER_MAX in compaction.c (Chris von Recklinghausen) [2160210]
- mm: compaction: clean up comment about suitable migration target recheck (Chris von Recklinghausen) [2160210]
- mm: compaction: clean up comment for sched contention (Chris von Recklinghausen) [2160210]
- mm: compaction: remove unneeded assignment to isolate_start_pfn (Chris von Recklinghausen) [2160210]
- mm: compaction: remove unneeded pfn update (Chris von Recklinghausen) [2160210]
- mm: compaction: remove unneeded return value of kcompactd_run (Chris von Recklinghausen) [2160210]
- ksm: count ksm merging pages for each process (Chris von Recklinghausen) [2160210]
- mm/page_alloc: reuse tail struct pages for compound devmaps (Chris von Recklinghausen) [2160210]
- mm/sparse-vmemmap: improve memory savings for compound devmaps (Chris von Recklinghausen) [2160210]
- mm/hugetlb_vmemmap: move comment block to Documentation/vm (Chris von Recklinghausen) [2160210]
- mm/sparse-vmemmap: refactor core of vmemmap_populate_basepages() to helper (Chris von Recklinghausen) [2160210]
- mm/sparse-vmemmap: add a pgmap argument to section activation (Chris von Recklinghausen) [2160210]
- mm/page_alloc.c: calc the right pfn if page size is not 4K (Chris von Recklinghausen) [2160210]
- mm/mremap: avoid unneeded do_munmap call (Chris von Recklinghausen) [2160210]
- mm/mremap: use helper mlock_future_check() (Chris von Recklinghausen) [2160210]
- mm/mmap: drop arch_vm_get_page_pgprot() (Chris von Recklinghausen) [2160210]
- mm/mmap: drop arch_filter_pgprot() (Chris von Recklinghausen) [2160210]
- mm/mmap.c: use helper mlock_future_check() (Chris von Recklinghausen) [2160210]
- mm/debug_vm_pgtable: drop protection_map[] usage (Chris von Recklinghausen) [2160210]
- mm/mmu_gather: limit free batch count and add schedule point in tlb_batch_pages_flush (Chris von Recklinghausen) [2160210]
- mm/mmap.c: use mmap_assert_write_locked() instead of open coding it (Chris von Recklinghausen) [2160210]
- mm: untangle config dependencies for demote-on-reclaim (Chris von Recklinghausen) [2160210]
- mm: migrate: simplify the refcount validation when migrating hugetlb mapping (Chris von Recklinghausen) [2160210]
- mm/migration: remove some duplicated codes in migrate_pages (Chris von Recklinghausen) [2160210]
- mm/migration: avoid unneeded nodemask_t initialization (Chris von Recklinghausen) [2160210]
- mm/migration: use helper macro min in do_pages_stat (Chris von Recklinghausen) [2160210]
- mm/migration: use helper function vma_lookup() in add_page_for_migration (Chris von Recklinghausen) [2160210]
- mm/migration: remove unneeded local variable page_lru (Chris von Recklinghausen) [2160210]
- mm/migration: remove unneeded local variable mapping_locked (Chris von Recklinghausen) [2160210]
- mm/vmscan: fix comment for isolate_lru_pages (Chris von Recklinghausen) [2160210]
- mm/vmscan: fix comment for current_may_throttle (Chris von Recklinghausen) [2160210]
- mm/vmscan: remove obsolete comment in get_scan_count (Chris von Recklinghausen) [2160210]
- mm/vmscan: sc->reclaim_idx must be a valid zone index (Chris von Recklinghausen) [2160210]
- mm/vmscan: make sure wakeup_kswapd with managed zone (Chris von Recklinghausen) [2160210]
- mm/vmscan: reclaim only affects managed_zones (Chris von Recklinghausen) [2160210]
- hugetlb: remove use of list iterator variable after loop (Chris von Recklinghausen) [2160210]
- mm, hugetlb, hwpoison: separate branch for free and in-use hugepage (Chris von Recklinghausen) [2160210]
- mm/memory-failure.c: dissolve truncated hugetlb page (Chris von Recklinghausen) [2160210]
- mm/memory-failure.c: minor cleanup for HWPoisonHandlable (Chris von Recklinghausen) [2160210]
- mm/hwpoison: put page in already hwpoisoned case with MF_COUNT_INCREASED (Chris von Recklinghausen) [2160210]
- mm/memory-failure.c: remove unnecessary (void*) conversions (Chris von Recklinghausen) [2160210]
- mm: wrap __find_buddy_pfn() with a necessary buddy page validation (Chris von Recklinghausen) [2160210]
- mm: page_alloc: simplify pageblock migratetype check in __free_one_page() (Chris von Recklinghausen) [2160210]
- mm/page_alloc: adding same penalty is enough to get round-robin order (Chris von Recklinghausen) [2160210]
- vmap(): don't allow invalid pages (Chris von Recklinghausen) [2160210]
- mm/vmalloc: fix a comment (Chris von Recklinghausen) [2160210]
- mm/memcontrol.c: remove unused private flag of memory.oom_control (Chris von Recklinghausen) [2160210]
- mm/memcontrol.c: make cgroup_memory_noswap static (Chris von Recklinghausen) [2160210]
- mm/memcg: non-hierarchical mode is deprecated (Chris von Recklinghausen) [2160210]
- mm/memcg: move generation assignment and comparison together (Chris von Recklinghausen) [2160210]
- mm/memcg: set pos explicitly for reclaim and !reclaim (Chris von Recklinghausen) [2160210]
- mm/memcg: set memcg after css verified and got reference (Chris von Recklinghausen) [2160210]
- mm/memcg: mz already removed from rb_tree if not NULL (Chris von Recklinghausen) [2160210]
- mm/memcg: remove unneeded nr_scanned (Chris von Recklinghausen) [2160210]
- mm: shmem: make shmem_init return void (Chris von Recklinghausen) [2160210]
- mm: rework calculation of bdi_min_ratio in bdi_set_min_ratio (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: provide allocator labelling and update --cull and --sort options (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: support sorting blocks by multiple keys (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: support for multi-value selection in single argument (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: use fprintf() to send error messages to stderr (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add vm numa translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add vm hmm translation (Chris von Recklinghausen) [2160210]
- docs: vm/page_owner: use literal blocks for param description (Chris von Recklinghausen) [2160210]
- riscv: compat: syscall: Add compat_sys_call_table implementation (Chris von Recklinghausen) [2160210]
- mm: Add fault_in_subpage_writeable() to probe at sub-page granularity (Chris von Recklinghausen) [2160210]
- mm: fix unused variable kernel warning when SYSCTL=n (Chris von Recklinghausen) [2160210]
- xfs: convert shutdown reasons to unsigned. (Chris von Recklinghausen) [2160210]
- mm/slub: remove unneeded return value of slab_pad_check (Chris von Recklinghausen) [2160210]
- Documentation: arch_pgtable_helpers: demote pgtable list headings (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add vm hugetlbfs_reserv translation (Chris von Recklinghausen) [2160210]
- usercopy: Remove HARDENED_USERCOPY_PAGESPAN (Chris von Recklinghausen) [2160210]
- mm/usercopy: Detect large folio overruns (Chris von Recklinghausen) [2160210]
- mm/usercopy: Detect vmalloc overruns (Chris von Recklinghausen) [2160210]
- mm/usercopy: Check kmap addresses properly (Chris von Recklinghausen) [2160210]
- mm/slab_common: move dma-kmalloc caches creation into new_kmalloc_cache() (Chris von Recklinghausen) [2160210]
- mm/slub: remove meaningless node check in ___slab_alloc() (Chris von Recklinghausen) [2160210]
- mm/slub: remove duplicate flag in allocate_slab() (Chris von Recklinghausen) [2160210]
- mm/slab.c: fix comments (Chris von Recklinghausen) [2160210]
- mm: move page-writeback sysctls to their own file (Chris von Recklinghausen) [2160210]
- mm: move oom_kill sysctls to their own file (Chris von Recklinghausen) [2160210]
- slab, documentation: add description of debugfs files for SLUB caches (Chris von Recklinghausen) [2160210]
- mm/slub: sort debugfs output by frequency of stack traces (Chris von Recklinghausen) [2160210]
- mm/slub: distinguish and print stack traces in debugfs files (Chris von Recklinghausen) [2160210]
- mm/slub, kunit: Make slub_kunit unaffected by user specified flags (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add vm zsmalloc translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add vm z3fold translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add vm split_page_table_lock translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add vm remap_file_pages translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add vm page_table_check translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add vm page_owner translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add vm page_frags translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add vm overcommit-accounting translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add vm mmu_notifier translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add vm memory-model translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add vm hwpoison translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add vm frontswap translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN/damon: add sysfs interface (Chris von Recklinghausen) [2160210]
- docs/zh_CN/damon: update outdated term 'regions update interval' (Chris von Recklinghausen) [2160210]
- mm/slab: remove some unused functions (Chris von Recklinghausen) [2160210]
- doc/vm/page_owner.rst: remove content related to -c option (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: remove -c option (Chris von Recklinghausen) [2160210]
- filemap: Remove AOP_FLAG_CONT_EXPAND (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: support for user-defined culling rules (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: support for selecting by PID, TGID or task command name (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort: support for sorting by task command name (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort: fix three trivival places (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: support sorting by tgid and update documentation (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: add a security check (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: fix comments (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: fix the instructions for use (Chris von Recklinghausen) [2160210]
- Documentation/vm/page_owner.rst: fix unexpected indentation warns (Chris von Recklinghausen) [2160210]
- Documentation/vm/page_owner.rst: update the documentation (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: delete invalid duplicate code (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: two trivial fixes (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: support sorting pid and time (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: add switch between culling by stacktrace and txt (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: support sorting by stack trace (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: sort by stacktrace before culling (Chris von Recklinghausen) [2160210]
- usercopy: Disable CONFIG_HARDENED_USERCOPY_PAGESPAN (Chris von Recklinghausen) [2160210]
- fs: Remove aops->launder_page (Chris von Recklinghausen) [2160210]
- nfs: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2160210]
- cifs: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2160210]
- fs: Remove aops->invalidatepage (Chris von Recklinghausen) [2160210]
- nfs: Convert from invalidatepage to invalidate_folio (Chris von Recklinghausen) [2160210]
- gfs2: Convert invalidatepage to invalidate_folio (Chris von Recklinghausen) [2160210]
- cifs: Convert from invalidatepage to invalidate_folio (Chris von Recklinghausen) [2160210]
- afs: Convert invalidatepage to invalidate_folio (Chris von Recklinghausen) [2160210]
- afs: Convert directory aops to invalidate_folio (Chris von Recklinghausen) [2160210]
- iomap: Remove iomap_invalidatepage() (Chris von Recklinghausen) [2160210]
- ceph: Use folio_invalidate() (Chris von Recklinghausen) [2160210]
- iomap: Fix iomap_invalidatepage tracepoint (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add damon reclaim translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add damon usage translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add admin-guide damon start translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add admin-guide damon index translation (Chris von Recklinghausen) [2160210]
- zh_CN: Add translation for admin-guide/mm/index.rst (Chris von Recklinghausen) [2160210]
- Add Chinese translation for vm/ksm.rst (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add peci index translation (Chris von Recklinghausen) [2160210]
- mm: remove pointless includes from <linux/hmm.h> (Chris von Recklinghausen) [2160210]
- docs: fix RST error in vm/page_owner.rst (Chris von Recklinghausen) [2160210]
- Documentation/vm/page_owner.rst: fix language (Chris von Recklinghausen) [2160210]
- x86/mce: Remove the tolerance level control (Chris von Recklinghausen) [2160210]
- docs/translations: Skip CJK contents if suitable fonts not found (Chris von Recklinghausen) [2160210]
- xfs: move xfs_update_prealloc_flags() to xfs_pnfs.c (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add highmem translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add free_page_reporting translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add damon api translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add damon design translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add damon faq translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add damon index tronslation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add balance translation (Chris von Recklinghausen) [2160210]
- docs_zh_CN: add active_mm translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add vm/index translation (Chris von Recklinghausen) [2160210]
- Documentation/accounting/delay-accounting.rst: add thrashing page cache and direct compact (Chris von Recklinghausen) [2160210]
- xfs: kill the XFS_IOC_{ALLOC,FREE}SP* ioctls (Chris von Recklinghausen) [2160210]
- zram: use ATTRIBUTE_GROUPS (Chris von Recklinghausen) [2160210]
- mm: introduce memalloc_retry_wait() (Chris von Recklinghausen) [2160210]
- squashfs: provide backing_dev_info in order to disable read-ahead (Chris von Recklinghausen) [2160210]
- iomap: Inline __iomap_zero_iter into its caller (Chris von Recklinghausen) [2160210]
- x86/mce: Check regs before accessing it (Chris von Recklinghausen) [2160210]
- iomap: Convert iomap_migrate_page() to use folios (Chris von Recklinghausen) [2160210]
- iomap: Convert iomap_add_to_ioend() to take a folio (Chris von Recklinghausen) [2160210]
- iomap: Simplify iomap_do_writepage() (Chris von Recklinghausen) [2160210]
- iomap: Simplify iomap_writepage_map() (Chris von Recklinghausen) [2160210]
- iomap,xfs: Convert ->discard_page to ->discard_folio (Chris von Recklinghausen) [2160210]
- iomap: Convert iomap_write_begin() and iomap_write_end() to folios (Chris von Recklinghausen) [2160210]
- iomap: Convert __iomap_zero_iter to use a folio (Chris von Recklinghausen) [2160210]
- iomap: Allow iomap_write_begin() to be called with the full length (Chris von Recklinghausen) [2160210]
- iomap: Convert readahead and readpage to use a folio (Chris von Recklinghausen) [2160210]
- iomap: Convert iomap_read_inline_data to take a folio (Chris von Recklinghausen) [2160210]
- iomap: Use folio offsets instead of page offsets (Chris von Recklinghausen) [2160210]
- iomap: Convert bio completions to use folios (Chris von Recklinghausen) [2160210]
- iomap: Pass the iomap_page into iomap_set_range_uptodate (Chris von Recklinghausen) [2160210]
- iomap: Add iomap_invalidate_folio (Chris von Recklinghausen) [2160210]
- iomap: Convert iomap_releasepage to use a folio (Chris von Recklinghausen) [2160210]
- iomap: Convert iomap_page_release to take a folio (Chris von Recklinghausen) [2160210]
- iomap: Convert iomap_page_create to take a folio (Chris von Recklinghausen) [2160210]
- fs/buffer: Convert __block_write_begin_int() to take a folio (Chris von Recklinghausen) [2160210]
- x86/mce: Mark mce_timed_out() noinstr (Chris von Recklinghausen) [2160210]
- x86/mce: Prevent severity computation from being instrumented (Chris von Recklinghausen) [2160210]
- x86/mce: Do not use memset to clear the banks bitmaps (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add scheduler index translation (Chris von Recklinghausen) [2160210]
- x86/mce: Get rid of cpu_missing (Chris von Recklinghausen) [2160210]
- tools/vm/page_owner_sort.c: count and sort by mem (Chris von Recklinghausen) [2160210]
- mm/memcg: drop swp_entry_t* in mc_handle_file_pte() (Chris von Recklinghausen) [2160210]
- ABI: sysfs-mce: add 3 missing files (Chris von Recklinghausen) [2160210]
- ABI: sysfs-mce: add a new ABI file (Chris von Recklinghausen) [2160210]
- ovl: fix IOCB_DIRECT if underlying fs doesn't support direct IO (Chris von Recklinghausen) [2160210]
- x86/mce: Sort mca_config members to get rid of unnecessary padding (Chris von Recklinghausen) [2160210]
- x86/mce: Get rid of machine_check_vector (Chris von Recklinghausen) [2160210]
- x86/mce: Get rid of the mce_severity function pointer (Chris von Recklinghausen) [2160210]
- docs: zh_TW/index: Move arm64/index to arch-specific section (Chris von Recklinghausen) [2160210]
- docs/zh_CN: Add zh_CN/admin-guide/sysrq.rst (Chris von Recklinghausen) [2160210]
- Doc: page_migration: fix numbering for non-LRU movable flags (Chris von Recklinghausen) [2160210]
- x86/extable: Remove EX_TYPE_FAULT from MCE safe fixups (Chris von Recklinghausen) [2160210]
- docs: pdfdocs: Fix typo in CJK-language specific font settings (Chris von Recklinghausen) [2160210]
- docs/zh_TW: add translations for zh_TW/filesystems (Chris von Recklinghausen) [2160210]
- docs/zh_TW: add translations for zh_TW/cpu-freq (Chris von Recklinghausen) [2160210]
- docs/zh_TW: add translations for zh_TW/arm64 (Chris von Recklinghausen) [2160210]
- docs: pdfdocs: Enable language-specific font choice of zh_TW translations (Chris von Recklinghausen) [2160210]
- docs: pdfdocs: Add CJK-language-specific font settings (Chris von Recklinghausen) [2160210]
- docs: pdfdocs: Refactor config for CJK document (Chris von Recklinghausen) [2160210]
- docs/zh_CN: Add zh_CN/accounting/psi.rst (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add infiniband index translation (Chris von Recklinghausen) [2160210]
- docs/zh_CN: add virt index translation (Chris von Recklinghausen) [2160210]
- docs/zh_TW: add translations for zh_TW/process (Chris von Recklinghausen) [2160210]
- docs: add traditional Chinese translation for kernel Documentation (Chris von Recklinghausen) [2160210]
- x86/mm: disable instrumentations of mm/pgprot.c (Chris von Recklinghausen) [2160210]
- x86/mm: Refuse W^X violations (Chris von Recklinghausen) [2160210]
- x86/mm: Print likely CPU at segfault time (Chris von Recklinghausen) [2160210]
- x86: simplify load_unaligned_zeropad() implementation (Chris von Recklinghausen) [2160210]
- x86/extable: Fix ex_handler_msr() print condition (Chris von Recklinghausen) [2160210]
- x86/mm/tlb: Ignore f->new_tlb_gen when zero (Chris von Recklinghausen) [2160210]
- x86/mm: Refer to the intended config STRICT_DEVMEM in a comment (Chris von Recklinghausen) [2160210]
- mm/x86: remove dead code for hugetlbpage.c (Chris von Recklinghausen) [2160210]
- x86/pkeys: Clarify PKRU_AD_KEY macro (Chris von Recklinghausen) [2160210]
- x86/mm/tlb: Avoid reading mm_tlb_gen when possible (Chris von Recklinghausen) [2160210]
- s390/mm: fix no previous prototype warnings in maccess.c (Chris von Recklinghausen) [2160210]
- memcpy_real(): WRITE is "data source", not destination... (Chris von Recklinghausen) [2160210]
- s390/kprobes: define insn cache ops within private header file (Chris von Recklinghausen) [2160210]
- s390/mm: remove unused get_page_state() function (Chris von Recklinghausen) [2160210]
- s390/hypfs: remove unused info_blk_hdr__pcpus() function (Chris von Recklinghausen) [2160210]
- s390/mm: provide minimal setup_per_cpu_areas() implementation (Chris von Recklinghausen) [2160210]
- s390/mm: fix virtual-physical address confusion for swiotlb (Chris von Recklinghausen) [2160210]
- s390/mm: gmap: sort out physical vs virtual pointers usage (Chris von Recklinghausen) [2160210]
- s390/mm: rework memcpy_real() to avoid DAT-off mode (Chris von Recklinghausen) [2160210]
- s390/smp: rework absolute lowcore access (Chris von Recklinghausen) [2160210]
- s390/smp: use physical address for SIGP_SET_PREFIX command (Chris von Recklinghausen) [2160210]
- s390/smp: enforce lowcore protection on CPU restart (Chris von Recklinghausen) [2160210]
- s390/smp: call smp_reinit_ipl_cpu() before scheduler is available (Chris von Recklinghausen) [2160210]
- s390/boot: fix absolute zero lowcore corruption on boot (Chris von Recklinghausen) [2160210]
- s390/nmi: disable interrupts on extended save area update (Chris von Recklinghausen) [2160210]
- s390/nmi: add missing __pa/__va address conversion of extended save area (Chris von Recklinghausen) [2160210]
- s390/setup: rearrange absolute lowcore initialization (Chris von Recklinghausen) [2160210]
- s390/maccess: rework absolute lowcore accessors (Chris von Recklinghausen) [2160210]
- s390/smp: cleanup control register update routines (Chris von Recklinghausen) [2160210]
- s390/smp: cleanup target CPU callback starting (Chris von Recklinghausen) [2160210]
- s390/smp: do not use nodat_stack for secondary CPU start (Chris von Recklinghausen) [2160210]
- s390/smp: enable DAT before CPU restart callback is called (Chris von Recklinghausen) [2160210]
- s390/dump: fix os_info virtual vs physical address confusion (Chris von Recklinghausen) [2160210]
- s390/hugetlb: switch to generic version of follow_huge_pud() (Chris von Recklinghausen) [2160210]
- s390/ptdump: add missing amode31 markers (Chris von Recklinghausen) [2160210]
- s390/mm: split lowcore pages with set_memory_4k() (Chris von Recklinghausen) [2160210]
- s390/mm: remove unused access parameter from do_fault_error() (Chris von Recklinghausen) [2160210]
- s390/mm: remove useless hugepage address alignment (Chris von Recklinghausen) [2160210]
- s390/boot: cleanup adjust_to_uv_max() function (Chris von Recklinghausen) [2160210]
- s390/boot: get rid of startup archive (Chris von Recklinghausen) [2160210]
- s390/setup: re-arrange memblock setup (Chris von Recklinghausen) [2160210]
- s390/setup: avoid using memblock_enforce_memory_limit (Chris von Recklinghausen) [2160210]
- s390/setup: avoid reserving memory above identity mapping (Chris von Recklinghausen) [2160210]
- s390: move the install rule to arch/s390/Makefile (Chris von Recklinghausen) [2160210]
- s390: assume stckf is always present (Chris von Recklinghausen) [2160210]
- s390/uaccess: use exception handler to zero result on get_user() failure (Chris von Recklinghausen) [2160210]
- s390/uaccess: use symbolic names for inline assembler operands (Chris von Recklinghausen) [2160210]
- s390/extable: prefer local labels in .set directives (Chris von Recklinghausen) [2160210]
- s390/extable: add dedicated uaccess handler (Chris von Recklinghausen) [2160210]
- s390/extable: convert to relative table with data (Chris von Recklinghausen) [2160210]
- s390/extable: add and use fixup_exception helper function (Chris von Recklinghausen) [2160210]
- s390/extable: fix exception table sorting (Chris von Recklinghausen) [2160210]
- s390/base: pass pt_regs to early program check handler (Chris von Recklinghausen) [2160210]
- s390/extable: move extable related functions to mm/extable.c (Chris von Recklinghausen) [2160210]
- s390/extable: move EX_TABLE define to asm-extable.h (Chris von Recklinghausen) [2160210]
- s390/extable: search amode31 extable last (Chris von Recklinghausen) [2160210]
- s390: add support for BEAR enhancement facility (Chris von Recklinghausen) [2160210]
- s390/ptrace: add last_break member to pt_regs (Chris von Recklinghausen) [2160210]
- s390: rename last_break to pgm_last_break (Chris von Recklinghausen) [2160210]
- kbuild: add cmd_file_size (Chris von Recklinghausen) [2160210]
- arch: decompressor: remove useless vmlinux.bin.all-y (Chris von Recklinghausen) [2160210]
- kbuild: rename cmd_{bzip2,lzma,lzo,lz4,xzkern,zstd22} (Chris von Recklinghausen) [2160210]
- kbuild: drop $(size_append) from cmd_zstd (Chris von Recklinghausen) [2160210]
- powerpc/mm: Export memory_add_physaddr_to_nid() for modules (Chris von Recklinghausen) [2160210]
- powerpc/64s: Remove spurious fault flushing for NMMU (Chris von Recklinghausen) [2160210]
- powerpc/64s: POWER10 nest MMU can upgrade PTE access authority without TLB flush (Chris von Recklinghausen) [2160210]
- powerpc/64s: POWER10 nest MMU does not require flush escalation workaround (Chris von Recklinghausen) [2160210]
- powerpc/64e: Reorganise virtual memory (Chris von Recklinghausen) [2160210]
- powerpc/64e: Move virtual memory closer to linear memory (Chris von Recklinghausen) [2160210]
- powerpc/64e: Remove unused REGION related macros (Chris von Recklinghausen) [2160210]
- powerpc/64e: Remove MMU_FTR_USE_TLBRSRV and MMU_FTR_USE_PAIRED_MAS (Chris von Recklinghausen) [2160210]
- powerpc/32: Remove __map_without_ltlbs (Chris von Recklinghausen) [2160210]
- powerpc/32: Remove 'noltlbs' kernel parameter (Chris von Recklinghausen) [2160210]
- powerpc/32: Remove the 'nobats' kernel parameter (Chris von Recklinghausen) [2160210]
- powerpc/code-patching: Use jump_label to check if poking_init() is done (Chris von Recklinghausen) [2160210]
- powerpc/code-patching: Use jump_label for testing freed initmem (Chris von Recklinghausen) [2160210]
- powerpc/code-patching: Replace patch_instruction() by ppc_inst_write() in selftests (Chris von Recklinghausen) [2160210]
- powerpc/code-patching: Move code patching selftests in its own file (Chris von Recklinghausen) [2160210]
- powerpc/code-patching: Move instr_is_branch_{i/b}form() in code-patching.h (Chris von Recklinghausen) [2160210]
- powerpc/code-patching: Move patch_exception() outside code-patching.c (Chris von Recklinghausen) [2160210]
- powerpc/code-patching: Use test_trampoline for prefixed patch test (Chris von Recklinghausen) [2160210]
- powerpc/code-patching: Fix patch_branch() return on out-of-range failure (Chris von Recklinghausen) [2160210]
- powerpc/code-patching: Reorganise do_patch_instruction() to ease error handling (Chris von Recklinghausen) [2160210]
- powerpc/code-patching: Fix unmap_patch_area() error handling (Chris von Recklinghausen) [2160210]
- powerpc/code-patching: Fix error handling in do_patch_instruction() (Chris von Recklinghausen) [2160210]
- powerpc/code-patching: Remove init_mem_is_free (Chris von Recklinghausen) [2160210]
- powerpc/code-patching: Remove pr_debug()/pr_devel() messages and fix check() (Chris von Recklinghausen) [2160210]
- powerpc/mm/book3s64/hash: Switch pre 2.06 tlbiel to .long (Chris von Recklinghausen) [2160210]
- powerpc/mm: Switch obsolete dssall to .long (Chris von Recklinghausen) [2160210]
- powerpc/inst: Optimise copy_inst_from_kernel_nofault() (Chris von Recklinghausen) [2160210]
- powerpc/inst: Move ppc_inst_t definition in asm/reg.h (Chris von Recklinghausen) [2160210]
- powerpc/inst: Define ppc_inst_t as u32 on PPC32 (Chris von Recklinghausen) [2160210]
- powerpc/inst: Define ppc_inst_t (Chris von Recklinghausen) [2160210]
- powerpc/inst: Refactor ___get_user_instr() (Chris von Recklinghausen) [2160210]
- powerpc/mm: Move tlbcam_sz() and make it static (Chris von Recklinghausen) [2160210]
- arm64: compat: Implement misalignment fixups for multiword loads (Chris von Recklinghausen) [2160210]
- arm64/hugetlb: implement arm64 specific hugetlb_mask_last_page (Chris von Recklinghausen) [2160210]
- arm64/mm: Define defer_reserve_crashkernel() (Chris von Recklinghausen) [2160210]
- arm64: mm: Remove assembly DMA cache maintenance wrappers (Chris von Recklinghausen) [2160210]
- arm/xen: Introduce xen_setup_dma_ops() (Chris von Recklinghausen) [2160210]
- arm64: extable: cleanup redundant extable type EX_TYPE_FIXUP (Chris von Recklinghausen) [2160210]
- arm64: extable: move _cond_extable to _cond_uaccess_extable (Chris von Recklinghausen) [2160210]
- arm64: extable: make uaaccess helper use extable type EX_TYPE_UACCESS_ERR_ZERO (Chris von Recklinghausen) [2160210]
- arm64: asm-extable: add asm uacess helpers (Chris von Recklinghausen) [2160210]
- arm64: asm-extable: move data fields (Chris von Recklinghausen) [2160210]
- arm64: extable: add new extable type EX_TYPE_KACCESS_ERR_ZERO support (Chris von Recklinghausen) [2160210]
- arm64: Add HAVE_IOREMAP_PROT support (Chris von Recklinghausen) [2160210]
- arm64: mm: Convert to GENERIC_IOREMAP (Chris von Recklinghausen) [2160210]
- arm64: entry: simplify trampoline data page (Chris von Recklinghausen) [2160210]
- arm64: mm: install KPTI nG mappings with MMU enabled (Chris von Recklinghausen) [2160210]
- arm64: kpti-ng: simplify page table traversal logic (Chris von Recklinghausen) [2160210]
- arm64: mm: Only remove nomap flag for initrd (Chris von Recklinghausen) [2160210]
- arm64: mte: Fix the stack frame size warning in mte_dump_tag_range() (Chris von Recklinghausen) [2160210]
- elf: Fix the arm64 MTE ELF segment name and value (Chris von Recklinghausen) [2160210]
- arm64: mte: Document the core dump file format (Chris von Recklinghausen) [2160210]
- arm64: mte: Dump the MTE tags in the core file (Chris von Recklinghausen) [2160210]
- fs/file_table: fix adding missing kmemleak_not_leak() (Chris von Recklinghausen) [2160210]
- Fix regression due to "fs: move binfmt_misc sysctl to its own file" (Chris von Recklinghausen) [2160210]
- binfmt_misc: fix crash when load/unload module (Chris von Recklinghausen) [2160210]
- include/linux/sysctl.h: fix register_sysctl_mount_point() return type (Chris von Recklinghausen) [2160210]
- coredump: Don't compile flat_core_dump when coredumps are disabled (Chris von Recklinghausen) [2160210]
- coredump: Snapshot the vmas in do_coredump (Chris von Recklinghausen) [2160210]
- coredump: Move definition of struct coredump_params into coredump.h (Chris von Recklinghausen) [2160210]
- coredump: Also dump first pages of non-executable ELF libraries (Chris von Recklinghausen) [2160210]
- fs/coredump: move coredump sysctls into its own file (Chris von Recklinghausen) [2160210]
- coredump: fix memleak in dump_vma_snapshot() (Chris von Recklinghausen) [2160210]
- init/main.c: return 1 from handled __setup() functions (Chris von Recklinghausen) [2160210]
- init.h: improve __setup and early_param documentation (Chris von Recklinghausen) [2160210]
- scripts/sorttable: Unify arm64 & x86 sort functions (Chris von Recklinghausen) [2160210]
- mm: avoid unnecessary page fault retires on shared memory types (Chris von Recklinghausen) [2160210]
- fs: move namespace sysctls and declare fs base directory (Chris von Recklinghausen) [2160210]
- sysctl: add and use base directory declarer and registration helper (Chris von Recklinghausen) [2160210]
- fs: move pipe sysctls to is own file (Chris von Recklinghausen) [2160210]
- fs: move fs/exec.c sysctls into its own file (Chris von Recklinghausen) [2160210]
- fs: move namei sysctls to its own file (Chris von Recklinghausen) [2160210]
- fs: move locking sysctls where they are used (Chris von Recklinghausen) [2160210]
- fs: move shared sysctls to fs/sysctls.c (Chris von Recklinghausen) [2160210]
- sysctl: move maxolduid as a sysctl specific const (Chris von Recklinghausen) [2160210]
- fs: move dcache sysctls to its own file (Chris von Recklinghausen) [2160210]
- fs: move fs stat sysctls to file_table.c (Chris von Recklinghausen) [2160210]
- fs: move inode sysctls to its own file (Chris von Recklinghausen) [2160210]
- sysctl: share unsigned long const values (Chris von Recklinghausen) [2160210]
- fs: move binfmt_misc sysctl to its own file (Chris von Recklinghausen) [2160210]
- sysctl: add helper to register a sysctl mount point (Chris von Recklinghausen) [2160210]
- eventpoll: simplify sysctl declaration with register_sysctl() (Chris von Recklinghausen) [2160210]
- inotify: simplify subdirectory registration with register_sysctl() (Chris von Recklinghausen) [2160210]
- dnotify: move dnotify sysctl to dnotify.c (Chris von Recklinghausen) [2160210]
- aio: move aio sysctl to aio.c (Chris von Recklinghausen) [2160210]
- sysctl: use SYSCTL_ZERO to replace some static int zero uses (Chris von Recklinghausen) [2160210]
- sysctl: use const for typically used max/min proc sysctls (Chris von Recklinghausen) [2160210]
- sysctl: make ngroups_max const (Chris von Recklinghausen) [2160210]
- watchdog: move watchdog sysctl interface to watchdog.c (Chris von Recklinghausen) [2160210]
- hung_task: move hung_task sysctl interface to hung_task.c (Chris von Recklinghausen) [2160210]
Resolves: rhbz#2093878, rhbz#2139964, rhbz#2160210, rhbz#2161527, rhbz#2175236, rhbz#2175249, rhbz#2179874

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-03-29 12:19:43 +02:00
Jan Stancek
22babcb51e kernel-5.14.0-292.el9
* Mon Mar 27 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-292.el9]
- x86/nmi: Make register_nmi_handler() more robust (Ani Sinha) [2181172]
- selftests/bpf: Fix sk_assign on s390x (Felix Maurer) [2166911]
- selftests/net: fix missing xdp_dummy (Felix Maurer) [2166911]
- lsm: make security_socket_getpeersec_stream() sockptr_t safe (Artem Savkov) [2166911]
- selftests/bpf: Test skops->skb_hwtstamp (Felix Maurer) [2166911]
- bpf: Add hwtstamp field for the sockops prog (Felix Maurer) [2166911]
- selftests/bpf: Add test case for element reuse in htab map (Artem Savkov) [2166911]
- selftests/bpf: Add test for cgroup iterator on a dead cgroup (Artem Savkov) [2166911]
- selftests/bpf: Add cgroup helper remove_cgroup() (Artem Savkov) [2166911]
- bpf: Zeroing allocated object from slab in bpf memory allocator (Artem Savkov) [2166911]
- bpf: Invoke cgroup/connect{4,6} programs for unprivileged ICMP ping (Artem Savkov) [2166911]
- bpf: Prevent bpf program recursion for raw tracepoint probes (Artem Savkov) [2166911]
- bpf, sock_map: Move cancel_work_sync() out of sock lock (Felix Maurer) [2166911]
- net: If sock is dead don't access sock's sk_wq in sk_stream_wait_memory (Felix Maurer) [2166911]
- selftests/bpf: Fix build errors if CONFIG_NF_CONNTRACK=m (Felix Maurer) [2166911]
- selftests/bpf: Fix incorrect ASSERT in the tcp_hdr_options test (Felix Maurer) [2166911]
- netfilter: nf_nat: Fix possible memory leak in nf_nat_init() (Felix Maurer) [2166911]
- net: netfilter: move bpf_ct_set_nat_info kfunc in nf_nat_bpf.c (Felix Maurer) [2166911]
- selftests/xsk: Fix double free (Felix Maurer) [2166911]
- libbpf: Fix overrun in netlink attribute iteration (Felix Maurer) [2166911]
- samples/bpf: Fix typo in xdp_router_ipv4 sample (Felix Maurer) [2166911]
- xsk: Expose min chunk size to drivers (Felix Maurer) [2166911]
- selftests/bpf: Check -EBUSY for the recurred bpf_setsockopt(TCP_CONGESTION) (Felix Maurer) [2166911]
- bpf: tcp: Stop bpf_setsockopt(TCP_CONGESTION) in init ops to recur itself (Felix Maurer) [2166911]
- bpf: Refactor bpf_setsockopt(TCP_CONGESTION) handling into another function (Felix Maurer) [2166911]
- bpf: Move the "cdg" tcp-cc check to the common sol_tcp_sockopt() (Felix Maurer) [2166911]
- bpf: Add __bpf_prog_{enter,exit}_struct_ops for struct_ops trampoline (Felix Maurer) [2166911]
- selftests/bpf: Convert udp_limit test to ASSERT_* macros (Felix Maurer) [2166911]
- selftests/bpf: Convert tcpbpf_user test to ASSERT_* macros (Felix Maurer) [2166911]
- selftests/bpf: Convert tcp_rtt test to ASSERT_* macros (Felix Maurer) [2166911]
- selftests/bpf: Convert tcp_hdr_options test to ASSERT_* macros (Felix Maurer) [2166911]
- selftests/bpf: Convert tcp_estats test to ASSERT_* macros (Felix Maurer) [2166911]
- selftests/bpf: Convert sockopt_sk test to ASSERT_* macros (Felix Maurer) [2166911]
- selftests/bpf: Convert sockopt_multi test to ASSERT_* macros (Felix Maurer) [2166911]
- selftests/bpf: Convert sockopt_inherit test to ASSERT_* macros (Felix Maurer) [2166911]
- selftests/bpf: Convert sockopt test to ASSERT_* macros (Felix Maurer) [2166911]
- selftests/bpf: Convert sockmap_ktls test to ASSERT_* macros (Felix Maurer) [2166911]
- selftests/bpf: Convert sockmap_basic test to ASSERT_* macros (Felix Maurer) [2166911]
- xdp: Adjust xdp_frame layout to avoid using bitfields (Felix Maurer) [2166911]
- xdp: improve page_pool xdp_return performance (Felix Maurer) [2166911]
- selftests/bpf: Add wait send memory test for sockmap redirect (Felix Maurer) [2166911]
- xsk: Inherit need_wakeup flag for shared sockets (Felix Maurer) [2166911]
- selftests/bpf: add tests for bpf_ct_set_nat_info kfunc (Felix Maurer) [2166911]
- net: netfilter: add bpf_ct_set_nat_info kfunc helper (Felix Maurer) [2166911]
- selftests/bpf: Add tests for writing to nf_conn:mark (Felix Maurer) [2166911]
- selftests/xsk: Add support for zero copy testing (Felix Maurer) [2166911]
- selftests/xsk: Make sure single threaded test terminates (Felix Maurer) [2166911]
- selftests/xsk: Add support for executing tests on physical device (Felix Maurer) [2166911]
- selftests/xsk: Increase chars for interface name to 16 (Felix Maurer) [2166911]
- selftests/xsk: Introduce default Rx pkt stream (Felix Maurer) [2166911]
- selftests/xsk: Query for native XDP support (Felix Maurer) [2166911]
- selftests/bpf: Amend test_tunnel to exercise BPF_F_TUNINFO_FLAGS (Felix Maurer) [2166911]
- bpf: Support getting tunnel flags (Felix Maurer) [2166911]
- selftests/xsk: Add missing close() on netns fd (Felix Maurer) [2166911]
- xsk: Fix backpressure mechanism on Tx (Felix Maurer) [2166911]
- bpf, selftests: Test BPF_FLOW_DISSECTOR_CONTINUE (Felix Maurer) [2166911]
- bpf, test_run: Propagate bpf_flow_dissect's retval to user's bpf_attr.test.retval (Felix Maurer) [2166911]
- bpf, flow_dissector: Introduce BPF_FLOW_DISSECTOR_CONTINUE retcode for bpf progs (Felix Maurer) [2166911]
- flow_dissector: Make 'bpf_flow_dissect' return the bpf program retcode (Felix Maurer) [2166911]
- selftest/bpf: Add test for bpf_getsockopt() (Felix Maurer) [2166911]
- bpf: Change bpf_getsockopt(SOL_IPV6) to reuse do_ipv6_getsockopt() (Felix Maurer) [2166911]
- bpf: Change bpf_getsockopt(SOL_IP) to reuse do_ip_getsockopt() (Felix Maurer) [2166911]
- bpf: Change bpf_getsockopt(SOL_TCP) to reuse do_tcp_getsockopt() (Felix Maurer) [2166911]
- bpf: Change bpf_getsockopt(SOL_SOCKET) to reuse sk_getsockopt() (Felix Maurer) [2166911]
- bpf: Embed kernel CONFIG check into the if statement in bpf_getsockopt (Felix Maurer) [2166911]
- bpf: net: Avoid do_ipv6_getsockopt() taking sk lock when called from bpf (Felix Maurer) [2166911]
- bpf: net: Change do_ipv6_getsockopt() to take the sockptr_t argument (Felix Maurer) [2166911]
- net: Add a len argument to compat_ipv6_get_msfilter() (Felix Maurer) [2166911]
- net: Remove unused flags argument from do_ipv6_getsockopt (Felix Maurer) [2166911]
- bpf: net: Avoid do_ip_getsockopt() taking sk lock when called from bpf (Felix Maurer) [2166911]
- bpf: net: Change do_ip_getsockopt() to take the sockptr_t argument (Felix Maurer) [2166911]
- bpf: net: Avoid do_tcp_getsockopt() taking sk lock when called from bpf (Felix Maurer) [2166911]
- bpf: net: Change do_tcp_getsockopt() to take the sockptr_t argument (Felix Maurer) [2166911]
- bpf: net: Avoid sk_getsockopt() taking sk lock when called from bpf (Felix Maurer) [2166911]
- bpf: net: Change sk_getsockopt() to take the sockptr_t argument (Felix Maurer) [2166911]
- net: Change sock_getsockopt() to take the sk ptr instead of the sock ptr (Felix Maurer) [2166911]
- selftest/bpf: Ensure no module loading in bpf_setsockopt(TCP_CONGESTION) (Felix Maurer) [2166911]
- bpf, net: Avoid loading module when calling bpf_setsockopt(TCP_CONGESTION) (Felix Maurer) [2166911]
- selftests/bpf: Fix wrong size passed to bpf_setsockopt() (Felix Maurer) [2166911]
- selftests/bpf: bpf_setsockopt tests (Felix Maurer) [2166911]
- bpf: Add a few optnames to bpf_setsockopt (Felix Maurer) [2166911]
- bpf: Change bpf_setsockopt(SOL_IPV6) to reuse do_ipv6_setsockopt() (Felix Maurer) [2166911]
- bpf: Change bpf_setsockopt(SOL_IP) to reuse do_ip_setsockopt() (Felix Maurer) [2166911]
- bpf: Change bpf_setsockopt(SOL_TCP) to reuse do_tcp_setsockopt() (Felix Maurer) [2166911]
- bpf: Refactor bpf specific tcp optnames to a new function (Felix Maurer) [2166911]
- bpf: Change bpf_setsockopt(SOL_SOCKET) to reuse sk_setsockopt() (Felix Maurer) [2166911]
- bpf: Embed kernel CONFIG check into the if statement in bpf_setsockopt (Felix Maurer) [2166911]
- bpf: Initialize the bpf_run_ctx in bpf_iter_run_prog() (Felix Maurer) [2166911]
- bpf: net: Change do_ipv6_setsockopt() to use the sockopt's lock_sock() and capable() (Felix Maurer) [2166911]
- bpf: net: Change do_ip_setsockopt() to use the sockopt's lock_sock() and capable() (Felix Maurer) [2166911]
- bpf: net: Change do_tcp_setsockopt() to use the sockopt's lock_sock() and capable() (Felix Maurer) [2166911]
- bpf: net: Consider has_current_bpf_ctx() when testing capable() in sk_setsockopt() (Felix Maurer) [2166911]
- bpf: net: Avoid sk_setsockopt() taking sk lock when called from bpf (Felix Maurer) [2166911]
- selftests/bpf: Few fixes for selftests/bpf built in release mode (Felix Maurer) [2166911]
- selftests/bpf: Add connmark read test (Felix Maurer) [2166911]
- selftests/bpf: Add existing connection bpf_*_ct_lookup() test (Felix Maurer) [2166911]
- selftests/xsk: Update poll test cases (Felix Maurer) [2166911]
- spec: add keyutils to selftest-internal subpackage requirements (Artem Savkov) [2166911]
- maccess: Fix writing offset in case of fault in strncpy_from_kernel_nofault() (Artem Savkov) [2166911]
- cgroup: add cgroup_v1v2_get_from_[fd/file]() (Artem Savkov) [2166911]
- timekeeping: Introduce fast accessor to clock tai (Artem Savkov) [2166911]
- treewide: use get_random_u32() when possible (Artem Savkov) [2166911]
- treewide: use prandom_u32_max() when possible, part 1 (Artem Savkov) [2166911]
- bpf: Allow bpf_user_ringbuf_drain() callbacks to return 1 (Artem Savkov) [2166911]
- perf stat: Support old kernels for bperf cgroup counting (Artem Savkov) [2166911]
- selftests/bpf: Add tests for dynamic pointers parameters in kfuncs (Artem Savkov) [2166911]
- selftests/bpf: Add test for bpf_verify_pkcs7_signature() kfunc (Artem Savkov) [2166911]
- bpf: Add bpf_user_ringbuf_drain() helper (Artem Savkov) [2166911]
- selftests/bpf: Make bpf_user_ringbuf_drain() selftest callback return 1 (Artem Savkov) [2166911]
- selftests/bpf: Add missing bpf_iter_vma_offset__destroy call (Artem Savkov) [2166911]
- selftests/bpf: Test parameterized task BPF iterators. (Artem Savkov) [2166911]
- selftests/bpf: Simplify cgroup_hierarchical_stats selftest (Artem Savkov) [2166911]
- selftests/bpf: Add additional tests for bpf_lookup_*_key() (Artem Savkov) [2166911]
- selftests/bpf: Add selftests validating the user ringbuf (Artem Savkov) [2166911]
- selftests/bpf: Add tracing_struct test in DENYLIST.s390x (Artem Savkov) [2166911]
- bpf: Remove useless else if (Artem Savkov) [2166911]
- selftests/bpf: Add test cases for htab update (Artem Savkov) [2166911]
- bpf: Add CGROUP prefix to cgroup_iter_order (Artem Savkov) [2166911]
- selftests/bpf: add a selftest for cgroup hierarchical stats collection (Artem Savkov) [2166911]
- selftests/bpf: Add cb_refs test to s390x deny list (Artem Savkov) [2166911]
- selftest/bpf: Add setget_sockopt to DENYLIST.s390x (Artem Savkov) [2166911]
- bpf: Move bpf_loop and bpf_for_each_map_elem under CAP_BPF (Artem Savkov) [2166911]
- bpf: expose bpf_strtol and bpf_strtoul to all program types (Artem Savkov) [2166911]
- bpf: Fix off-by-one error in bpf_mem_cache_idx() (Artem Savkov) [2166911]
- bpf: Add dummy type reference to nf_conn___init to fix type deduplication (Artem Savkov) [2166911]
- bpf: Prevent decl_tag from being referenced in func_proto arg (Artem Savkov) [2166911]
- selftests/bpf: Make test_bench_attach serial (Artem Savkov) [2166911]
- selftests/bpf: Filter out default_idle from kprobe_multi bench (Artem Savkov) [2166911]
- bpf: Set and check spin lock value in sk_storage_map_test (Artem Savkov) [2166911]
- bpf: Do not copy spin lock field from user in bpf_selem_alloc (Artem Savkov) [2166911]
- bpf: Pin the start cgroup in cgroup_iter_seq_init() (Artem Savkov) [2166911]
- libbpf: Check the validity of size in user_ring_buffer__reserve() (Artem Savkov) [2166911]
- libbpf: Handle size overflow for user ringbuf mmap (Artem Savkov) [2166911]
- libbpf: Handle size overflow for ringbuf mmap (Artem Savkov) [2166911]
- libbpf: Use page size as max_entries when probing ring buffer map (Artem Savkov) [2166911]
- bpf, perf: Use subprog name when reporting subprog ksymbol (Artem Savkov) [2166911]
- libbpf: Use correct return pointer in attach_raw_tp (Artem Savkov) [2166911]
- bpf: Initialize same number of free nodes for each pcpu_freelist (Artem Savkov) [2166911]
- selftests: bpf: Add a test when bpf_probe_read_kernel_str() returns EFAULT (Artem Savkov) [2166911]
- selftests/bpf: Fix test_progs compilation failure in 32-bit arch (Artem Savkov) [2166911]
- bpf: Fix memory leaks in __check_func_call (Artem Savkov) [2166911]
- bpf: Add explicit cast to 'void *' for __BPF_DISPATCHER_UPDATE() (Artem Savkov) [2166911]
- bpf: Convert BPF_DISPATCHER to use static_call() (not ftrace) (Artem Savkov) [2166911]
- bpf: Revert ("Fix dispatcher patchable function entry to 5 bytes nop") (Artem Savkov) [2166911]
- bpf, test_run: Fix alignment problem in bpf_prog_test_run_skb() (Artem Savkov) [2166911]
- selftests/bpf: Add verifier test for release_reference() (Artem Savkov) [2166911]
- bpf: Fix wrong reg type conversion in release_reference() (Artem Savkov) [2166911]
- tools/headers: Pull in stddef.h to uapi to fix BPF selftests build in CI (Artem Savkov) [2166911]
- net/ipv4: Fix linux/in.h header dependencies (Felix Maurer) [2166911]
- bpftool: Fix NULL pointer dereference when pin {PROG, MAP, LINK} without FILE (Artem Savkov) [2166911]
- bpf, verifier: Fix memory leak in array reallocation for stack state (Artem Savkov) [2166911]
- bpf: Use __llist_del_all() whenever possbile during memory draining (Artem Savkov) [2166911]
- bpf: Wait for busy refill_work when destroying bpf memory allocator (Artem Savkov) [2166911]
- selftests/bpf: fix missing BPF object files (Artem Savkov) [2166911]
- bpf: Fix dispatcher patchable function entry to 5 bytes nop (Artem Savkov) [2166911]
- bpf: prevent decl_tag from being referenced in func_proto (Artem Savkov) [2166911]
- selftests/bpf: Add reproducer for decl_tag in func_proto return type (Artem Savkov) [2166911]
- bpf: cgroup_iter: support cgroup1 using cgroup fd (Artem Savkov) [2166911]
- bpf: kmsan: initialize BPF registers with zeroes (Artem Savkov) [2166911]
- Documentation: bpf: Add implementation notes documentations to table of contents (Artem Savkov) [2166911]
- bpf, docs: Delete misformatted table. (Artem Savkov) [2166911]
- bpftool: Fix error message of strerror (Artem Savkov) [2166911]
- selftests/bpf: Fix spelling mistake "unpriviledged" -> "unprivileged" (Artem Savkov) [2166911]
- bpftool: Remove unused struct event_ring_info (Artem Savkov) [2166911]
- bpftool: Remove unused struct btf_attach_point (Artem Savkov) [2166911]
- bpf, docs: Add TOC and fix formatting. (Artem Savkov) [2166911]
- bpf, docs: Add Clang note about BPF_ALU (Artem Savkov) [2166911]
- bpf, docs: Move Clang notes to a separate file (Artem Savkov) [2166911]
- bpf, docs: Linux byteswap note (Artem Savkov) [2166911]
- bpf, docs: Move legacy packet instructions to a separate file (Artem Savkov) [2166911]
- bpftool: Show parameters of BPF task iterators. (Artem Savkov) [2166911]
- bpf: Handle show_fdinfo for the parameterized task BPF iterators (Artem Savkov) [2166911]
- bpf: Handle bpf_link_info for the parameterized task BPF iterators. (Artem Savkov) [2166911]
- bpf: Parameterize task iterators. (Artem Savkov) [2166911]
- libbpf: Fix the case of running as non-root with capabilities (Artem Savkov) [2166911]
- bpf: Enforce W^X for bpf trampoline (Artem Savkov) [2166911]
- bpf: use bpf_prog_pack for bpf_dispatcher (Artem Savkov) [2166911]
- selftests/bpf: Fix get_func_ip offset test for CONFIG_X86_KERNEL_IBT (Artem Savkov) [2166911]
- bpf: Return value in kprobe get_func_ip only for entry address (Artem Savkov) [2166911]
- bpf: Adjust kprobe_multi entry_ip for CONFIG_X86_KERNEL_IBT (Artem Savkov) [2166911]
- bpf: Use given function address for trampoline ip arg (Artem Savkov) [2166911]
- ftrace: Keep the resolved addr in kallsyms_callback (Artem Savkov) [2166911]
- kprobes: Add new KPROBE_FLAG_ON_FUNC_ENTRY kprobe flag (Artem Savkov) [2166911]
- bpf: remove VMA linked list (Artem Savkov) [2166911]
- selftests/bpf: allow to adjust BPF verifier log level in veristat (Artem Savkov) [2166911]
- selftests/bpf: emit processing progress and add quiet mode to veristat (Artem Savkov) [2166911]
- selftests/bpf: make veristat skip non-BPF and failing-to-open BPF objects (Artem Savkov) [2166911]
- selftests/bpf: make veristat's verifier log parsing faster and more robust (Artem Savkov) [2166911]
- selftests/bpf: add sign-file to .gitignore (Artem Savkov) [2166911]
- libbpf: Add pathname_concat() helper (Artem Savkov) [2166911]
- selftests/bpf: Free the allocated resources after test case succeeds (Artem Savkov) [2166911]
- selftests/bpf: Destroy the skeleton when CONFIG_PREEMPT is off (Artem Savkov) [2166911]
- selftests/bpf: add ability to filter programs in veristat (Artem Savkov) [2166911]
- selftests/bpf: add comparison mode to veristat (Artem Savkov) [2166911]
- selftests/bpf: add CSV output mode for veristat (Artem Savkov) [2166911]
- selftests/bpf: fix double bpf_object__close() in veristate (Artem Savkov) [2166911]
- bpf: Tweak definition of KF_TRUSTED_ARGS (Artem Savkov) [2166911]
- bpf: Always use raw spinlock for hash bucket lock (Artem Savkov) [2166911]
- selftests/bpf: Add verifier tests for bpf_lookup_*_key() and bpf_key_put() (Artem Savkov) [2166911]
- selftests/bpf: Compile kernel with everything as built-in (Artem Savkov) [2166911]
- bpf: Add bpf_verify_pkcs7_signature() kfunc (Artem Savkov) [2166911]
- bpf: Add bpf_lookup_*_key() and bpf_key_put() kfuncs (Artem Savkov) [2166911]
- KEYS: Move KEY_LOOKUP_ to include/linux/key.h and define KEY_LOOKUP_ALL (Artem Savkov) [2166911]
- bpf: Export bpf_dynptr_get_size() (Artem Savkov) [2166911]
- btf: Allow dynamic pointer parameters in kfuncs (Artem Savkov) [2166911]
- bpf: Move dynptr type check to is_dynptr_type_expected() (Artem Savkov) [2166911]
- bpf: Allow kfuncs to be used in LSM programs (Artem Savkov) [2166911]
- libbpf: Support raw BTF placed in the default search path (Artem Savkov) [2166911]
- libbpf: Improve BPF_PROG2 macro code quality and description (Artem Savkov) [2166911]
- bpf: Add libbpf logic for user-space ring buffer (Artem Savkov) [2166911]
- bpf: Define new BPF_MAP_TYPE_USER_RINGBUF map type (Artem Savkov) [2166911]
- bpf: simplify code in btf_parse_hdr (Artem Savkov) [2166911]
- libbpf: Fix NULL pointer exception in API btf_dump__dump_type_data (Artem Savkov) [2166911]
- samples/bpf: Replace blk_account_io_done() with __blk_account_io_done() (Artem Savkov) [2166911]
- bpf: Move nf_conn extern declarations to filter.h (Artem Savkov) [2166911]
- bpf: Rename nfct_bsa to nfct_btf_struct_access (Artem Savkov) [2166911]
- bpf: Remove unused btf_struct_access stub (Artem Savkov) [2166911]
- bpf: Check whether or not node is NULL before free it in free_bulk (Artem Savkov) [2166911]
- selftests/bpf: Add test result messages for test_task_storage_map_stress_lookup (Artem Savkov) [2166911]
- bpf/btf: Use btf_type_str() whenever possible (Artem Savkov) [2166911]
- bpf: use kvmemdup_bpfptr helper (Artem Savkov) [2166911]
- libbpf: Clean up legacy bpf maps declaration in bpf_helpers (Artem Savkov) [2166911]
- selftests/bpf: Add veristat tool for mass-verifying BPF object files (Artem Savkov) [2166911]
- libbpf: Fix crash if SEC("freplace") programs don't have attach_prog_fd set (Artem Savkov) [2166911]
- selftests/bpf: Fix test_verif_scale{1,3} SEC() annotations (Artem Savkov) [2166911]
- bpf: Move bpf_dispatcher function out of ftrace locations (Artem Savkov) [2166911]
- ftrace: Add HAVE_DYNAMIC_FTRACE_NO_PATCHABLE (Artem Savkov) [2166911]
- bpf: Ensure correct locking around vulnerable function find_vpid() (Artem Savkov) [2166911]
- bpf: Add verifier support for custom callback return range (Artem Savkov) [2166911]
- bpf: btf: fix truncated last_member_type_id in btf_struct_resolve (Artem Savkov) [2166911]
- bpf: Add support for writing to nf_conn:mark (Artem Savkov) [2166911]
- bpf: Export btf_type_by_id() and bpf_log() (Artem Savkov) [2166911]
- bpf: Use 0 instead of NOT_INIT for btf_struct_access() writes (Artem Savkov) [2166911]
- bpf: Add stub for btf_struct_access() (Artem Savkov) [2166911]
- bpf: Remove duplicate PTR_TO_BTF_ID RO check (Artem Savkov) [2166911]
- bpf: Simplify code by using for_each_cpu_wrap() (Artem Savkov) [2166911]
- bpf: add missing percpu_counter_destroy() in htab_map_alloc() (Artem Savkov) [2166911]
- selftests/bpf: Ensure cgroup/connect{4,6} programs can bind unpriv ICMP ping (Artem Savkov) [2166911]
- selftests/bpf: Deduplicate write_sysctl() to test_progs.c (Artem Savkov) [2166911]
- libbpf: Remove gcc support for bpf_tail_call_static for now (Artem Savkov) [2166911]
- bpf: Add helper macro bpf_for_each_reg_in_vstate (Artem Savkov) [2166911]
- bpf: Support kptrs in percpu arraymap (Artem Savkov) [2166911]
- selftests/bpf: Add tests for kfunc returning a memory pointer (Artem Savkov) [2166911]
- bpf/verifier: allow kfunc to return an allocated mem (Artem Savkov) [2166911]
- bpf/btf: bump BTF_KFUNC_SET_MAX_CNT (Artem Savkov) [2166911]
- selftests/bpf: add test for accessing ctx from syscall program type (Artem Savkov) [2166911]
- bpf/verifier: allow all functions to read user provided context (Artem Savkov) [2166911]
- bpf: split btf_check_subprog_arg_match in two (Artem Savkov) [2166911]
- selftests/bpf: regroup and declare similar kfuncs selftests in an array (Artem Savkov) [2166911]
- selftests/bpf: Use BPF_PROG2 for some fentry programs without struct arguments (Artem Savkov) [2166911]
- selftests/bpf: Add struct argument tests with fentry/fexit programs. (Artem Savkov) [2166911]
- libbpf: Add new BPF_PROG2 macro (Artem Savkov) [2166911]
- bpf: arm64: No support of struct argument in trampoline programs (Artem Savkov) [2166911]
- bpf: Update descriptions for helpers bpf_get_func_arg[_cnt]() (Artem Savkov) [2166911]
- bpf: x86: Support in-register struct arguments in trampoline programs (Artem Savkov) [2166911]
- bpf: Allow struct argument in trampoline based programs (Artem Savkov) [2166911]
- bpf: Replace __ksize with ksize. (Artem Savkov) [2166911]
- bpf: Optimize rcu_barrier usage between hash map and bpf_mem_alloc. (Artem Savkov) [2166911]
- bpf: Remove usage of kmem_cache from bpf_mem_cache. (Artem Savkov) [2166911]
- bpf: Remove prealloc-only restriction for sleepable bpf programs. (Artem Savkov) [2166911]
- bpf: Prepare bpf_mem_alloc to be used by sleepable bpf programs. (Artem Savkov) [2166911]
- bpf: Remove tracing program restriction on map types (Artem Savkov) [2166911]
- bpf: Convert percpu hash map to per-cpu bpf_mem_alloc. (Artem Savkov) [2166911]
- bpf: Add percpu allocation support to bpf_mem_alloc. (Artem Savkov) [2166911]
- bpf: Batch call_rcu callbacks instead of SLAB_TYPESAFE_BY_RCU. (Artem Savkov) [2166911]
- bpf: Adjust low/high watermarks in bpf_mem_cache (Artem Savkov) [2166911]
- bpf: Optimize call_rcu in non-preallocated hash map. (Artem Savkov) [2166911]
- bpf: Optimize element count in non-preallocated hash map. (Artem Savkov) [2166911]
- bpf: Relax the requirement to use preallocated hash maps in tracing progs. (Artem Savkov) [2166911]
- samples/bpf: Reduce syscall overhead in map_perf_test. (Artem Savkov) [2166911]
- selftests/bpf: Improve test coverage of test_maps (Artem Savkov) [2166911]
- bpf: Convert hash map to bpf_mem_alloc. (Artem Savkov) [2166911]
- bpf: Introduce any context BPF specific memory allocator. (Artem Savkov) [2166911]
- selftests/bpf: Store BPF object files with .bpf.o extension (Artem Savkov) [2166911]
- selftests/bpf: Test concurrent updates on bpf_task_storage_busy (Artem Savkov) [2166911]
- selftests/bpf: Move sys_pidfd_open() into task_local_storage_helpers.h (Artem Savkov) [2166911]
- bpf: Use this_cpu_{inc_return|dec} for prog->active (Artem Savkov) [2166911]
- bpf: Use this_cpu_{inc|dec|inc_return} for bpf_task_storage_busy (Artem Savkov) [2166911]
- bpf: Propagate error from htab_lock_bucket() to userspace (Artem Savkov) [2166911]
- bpf: Disable preemption when increasing per-cpu map_locked (Artem Savkov) [2166911]
- libbpf: Add GCC support for bpf_tail_call_static (Artem Savkov) [2166911]
- bpftool: Add support for querying cgroup_iter link (Artem Savkov) [2166911]
- selftests/bpf: Fix connect4_prog tcp/socket header type conflict (Artem Savkov) [2166911]
- selftests/bpf: Fix bind{4,6} tcp/socket header type conflict (Artem Savkov) [2166911]
- bpf: Fix a few typos in BPF helpers documentation (Artem Savkov) [2166911]
- selftests/bpf: Declare subprog_noise as static in tailcall_bpf2bpf4 (Artem Savkov) [2166911]
- selftests/bpf: fix type conflict in test_tc_dtime (Artem Savkov) [2166911]
- libbpf: add map_get_fd_by_id and map_delete_elem in light skeleton (Artem Savkov) [2166911]
- bpf: prepare for more bpf syscall to be used from kernel and user space. (Artem Savkov) [2166911]
- bpf/scripts: Assert helper enum value is aligned with comment order (Artem Savkov) [2166911]
- bpftool: Fix a wrong type cast in btf_dumper_int (Artem Savkov) [2166911]
- selftests/bpf: extend cgroup helpers (Artem Savkov) [2166911]
- cgroup: bpf: enable bpf programs to integrate with rstat (Artem Savkov) [2166911]
- selftests/bpf: Test cgroup_iter. (Artem Savkov) [2166911]
- bpf: Introduce cgroup iter (Artem Savkov) [2166911]
- selftests/bpf: Add tests for reference state fixes for callbacks (Artem Savkov) [2166911]
- bpf: Fix reference state management for synchronous callbacks (Artem Savkov) [2166911]
- selftests/bpf: Make sure bpf_{g,s}et_retval is exposed everywhere (Artem Savkov) [2166911]
- bpf: update bpf_{g,s}et_retval documentation (Artem Savkov) [2166911]
- bpf: Use cgroup_{common,current}_func_proto in more hooks (Artem Savkov) [2166911]
- bpf: Introduce cgroup_{common,current}_func_proto (Artem Savkov) [2166911]
- scripts/bpf: Set date attribute for bpf-helpers(7) man page (Artem Savkov) [2166911]
- scripts/bpf: Set version attribute for bpf-helpers(7) man page (Artem Savkov) [2166911]
- selftests/bpf: Fix spelling mistake. (Artem Savkov) [2166911]
- selftests/bpf: Tests libbpf autoattach APIs (Artem Savkov) [2166911]
- libbpf: Allows disabling auto attach (Artem Savkov) [2166911]
- libbpf: Clean up deprecated and legacy aliases (Artem Savkov) [2166911]
- libbpf: Streamline bpf_attr and perf_event_attr initialization (Artem Savkov) [2166911]
- libbpf: Fix potential NULL dereference when parsing ELF (Artem Savkov) [2166911]
- selftests/bpf: Fix attach point for non-x86 arches in test_progs/lsm (Artem Savkov) [2166911]
- libbpf: Making bpf_prog_load() ignore name if kernel doesn't support (Artem Savkov) [2166911]
- selftests/bpf: Update CI kconfig (Artem Savkov) [2166911]
- bpftool: Clear errno after libcap's checks (Artem Savkov) [2166911]
- bpf: Clear up confusion in bpf_skb_adjust_room()'s documentation (Artem Savkov) [2166911]
- bpftool: Fix a typo in a comment (Artem Savkov) [2166911]
- libbpf: Add names for auxiliary maps (Artem Savkov) [2166911]
- bpf: Use bpf_map_area_alloc consistently on bpf map creation (Artem Savkov) [2166911]
- bpf: Make __GFP_NOWARN consistent in bpf map creation (Artem Savkov) [2166911]
- bpf: Use bpf_map_area_free instread of kvfree (Artem Savkov) [2166911]
- bpf: Remove unneeded memset in queue_stack_map creation (Artem Savkov) [2166911]
- libbpf: preserve errno across pr_warn/pr_info/pr_debug (Artem Savkov) [2166911]
- selftests/bpf: add destructive kfunc test (Artem Savkov) [2166911]
- bpf: export crash_kexec() as destructive kfunc (Artem Savkov) [2166911]
- bpf: add destructive kfunc flag (Artem Savkov) [2166911]
- selftests/bpf: add extra test for using dynptr data slice after release (Artem Savkov) [2166911]
- bpf: Always return corresponding btf_type in __get_type_size() (Artem Savkov) [2166911]
- selftests/bpf: Add BPF-helper test for CLOCK_TAI access (Artem Savkov) [2166911]
- bpf: Add BPF-helper for accessing CLOCK_TAI (Artem Savkov) [2166911]
- bpf, iter: Fix the condition on p when calling stop. (Artem Savkov) [2166911]
- btf: Add a new kfunc flag which allows to mark a function to be sleepable (Artem Savkov) [2166911]
- selftests/bpf: Fix vmtest.sh getopts optstring (Artem Savkov) [2166911]
- selftests/bpf: Fix vmtest.sh -h to not require root (Artem Savkov) [2166911]
- bpf: Improve docstring for BPF_F_USER_BUILD_ID flag (Artem Savkov) [2166911]
- libbpf: Do not require executable permission for shared libraries (Artem Savkov) [2166911]
- bpf: Verifier cleanups (Artem Savkov) [2166911]
- libbpf: Reject legacy 'maps' ELF section (Artem Savkov) [2166911]
- selftests/bpf: Clean up sys_nanosleep uses (Artem Savkov) [2166911]
- libbpf: Ensure functions with always_inline attribute are inline (Artem Savkov) [2166911]
- bpftool: Remove BPF_OBJ_NAME_LEN restriction when looking up bpf program by name (Artem Savkov) [2166911]
- libbpf: Skip empty sections in bpf_object__init_global_data_maps (Artem Savkov) [2166911]
- RHEL-only: Build CXL code as modules (Jeff Moyer) [2135998]
- x86/i8259: Mark legacy PIC interrupts with IRQ_LEVEL (Baoquan He) [2116317]
- Revert "PCI/ASPM: Refactor L1 PM Substates Control Register programming" (Myron Stowe) [2166398]
- Revert "PCI/ASPM: Save L1 PM Substates Capability for suspend/resume" (Myron Stowe) [2166398]
- x86/pci: Treat EfiMemoryMappedIO as reservation of ECAM space (Myron Stowe) [2166398]
- x86/pci: Simplify is_mmconf_reserved() messages (Myron Stowe) [2166398]
- PCI: Drop of_match_ptr() to avoid unused variables (Myron Stowe) [2166398]
- PCI: Remove unnecessary <linux/of_irq.h> includes (Myron Stowe) [2166398]
- PCI: xgene-msi: Include <linux/irqdomain.h> explicitly (Myron Stowe) [2166398]
- PCI: mvebu: Include <linux/irqdomain.h> explicitly (Myron Stowe) [2166398]
- PCI: microchip: Include <linux/irqdomain.h> explicitly (Myron Stowe) [2166398]
- PCI: altera-msi: Include <linux/irqdomain.h> explicitly (Myron Stowe) [2166398]
- PCI: xilinx-nwl: Fix coding style violations (Myron Stowe) [2166398]
- PCI: mvebu: Switch to using gpiod API (Myron Stowe) [2166398]
- PCI: aardvark: Switch to using devm_gpiod_get_optional() (Myron Stowe) [2166398]
- PCI: pci-epf-test: Register notifier if only core_init_notifier is enabled (Myron Stowe) [2166398]
- PCI: mt7621: Add sentinel to quirks table (Myron Stowe) [2166398]
- PCI: endpoint: pci-epf-vntb: Fix sparse ntb->reg build warning (Myron Stowe) [2166398]
- PCI: endpoint: pci-epf-vntb: Fix sparse build warning for epf_db (Myron Stowe) [2166398]
- PCI: endpoint: pci-epf-vntb: Replace hardcoded 4 with sizeof(u32) (Myron Stowe) [2166398]
- PCI: endpoint: pci-epf-vntb: Remove unused epf_db_phy struct member (Myron Stowe) [2166398]
- PCI: endpoint: pci-epf-vntb: Fix call pci_epc_mem_free_addr() in error path (Myron Stowe) [2166398]
- PCI: endpoint: pci-epf-vntb: Fix struct epf_ntb_ctrl indentation (Myron Stowe) [2166398]
- PCI: endpoint: pci-epf-vntb: Clean up kernel_doc warning (Myron Stowe) [2166398]
- PCI: endpoint: Fix WARN() when an endpoint driver is removed (Myron Stowe) [2166398]
- PCI: dwc: Add Baikal-T1 PCIe controller support (Myron Stowe) [2166398]
- PCI: dwc: Introduce generic platform clocks and resets (Myron Stowe) [2166398]
- PCI: dwc: Combine iATU detection procedures (Myron Stowe) [2166398]
- PCI: dwc: Introduce generic resources getter (Myron Stowe) [2166398]
- PCI: dwc: Introduce generic controller capabilities interface (Myron Stowe) [2166398]
- PCI: dwc: Introduce dma-ranges property support for RC-host (Myron Stowe) [2166398]
- dt-bindings: PCI: dwc: Add Baikal-T1 PCIe Root Port bindings (Myron Stowe) [2166398]
- dt-bindings: PCI: dwc: Apply common schema to Rockchip DW PCIe nodes (Myron Stowe) [2166398]
- dt-bindings: PCI: dwc: Add dma-coherent property (Myron Stowe) [2166398]
- dt-bindings: PCI: dwc: Add clocks/resets common properties (Myron Stowe) [2166398]
- dt-bindings: PCI: dwc: Add reg/reg-names common properties (Myron Stowe) [2166398]
- dt-bindings: PCI: dwc: Add interrupts/interrupt-names common properties (Myron Stowe) [2166398]
- dt-bindings: PCI: dwc: Add max-functions EP property (Myron Stowe) [2166398]
- dt-bindings: PCI: dwc: Apply generic schema for generic device only (Myron Stowe) [2166398]
- dt-bindings: PCI: dwc: Add max-link-speed common property (Myron Stowe) [2166398]
- dt-bindings: PCI: dwc: Add phys/phy-names common properties (Myron Stowe) [2166398]
- dt-bindings: PCI: dwc: Remove bus node from the examples (Myron Stowe) [2166398]
- dt-bindings: PCI: dwc: Detach common RP/EP DT bindings (Myron Stowe) [2166398]
- dt-bindings: visconti-pcie: Fix interrupts array max constraints (Myron Stowe) [2166398]
- dt-bindings: imx6q-pcie: Fix clock names for imx6sx and imx8mq (Myron Stowe) [2166398]
- PCI: histb: Switch to using gpiod API (Myron Stowe) [2166398]
- PCI: imx6: Initialize PHY before deasserting core reset (Myron Stowe) [2166398]
- PCI: dwc: Use dev_info for PCIe link down event logging (Myron Stowe) [2166398]
- PCI: designware-ep: Disable PTM capabilities for EP mode (Myron Stowe) [2166398]
- PCI: Add PCI_PTM_CAP_RES macro (Myron Stowe) [2166398]
- PCI: dwc: Fix n_fts[] array overrun (Myron Stowe) [2166398]
- PCI: brcmstb: Set RCB_{MPS,64B}_MODE bits (Myron Stowe) [2166398]
- PCI: brcmstb: Drop needless 'inline' annotations (Myron Stowe) [2166398]
- PCI: brcmstb: Replace status loops with read_poll_timeout_atomic() (Myron Stowe) [2166398]
- PCI: brcmstb: Wait for 100ms following PERST# deassert (Myron Stowe) [2166398]
- PCI: brcmstb: Enable Multi-MSI (Myron Stowe) [2166398]
- dt-bindings: PCI: mediatek-gen3: add support for mt7986 (Myron Stowe) [2166398]
- dt-bindings: PCI: mediatek-gen3: add SoC based clock config (Myron Stowe) [2166398]
- dt-bindings: PCI: Add host mode device-id for j721s2 platform (Myron Stowe) [2166398]
- dt-bindings: PCI: mediatek-gen3: Support mt8195 (Myron Stowe) [2166398]
- dt-bindings: PCI: ti,j721e-pci-*: Add missing interrupt properties (Myron Stowe) [2166398]
- dt-bindings: PCI: ti,j721e-pci-host: add interrupt controller definition (Myron Stowe) [2166398]
- PCI/sysfs: Fix double free in error path (Myron Stowe) [2166398]
- x86/PCI: Use pr_info() when possible (Myron Stowe) [2166398]
- x86/PCI: Fix log message typo (Myron Stowe) [2166398]
- x86/PCI: Tidy E820 removal messages (Myron Stowe) [2166398]
- PCI: Skip allocate_resource() if too little space available (Myron Stowe) [2166398]
- efi/x86: Remove EfiMemoryMappedIO from E820 map (Myron Stowe) [2166398]
- PCI/portdrv: Allow AER service only for Root Ports & RCECs (Myron Stowe) [2166398]
- PCI/portdrv: Unexport pcie_port_service_register(), pcie_port_service_unregister() (Myron Stowe) [2166398]
- PCI/portdrv: Move private things to portdrv.c (Myron Stowe) [2166398]
- PCI/portdrv: Squash into portdrv.c (Myron Stowe) [2166398]
- agp/via: Update to DEFINE_SIMPLE_DEV_PM_OPS() (Myron Stowe) [2166398]
- agp/sis: Update to DEFINE_SIMPLE_DEV_PM_OPS() (Myron Stowe) [2166398]
- agp/amd64: Update to DEFINE_SIMPLE_DEV_PM_OPS() (Myron Stowe) [2166398]
- agp/nvidia: Convert to generic power management (Myron Stowe) [2166398]
- agp/ati: Convert to generic power management (Myron Stowe) [2166398]
- agp/amd-k7: Convert to generic power management (Myron Stowe) [2166398]
- agp/intel: Convert to generic power management (Myron Stowe) [2166398]
- agp/efficeon: Convert to generic power management (Myron Stowe) [2166398]
- PCI/PM: Remove unused 'state' parameter to pci_legacy_suspend_late() (Myron Stowe) [2166398]
- PCI/ACPI: Use METHOD_NAME__UID instead of plain string (Myron Stowe) [2166398]
- PCI: pciehp: Enable Command Completed Interrupt only if supported (Myron Stowe) [2166398]
- PCI: shpchp: Remove unused get_mode1_ECC_cap callback (Myron Stowe) [2166398]
- PCI: acpiphp: Avoid setting is_hotplug_bridge for PCIe Upstream Ports (Myron Stowe) [2166398]
- PCI/portdrv: Set PCIE_PORT_SERVICE_HP for Root and Downstream Ports only (Myron Stowe) [2166398]
- PCI: pciehp: Enable by default if USB4 enabled (Myron Stowe) [2166398]
- PCI: Check for alloc failure in pci_request_irq() (Myron Stowe) [2166398]
- PCI: Fix pci_device_is_present() for VFs by checking PF (Myron Stowe) [2166398]
- PCI: Assign PCI domain IDs by ida_alloc() (Myron Stowe) [2166398]
- Revert "PCI: Clear PCI_STATUS when setting up device" (Myron Stowe) [2166398]
- PCI: Access Link 2 registers only for devices with Links (Myron Stowe) [2166398]
- PCI/DOE: Fix maximum data object length miscalculation (Myron Stowe) [2166398]
- PCI: Allow drivers to request exclusive config regions (Myron Stowe) [2166398]
- NTB: EPF: Tidy up some bounds checks (Myron Stowe) [2166398]
- NTB: EPF: Fix error code in epf_ntb_bind() (Myron Stowe) [2166398]
- PCI: endpoint: pci-epf-vntb: reduce several globals to statics (Myron Stowe) [2166398]
- PCI: endpoint: pci-epf-vntb: fix error handle in epf_ntb_mw_bar_init() (Myron Stowe) [2166398]
- PCI: endpoint: Fix Kconfig dependency (Myron Stowe) [2166398]
- NTB: EPF: set pointer addr to null using NULL rather than 0 (Myron Stowe) [2166398]
- Documentation: PCI: Add specification for the PCI vNTB function device (Myron Stowe) [2166398]
- PCI: endpoint: Support NTB transfer between RC and EP (Myron Stowe) [2166398]
- NTB: epf: Allow more flexibility in the memory BAR map method (Myron Stowe) [2166398]
- PCI: designware-ep: Allow pci_epc_set_bar() update inbound map address (Myron Stowe) [2166398]
- dt-bindings: PCI: fsl,imx6q-pcie: Add missing type for 'reset-gpio-active-high' (Myron Stowe) [2166398]
- PCI/DOE: Add DOE mailbox support functions (Myron Stowe) [2166398]
- PCI: Use driver_set_override() instead of open-coding (Myron Stowe) [2166398]
- dt-bindings: imx6q-pcie: Add iMX8MP PCIe compatible string (Myron Stowe) [2166398]
- dt-bindings: imx6q-pcie: Add iMX8MM PCIe compatible string (Myron Stowe) [2166398]
- dt-bindings: PCI: snps,dw-pcie-ep: Drop conflicting 'max-functions' schema (Myron Stowe) [2166398]
- dt-bindings: imx6q-pcie: Add PHY phandles and name properties (Myron Stowe) [2166398]
- PCI/sysfs: use NUMA_NO_NODE macro (Myron Stowe) [2166398]
- dt-bindings: Drop more redundant 'maxItems/minItems' (Myron Stowe) [2166398]
- dt-bindings: PCI: imx6: convert the imx pcie controller to dtschema (Myron Stowe) [2166398]
- sysfs: Rename struct bin_attribute member to f_mapping (Myron Stowe) [2166398]
- sysfs: Invoke iomem_get_mapping() from the sysfs open callback (Myron Stowe) [2166398]
- powerpc/eeh: Set channel state after notifying the drivers (Steve Best) [2174364]
Resolves: rhbz#2116317, rhbz#2135998, rhbz#2166398, rhbz#2166911, rhbz#2174364, rhbz#2181172

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-03-27 14:28:32 +02:00
Jan Stancek
f30e72684a kernel-5.14.0-291.el9
* Thu Mar 23 2023 Jan Stancek <jstancek@redhat.com> [5.14.0-291.el9]
- intel_idle: add Emerald Rapids Xeon support (David Arcari) [2156825]
- redhat/configs: Disable CONFIG_GCC_PLUGINS (Prarit Bhargava) [2177294]
- redhat: define Maple Tree configs (Nico Pache) [2166668]
- maple_tree: reduce stack usage with gcc-9 and earlier (Nico Pache) [2166668]
- maple_tree: fix mas_prev() and mas_find() state handling (Nico Pache) [2166668]
- maple_tree: fix handle of invalidated state in mas_wr_store_setup() (Nico Pache) [2166668]
- test_maple_tree: test modifications while iterating (Nico Pache) [2166668]
- maple_tree: reduce user error potential (Nico Pache) [2166668]
- maple_tree: fix potential rcu issue (Nico Pache) [2166668]
- maple_tree: add mas_init() function (Nico Pache) [2166668]
- maple_tree: fix comment of mte_destroy_walk (Nico Pache) [2166668]
- maple_tree: remove GFP_ZERO from kmem_cache_alloc() and kmem_cache_alloc_bulk() (Nico Pache) [2166668]
- maple_tree: refine mab_calc_split function (Nico Pache) [2166668]
- maple_tree: refine ma_state init from mas_start() (Nico Pache) [2166668]
- maple_tree: remove the redundant code (Nico Pache) [2166668]
- maple_tree: use macro MA_ROOT_PARENT instead of number (Nico Pache) [2166668]
- maple_tree: use mt_node_max() instead of direct operations mt_max[] (Nico Pache) [2166668]
- maple_tree: remove extra return statement (Nico Pache) [2166668]
- maple_tree: remove extra space and blank line (Nico Pache) [2166668]
- maple_tree: should get pivots boundary by type (Nico Pache) [2166668]
- maple_tree: fix mas_empty_area_rev() lower bound validation (Nico Pache) [2166668]
- maple_tree: allow TEST_MAPLE_TREE only when DEBUG_KERNEL is set (Nico Pache) [2166668]
- test_maple_tree: add test for mas_spanning_rebalance() on insufficient data (Nico Pache) [2166668]
- maple_tree: fix mas_spanning_rebalance() on insufficient data (Nico Pache) [2166668]
- maple_tree: fix mas_find_rev() comment (Nico Pache) [2166668]
- maple_tree: mte_set_full() and mte_clear_full() clang-analyzer clean up (Nico Pache) [2166668]
- maple_tree: don't set a new maximum on the node when not reusing nodes (Nico Pache) [2166668]
- maple_tree: fix depth tracking in maple_state (Nico Pache) [2166668]
- maple_tree: reorganize testing to restore module testing (Nico Pache) [2166668]
- maple_tree: mas_anode_descend() clang-analyzer cleanup (Nico Pache) [2166668]
- maple_tree: remove pointer to pointer use in mas_alloc_nodes() (Nico Pache) [2166668]
- lib: maple_tree: remove unneeded initialization in mtree_range_walk() (Nico Pache) [2166668]
- lib/test_maple_tree: add testing for maple tree (Nico Pache) [2166668]
- radix tree test suite: add lockdep_is_held to header (Nico Pache) [2166668]
- radix tree test suite: add support for slab bulk APIs (Nico Pache) [2166668]
- radix tree test suite: add allocation counts and size to kmem_cache (Nico Pache) [2166668]
- radix tree test suite: add kmem_cache_set_non_kernel() (Nico Pache) [2166668]
- radix tree test suite: add pr_err define (Nico Pache) [2166668]
- Maple Tree: add new data structure (Nico Pache) [2166668]
- mips: rename mt_init to mips_mt_init (Nico Pache) [2166668]
- redhat/configs: Revert "enable DAMON configs" (Vladis Dronov)
- powercap: intel_rapl: add support for Emerald Rapids (David Arcari) [2156805]
- gfs2: Improve gfs2_make_fs_rw error handling (Andreas Gruenbacher) [2109548]
- Revert "GFS2: free disk inode which is deleted by remote node -V2" (Bob Peterson) [2109548]
- gfs2: Evict inodes cooperatively (Andreas Gruenbacher) [2109548]
- gfs2: Flush delete work before shrinking inode cache (Andreas Gruenbacher) [2109548]
- gfs2: Cease delete work during unmount (Bob Peterson) [2109548]
- gfs2: Add SDF_DEACTIVATING super block flag (Bob Peterson) [2109548]
- gfs2: check gl_object in rgrp glops (Bob Peterson) [2109548]
- gfs2: Split the two kinds of glock "delete" work (Andreas Gruenbacher) [2109548]
- gfs2: Move delete workqueue into super block (Andreas Gruenbacher) [2109548]
- gfs2: Get rid of GLF_PENDING_DELETE flag (Andreas Gruenbacher) [2109548]
- gfs2: Make glock lru list scanning safer (Andreas Gruenbacher) [2109548]
- gfs2: Clean up gfs2_scan_glock_lru (Andreas Gruenbacher) [2109548]
- gfs2: Improve gfs2_upgrade_iopen_glock comment (Andreas Gruenbacher) [2109548]
- gfs2: gl_object races fix (Andreas Gruenbacher) [2109548]
- iomap/gfs2: Unlock and put folio in page_done handler (Andreas Gruenbacher) [2109548]
- iomap: Add __iomap_put_folio helper (Andreas Gruenbacher) [2109548]
- Revert "gfs2: stop using generic_writepages in gfs2_ail1_start_one" (Andreas Gruenbacher) [2109548]
- gfs2: Remove support for glock holder auto-demotion (2) (Andreas Gruenbacher) [2109548]
- gfs2: Remove support for glock holder auto-demotion (Andreas Gruenbacher) [2109548]
- gfs2: Minor gfs2_try_evict cleanup (Andreas Gruenbacher) [2109548]
- gfs2: Partially revert gfs2_inode_lookup change (Andreas Gruenbacher) [2109548]
- gfs2: Add gfs2_inode_lookup comment (Andreas Gruenbacher) [2109548]
- gfs2: Uninline and improve glock_{set,clear}_object (Andreas Gruenbacher) [2109548]
- gfs2: Simply dequeue iopen glock in gfs2_evict_inode (Andreas Gruenbacher) [2109548]
- gfs2: Clean up after gfs2_create_inode rework (Andreas Gruenbacher) [2109548]
- gfs2: Avoid dequeuing GL_ASYNC glock holders twice (Andreas Gruenbacher) [2109548]
- gfs2: Make gfs2_glock_hold return its glock argument (Andreas Gruenbacher) [2109548]
- gfs2: Always check inode size of inline inodes (Andreas Gruenbacher) [2109548]
- gfs2: Cosmetic gfs2_dinode_{in,out} cleanup (Andreas Gruenbacher) [2109548]
- gfs2: Handle -EBUSY result of insert_inode_locked4 (Andreas Gruenbacher) [2109548]
- gfs2: Fix and clean up create / evict interaction (Andreas Gruenbacher) [2109548]
- gfs2: Clean up initialization of "ip" in gfs2_create_inode (Andreas Gruenbacher) [2109548]
- gfs2: Get rid of ghs[] in gfs2_create_inode (Andreas Gruenbacher) [2109548]
- gfs2: Add extra error check in alloc_dinode (Andreas Gruenbacher) [2109548]
- gfs2: Check sb_bsize_shift after reading superblock (Andrew Price) [2109548]
- gfs2: Switch from strlcpy to strscpy (Andreas Gruenbacher) [2109548]
- gfs2: Clear flags when withdraw prevents xmote (Bob Peterson) [2109548]
- gfs2: Dequeue waiters when withdrawn (Bob Peterson) [2109548]
- gfs2: Prevent double iput for journal on error (Bob Peterson) [2109548]
- gfs2: Convert gfs2_jhead_process_page() to use a folio (Andreas Gruenbacher) [2109548]
- gfs2: remove ->writepage (Andreas Gruenbacher) [2109548]
- gfs2: stop using generic_writepages in gfs2_ail1_start_one (Andreas Gruenbacher) [2109548]
- gfs2: List traversal in do_promote is safe (Andreas Gruenbacher) [2109548]
- gfs2: do_promote glock holder stealing fix (Bob Peterson) [2109548]
- gfs2: Use better variable name (Andreas Gruenbacher) [2109548]
- gfs2: Make go_instantiate take a glock (Andreas Gruenbacher) [2109548]
- gfs2: Add new go_held glock operation (Andreas Gruenbacher) [2109548]
- gfs2: Revert 'Fix "truncate in progress" hang' (Andreas Gruenbacher) [2109548]
- gfs2: Instantiate glocks ouside of glock state engine (Andreas Gruenbacher) [2109548]
- gfs2: Fix up gfs2_glock_async_wait (Andreas Gruenbacher) [2109548]
- gfs2: Mark the remaining process-independent glock holders as GL_NOPID (Andreas Gruenbacher) [2109548]
- gfs2: Mark flock glock holders as GL_NOPID (Andreas Gruenbacher) [2109548]
- gfs2: Add GL_NOPID flag for process-independent glock holders (Andreas Gruenbacher) [2109548]
- gfs2: Add flocks to glockfd debugfs file (Andreas Gruenbacher) [2109548]
- gfs2: Add glockfd debugfs file (Andreas Gruenbacher) [2109548]
- gfs2: Minor gfs2_glock_nq_m cleanup (Andreas Gruenbacher) [2109548]
- gfs2: Fix spelling mistake in comment (Andreas Gruenbacher) [2109548]
- gfs2: Rewrap overlong comment in do_promote (Bob Peterson) [2109548]
- gfs2: Remove redundant NULL check before kfree (Andreas Gruenbacher) [2109548]
- gfs2: Use container_of() for gfs2_glock(aspace) (Andreas Gruenbacher) [2109548]
- gfs2: dump inode object for iopen glocks (Bob Peterson) [2109548]
Resolves: rhbz#2156805, rhbz#2156825, rhbz#2166668, rhbz#2177294

Signed-off-by: Jan Stancek <jstancek@redhat.com>
2023-03-23 11:30:58 +01:00
Herton R. Krzesinski
a8797568d4 kernel-5.14.0-283.el9
* Thu Feb 23 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-283.el9]
- redhat: fix duplicate jira issues in the resolves line (Herton R. Krzesinski)
- redhat/kernel.spec.template: Parallelize compression (Herton R. Krzesinski)
- Revert "block: freeze the queue earlier in del_gendisk" (Ming Lei) [2155901]
- redhat: configs: Enable UCSI_CCG support (David Marlin) [2122414]
- i2c: nvidia-gpu: Remove ccgx,firmware-build property (David Marlin) [2122414]
- i2c: nvidia-gpu: Add ACPI property to align with device-tree (David Marlin) [2122414]
- usb: typec: ucsi_ccg: Add OF support (David Marlin) [2122414]
- gpio: tegra186: add Tegra234 PMC compatible in GPIO driver (David Marlin) [2122414]
- usb: gadget: tegra-xudc: Add Tegra234 support (David Marlin) [2122414]
- xhci: tegra: USB2 pad power controls (David Marlin) [2122414]
- xhci: Add hub_control to xhci_driver_overrides (David Marlin) [2122414]
- xhci: hub: export symbol on xhci_hub_control (David Marlin) [2122414]
- usb: host: xhci-tegra: Add Tegra234 XHCI support (David Marlin) [2122414]
- phy: tegra: xusb: Support USB role default mode (David Marlin) [2122414]
- phy: tegra: xusb: Add Tegra234 support (David Marlin) [2122414]
- phy: tegra: xusb: Disable trk clk when not in use (David Marlin) [2122414]
- phy: tegra: xusb: Remove usb3 supply (David Marlin) [2122414]
- phy: tegra: xusb: Fix crash during pad power on/down (David Marlin) [2122414]
- usb: typec: ucsi_ccg: Disable UCSI ALT support on Tegra (David Marlin) [2122414]
- usb: typec: ucsi: Don't warn on probe deferral (David Marlin) [2122414]
- phy: tegra: xusb: Enable usb role switch attribute (David Marlin) [2122414]
- usb: gadget: tegra: Reduce pad power (David Marlin) [2122414]
- phy: tegra: xusb: add utmi pad power on/down ops (David Marlin) [2122414]
- redhat/configs: Enable CONFIG_PCIE_PTM (Corinna Vinschen) [2100606]
- net: stmmac: Fix queue statistics reading (Corinna Vinschen) [2100606]
- stmmac: intel: Update PCH PTP clock rate from 200MHz to 204.8MHz (Corinna Vinschen) [2100606]
- net: stmmac: Disable automatic FCS/Pad stripping (Corinna Vinschen) [2100606]
- net: ethernet: move from strlcpy with unused retval to strscpy (Corinna Vinschen) [2100606]
- stmmac: intel: remove unused 'has_crossts' flag (Corinna Vinschen) [2100606]
- net: phylink: Convert to mdiobus_c45_{read|write} (Corinna Vinschen) [2100606]
- net: phy: marvell: add sleep time after enabling the loopback bit (Corinna Vinschen) [2100606]
- net: phy: marvell: add Marvell specific PHY loopback (Corinna Vinschen) [2100606]
- net: phy: dp83867: retrigger SGMII AN when link change (Corinna Vinschen) [2100606]
- net: phy: marvell: Add WAKE_PHY support to WOL event (Corinna Vinschen) [2100606]
- net: phy: marvell10g: enable WoL for 88X3310 and 88E2110 (Corinna Vinschen) [2100606]
- netfilter: nft_payload: incorrect arithmetics when fetching VLAN header bits (Florian Westphal) [2161725] {CVE-2023-0179}
Resolves: rhbz#2100606, rhbz#2122414, rhbz#2155901, rhbz#2161725

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-23 20:38:10 +00:00
Herton R. Krzesinski
1fd3c43465 kernel-5.14.0-281.el9
* Wed Feb 22 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-281.el9]
- virtio_console: break out of buf poll on remove (Michael S. Tsirkin) [1786239]
- cpufreq: intel_pstate: Add Sapphire Rapids support in no-HWP mode (David Arcari) [2170574]
- net: mana: Fix accessing freed irq affinity_hint (Emanuele Giuseppe Esposito) [2168970]
- net: mana: Assign interrupts to CPUs based on NUMA nodes (Emanuele Giuseppe Esposito) [2168970]
- be2net: Fix buffer overflow in be_get_module_eeprom (Izabela Bakollari) [2167725]
- nfsd: don't destroy global nfs4_file table in per-net shutdown (Jeffrey Layton) [2169017]
- x86/module: Fix the paravirt vs alternative order (Waiman Long) [2170197]
- dt-bindings: dmaengine: Add compatible for Tegra234 (d.marlin) [2129115]
- dt-bindings: dmaengine: Add doc for tegra gpcdma (d.marlin) [2129115]
- icmp: Add counters for rate limits (Jamie Bainbridge) [2155801]
- Revert "ethernet: Remove vf rate limit check for drivers" (Ken Cox) [2168599]
- selftests: net: udpgso_bench_tx: Cater for pending datagrams zerocopy benchmarking (Hangbin Liu) [RHEL-221]
- selftests: net: udpgso_bench: Fix racing bug between the rx/tx programs (Hangbin Liu) [RHEL-221]
- selftests: net: udpgso_bench_rx/tx: Stop when wrong CLI args are provided (Hangbin Liu) [RHEL-221]
- selftests: net: udpgso_bench_rx: Fix 'used uninitialized' compiler warning (Hangbin Liu) [RHEL-221]
- igb: Initialize mailbox message for VF reset (Corinna Vinschen) [2104469]
- igb: Allocate MSI-X vector when testing (Corinna Vinschen) [2104469]
- igb: Proactively round up to kmalloc bucket size (Corinna Vinschen) [2104469]
- igb: Do not free q_vector unless new one was allocated (Corinna Vinschen) [2104469]
- ptp: introduce helpers to adjust by scaled parts per million (Corinna Vinschen) [2104469]
- net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Corinna Vinschen) [2104469]
- net: ethernet: move from strlcpy with unused retval to strscpy (Corinna Vinschen) [2104469]
- igb: convert .adjfreq to .adjfine (Corinna Vinschen) [2104469]
- igb: add xdp frags support to ndo_xdp_xmit (Corinna Vinschen) [2104469]
- dt-bindings: serial: Document Tegra234 TCU (d.marlin) [2122413]
- dt-bindings: serial: 8250: Document Tegra234 UART (d.marlin) [2122413]
- dt-bindings: serial: tegra-tcu: Convert to json-schema (d.marlin) [2122413]
- ipv6: Remove extra counter pull before gc (Hangbin Liu) [2161118]
- ipv6: remove max_size check inline with ipv4 (Hangbin Liu) [2161118]
- redhat/configs: enable coresight driver for nvidia/grace (Mark Salter) [2128086]
- perf: arm_cspmu: Fix module cyclic dependency (Mark Salter) [2128086]
- perf: arm_cspmu: Fix build failure on x86_64 (Mark Salter) [2128086]
- perf: arm_cspmu: Fix modular builds due to missing MODULE_LICENSE()s (Mark Salter) [2128086]
- perf: arm_cspmu: Add support for NVIDIA SCF and MCF attribute (Mark Salter) [2128086]
- perf: arm_cspmu: Add support for ARM CoreSight PMU driver (Mark Salter) [2128086]
- redhat: add support for Jira issues in changelog (Herton R. Krzesinski) [RHEL-186]
- ice: fix handling of burst Tx timestamps (Petr Oros) [2161201]
- ice: synchronize the misc IRQ when tearing down Tx tracker (Petr Oros) [2161204]
- ice: Add low latency Tx timestamp read (Petr Oros) [2161204]
- ice: introduce ice_ptp_reset_cached_phctime function (Petr Oros) [2161204]
- ice: re-arrange some static functions in ice_ptp.c (Petr Oros) [2161204]
- ice: track and warn when PHC update is late (Petr Oros) [2161204]
- ice: track Tx timestamp stats similar to other Intel drivers (Petr Oros) [2161204]
- net-sysfs: add check for netdevice being present to speed_show (Beniamino Galvani) [2148349]
- Bluetooth: L2CAP: Fix use-after-free caused by l2cap_reassemble_sdu (Ricardo Robaina) [2152931] {CVE-2022-3564}
- proc: proc_skip_spaces() shouldn't think it is working on C strings (Wander Lairson Costa) [2152581] {CVE-2022-4378}
- proc: avoid integer type confusion in get_proc_long (Wander Lairson Costa) [2152581] {CVE-2022-4378}
- xen-netfront: restore __skb_queue_tail() positioning in xennet_get_responses() (Izabela Bakollari) [2118313] {CVE-2022-33743}
Resolves: rhbz#1786239, rhbz#2170574, rhbz#2168970, rhbz#2167725, rhbz#2169017, rhbz#2170197, rhbz#2129115, rhbz#2155801, rhbz#2168599, rhbz#2104469, rhbz#2122413, rhbz#2161118, rhbz#2128086, rhbz#2161201, rhbz#2161204, rhbz#2148349, rhbz#2152931, rhbz#2152581, rhbz#2118313, RHEL-221, RHEL-186

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-22 15:33:18 +00:00
Herton R. Krzesinski
b704565159 kernel-5.14.0-280.el9
* Tue Feb 21 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-280.el9]
- redhat/configs: enable neoverse and cortex errata (Jeremy Linton) [2055405]
- coresight: syscfg: Update load and unload operations (Jeremy Linton) [2055405]
- coresight: configfs: Fix unload of configurations on module exit (Jeremy Linton) [2055405]
- coresight: syscfg: Fix memleak on registration failure in cscfg_create_device (Jeremy Linton) [2055405]
- coresight: core: Fix typo in a comment (Jeremy Linton) [2055405]
- Documentation: coresight: Update coresight configuration docs (Jeremy Linton) [2055405]
- coresight: configfs: Allow configfs to activate configuration (Jeremy Linton) [2055405]
- coresight: syscfg: Example CoreSight configuration loadable module (Jeremy Linton) [2055405]
- coresight: syscfg: Update load API for config loadable modules (Jeremy Linton) [2055405]
- coresight: configuration: Update API to permit dynamic load/unload (Jeremy Linton) [2055405]
- coresight: configuration: Update API to introduce load owner concept (Jeremy Linton) [2055405]
- Documentation: coresight: Fix documentation issue (Jeremy Linton) [2055405]
- coresight: Use devm_bitmap_zalloc when applicable (Jeremy Linton) [2055405]
- coresight: trbe: Work around write to out of range (Jeremy Linton) [2055405]
- coresight: trbe: Make sure we have enough space (Jeremy Linton) [2055405]
- coresight: trbe: Add a helper to determine the minimum buffer size (Jeremy Linton) [2055405]
- coresight: trbe: Workaround TRBE errata overwrite in FILL mode (Jeremy Linton) [2055405]
- coresight: trbe: Add infrastructure for Errata handling (Jeremy Linton) [2055405]
- coresight: trbe: Allow driver to choose a different alignment (Jeremy Linton) [2055405]
- coresight: trbe: Decouple buffer base from the hardware base (Jeremy Linton) [2055405]
- coresight: trbe: Add a helper to pad a given buffer area (Jeremy Linton) [2055405]
- coresight: trbe: Add a helper to calculate the trace generated (Jeremy Linton) [2055405]
- coresight: trbe: Defer the probe on offline CPUs (Jeremy Linton) [2055405]
- coresight: trbe: Fix incorrect access of the sink specific data (Jeremy Linton) [2055405]
- coresight: etm4x: Add ETM PID for Kryo-5XX (Jeremy Linton) [2055405]
- coresight: trbe: Prohibit trace before disabling TRBE (Jeremy Linton) [2055405]
- coresight: trbe: End the AUX handle on truncation (Jeremy Linton) [2055405]
- coresight: trbe: Do not truncate buffer on IRQ (Jeremy Linton) [2055405]
- coresight: trbe: Fix handling of spurious interrupts (Jeremy Linton) [2055405]
- coresight: trbe: irq handler: Do not disable TRBE if no action is needed (Jeremy Linton) [2055405]
- coresight: trbe: Unify the enabling sequence (Jeremy Linton) [2055405]
- coresight: trbe: Drop duplicate TRUNCATE flags (Jeremy Linton) [2055405]
- coresight: trbe: Ensure the format flag is always set (Jeremy Linton) [2055405]
- coresight: etm-pmu: Ensure the AUX handle is valid (Jeremy Linton) [2055405]
- coresight: etm4x: Use Trace Filtering controls dynamically (Jeremy Linton) [2055405]
- coresight: etm4x: Save restore TRFCR_EL1 (Jeremy Linton) [2055405]
- coresight: Don't immediately close events that are run on invalid CPU/sink combos (Jeremy Linton) [2055405]
- coresight: tmc-etr: Speed up for bounce buffer in flat mode (Jeremy Linton) [2055405]
- coresight: Update comments for removing cs_etm_find_snapshot() (Jeremy Linton) [2055405]
- coresight: tmc-etr: Use perf_output_handle::head for AUX ring buffer (Jeremy Linton) [2055405]
- coresight: tmc-etf: Add comment for store ordering (Jeremy Linton) [2055405]
- coresight: tmc-etr: Add barrier after updating AUX ring buffer (Jeremy Linton) [2055405]
- coresight: tmc: Configure AXI write burst size (Jeremy Linton) [2055405]
- dt-bindings: coresight: Add burst size for TMC (Jeremy Linton) [2055405]
- coresight: cpu-debug: Control default behavior via Kconfig (Jeremy Linton) [2055405]
- coresight: cti: Correct the parameter for pm_runtime_put (Jeremy Linton) [2055405]
- coresight: syscfg: Fix compiler warning (Jeremy Linton) [2055405]
- Documentation: coresight: Add documentation for CoreSight config (Jeremy Linton) [2055405]
- coresight: syscfg: Add initial configfs support (Jeremy Linton) [2055405]
- coresight: config: Add preloaded configurations (Jeremy Linton) [2055405]
- coresight: etm4x: Add complex configuration handlers to etmv4 (Jeremy Linton) [2055405]
- coresight: etm-perf: Update to activate selected configuration (Jeremy Linton) [2055405]
- coresight: syscfg: Add API to activate and enable configurations (Jeremy Linton) [2055405]
- coresight: etm-perf: Update to handle configuration selection (Jeremy Linton) [2055405]
- coresight: config: Add configuration and feature generic functions (Jeremy Linton) [2055405]
- coresight: syscfg: Add registration and feature loading for cs devices (Jeremy Linton) [2055405]
- coresight: syscfg: Initial coresight system configuration (Jeremy Linton) [2055405]
Resolves: rhbz#2055405

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-21 18:08:21 +00:00
Herton R. Krzesinski
9001877f3f kernel-5.14.0-279.el9
* Mon Feb 20 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-279.el9]
- redhat/configs: enable Octeon TX2 network drivers for RHEL (Michal Schmidt) [2040643]
- octeontx2: mark the AF/PF/VF drivers as tech-preview (Michal Schmidt) [2040643]
- octeontx2-af: Fix devlink unregister (Michal Schmidt) [2040643]
- octeontx2-pf: Fix the use of GFP_KERNEL in atomic context on rt (Michal Schmidt) [2040643]
- octeontx2-pf: Avoid use of GFP_KERNEL in atomic context (Michal Schmidt) [2040643]
- octeontx2-pf: Fix resource leakage in VF driver unbind (Michal Schmidt) [2040643]
- octeontx2-af: Fix LMAC config in cgx_lmac_rx_tx_enable (Michal Schmidt) [2040643]
- octeontx2-pf: Fix lmtst ID used in aura free (Michal Schmidt) [2040643]
- net: ethernet: marvell: octeontx2: Fix uninitialized variable warning (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: mcs: Fix a resource leak in the probe and remove functions (Michal Schmidt) [2040643]
- octeontx2-af: Add FEC stats for RPM/RPM_USX block (Michal Schmidt) [2040643]
- octeontx2-pf: ethtool: Implement get_fec_stats (Michal Schmidt) [2040643]
- octeontx2-af: cn10kb: Add RPM_USX MAC support (Michal Schmidt) [2040643]
- octeontx2-af: Support variable number of lmacs (Michal Schmidt) [2040643]
- octeontx2-af: Simplify a size computation in rvu_npc_exact_init() (Michal Schmidt) [2040643]
- octeontx2-af: Fix the size of memory allocated for the 'id_bmap' bitmap (Michal Schmidt) [2040643]
- octeontx2-af: Use the bitmap API to allocate bitmaps (Michal Schmidt) [2040643]
- octeontx2-af: Slightly simplify rvu_npc_exact_init() (Michal Schmidt) [2040643]
- octeontx2-af: Fix a potentially spurious error message (Michal Schmidt) [2040643]
- octeontx2-pf: Add support to filter packet based on IP fragment (Michal Schmidt) [2040643]
- octeontx2-pf: Add additional checks while configuring ucast/bcast/mcast rules (Michal Schmidt) [2040643]
- marvell: octeontx2: build error: unknown type name 'u64' (Michal Schmidt) [2040643]
- octeontx2-af: Allow mkex profile without DMAC and add L2M/L2B header extraction support (Michal Schmidt) [2040643]
- octeontx2-pf: Fix potential memory leak in otx2_init_tc() (Michal Schmidt) [2040643]
- octeontx2-pf: Fix pfc_alloc_status array overflow (Michal Schmidt) [2040643]
- octeontx2-af: Fix reference count issue in rvu_sdp_init() (Michal Schmidt) [2040643]
- octeontx2-pf: Add check for devm_kcalloc (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: mcs: Fix copy and paste bug in mcs_bbe_intr_handler() (Michal Schmidt) [2040643]
- octeontx2-af: debugsfs: fix pci device refcount leak (Michal Schmidt) [2040643]
- octeontx2-pf: Fix SQE threshold checking (Michal Schmidt) [2040643]
- octeontx2-pf: NIX TX overwrites SQ_CTX_HW_S[SQ_INT] (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: mcs: Fix error return code in mcs_register_interrupts() (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: mcs: Add debugfs support (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: mcs: Handle MCS block interrupts (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: mcs: Support for stats collection (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: mcs: Install a default TCAM for normal traffic (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: mcs: Manage the MCS block hardware resources (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: mcs: Add mailboxes for port related operations (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: Introduce driver for macsec block. (Michal Schmidt) [2040643]
- octeontx2-pf: Fix unused variable build error (Michal Schmidt) [2040643]
- octeontx2-af: Initialize PTP_SEC_ROLLOVER register properly (Michal Schmidt) [2040643]
- octeontx2-af: Add PTP PPS Errata workaround on CN10K silicon (Michal Schmidt) [2040643]
- octeontx2-pf: Add support for ptp 1-step mode on CN10K silicon (Michal Schmidt) [2040643]
- octeontx2-af: return correct ptp timestamp for CN10K silicon (Michal Schmidt) [2040643]
- octeontx2-pf: Add egress PFC support (Michal Schmidt) [2040643]
- octeontx2: ethernet: move from strlcpy with unused retval to strscpy (Michal Schmidt) [2040643]
- octeontx2-pf: Fix NIX_AF_TL3_TL2X_LINKX_CFG register configuration (Michal Schmidt) [2040643]
- octeontx2-af: Fix key checking for source mac (Michal Schmidt) [2040643]
- octeontx2-af: Fix mcam entry resource leak (Michal Schmidt) [2040643]
- octeontx2-af: suppress external profile loading warning (Michal Schmidt) [2040643]
- octeontx2-af: Apply tx nibble fixup always (Michal Schmidt) [2040643]
- octeontx2-pf: Reduce minimum mtu size to 60 (Michal Schmidt) [2040643]
- octeontx2-af: Set NIX link credits based on max LMAC (Michal Schmidt) [2040643]
- octeontx2-af: Fixes static warnings (Michal Schmidt) [2040643]
- octeontx2-af: Limit link bringup time at firmware (Michal Schmidt) [2040643]
- octeontx2-af: returning uninitialized variable (Michal Schmidt) [2040643]
- octeontx2-af: Remove duplicate include (Michal Schmidt) [2040643]
- octeontx2-af: Skip CGX/RPM probe incase of zero lmac count (Michal Schmidt) [2040643]
- octeontx2-af: Enable Exact match flag in kex profile (Michal Schmidt) [2040643]
- octeontx2-pf: Add support for exact match table. (Michal Schmidt) [2040643]
- octeontx2-af: Invoke exact match functions if supported (Michal Schmidt) [2040643]
- octeontx2-af: Wrapper functions for MAC addr add/del/update/reset (Michal Schmidt) [2040643]
- octeontx2: Modify mbox request and response structures (Michal Schmidt) [2040643]
- octeontx2-af: Debugsfs support for exact match. (Michal Schmidt) [2040643]
- octeontx2-af: Drop rules for NPC MCAM (Michal Schmidt) [2040643]
- octeontx2-af: FLR handler for exact match table. (Michal Schmidt) [2040643]
- octeontx2-af: devlink configuration support (Michal Schmidt) [2040643]
- octeontx2-af: Exact match scan from kex profile (Michal Schmidt) [2040643]
- octeontx2-af: Exact match support (Michal Schmidt) [2040643]
- octeontx2-af: Use hashed field in MCAM key (Michal Schmidt) [2040643]
- octeontx2-af: Don't reset previous pfc config (Michal Schmidt) [2040643]
- octeontx2-af: fix operand size in bitwise operation (Michal Schmidt) [2040643]
- marvell/octeontx2/af: fix repeated words in comments (Michal Schmidt) [2040643]
- octeontx2-pf: Fix UDP/TCP src and dst port tc filters (Michal Schmidt) [2040643]
- octeontx2-pf: cn10k: Fix egress ratelimit configuration (Michal Schmidt) [2040643]
- octeontx2-vf: Add support for adaptive interrupt coalescing (Michal Schmidt) [2040643]
- octeontx2-pf: replace bitmap_weight with bitmap_empty where appropriate (Michal Schmidt) [2040643]
- octeontx2-af: fix error code in is_valid_offset() (Michal Schmidt) [2040643]
- octeontx2-pf: Add support for adaptive interrupt coalescing (Michal Schmidt) [2040643]
- octeontx2-pf: Use memset_startat() helper in otx2_stop() (Michal Schmidt) [2040643]
- octeontx2-pf: Remove unnecessary synchronize_irq() before free_irq() (Michal Schmidt) [2040643]
- octeontx2-af: debugfs: fix error return of allocations (Michal Schmidt) [2040643]
- octeontx2-af: initialize action variable (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: add workaround for ptp errata (Michal Schmidt) [2040643]
- octeontx2-pf: cn10k: add support for new ptp timestamp format (Michal Schmidt) [2040643]
- octeontx2-af: fix array bound error (Michal Schmidt) [2040643]
- octeontx2-pf: Add TC feature for VFs (Michal Schmidt) [2040643]
- octeontx2-pf: PFC config support with DCBx (Michal Schmidt) [2040643]
- octeontx2-af: Flow control resource management (Michal Schmidt) [2040643]
- octeontx2-af: Priority flow control configuration support (Michal Schmidt) [2040643]
- octeontx2-af: Don't enable Pause frames by default (Michal Schmidt) [2040643]
- octeontx2-pf: Change receive buffer size using ethtool (Michal Schmidt) [2040643]
- octeontx2: Replace zero-length arrays with flexible-array members (Michal Schmidt) [2040643]
- octeontx2-af: Add KPU changes to parse NGIO as separate layer (Michal Schmidt) [2040643]
- octeontx2-pf: Forward error codes to VF (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: Do not enable RPM loopback for LPC interfaces (Michal Schmidt) [2040643]
- octeontx2-af: Increase link credit restore polling timeout (Michal Schmidt) [2040643]
- octeontx2-pf: cn10k: Ensure valid pointers are freed to aura (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: Use appropriate register for LMAC enable (Michal Schmidt) [2040643]
- octeontx2-af: Retry until RVU block reset complete (Michal Schmidt) [2040643]
- octeontx2-af: Fix LBK backpressure id count (Michal Schmidt) [2040643]
- octeontx2-af: Do not fixup all VF action entries (Michal Schmidt) [2040643]
- octeontx2-af: Fix interrupt name strings (Michal Schmidt) [2040643]
- octeontx2-nicvf: Free VF PTP resources. (Michal Schmidt) [2040643]
- octeontx2-af: Increment ptp refcount before use (Michal Schmidt) [2040643]
- octeontx2-af: Fix a memleak bug in rvu_mbox_init() (Michal Schmidt) [2040643]
- octeontx2-af: debugfs: don't corrupt user memory (Michal Schmidt) [2040643]
- octeontx2-nicvf: fix ioctl callback (Michal Schmidt) [2040643]
- octeontx2-pf: select CONFIG_NET_DEVLINK (Michal Schmidt) [2040643]
- octeontx2-af: use swap() to make code cleaner (Michal Schmidt) [2040643]
- octeontx2-af: Fix possible null pointer dereference. (Michal Schmidt) [2040643]
- octeontx2-af: Display all enabled PF VF rsrc_alloc entries. (Michal Schmidt) [2040643]
- octeontx2-af: Check whether ipolicers exists (Michal Schmidt) [2040643]
- octeontx2-af: debugfs: Add channel and channel mask. (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: debugfs for dumping LMTST map table (Michal Schmidt) [2040643]
- octeontx2-af: debugfs: Minor changes. (Michal Schmidt) [2040643]
- octeontx2: net: convert users of bitmap_foo() to linkmode_foo() (Michal Schmidt) [2040643]
- octeontx2-af: Increase number of reserved entries in KPU (Michal Schmidt) [2040643]
- octeontx2-nic: fix mixed module build (Michal Schmidt) [2040643]
- octeontx2-af: Add support to flush full CPT CTX cache (Michal Schmidt) [2040643]
- octeontx2-af: Perform cpt lf teardown in non FLR path (Michal Schmidt) [2040643]
- octeontx2-af: Enable CPT HW interrupts (Michal Schmidt) [2040643]
- octeontx2-pf: Simplify the receive buffer size calculation (Michal Schmidt) [2040643]
- ethernet: Remove redundant 'flush_workqueue()' calls (Michal Schmidt) [2040643]
- octeontx2: use eth_hw_addr_set() for dev->addr_len cases (Michal Schmidt) [2040643]
- octeontx2-af: Remove redundant initialization of variable pin (Michal Schmidt) [2040643]
- octeontx2: bpf: Let bpf_warn_invalid_xdp_action() report more info (Michal Schmidt) [2040643]
- octeontx2-pf: Add XDP support to netdev PF (Michal Schmidt) [2040643]
- octeontx2-af: Adjust LA pointer for cpt parse header (Michal Schmidt) [2040643]
- octeontx2-nicvf: Add PTP hardware clock support to NIX VF (Michal Schmidt) [2040643]
- octeontx2-pf: Enable promisc/allmulti match MCAM entries. (Michal Schmidt) [2040643]
- octeontx2-pf: Use hardware register for CQE count (Michal Schmidt) [2040643]
- octeontx2-af: Add external ptp input clock (Michal Schmidt) [2040643]
- octeontx2-af: Use ptp input clock info from firmware data (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: RPM hardware timestamp configuration (Michal Schmidt) [2040643]
- octeontx2-af: Reset PTP config in FLR handler (Michal Schmidt) [2040643]
- octeontx2-af: Optimize KPU1 processing for variable-length headers (Michal Schmidt) [2040643]
- octeontx2-af: Limit KPU parsing for GTPU packets (Michal Schmidt) [2040643]
- octeontx2-af: verify CQ context updates (Michal Schmidt) [2040643]
- octeontx2-af: Remove redundant initialization of variable blkaddr (Michal Schmidt) [2040643]
- octeontx2-af: Fix uninitialized variable val (Michal Schmidt) [2040643]
- octeontx2-af: Hardware configuration for inline IPsec (Michal Schmidt) [2040643]
- octeontx2-pf: CN10K: Hide RPM stats over ethtool (Michal Schmidt) [2040643]
- octeontx2-af: Fix some memory leaks in the error handling path of 'cgx_lmac_init()' (Michal Schmidt) [2040643]
- octeontx2-af: Add a 'rvu_free_bitmap()' function (Michal Schmidt) [2040643]
- octeontx2-pf: cn10K: Reserve LMTST lines per core (Michal Schmidt) [2040643]
- octeontx2-af: Add additional register check to rvu_poll_reg() (Michal Schmidt) [2040643]
- octeontx2-af: Set proper errorcode for IPv4 checksum errors (Michal Schmidt) [2040643]
- octeontx2-af: Fix static code analyzer reported issues (Michal Schmidt) [2040643]
- octeontx2-af: Fix mailbox errors in nix_rss_flowkey_cfg (Michal Schmidt) [2040643]
- octeontx2-af: Fix loop in free and unmap counter (Michal Schmidt) [2040643]
- octeontx2-af: Use NDC TX for transmit packet data (Michal Schmidt) [2040643]
- octeontx2-pf: Add vlan-etype to ntuple filters (Michal Schmidt) [2040643]
- octeontx2-af: Fix inconsistent license text (Michal Schmidt) [2040643]
- octeontx2-pf: Fix inconsistent license text (Michal Schmidt) [2040643]
- octeontx2-af: cn10K: support for sched lmtst and other features (Michal Schmidt) [2040643]
- octeontx2-pf: cn10k: Fix error return code in otx2_set_flowkey_cfg() (Michal Schmidt) [2040643]
- octeontx2-af: Add mbox to retrieve bandwidth profile free count (Michal Schmidt) [2040643]
- octeontx2-af: Remove channel verification while installing MCAM rules (Michal Schmidt) [2040643]
- octeontx2-af: Add PTP device id for CN10K and 95O silcons (Michal Schmidt) [2040643]
- octeontx2-af: Add free rsrc count mbox msg (Michal Schmidt) [2040643]
- octeontx2-af: Add SDP interface support (Michal Schmidt) [2040643]
- octeontx2-af: nix and lbk in loop mode in 98xx (Michal Schmidt) [2040643]
- octeontx2-pf: cleanup transmit link deriving logic (Michal Schmidt) [2040643]
- octeontx2-af: Allow to configure flow tag LSB byte as RSS adder (Michal Schmidt) [2040643]
- octeontx2-af: enable tx shaping feature for 96xx C0 (Michal Schmidt) [2040643]
- octeontx2-af: Wait for TX link idle for credits change (Michal Schmidt) [2040643]
- octeontx2-af: Change the order of queue work and interrupt disable (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: Set cache lines for NPA batch alloc (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: Use FLIT0 register instead of FLIT1 (Michal Schmidt) [2040643]
- octeontx2-pf: Fix algorithm index in MCAM rules with RSS action (Michal Schmidt) [2040643]
- octeontx2-pf: Don't install VLAN offload rule if netdev is down (Michal Schmidt) [2040643]
- octeontx2-af: Check capability flag while freeing ipolicer memory (Michal Schmidt) [2040643]
- octeontx2-af: Use DMA_ATTR_FORCE_CONTIGUOUS attribute in DMA alloc (Michal Schmidt) [2040643]
- octeontx2-pf: send correct vlan priority mask to npc_install_flow_req (Michal Schmidt) [2040643]
- octeontx2-pf: Don't mask out supported link modes (Michal Schmidt) [2040643]
- octeontx2-af: Handle return value in block reset. (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: Fix SDP base channel number (Michal Schmidt) [2040643]
- octeontx2-pf: Fix NIX1_RX interface backpressure (Michal Schmidt) [2040643]
- octeontx2-pf: Add check for non zero mcam flows (Michal Schmidt) [2040643]
- octeontx2-af: remove redudant second error check on variable err (Michal Schmidt) [2040643]
- octeontx2-pf: Allow VLAN priority also in ntuple filters (Michal Schmidt) [2040643]
- octeontx2-af: configure npc for cn10k to allow packets from cpt (Michal Schmidt) [2040643]
- octeontx2-af: cn10K: Get NPC counters value (Michal Schmidt) [2040643]
- octeontx2-af: Allocate low priority entries for PF (Michal Schmidt) [2040643]
- octeontx2: Move devlink registration to be last devlink command (part 2) (Michal Schmidt) [2040643]
- octeontx2: otx2_devlink: Make devlink_register to be void (Michal Schmidt) [2040643]
- octeontx2-pf: devlink params support to set mcam entry count (Michal Schmidt) [2040643]
- octeontx2-pf: Unify flow management variables (Michal Schmidt) [2040643]
- octeontx2-pf: Sort the allocated MCAM entry indices (Michal Schmidt) [2040643]
- octeontx2-pf: Ntuple filters support for VF netdev (Michal Schmidt) [2040643]
- octeontx2-pf: Enable NETIF_F_RXALL support for VF driver (Michal Schmidt) [2040643]
- octeontx2-af: Add debug messages for failures (Michal Schmidt) [2040643]
- octeontx2-af: add proper return codes for AF mailbox handlers (Michal Schmidt) [2040643]
- octeontx2-af: Modify install flow error codes (Michal Schmidt) [2040643]
- octeontx2-af: Fix spelling mistake "Makesure" -> "Make sure" (Michal Schmidt) [2040643]
- octeontx2-pf: cn10k: Config DWRR weight based on MTU (Michal Schmidt) [2040643]
- octeontx2: Move devlink registration to be last devlink command (part 1) (Michal Schmidt) [2040643]
- octeontx2-af: cn10k: DWRR MTU configuration (Michal Schmidt) [2040643]
- octeontx2-af: Enhance mailbox trace entry (Michal Schmidt) [2040643]
Resolves: rhbz#2040643

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-20 14:21:34 +00:00
Herton R. Krzesinski
c10112a71f kernel-5.14.0-272.el9
* Wed Feb 15 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-272.el9]
- Split partner modules into a sub-package (Alice Mitchell) [2039020]
- Enable kAFS and it's dependancies in RHEL (Alice Mitchell) [2039020]
- netfilter: ipset: Fix overflow before widen in the bitmap_ip_create() function. (Florian Westphal) [2161695]
- netfilter: ipset: fix hash:net,port,net hang with /0 subnet (Florian Westphal) [2161695]
- ipvs: use u64_stats_t for the per-cpu counters (Florian Westphal) [2161695]
- netfilter: flowtable: really fix NAT IPv6 offload (Florian Westphal) [2161695]
- netfilter: conntrack: fix using __this_cpu_add in preemptible (Florian Westphal) [2161695]
- netfilter: flowtable_offload: fix using __this_cpu_add in preemptible (Florian Westphal) [2161695]
- netfilter: nft_set_pipapo: Actually validate intervals in fields after the first one (Florian Westphal) [2161695]
- netfilter: flowtable_offload: add missing locking (Florian Westphal) [2161695]
- netfilter: ipset: restore allowing 64 clashing elements in hash:net,iface (Florian Westphal) [2161695]
- netfilter: ipset: regression in ip_set_hash_ip.c (Florian Westphal) [2161695]
- netfilter: Cleanup nft_net->module_list from nf_tables_exit_net() (Florian Westphal) [2161695]
- netfilter: nfnetlink: fix potential dead lock in nfnetlink_rcv_msg() (Florian Westphal) [2161695]
- netfilter: nf_tables: nft_parse_register can return a negative value (Florian Westphal) [2161695]
- intel_th: msu: Use memset_startat() for clearing hw header (Eric Chanudet) [2159468]
- redhat/configs: leave -Werror off for now (Eric Chanudet) [2159468]
- gcc-12: disable '-Warray-bounds' universally for now (Eric Chanudet) [2159468]
- kbuild: Fix -Wimplicit-fallthrough=5 error for GCC 5.x and 6.x (Eric Chanudet) [2159468]
- s390: disable -Warray-bounds (Eric Chanudet) [2159468]
- gcc-12: disable '-Wdangling-pointer' warning for now (Eric Chanudet) [2159468]
- Makefile: fix 2 typos (Eric Chanudet) [2159468]
- Makefile: Enable -Wzero-length-bounds (Eric Chanudet) [2159468]
- Makefile: Enable -Warray-bounds (Eric Chanudet) [2159468]
- sparc: Unbreak the build (Eric Chanudet) [2159468]
- Makefile: Enable -Wcast-function-type (Eric Chanudet) [2159468]
- kconfig: Add support for -Wimplicit-fallthrough (Eric Chanudet) [2159468]
- Makefile: use -Wno-main in the full kernel tree (Eric Chanudet) [2159468]
- s390: remove WARN_DYNAMIC_STACK (Eric Chanudet) [2159468]
- Enable '-Werror' by default for all kernel builds (Eric Chanudet) [2159468]
- kbuild: Shuffle blank line to improve comment meaning (Eric Chanudet) [2159468]
- x86/boot: Wrap literal addresses in absolute_pointer() (Eric Chanudet) [2159468]
- scsi: lpfc: Use struct_group() to initialize struct lpfc_cgn_info (Eric Chanudet) [2159468]
- bnx2x: Use struct_group() for memcpy() region (Eric Chanudet) [2159468]
- RDMA/cxgb4: fix accept failure due to increased cpl_t5_pass_accept_rpl size (Eric Chanudet) [2159468]
- iw_cxgb4: Use memset_startat() for cpl_t5_pass_accept_rpl (Eric Chanudet) [2159468]
- dm integrity: Use struct_group() to zero struct journal_sector (Eric Chanudet) [2159468]
- bnxt_en: Use struct_group_attr() for memcpy() region (Eric Chanudet) [2159468]
- ipv6: Use memset_after() to zero rt6_info (Eric Chanudet) [2159468]
- xfrm: Use memset_after() to clear padding (Eric Chanudet) [2159468]
- virtio-pci: Remove wrong address verification in vp_del_vqs() (Eric Chanudet) [2159468]
- ipv4: ip_output.c: Fix out-of-bounds warning in ip_copy_addrs() (Eric Chanudet) [2159468]
- skbuff: Switch structure bounds to struct_group() (Eric Chanudet) [2159468]
- tracing: Use memset_startat() to zero struct trace_iterator (Eric Chanudet) [2159468]
- kernel/sysctl.c: fixup printk sysctl constants (Eric Chanudet) [2159468]
- crypto: dh - constify struct dh's pointer members (Eric Chanudet) [2159468]
- cert host tools: Stop complaining about deprecated OpenSSL functions (Eric Chanudet) [2159468]
- kernel/sysctl.c: remove unused variable ten_thousand (Eric Chanudet) [2159468]
Resolves: rhbz#2039020, rhbz#2161695, rhbz#2159468

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-15 19:08:53 +00:00
Herton R. Krzesinski
f860d1368a kernel-5.14.0-271.el9
* Wed Feb 15 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-271.el9]
- spi: bcm2835: bcm2835_spi_handle_err(): fix NULL pointer deref for non DMA transfers (Mark Salter) [2071848 2122415]
- spi: bcm2835aux: Convert to use GPIO descriptors (Mark Salter) [2071848 2122415]
- spi: bcm2835aux: use 'unsigned int' instead of 'unsigned' (Mark Salter) [2071848 2122415]
- redhat/configs: enable CONFIG_SPI_TEGRA210_QUAD (Mark Salter) [2071848 2122415]
- spi: spi-imx: spi_imx_transfer_one(): check for DMA transfer first (Mark Salter) [2071848 2122415]
- spi: tegra210-quad: Fix duplicate resource error (Mark Salter) [2071848 2122415]
- spi: spi-imx: Fix spi_bus_clk if requested clock is higher than input clock (Mark Salter) [2071848 2122415]
- spi: amd: Fix SPI_SPD7 value (Mark Salter) [2071848 2122415]
- spi: tegra210-quad: Don't initialise DMA if not supported (Mark Salter) [2071848 2122415]
- spi: qup: support using GPIO as chip select line (Mark Salter) [2071848 2122415]
- spi: tegra210-quad: Fix combined sequence (Mark Salter) [2071848 2122415]
- spi: Ensure that sg_table won't be used after being freed (Mark Salter) [2071848 2122415]
- spi: Split transfers larger than max size (Mark Salter) [2071848 2122415]
- spi: Fix cache corruption due to DMA/PIO overlap (Mark Salter) [2071848 2122415]
- spi: Save current RX and TX DMA devices (Mark Salter) [2071848 2122415]
- spi: spi-fsl-qspi: Use devm_platform_ioremap_resource_byname() (Mark Salter) [2071848 2122415]
- spi: spi-fsl-lpspi: Use devm_platform_get_and_ioremap_resource() (Mark Salter) [2071848 2122415]
- spi: Group cs_change and cs_off flags together in struct spi_transfer (Mark Salter) [2071848 2122415]
- spi: lpspi: Remove the unneeded result variable (Mark Salter) [2071848 2122415]
- spi: Add capability to perform some transfer with chipselect off (Mark Salter) [2071848 2122415]
- spi: nxp-fspi: Do not dereference fwnode in struct device (Mark Salter) [2071848 2122415]
- spi: qup: add missing clk_disable_unprepare on error in spi_qup_pm_resume_runtime() (Mark Salter) [2071848 2122415]
- spi: qup: add missing clk_disable_unprepare on error in spi_qup_resume() (Mark Salter) [2071848 2122415]
- spi: amd: Fix speed selection (Mark Salter) [2071848 2122415]
- spi: amd: Configure device speed (Mark Salter) [2071848 2122415]
- spi: pxa2xx: Remove the unneeded result variable (Mark Salter) [2071848 2122415]
- spi: move from strlcpy with unused retval to strscpy (Mark Salter) [2071848 2122415]
- spi: amd: Setup all xfers before opcode execution (Mark Salter) [2071848 2122415]
- spi: lpspi: Simplify some error message (Mark Salter) [2071848 2122415]
- spi: spi: Fix queue hang if previous transfer failed (Mark Salter) [2071848 2122415]
- spi: mux: Fix mux interaction with fast path optimisations (Mark Salter) [2071848 2122415]
- spi: spi.c: Add missing __percpu annotations in users of spi_statistics (Mark Salter) [2071848 2122415]
- spi: Fix simplification of devm_spi_register_controller (Mark Salter) [2071848 2122415]
- spi: remove duplicate parameters check in acpi_spi_add_resource() (Mark Salter) [2071848 2122415]
- spi: propagate error code to the caller of acpi_spi_device_alloc() (Mark Salter) [2071848 2122415]
- spi: amd: Add struct and enum kernel-doc comments (Mark Salter) [2071848 2122415]
- spi: amd: Drop io_base_addr member from struct amd_spi (Mark Salter) [2071848 2122415]
- spi: amd: Make use of dev_err_probe() (Mark Salter) [2071848 2122415]
- spi: amd: Make use of devm_spi_alloc_master() (Mark Salter) [2071848 2122415]
- spi: Use device_find_any_child() instead of custom approach (Mark Salter) [2071848 2122415]
- spi: spi.c: Remove redundant else block (Mark Salter) [2071848 2122415]
- spi: spi.c: Fix comment style (Mark Salter) [2071848 2122415]
- spi: spi.c: White-space fix in __spi_pump_messages() (Mark Salter) [2071848 2122415]
- spi: pxa2xx: Add support for Intel Meteor Lake-P (Mark Salter) [2071848 2122415]
- spi: opportunistically skip ctlr->cur_msg_completion (Mark Salter) [2071848 2122415]
- spi: Ensure the io_mutex is held until spi_finalize_current_message() (Mark Salter) [2071848 2122415]
- spi: Set ctlr->cur_msg also in the sync transfer case (Mark Salter) [2071848 2122415]
- spi: Remove unneeded READ_ONCE for ctlr->busy flag (Mark Salter) [2071848 2122415]
- spi: Remove the now unused ctlr->idling flag (Mark Salter) [2071848 2122415]
- spi: Remove check for idling in __spi_pump_messages() (Mark Salter) [2071848 2122415]
- spi: Remove check for controller idling in spi sync path (Mark Salter) [2071848 2122415]
- spi: __spi_pump_messages: Consolidate spin_unlocks to goto target (Mark Salter) [2071848 2122415]
- spi: Lock controller idling transition inside the io_mutex (Mark Salter) [2071848 2122415]
- spi: Don't use the message queue if possible in spi_sync (Mark Salter) [2071848 2122415]
- spi: Move ctlr->cur_msg_prepared to struct spi_message (Mark Salter) [2071848 2122415]
- spi: core: Fix error code in spi_register_controller() (Mark Salter) [2071848 2122415]
- spi: tegra210-quad: Multi-cs support (Mark Salter) [2071848 2122415]
- spi: Fix per-cpu stats access on 32 bit systems (Mark Salter) [2071848 2122415]
- spi: <linux/spi/spi.h>: Add missing documentation for struct members (Mark Salter) [2071848 2122415]
- spi: spi.c: Convert statistics to per-cpu u64_stats_t (Mark Salter) [2071848 2122415]
- spi: fix typo in comment (Mark Salter) [2071848 2122415]
- spi: amd: Limit max transfer and message size (Mark Salter) [2071848 2122415]
- spi: spi-imx: mx51_ecspi_prepare_message(): skip writing MX51_ECSPI_CONFIG register if unchanged (Mark Salter) [2071848 2122415]
- spi: spi-imx: add PIO polling support (Mark Salter) [2071848 2122415]
- spi: spi-imx: replace struct spi_imx_data::bitbang by pointer to struct spi_controller (Mark Salter) [2071848 2122415]
- spi: spi-imx: spi_imx_buf_rx_swap_u32(): replace open coded swahw32s() (Mark Salter) [2071848 2122415]
- spi: spi-imx: spi_imx_buf_rx_swap_u32(): fix sparse warning: use swab32s() instead of cpu_to_be32() (Mark Salter) [2071848 2122415]
- spi: spi-imx: mx51_ecspi_intctrl(): prefer 'unsigned int' to bare use of 'unsigned' (Mark Salter) [2071848 2122415]
- spi: spi-imx: avoid unnecessary line continuations (Mark Salter) [2071848 2122415]
- spi: spi-imx: fix sparse warning: add identifier name to function definition (Mark Salter) [2071848 2122415]
- spi: spi-fsl-qspi: check return value after calling platform_get_resource_byname() (Mark Salter) [2071848 2122415]
- spi: spi-imx: complete conversion from master -> controller (Mark Salter) [2071848 2122415]
- spi: core: Display return code when failing to transfer message (Mark Salter) [2071848 2122415]
- spi: Doc fix - Describe add_lock and dma_map_dev in spi_controller (Mark Salter) [2071848 2122415]
- spi: Use helper for safer setting of driver_override (Mark Salter) [2071848 2122415]
- spi: spi-imx: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Mark Salter) [2071848 2122415]
- spi: core: Initialize returned status in spi_setup (Mark Salter) [2071848 2122415]
- spi: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Mark Salter) [2071848 2122415]
- spi: spi-imx: add support for SPI_RX_CPHA_FLIP (Mark Salter) [2071848 2122415]
- spi: add SPI_RX_CPHA_FLIP mode bit (Mark Salter) [2071848 2122415]
- spi: core: Only check bits_per_word validity when explicitly provided (Mark Salter) [2071848 2122415]
- spi: core: add dma_map_dev for __spi_unmap_msg() (Mark Salter) [2071848 2122415]
- spi: Fix erroneous sgs value with min_t() (Mark Salter) [2071848 2122415]
- spi: tegra210-quad: combined sequence mode (Mark Salter) [2071848 2122415]
- spi: tegra210-quad: add acpi support (Mark Salter) [2071848 2122415]
- spi: qup: replace spin_lock_irqsave by spin_lock in hard IRQ (Mark Salter) [2071848 2122415]
- spi: use specific last_cs instead of last_cs_enable (Mark Salter) [2071848 2122415]
- spi: tegra210-quad: add new chips to compatible (Mark Salter) [2071848 2122415]
- spi: tegra210-quad: use device_reset method (Mark Salter) [2071848 2122415]
- spi: use sysfs_emit() for printing statistics and add trailing newline (Mark Salter) [2071848 2122415]
- spi: amd: Fix building without ACPI enabled (Mark Salter) [2071848 2122415]
- spi: Retire legacy GPIO handling (Mark Salter) [2071848 2122415]
- spi: amd: Add support for version AMDI0062 (Mark Salter) [2071848 2122415]
- spi: amd: Remove needless rom_addr variable (Mark Salter) [2071848 2122415]
- spi: amd: Use iopoll for busy waiting (Mark Salter) [2071848 2122415]
- spi: spi-mem: Introduce a capability structure (Mark Salter) [2071848 2122415]
- spi: tegra210-quad: Fix missin IRQ check in tegra_qspi_probe (Mark Salter) [2071848 2122415]
- spi: Make spi_alloc_device and spi_add_device public again (Mark Salter) [2071848 2122415]
- spi: pxa2xx_spi: Convert to use GPIO descriptors (Mark Salter) [2071848 2122415]
- spi: Fix invalid sgs value (Mark Salter) [2071848 2122415]
- spi: don't include ptp_clock_kernel.h in spi.h (Mark Salter) [2071848 2122415]
- spi: pxa2xx: Propagate firmware node (Mark Salter) [2071848 2122415]
- spi: Fix incorrect cs_setup delay handling (Mark Salter) [2071848 2122415]
- spi: pxa2xx: Get rid of unused enable_loopback member (Mark Salter) [2071848 2122415]
- spi: pxa2xx: Get rid of unused ->cs_control() (Mark Salter) [2071848 2122415]
- spi: tegra210-quad: use devm call for cdata memory (Mark Salter) [2071848 2122415]
- spi: Fix condition in the __spi_register_driver() (Mark Salter) [2071848 2122415]
- spi: pxa2xx: Remove redundant ->read() and ->write() in struct chip_data (Mark Salter) [2071848 2122415]
- spi: Fix multi-line comment style (Mark Salter) [2071848 2122415]
- spi: Replace memset() with __GFP_ZERO (Mark Salter) [2071848 2122415]
- spi: deduplicate spi_match_id() in __spi_register_driver() (Mark Salter) [2071848 2122415]
- spi: xlp: Remove Netlogic XLP variants (Mark Salter) [2071848 2122415]
- spi: lpspi: release requested DMA channels (Mark Salter) [2071848 2122415]
- spi: fix use-after-free of the add_lock mutex (Mark Salter) [2071848 2122415]
- spi: lpspi: Silence error message upon deferred probe (Mark Salter) [2071848 2122415]
- rtc: ds1390: Add SPI ID table (Mark Salter) [2071848 2122415]
- rtc: mcp795: Add SPI ID table (Mark Salter) [2071848 2122415]
- spi: tegra210-quad: Put device into suspend on driver removal (Mark Salter) [2071848 2122415]
- spi: Check we have a spi_device_id for each DT compatible (Mark Salter) [2071848 2122415]
- spi: Make several public functions private to spi.c (Mark Salter) [2071848 2122415]
- spi: Reorder functions to simplify the next commit (Mark Salter) [2071848 2122415]
- spi: Remove unused function spi_busnum_to_master() (Mark Salter) [2071848 2122415]
- spi: Move comment about chipselect check to the right place (Mark Salter) [2071848 2122415]
- spi: amd: Don't wait for a write-only transfer to finish (Mark Salter) [2071848 2122415]
- spi: amd: Remove unneeded variable (Mark Salter) [2071848 2122415]
- spi: amd: Refactor amd_spi_busy_wait (Mark Salter) [2071848 2122415]
- spi: amd: Refactor code to use less spi_master_get_devdata (Mark Salter) [2071848 2122415]
- spi: spl022: fix Microwire full duplex mode (Mark Salter) [2071848 2122415]
- spi-mux: Fix false-positive lockdep splats (Mark Salter) [2071848 2122415]
- spi: Fix deadlock when adding SPI controllers on SPI buses (Mark Salter) [2071848 2122415]
- spi: spi-nxp-fspi: don't depend on a specific node name erratum workaround (Mark Salter) [2071848 2122415]
- nfc: st-nci: Add SPI ID matching DT compatible (Mark Salter) [2071848 2122415]
- spi: Revert modalias changes (Mark Salter) [2071848 2122415]
- spi: <linux/spi/spi.h>: add missing struct kernel-doc entry (Mark Salter) [2071848 2122415]
- spi: move cs spi_delay to spi_device (Mark Salter) [2071848 2122415]
- spi: imx: Implement support for CS_WORD (Mark Salter) [2071848 2122415]
- spi: pxa2xx: Adapt reset_sccr1() to the case when no message available (Mark Salter) [2071848 2122415]
- spi: imx: remove ERR009165 workaround on i.mx6ul (Mark Salter) [2071848 2122415]
- spi: imx: fix ERR009165 (Mark Salter) [2071848 2122415]
- spi: imx: Simplify logic in spi_imx_push() (Mark Salter) [2071848 2122415]
- spi: pxa2xx: Reuse int_stop_and_reset() in couple of places (Mark Salter) [2071848 2122415]
- spi: pxa2xx: Reset DMA bits in CR1 in reset_sccr1() (Mark Salter) [2071848 2122415]
- spi: pxa2xx: Convert reset_sccr1() to use pxa2xx_spi_update() (Mark Salter) [2071848 2122415]
- i2c: qcom-geni: add support for I2C Master Hub variant (Andrew Halaney) [2164495]
- i2c: qcom-geni: add desc struct to prepare support for I2C Master Hub variant (Andrew Halaney) [2164495]
- i2c: qcom-geni: fix error return code in geni_i2c_gpi_xfer (Andrew Halaney) [2164495]
- clk: qcom: rpmh: add support for SM6350 rpmh IPA clock (Adrien Thierry) [2158909]
- clk: qcom: mmcc-msm8974: use parent_hws/_data instead of parent_names (Adrien Thierry) [2158909]
- clk: qcom: mmcc-msm8974: move clock parent tables down (Adrien Thierry) [2158909]
- clk: qcom: mmcc-msm8974: use ARRAY_SIZE instead of specifying num_parents (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8974: use parent_hws/_data instead of parent_names (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8974: move clock parent tables down (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8974: use ARRAY_SIZE instead of specifying num_parents (Adrien Thierry) [2158909]
- clk: qcom: gcc-ipq4019: switch to devm_clk_notifier_register (Adrien Thierry) [2158909]
- clk: qcom: rpmh: remove usage of platform name (Adrien Thierry) [2158909]
- clk: qcom: rpmh: rename VRM clock data (Adrien Thierry) [2158909]
- clk: qcom: rpmh: rename ARC clock data (Adrien Thierry) [2158909]
- clk: qcom: rpmh: support separate symbol name for the RPMH clocks (Adrien Thierry) [2158909]
- clk: qcom: rpmh: remove platform names from BCM clocks (Adrien Thierry) [2158909]
- clk: qcom: rpmh: drop all _ao names (Adrien Thierry) [2158909]
- clk: qcom: rpmh: reuse common duplicate clocks (Adrien Thierry) [2158909]
- clk: qcom: rpmh: group clock definitions together (Adrien Thierry) [2158909]
- clk: qcom: rpm: drop the platform from clock definitions (Adrien Thierry) [2158909]
- clk: qcom: rpm: drop the _clk suffix completely (Adrien Thierry) [2158909]
- clk: qcom: rpm: drop separate active-only names (Adrien Thierry) [2158909]
- clk: qcom: rpm: remove unused active-only clock names (Adrien Thierry) [2158909]
- clk: qcom: Add GCC driver for SM8550 (Adrien Thierry) [2158909]
- clk: qcom: Add LUCID_OLE PLL type for SM8550 (Adrien Thierry) [2158909]
- clk: qcom: gdsc: Increase status poll timeout (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8939: Add rates to the GP clocks (Adrien Thierry) [2158909]
- clk: qcom: hfpll: use devm_platform_get_and_ioremap_resource() (Adrien Thierry) [2158909]
- clk: qcom: ipq8074: populate fw_name for all parents (Adrien Thierry) [2158909]
- clk: qcom: krait-cc: convert to parent_data API (Adrien Thierry) [2158909]
- clk: qcom: krait-cc: convert to devm_clk_hw_register (Adrien Thierry) [2158909]
- clk: qcom: krait-cc: handle secondary mux sourcing out of acpu_aux (Adrien Thierry) [2158909]
- clk: qcom: krait-cc: also enable secondary mux and div clk (Adrien Thierry) [2158909]
- clk: qcom: krait-cc: fix wrong parent order for secondary mux (Adrien Thierry) [2158909]
- clk: qcom: krait-cc: use devm variant for clk notifier register (Adrien Thierry) [2158909]
- clk: qcom: clk-krait: fix wrong div2 functions (Adrien Thierry) [2158909]
- clk: qcom: kpss-xcc: register it as clk provider (Adrien Thierry) [2158909]
- clk: qcom: ipq8074: add missing networking resets (Adrien Thierry) [2158909]
- clk: qcom: reset: support resetting multiple bits (Adrien Thierry) [2158909]
- clk: qcom: lpass-sc7180: Avoid an extra "struct dev_pm_ops" (Adrien Thierry) [2158909]
- clk: qcom: lpass-sc7180: Fix pm_runtime usage (Adrien Thierry) [2158909]
- clk: qcom: lpass-sc7280: Fix pm_runtime usage (Adrien Thierry) [2158909]
- clk: qcom: gcc-sc8280xp: add cxo as parent for three ufs ref clks (Adrien Thierry) [2158909]
- clk: qcom: Add display clock controller driver for SM6375 (Adrien Thierry) [2158909]
- clk: qcom: Add SC8280XP display clock controller (Adrien Thierry) [2158909]
- clk: qcom: ipq8074: convert to parent data (Adrien Thierry) [2158909]
- clk: qcom: Add support for QDU1000 and QRU1000 RPMh clocks (Adrien Thierry) [2158909]
- clk: qcom: dispcc-sm8250: Disable link_div_clk_src for sm8150 (Adrien Thierry) [2158909]
- clk: qcom: dispcc-sm8250: Add missing EDP clocks for sm8350 (Adrien Thierry) [2158909]
- clk: qcom: dispcc-sm8250: Add RETAIN_FF_ENABLE flag for mdss_gdsc (Adrien Thierry) [2158909]
- clk: qcom: dispcc-sm8250: Disable EDP_GTC for sm8350 (Adrien Thierry) [2158909]
- clk: qcom: gcc-sm8250: Use retention mode for USB GDSCs (Adrien Thierry) [2158909]
- clk: qcom: dispcc-sm6350: Add CLK_OPS_PARENT_ENABLE to pixel&byte src (Adrien Thierry) [2158909]
- clk: qcom: gcc-sm6125: Remove gpll7 from sdcc2_apps (Adrien Thierry) [2158909]
- clk: qcom: gcc-ipq806x: use parent_data for the last remaining entry (Adrien Thierry) [2158909]
- clk: qcom: gdsc: Remove direct runtime PM calls (Adrien Thierry) [2158909]
- clk: qcom: Update the force mem core bit for GPU clocks (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8660: Drop hardcoded fixed board clocks (Adrien Thierry) [2158909]
- clk: qcom: gcc-sm6375: Ensure unsigned long type (Adrien Thierry) [2158909]
- clk: qcom: gcc-sm6375: Remove unused variables (Adrien Thierry) [2158909]
- clk: qcom: kpss-xcc: convert to parent data API (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8939: use ARRAY_SIZE instead of specifying num_parents (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8939: use parent_hws where possible (Adrien Thierry) [2158909]
- clk: qcom: gcc-sm6350: Update the .pwrsts for usb gdscs (Adrien Thierry) [2158909]
- clk: qcom: gcc-sc8280xp: use retention for USB power domains (Adrien Thierry) [2158909]
- clk: qcom: gdsc: add missing error handling (Adrien Thierry) [2158909]
- clk: qcom: Add global clock controller driver for SM6375 (Adrien Thierry) [2158909]
- clk: qcom: alpha: Add support for programming the PLL_FSM_LEGACY_MODE bit (Adrien Thierry) [2158909]
- clk: qcom: gcc-sc7280: Update the .pwrsts for usb gdscs (Adrien Thierry) [2158909]
- clk: qcom: gcc-sc7180: Update the .pwrsts for usb gdsc (Adrien Thierry) [2158909]
- clk: qcom: gdsc: Fix the handling of PWRSTS_RET support (Adrien Thierry) [2158909]
- clk: qcom: Add SC8280XP GPU clock controller (Adrien Thierry) [2158909]
- clk: qcom: smd: Add SM6375 clocks (Adrien Thierry) [2158909]
- clk: qcom: rpmhcc: add sdm670 clocks (Adrien Thierry) [2158909]
- clk: qcom: Merge alt alpha plls for qcm2260, sm6115 (Adrien Thierry) [2158909]
- clk: qcom: gcc-sm6115: Move alpha pll bramo overrides (Adrien Thierry) [2158909]
- clk: qcom: gcc-sm6115: Override default Alpha PLL regs (Adrien Thierry) [2158909]
- clk: qcom: Add support for Display Clock Controller on SM8450 (Adrien Thierry) [2158909]
- clk: qcom: alpha-pll: add support for power off mode for lucid evo PLL (Adrien Thierry) [2158909]
- clk: qcom: Add display clock controller driver for SM6115 (Adrien Thierry) [2158909]
- clk: qcom: gcc-sc7280: Update the .pwrsts for PCIe GDSC (Adrien Thierry) [2158909]
- clk: qcom: lpass: Fix lpass audiocc probe (Adrien Thierry) [2158909]
- clk: qcom: apss-ipq-pll: add support for IPQ8074 (Adrien Thierry) [2158909]
- clk: qcom: apss-ipq-pll: update IPQ6018 Alpha PLL config (Adrien Thierry) [2158909]
- clk: qcom: apss-ipq-pll: use OF match data for Alpha PLL config (Adrien Thierry) [2158909]
- clk: qcom: apss-ipq6018: mark apcs_alias0_core_clk as critical (Adrien Thierry) [2158909]
- clk: qcom: apss-ipq6018: fix apcs_alias0_clk_src (Adrien Thierry) [2158909]
- clk: qcom: clk-rcg2: add rcg2 mux ops (Adrien Thierry) [2158909]
- clk: qcom: lcc-ipq806x: use ARRAY_SIZE for num_parents (Adrien Thierry) [2158909]
- clk: qcom: lcc-ipq806x: convert to parent data (Adrien Thierry) [2158909]
- clk: qcom: lcc-ipq806x: add reset definition (Adrien Thierry) [2158909]
- clk: qcom: cpu-8996: use constant mask for pmux (Adrien Thierry) [2158909]
- clk: qcom: cpu-8996: don't store parents in clk_cpu_8996_pmux (Adrien Thierry) [2158909]
- clk: qcom: cpu-8996: move ACD logic to clk_cpu_8996_pmux_determine_rate (Adrien Thierry) [2158909]
- clk: qcom: cpu-8996: declare ACD clocks (Adrien Thierry) [2158909]
- clk: qcom: cpu-8996: switch to devm_clk_notifier_register (Adrien Thierry) [2158909]
- clk: qcom: msm8996-cpu: Use parent_data/_hws for all clocks (Adrien Thierry) [2158909]
- clk: qcom: msm8996-cpu: Convert secondary muxes to clk_regmap_mux (Adrien Thierry) [2158909]
- clk: qcom: msm8996-cpu: Unify cluster order (Adrien Thierry) [2158909]
- clk: qcom: msm8996-cpu: Statically define PLL dividers (Adrien Thierry) [2158909]
- clk: qcom: msm8996-cpu: Rename DIV_2_INDEX to SMUX_INDEX (Adrien Thierry) [2158909]
- clk: qcom: clk-rcg2: Take clock boundaries into consideration for gfx3d (Adrien Thierry) [2158909]
- clk: Introduce the clk_hw_get_rate_range function (Adrien Thierry) [2158909]
- clk: qcom: smd-rpm: Add clocks for MSM8909 (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8909: Increase delay for USB PHY reset (Adrien Thierry) [2158909]
- clk: qcom: reset: Allow specifying custom reset delay (Adrien Thierry) [2158909]
- clk: qcom: Add driver for MSM8909 GCC (Adrien Thierry) [2158909]
- clk: qcom: mmcc-msm8960: use parent_hws/_data instead of parent_names (Adrien Thierry) [2158909]
- clk: qcom: mmcc-msm8960: move clock parent tables down (Adrien Thierry) [2158909]
- clk: qcom: mmcc-msm8960: use ARRAY_SIZE instead of specifying num_parents (Adrien Thierry) [2158909]
- clk: qcom: lcc-msm8960: use parent_hws/_data instead of parent_names (Adrien Thierry) [2158909]
- clk: qcom: lcc-msm8960: use macros to implement mi2s clocks (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8960: use parent_hws/_data instead of parent_names (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8960: use ARRAY_SIZE instead of specifying num_parents (Adrien Thierry) [2158909]
- clk: qcom: clk-rpmh: Remove redundant if statement (Adrien Thierry) [2158909]
- clk: qcom: gcc-sdm845: add sdm670 global clock data (Adrien Thierry) [2158909]
- clk: qcom: gcc-sdm845: use device tree match data (Adrien Thierry) [2158909]
- clk: qcom: a53-pll: convert to use parent_data rather than parent_names (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8660: use parent_hws/_data instead of parent_names (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8660: use ARRAY_SIZE instead of specifying num_parents (Adrien Thierry) [2158909]
- clk: qcom: sm6115: Select QCOM_GDSC (Adrien Thierry) [2158909]
- clk: qcom: lpass: Add support for resets & external mclk for SC7280 (Adrien Thierry) [2158909]
- clk: qcom: lpass: Handle the regmap overlap of lpasscc and lpass_aon (Adrien Thierry) [2158909]
- clk: qcom: gcc-sdm660: Use floor ops for SDCC1 clock (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8916: use parent_hws/_data instead of parent_names (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8916: move gcc_mss_q6_bimc_axi_clk down (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8916: move GPLL definitions up (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8916: use ARRAY_SIZE instead of specifying num_parents (Adrien Thierry) [2158909]
- clk: gcc-sc8280xp: keep USB power-domains always-on (Adrien Thierry) [2158909]
- clk: gcc-sc8280xp: keep PCIe power-domains always-on (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8994: use parent_hws for gpll0/4 (Adrien Thierry) [2158909]
- clk: qcom: clk-rpm: convert to parent_data API (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8939: Add missing USB HS system clock frequencies (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8939: Add missing MDSS MDP clock frequencies (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8939: Add missing CAMSS CPP clock frequencies (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8939: Fix venus0_vcodec0_clk frequency definitions (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8939: Add missing CAMSS CCI bus clock (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8939: Fix weird field spacing in ftbl_gcc_camss_cci_clk (Adrien Thierry) [2158909]
- clk: qcom: gdsc: Bump parent usage count when GDSC is found enabled (Adrien Thierry) [2158909]
- clk: qcom: Drop mmcx gdsc supply for dispcc and videocc (Adrien Thierry) [2158909]
- clk: qcom: fix build error initializer element is not constant (Adrien Thierry) [2158909]
- clk: qcom: add support for SM8350 DISPCC (Adrien Thierry) [2158909]
- clk: qcom: add support for SM8350 GPUCC (Adrien Thierry) [2158909]
- clk: qcom: add camera clock controller driver for SM8450 SoC (Adrien Thierry) [2158909]
- clk: qcom: clk-alpha-pll: add Rivian EVO PLL configuration interfaces (Adrien Thierry) [2158909]
- clk: qcom: clk-alpha-pll: add Lucid EVO PLL configuration interfaces (Adrien Thierry) [2158909]
- clk: qcom: clk-alpha-pll: limit exported symbols to GPL licensed code (Adrien Thierry) [2158909]
- clk: qcom: clk-alpha-pll: fix clk_trion_pll_configure description (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8960: create tsens device if there are no child nodes (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8916: Add rates to the GP clocks (Adrien Thierry) [2158909]
- clk: qcom: clk-rcg2: Make sure to not write d=0 to the NMD register (Adrien Thierry) [2158909]
- clk: qcom: clk-rcg2: Fail Duty-Cycle configuration if MND divider is not enabled. (Adrien Thierry) [2158909]
- clk: qcom: camcc-sm8250: Fix topology around titan_top power domain (Adrien Thierry) [2158909]
- clk: qcom: camcc-sdm845: Fix topology around titan_top power domain (Adrien Thierry) [2158909]
- clk: qcom: ipq8074: dont disable gcc_sleep_clk_src (Adrien Thierry) [2158909]
- clk: qcom: ipq8074: add USB GDSCs (Adrien Thierry) [2158909]
- clk: qcom: ipq8074: set BRANCH_HALT_DELAY flag for UBI clocks (Adrien Thierry) [2158909]
- clk: qcom: ipq8074: add PPE crypto clock (Adrien Thierry) [2158909]
- clk: qcom: ipq8074: fix NSS port frequency tables (Adrien Thierry) [2158909]
- clk: qcom: ipq8074: SW workaround for UBI32 PLL lock (Adrien Thierry) [2158909]
- clk: qcom: ipq8074: fix NSS core PLL-s (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8939: Point MM peripherals to system_mm_noc clock (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8939: Add missing system_mm_noc_bfdcd_clk_src (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8939: Fix bimc_ddr_clk_src rcgr base address (Adrien Thierry) [2158909]
- clk: qcom: gcc-sc8280xp: use phy-mux clock for PCIe (Adrien Thierry) [2158909]
- clk: qcom: clk-krait: add apq/ipq8064 errata workaround (Adrien Thierry) [2158909]
- clk: qcom: clk-krait: unlock spin after mux completion (Adrien Thierry) [2158909]
- clk: qcom: clk-hfpll: use poll_timeout macro (Adrien Thierry) [2158909]
- clk: qcom: gcc-sm6350: Drop extra semicolon (Adrien Thierry) [2158909]
- clk: qcom: gcc-sc8280xp: use collapse-voting for PCIe GDSCs (Adrien Thierry) [2158909]
- clk: qcom: gdsc: add support for collapse-vote registers (Adrien Thierry) [2158909]
- clk: qcom: gdsc: add collapse-bit helper (Adrien Thierry) [2158909]
- clk: qcom: camcc-sm8250: Fix halt on boot by reducing driver's init level (Adrien Thierry) [2158909]
- clk: qcom: gcc-sc7280: use new clk_regmap_phy_mux_ops for PCIe pipe clocks (Adrien Thierry) [2158909]
- clk: qcom: gcc-sm8450: use new clk_regmap_phy_mux_ops for PCIe pipe clocks (Adrien Thierry) [2158909]
- clk: qcom: regmap: add PHY clock source implementation (Adrien Thierry) [2158909]
- clk: qcom: mmcc-msm8996: use parent_hws/_data instead of parent_names (Adrien Thierry) [2158909]
- clk: qcom: mmcc-msm8996: move clock parent tables down (Adrien Thierry) [2158909]
- clk: qcom: mmcc-msm8996: use ARRAY_SIZE instead of specifying num_parents (Adrien Thierry) [2158909]
- clk: qcom: rpmh: Add note about sleep/wake state for BCMs (Adrien Thierry) [2158909]
- Revert "clk: qcom: regmap-mux: add pipe clk implementation" (Adrien Thierry) [2158909]
- Revert "clk: qcom: gcc-sc7280: use new clk_regmap_mux_safe_ops for PCIe pipe clocks" (Adrien Thierry) [2158909]
- Revert "clk: qcom: gcc-sm8450: use new clk_regmap_mux_safe_ops for PCIe pipe clocks" (Adrien Thierry) [2158909]
- clk: qcom: rcg2: Cache CFG register updates for parked RCGs (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8976: Add modem reset (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8976: Set floor ops for SDCC (Adrien Thierry) [2158909]
- clk: qcom: smd: Update MSM8976 RPM clocks. (Adrien Thierry) [2158909]
- clk: qcom: clk-rcg2: fix gfx3d frequency calculation (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8998: add SSC-related clocks (Adrien Thierry) [2158909]
- clk: qcom: lpass: Add support for LPASS clock controller for SC7280 (Adrien Thierry) [2158909]
- clk: qcom: gcc-sc7280: use new clk_regmap_mux_safe_ops for PCIe pipe clocks (Adrien Thierry) [2158909]
- clk: qcom: gcc-sm8450: use new clk_regmap_mux_safe_ops for PCIe pipe clocks (Adrien Thierry) [2158909]
- clk: qcom: regmap-mux: add pipe clk implementation (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8994: Fix gpll4 width (Adrien Thierry) [2158909]
- clk: qcom: sm6125-gcc: fix typos in comments (Adrien Thierry) [2158909]
- clk: qcom: Add display clock controller driver for SM6125 (Adrien Thierry) [2158909]
- clk: qcom: Fix sorting of SDX_GCC_65 in Makefile and Kconfig (Adrien Thierry) [2158909]
- clk: qcom: gcc: Add emac GDSC support for SM8150 (Adrien Thierry) [2158909]
- clk: qcom: gcc: sm8150: Fix some identation issues (Adrien Thierry) [2158909]
- clk: qcom: gcc: Add UFS_CARD and UFS_PHY GDSCs for SM8150 (Adrien Thierry) [2158909]
- clk: qcom: gcc: Add PCIe0 and PCIe1 GDSC for SM8150 (Adrien Thierry) [2158909]
- clk: qcom: clk-rcg2: Update the frac table for pixel clock (Adrien Thierry) [2158909]
- clk: qcom: clk-rcg2: Update logic to calculate D value for RCG (Adrien Thierry) [2158909]
- clk: qcom: smd: Add missing MSM8998 RPM clocks (Adrien Thierry) [2158909]
- clk: qcom: smd: Add missing RPM clocks for msm8992/4 (Adrien Thierry) [2158909]
- clk: qcom: gcc-ipq806x: add CryptoEngine resets (Adrien Thierry) [2158909]
- clk: qcom: gcc-ipq806x: add CryptoEngine clocks (Adrien Thierry) [2158909]
- clk: qcom: gcc-ipq806x: add additional freq for sdc table (Adrien Thierry) [2158909]
- clk: qcom: clk-rcg: add clk_rcg_floor_ops ops (Adrien Thierry) [2158909]
- clk: qcom: gcc-ipq806x: add unusued flag for critical clock (Adrien Thierry) [2158909]
- clk: qcom: gcc-ipq806x: add additional freq nss cores (Adrien Thierry) [2158909]
- clk: qcom: gcc-ipq806x: use ARRAY_SIZE for num_parents (Adrien Thierry) [2158909]
- clk: qcom: gcc-ipq806x: convert parent_names to parent_data (Adrien Thierry) [2158909]
- clk: qcom: gcc-ipq806x: fix wrong naming for gcc_pxo_pll8_pll0 (Adrien Thierry) [2158909]
- clk: qcom: Add SDX65 APCS clock controller support (Adrien Thierry) [2158909]
- clk: qcom: Add A7 PLL support for SDX65 (Adrien Thierry) [2158909]
- clk: qcom: Add GPU clock controller driver for SM6350 (Adrien Thierry) [2158909]
- clk: qcom: Add display clock controller driver for SM6350 (Adrien Thierry) [2158909]
- clk: qcom: Declare mux table as const u32[] (Adrien Thierry) [2158909]
- clk: qcom: dispcc: Update the transition delay for MDSS GDSC (Adrien Thierry) [2158909]
- clk: qcom: gdsc: Add support to update GDSC transition delay (Adrien Thierry) [2158909]
- clk: qcom: ipq8074: Use floor ops for SDCC1 clock (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8994: Remove NoC clocks (Adrien Thierry) [2158909]
- clk: qcom: Add MSM8226 Multimedia Clock Controller support (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8996: start getting rid of xo clk (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8996: use parent_hws/_data instead of parent_names (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8996: move clock parent tables down (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8996: drop unsupported clock sources (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8996: use ARRAY_SIZE instead of specifying num_parents (Adrien Thierry) [2158909]
- clk: qcom: videocc-sc7180: use parent_hws instead of parent_data (Adrien Thierry) [2158909]
- clk: qcom: camcc-sdm845: convert to parent_hws/_data (Adrien Thierry) [2158909]
- clk: qcom: camcc-sdm845: move clock parent tables down (Adrien Thierry) [2158909]
- clk: qcom: camcc-sdm845: get rid of the test clock (Adrien Thierry) [2158909]
- clk: qcom: camcc-sdm845: use ARRAY_SIZE instead of specifying num_parents (Adrien Thierry) [2158909]
- clk: qcom: camcc-sc7180: use parent_hws instead of parent_data (Adrien Thierry) [2158909]
- clk: qcom: camcc-sc7180: get rid of the test clock (Adrien Thierry) [2158909]
- clk: qcom: camcc-sc7180: use ARRAY_SIZE instead of specifying num_parents (Adrien Thierry) [2158909]
- clk: qcom: gpucc-sdm660: use parent_hws instead of parent_data (Adrien Thierry) [2158909]
- clk: qcom: gpucc-sdm660: get rid of the test clock (Adrien Thierry) [2158909]
- clk: qcom: gpucc-sdm660: fix two clocks with parent_names (Adrien Thierry) [2158909]
- clk: qcom: Add display clock controller driver for QCM2290 (Adrien Thierry) [2158909]
- clk: qcom: ipq8074: fix PCI-E clock oops (Adrien Thierry) [2158909]
- clk: qcom: gcc-sc7280: Mark gcc_cfg_noc_lpass_clk always enabled (Adrien Thierry) [2158909]
- clk: qcom: clk-alpha-pll: Increase PLL lock detect poll time (Adrien Thierry) [2158909]
- clk: qcom: turingcc-qcs404: explicitly include clk-provider.h (Adrien Thierry) [2158909]
- clk: qcom: q6sstop-qcs404: explicitly include clk-provider.h (Adrien Thierry) [2158909]
- clk: qcom: mmcc-apq8084: explicitly include clk-provider.h (Adrien Thierry) [2158909]
- clk: qcom: lpasscc-sdm845: explicitly include clk-provider.h (Adrien Thierry) [2158909]
- clk: qcom: lpasscc-sc7280: explicitly include clk-provider.h (Adrien Thierry) [2158909]
- clk: qcom: gcc-sm6350: explicitly include clk-provider.h (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8994: explicitly include clk-provider.h (Adrien Thierry) [2158909]
- clk: qcom: gcc-sm8350: explicitly include clk-provider.h (Adrien Thierry) [2158909]
- clk: qcom: Add MSM8976/56 Global Clock Controller (GCC) driver (Adrien Thierry) [2158909]
- clk: qcom: Add clock driver for SM8450 (Adrien Thierry) [2158909]
- clk: qcom: Add SDX65 GCC support (Adrien Thierry) [2158909]
- clk: qcom: Add LUCID_EVO PLL type for SDX65 (Adrien Thierry) [2158909]
- clk: qcom: sm6125-gcc: Swap ops of ice and apps on sdcc1 (Adrien Thierry) [2158909]
- clk: qcom: rpmh: add support for SM8450 rpmh clocks (Adrien Thierry) [2158909]
- clk: qcom: smd-rpm: Drop binary value handling for buffered clock (Adrien Thierry) [2158909]
- clk: qcom: smd-rpm: Drop the use of struct rpm_cc (Adrien Thierry) [2158909]
- clk: qcom: smd-rpm: Drop MFD qcom-rpm reference (Adrien Thierry) [2158909]
- clk: qcom: Add support for SDX65 RPMh clocks (Adrien Thierry) [2158909]
- clk: qcom: clk-alpha-pll: Don't reconfigure running Trion (Adrien Thierry) [2158909]
- clk: qcom: regmap-mux: fix parent clock lookup (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8996: Drop (again) gcc_aggre1_pnoc_ahb_clk (Adrien Thierry) [2158909]
- clk: qcom: gdsc: enable optional power domain support (Adrien Thierry) [2158909]
- clk: qcom: videocc-sm8250: use runtime PM for the clock controller (Adrien Thierry) [2158909]
- clk: qcom: dispcc-sm8250: use runtime PM for the clock controller (Adrien Thierry) [2158909]
- clk: qcom: gcc-sc7280: Drop unused array (Adrien Thierry) [2158909]
- clk: qcom: camcc: Add camera clock controller driver for SC7280 (Adrien Thierry) [2158909]
- clk: qcom: Add lpass clock controller driver for SC7280 (Adrien Thierry) [2158909]
- clk: qcom: Kconfig: Sort the symbol for SC_LPASS_CORECC_7180 (Adrien Thierry) [2158909]
- clk: qcom: mmcc-sdm660: Add hw_ctrl flag to venus_core0_gdsc (Adrien Thierry) [2158909]
- clk: qcom: mmcc-sdm660: Add necessary CXCs to venus_gdsc (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8994: Use ARRAY_SIZE() for num_parents (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8994: Add proper msm8992 support (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8994: Add modem reset (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8994: Remove the inexistent GDSC_PCIE (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8994: Add missing clocks (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8994: Add missing NoC clocks (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8994: Fix up SPI QUP clocks (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8994: Modernize the driver (Adrien Thierry) [2158909]
- clk: qcom: smd-rpm: Add QCM2290 RPM clock support (Adrien Thierry) [2158909]
- clk: qcom: smd-rpm: Add .recalc_rate hook for clk_smd_rpm_branch_ops (Adrien Thierry) [2158909]
- clk: qcom: Add Global Clock Controller driver for QCM2290 (Adrien Thierry) [2158909]
- clk: qcom: add select QCOM_GDSC for SM6350 (Adrien Thierry) [2158909]
- clk: qcom: gcc-sm6115: Fix offset for hlos1_vote_turing_mmu_tbu0_gdsc (Adrien Thierry) [2158909]
- clk: qcom: gcc: Remove CPUSS clocks control for SC7280 (Adrien Thierry) [2158909]
- clk: qcom: Remove redundant .owner (Adrien Thierry) [2158909]
- clk: qcom: mmcc-msm8998: Remove unnecessary fallbacks to global clocks (Adrien Thierry) [2158909]
- clk: qcom: gpucc-msm8998: Remove unnecessary fallbacks to global clocks (Adrien Thierry) [2158909]
- clk: qcom: mmcc-msm8998: Use ARRAY_SIZE for num_parents (Adrien Thierry) [2158909]
- clk: qcom: gpucc-msm8998: Use ARRAY_SIZE for num_parents (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8998: Remove transient global "xo" clock (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8998: Use parent_data/hws for internal clock relations (Adrien Thierry) [2158909]
- clk: qcom: gcc-msm8998: Move parent names and mapping below GPLLs (Adrien Thierry) [2158909]
- clk: qcom: kpss-xcc: Make use of the helper function devm_platform_ioremap_resource() (Adrien Thierry) [2158909]
- clk: qcom: common: Make use of the helper function devm_platform_ioremap_resource() (Adrien Thierry) [2158909]
- clk: qcom: a53-pll: Make use of the helper function devm_platform_ioremap_resource() (Adrien Thierry) [2158909]
- clk: qcom: gpucc-sdm660: Remove fallback to global clock names (Adrien Thierry) [2158909]
- clk: qcom: mmcc-sdm660: Use ARRAY_SIZE for num_parents (Adrien Thierry) [2158909]
- clk: qcom: gpucc-sdm660: Use ARRAY_SIZE for num_parents (Adrien Thierry) [2158909]
- clk: qcom: gcc-sdm660: Use ARRAY_SIZE for num_parents (Adrien Thierry) [2158909]
- clk: qcom: gcc-sm6350: Remove unused variable (Adrien Thierry) [2158909]
- clk: qcom: Add SM6350 GCC driver (Adrien Thierry) [2158909]
- clk: qcom: rpmh: Add support for RPMH clocks on SM6350 (Adrien Thierry) [2158909]
- clk: qcom: adjust selects for SM_VIDEOCC_8150 and SM_VIDEOCC_8250 (Adrien Thierry) [2158909]
- clk: qcom: Add Global Clock controller (GCC) driver for SM6115 (Adrien Thierry) [2158909]
- clk: qcom: mmcc-msm8994: Add MSM8992 support (Adrien Thierry) [2158909]
- clk: qcom: Add msm8994 MMCC driver (Adrien Thierry) [2158909]
- clk: qcom: Add Global Clock Controller driver for MSM8953 (Adrien Thierry) [2158909]
- clk: qcom: gcc-sdm660: Replace usage of parent_names (Adrien Thierry) [2158909]
- clk: qcom: gcc-sdm660: Move parent tables after PLLs (Adrien Thierry) [2158909]
- clk: qcom: use devm_pm_runtime_enable and devm_pm_clk_create (Adrien Thierry) [2158909]
- clk: qcom: a53-pll: Add MSM8939 a53pll support (Adrien Thierry) [2158909]
- clk: qcom: a53pll/mux: Use unique clock name (Adrien Thierry) [2158909]
- clk: qcom: apcs-msm8916: Flag a53mux instead of a53pll as critical (Adrien Thierry) [2158909]
- clk: qcom: gpucc-sm8150: Add SC8180x support (Adrien Thierry) [2158909]
- clk: qcom: smd-rpm: Add mdm9607 clocks (Adrien Thierry) [2158909]
- clk: qcom: rpmcc: Add support for MSM8953 RPM clocks. (Adrien Thierry) [2158909]
- clk: qcom: smd: Add support for SM6115 rpm clocks (Adrien Thierry) [2158909]
- clk: qcom: smd: Add support for SM6125 rpm clocks (Adrien Thierry) [2158909]
- clk: qcom: dispcc-sm8250: Add additional parent clocks for DP (Adrien Thierry) [2158909]
- clk: qcom: Add video clock controller driver for SC7280 (Adrien Thierry) [2158909]
- clk: qcom: Add graphics clock controller driver for SC7280 (Adrien Thierry) [2158909]
- clk: qcom: Add display clock controller driver for SC7280 (Adrien Thierry) [2158909]
- soc: qcom: geni-se: add support for I2C Master Hub wrapper variant (Brian Masney) [2158216]
- soc: qcom: geni-se: add desc struct to specify clocks from device match data (Brian Masney) [2158216]
- soc: qcom: socinfo: Add SM6115 / SM4250 SoC IDs to the soc_id table (Brian Masney) [2158216]
- soc: qcom: socinfo: Add SM8150 and SA8155 SoC IDs to the soc_id table (Brian Masney) [2158216]
- soc: qcom: Select REMAP_MMIO for ICC_BWMON driver (Brian Masney) [2158216]
- soc: qcom: Select REMAP_MMIO for LLCC driver (Brian Masney) [2158216]
- soc: qcom: rpmpd: Add SM4250 support (Brian Masney) [2158216]
- soc: qcom: llcc: Add configuration data for SM8550 (Brian Masney) [2158216]
- soc: qcom: llcc: Add v4.1 HW version support (Brian Masney) [2158216]
- soc: qcom: socinfo: Add SM8550 ID (Brian Masney) [2158216]
- soc: qcom: rpmh-rsc: Avoid unnecessary checks on irq-done response (Brian Masney) [2158216]
- soc: qcom: rpmh-rsc: Add support for RSC v3 register offsets (Brian Masney) [2158216]
- soc: qcom: rpmhpd: Add SM8550 power domains (Brian Masney) [2158216]
- soc: qcom: socinfo: Add MSM8956/76 SoC IDs to the soc_id table (Brian Masney) [2158216]
- soc: qcom: rpmh-rsc: Write CONTROL_TCS with next timer wakeup (Brian Masney) [2158216]
- soc: qcom: rpmh-rsc: Save base address of drv (Brian Masney) [2158216]
- soc: qcom: rpmh-rsc: Attach RSC to cluster PM domain (Brian Masney) [2158216]
- soc: qcom: apr: Add check for idr_alloc and of_property_read_string_index (Brian Masney) [2158216]
- soc: qcom: socinfo: Add QDU1000/QRU1000 SoC IDs to the soc_id table (Brian Masney) [2158216]
- soc: qcom: rpmhpd: Add QDU1000/QRU1000 power domains (Brian Masney) [2158216]
- soc: qcom: spm: Implement support for SAWv2.3, MSM8976 L2 PM (Brian Masney) [2158216]
- soc: qcom: llcc: make irq truly optional (Brian Masney) [2158216]
- soc: qcom: spm: Add MSM8939 SPM register data (Brian Masney) [2158216]
- soc: qcom: stats: Mark device as having no PM support (Brian Masney) [2158216]
- soc: qcom: cmd-db: Mark device as having no PM support (Brian Masney) [2158216]
- soc: qcom: pdr: Make QMI message rules const (Brian Masney) [2158216]
- soc: qcom: rpmhpd: Use highest corner until sync_state (Brian Masney) [2158216]
- soc: qcom: smd-rpm: Add SM6375 compatible (Brian Masney) [2158216]
- soc: qcom: smd-rpm: Replace zero-length array with DECLARE_FLEX_ARRAY() helper (Brian Masney) [2158216]
- soc: qcom: rpmhpd: add sdm670 power domains (Brian Masney) [2158216]
- soc: qcom: socinfo: create soc_id table from bindings (Brian Masney) [2158216]
- soc: qcom: move from strlcpy with unused retval to strscpy (Brian Masney) [2158216]
- soc: qcom: rpmpd: Add SM6375 support (Brian Masney) [2158216]
- soc: qcom: icc-bwmon: force clear counter/irq registers (Brian Masney) [2158216]
- soc: qcom: icc-bwmon: add support for sc7280 LLCC BWMON (Brian Masney) [2158216]
- soc: qcom: llcc: Pass LLCC version based register offsets to EDAC driver (Brian Masney) [2158216]
- soc: qcom: llcc: Rename reg_offset structs to reflect LLCC version (Brian Masney) [2158216]
- soc: qcom: qmi: use const for struct qmi_elem_info (Brian Masney) [2158216]
- soc: qcom: icc-bwmon: remove redundant ret variable (Brian Masney) [2158216]
- soc: qcom: stats: Add SDM845 stats config and compatible (Brian Masney) [2158216]
- soc: qcom: socinfo: Add PM6125 ID (Brian Masney) [2158216]
- soc: qcom: socinfo: Add an ID for SM6375 (Brian Masney) [2158216]
- soc: qcom: smem_state: Add refcounting for the 'state->of_node' (Brian Masney) [2158216]
- soc: qcom: smsm: Fix refcount leak bugs in qcom_smsm_probe() (Brian Masney) [2158216]
- soc: qcom: icc-bwmon: add support for SDM845 LLCC BWMON (Brian Masney) [2158216]
- soc: qcom: icc-bwmon: add per-variant quirks (Brian Masney) [2158216]
- soc: qcom: icc-bwmon: use regmap and prepare for BWMON v5 (Brian Masney) [2158216]
- soc: qcom: icc-bwmon: store count unit per variant (Brian Masney) [2158216]
- soc: qcom: icc-bwmon: clear all registers on init (Brian Masney) [2158216]
- soc: qcom: icc-bwmon: store reference to variant data in container (Brian Masney) [2158216]
- soc: qcom: icc-bwmon: drop unused registers (Brian Masney) [2158216]
- soc: qcom: icc-bwmon: re-use IRQ enable/clear define (Brian Masney) [2158216]
- PM: domains: Store the next hrtimer wakeup in genpd (Brian Masney) [2158216]
- soc: dt-bindings: qcom: add gpr bindings (Brian Masney) [2158216]
- dt-bindings: power: rpmpd: Add SM4250 support (Brian Masney) [2158216]
- dt-bindings: power: rpmpd: Add SM8550 to rpmpd binding (Brian Masney) [2158216]
- dt-bindings: power: rpmpd: Add QDU1000/QRU1000 to rpmpd binding (Brian Masney) [2158216]
- dt-bindings: power: rpmpd: add sdm670 power domains (Brian Masney) [2158216]
- dt-bindings: power: rpmpd: Add SM6375 power domains (Brian Masney) [2158216]
- dt-bindings: power: qcom-rpmpd: Add MSM8909 power domains (Brian Masney) [2158216]
- dt-bindings: arm: qcom,ids: Add SoC IDs for SM6115 / SM4250 and variants (Brian Masney) [2158216]
- dt-bindings: arm: qcom,ids: Add SoC IDs for SM8150 and SA8155 (Brian Masney) [2158216]
- dt-bindings: arm: qcom,ids: Add SoC IDs for MSM8956 and MSM8976 (Brian Masney) [2158216]
- dt-bindings: arm: qcom,ids: Add SoC IDs for QDU1000/QRU1000 (Brian Masney) [2158216]
- dt-bindings: arm: qcom: document qcom,msm-id and qcom,board-id (Brian Masney) [2158216]
- regulator: qcom-labibb: Fix missing of_node_put() in qcom_labibb_regulator_probe() (Adrien Thierry) [2157968]
- regulator: qcom-rpmh: Add support for PM8550 regulators (Adrien Thierry) [2157968]
- regulator: qcom-rpmh: Fix PMR735a S3 regulator spec (Adrien Thierry) [2157968]
- regulator: qcom_smd: Fix PMR735a S3 regulator spec (Adrien Thierry) [2157968]
- regulator: qcom_smd: Add PMR735a regulators (Adrien Thierry) [2157968]
- regulator: qcom-rpmh: add pm660 and pm660l pmics (Adrien Thierry) [2157968]
- regulator: qcom_rpm: Fix circular deferral regression (Adrien Thierry) [2157968]
- regulator: core: Don't err if allow-set-load but no allowed-modes (Adrien Thierry) [2157968]
- regulator: core: Require regulator drivers to check uV for get_optimum_mode() (Adrien Thierry) [2157968]
- regulator: qcom_smd: Add PM6125 RPM regulators (Adrien Thierry) [2157968]
- regulator: qcom_smd: Sort pmics alphabetically (part 3) (Adrien Thierry) [2157968]
- regulator: qcom_smd: Sort pmics alphabetically (part 2) (Adrien Thierry) [2157968]
- regulator: qcom_smd: Sort pmics alphabetically (part 1) (Adrien Thierry) [2157968]
- regulator: qcom_spmi: Add PM6125 PMIC support (Adrien Thierry) [2157968]
- regulator: qcom_spmi: Sort pmics alphabetically (part 2) (Adrien Thierry) [2157968]
- regulator: qcom_spmi: Sort pmics alphabetically (part 1) (Adrien Thierry) [2157968]
- regulator: qcom_spmi: Add support for LDO_510 and FTSMPS (Adrien Thierry) [2157968]
- regulator: qcom_spmi: Add support for HFSMPS regulator type (Adrien Thierry) [2157968]
- regulator: qcom-rpmh: Implement get_optimum_mode(), not set_load() (Adrien Thierry) [2157968]
- regulator: qcom_spmi: add support for PMP8074 regulators (Adrien Thierry) [2157968]
- regulator: qcom_spmi: add support for HT_P600 (Adrien Thierry) [2157968]
- regulator: qcom_spmi: add support for HT_P150 (Adrien Thierry) [2157968]
- regulator: qcom_smd: Add PM8909 RPM regulators (Adrien Thierry) [2157968]
- regulator: qcom_smd: Fix pm8916_pldo range (Adrien Thierry) [2157968]
- regulator: qcom_smd: add get_voltage to MP5496 (Adrien Thierry) [2157968]
- regulator: qcom_smd: correct MP5496 ranges (Adrien Thierry) [2157968]
- regulator: qcom_smd: Fix up PM8950 regulator configuration (Adrien Thierry) [2157968]
Resolves: rhbz#2071848, rhbz#2122415, rhbz#2164495, rhbz#2158909, rhbz#2158216, rhbz#2157968

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-15 13:10:46 +00:00
Herton R. Krzesinski
33df767009 kernel-5.14.0-267.el9
* Mon Feb 13 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-267.el9]
- mmc: sdhci-tegra: Issue CMD and DAT resets together (Mark Salter) [2144641]
- mmc: sdhci-tegra: Add support to program MC stream ID (Mark Salter) [2144641]
- iommu/tegra: Add tegra_dev_iommu_get_stream_id() helper (Mark Salter) [2144641]
- mmc: sdhci-tegra: Sort includes alphabetically (Mark Salter) [2144641]
- mmc: sdhci-tegra: Separate Tegra194 and Tegra234 SoC data (Mark Salter) [2144641]
- mmc: sdhci: Centralize CMD and DATA reset handling (Mark Salter) [2144641]
- mmc: sdhci: Get rid of SDHCI_QUIRK_RESET_CMD_DATA_ON_IOS (Mark Salter) [2144641]
- mmc: sdhci: Remove misleading comment about resets (Mark Salter) [2144641]
- mmc: sdhci: Separate out sdhci_reset_for_all() (Mark Salter) [2144641]
- mmc: sdhci: Fix ADMA for PAGE_SIZE >= 64KiB (Mark Salter) [2144641]
- mmc: sdhci-pci: Remove dead code (rst_n_gpio et al) (Mark Salter) [2144641]
- mmc: sdhci-pci: Remove dead code (cd_gpio, cd_irq et al) (Mark Salter) [2144641]
- mmc: sdhci: Remove unused prototype declaration in the header (Mark Salter) [2144641]
- mmc: sdhci: Deduplicate sdhci_get_cd_nogpio() (Mark Salter) [2144641]
- mmc: sdhci-pci: Read card detect from ACPI for Intel Merrifield (Mark Salter) [2144641]
- mmc: sdhci: Return true only when timeout exceeds capacity of the HW timer (Mark Salter) [2144641]
- mmc: sdhci: Change the code to check auto_cmd23 (Mark Salter) [2144641]
- mmc: sdhci: Map more voltage level to SDHCI_POWER_330 (Mark Salter) [2144641]
- mmc: sdhci: Correct the tuning command handle for PIO mode (Mark Salter) [2144641]
- mmc: sdhci: Fix issue with uninitialized dma_slave_config (Mark Salter) [2144641]
- mmc: sdhci: Introduce max_timeout_count variable in sdhci_host (Mark Salter) [2144641]
- power: supply: samsung-sdi-battery: Add missing charge restart voltages (Al Stone) [2071846]
- power: supply: bq256xx: Handle OOM correctly (Al Stone) [2071846]
- power: supply: fix table problem in sysfs-class-power (Al Stone) [2071846]
- set proper default for Samsung batteries (Al Stone) [2071846]
- power: supply: Fix typo in power_supply_check_supplies (Al Stone) [2071846]
- power: supply: core: Fix boundary conditions in interpolation (Al Stone) [2071846]
- power: supply: core: Initialize struct to zero (Al Stone) [2071846]
- power: supply: Reset err after not finding static battery (Al Stone) [2071846]
- power: supply: Static data for Samsung batteries (Al Stone) [2071846]
- power: supply: Support VBAT-to-Ri lookup tables (Al Stone) [2071846]
- power: supply: ab8500: Standardize BTI resistance (Al Stone) [2071846]
- power: supply: ab8500: Standardize alert mode charging (Al Stone) [2071846]
- power: supply: ab8500: Standardize maintenance charging (Al Stone) [2071846]
- power: supply: Provide stubs for charge_behaviour helpers (Al Stone) [2071846]
- power: supply: core: Add kerneldoc to battery struct (Al Stone) [2071846]
- power: supply: sbs-charger: Don't cancel work that is not initialized (Al Stone) [2071846]
- power: supply: Introduces bypass charging property (Al Stone) [2071846]
- power: supply: core: Use device_property_string_array_count() (Al Stone) [2071846]
- power: supply: core: Simplify hwmon memory allocation (Al Stone) [2071846]
- power: supply: core: Add support for generic fwnodes to power_supply_get_battery_info() (Al Stone) [2071846]
- power: supply: core: Use fwnode_property_*() in power_supply_get_battery_info() (Al Stone) [2071846]
- power: supply: core: Refactor power_supply_set_input_current_limit_from_supplier() (Al Stone) [2071846]
- power: supply: core: fix application of sizeof to pointer (Al Stone) [2071846]
- power: supply: fix charge_behaviour attribute initialization (Al Stone) [2071846]
- power: supply_core: Pass pointer to battery info (Al Stone) [2071846]
- power: supply: add helpers for charge_behaviour sysfs (Al Stone) [2071846]
- power: supply: add charge_behaviour attributes (Al Stone) [2071846]
- power: supply: core: Use library interpolation (Al Stone) [2071846]
- power: supply: core: add POWER_SUPPLY_HEALTH_NO_BATTERY (Al Stone) [2071846]
- power: supply: core: Break capacity loop (Al Stone) [2071846]
- power: supply: core: Move psy_has_property() to fix build (Al Stone) [2071846]
- power: supply: core: Add psy_has_property() (Al Stone) [2071846]
- power: supply: core: Fix parsing of battery chemistry/technology (Al Stone) [2071846]
- power: supply: core: Parse battery chemistry/technology (Al Stone) [2071846]
- power: supply: sbs-battery: add support for time_to_empty_now attribute (Al Stone) [2071846]
- power: supply: sbs-battery: relax voltage limit (Al Stone) [2071846]
Resolves: rhbz#2144641, rhbz#2071846

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-13 22:30:27 +00:00
Herton R. Krzesinski
d59d834594 kernel-5.14.0-264.el9
* Wed Feb 08 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-264.el9]
- uapi: add missing ip/ipv6 header dependencies for linux/stddef.h (Herton R. Krzesinski) [2166738]
- redhat: make selftests build install headers under tools/testing/selftests/usr/include (Herton R. Krzesinski) [2166738]
- uapi: Fix undefined __always_inline on non-glibc systems (Herton R. Krzesinski) [2166738]
- panic, kexec: make __crash_kexec() NMI safe (Valentin Schneider) [2166717]
- kexec: turn all kexec_mutex acquisitions into trylocks (Valentin Schneider) [2166717]
- sched: Always inline is_percpu_thread() (Phil Auld) [2166660]
- vhost/net: Clear the pending messages when the backend is removed (Eric Auger) [2093791]
- net: Return errno in sk->sk_prot->get_port(). (Paolo Abeni) [2166482]
- Revert "mm/compaction: fix set skip in fast_find_migrateblock" (Nico Pache) [2166447]
- aio: fix mremap after fork null-deref (Jeff Moyer) [2165730]
- net: ena: Update NUMA TPH hint register upon NUMA node update (Petr Oros) [2155690]
- net: ena: Set default value for RX interrupt moderation (Petr Oros) [2155690]
- net: ena: Fix rx_copybreak value update (Petr Oros) [2155690]
- net: ena: Use bitmask to indicate packet redirection (Petr Oros) [2155690]
- net: ena: Account for the number of processed bytes in XDP (Petr Oros) [2155690]
- net: ena: Don't register memory info on XDP exchange (Petr Oros) [2155690]
- net: ena: Fix toeplitz initial hash value (Petr Oros) [2155690]
- net: ena: Fix error handling in ena_init() (Petr Oros) [2155690]
- dmaengine: idxd: Fix crc_val field for completion record (Jerry Snitselaar) [2144376]
- dmaengine: idxd: Make read buffer sysfs attributes invisible for Intel IAA (Jerry Snitselaar) [2144376]
- dmaengine: idxd: Make max batch size attributes in sysfs invisible for Intel IAA (Jerry Snitselaar) [2144376]
- dmaengine: idxd: Do not call DMX TX callbacks during workqueue disable (Jerry Snitselaar) [2144376]
- dmaengine: idxd: Prevent use after free on completion memory (Jerry Snitselaar) [2144376]
- dmaengine: idxd: Let probe fail when workqueue cannot be enabled (Jerry Snitselaar) [2144376]
- dmaengine: idxd: Fix max batch size for Intel IAA (Jerry Snitselaar) [2144376]
- dmaengine: idxd: add configuration for concurrent batch descriptor processing (Jerry Snitselaar) [2144376]
- dmanegine: idxd: reformat opcap output to match bitmap_parse() input (Jerry Snitselaar) [2144376]
- dmaengine: idxd: add configuration for concurrent work descriptor processing (Jerry Snitselaar) [2144376]
- dmaengine: idxd: add WQ operation cap restriction support (Jerry Snitselaar) [2144376]
- dmaengine: idxd: convert ats_dis to a wq flag (Jerry Snitselaar) [2144376]
- dmaengine: idxd: track enabled workqueues in bitmap (Jerry Snitselaar) [2144376]
- dmaengine: idxd: Set wq state to disabled in idxd_wq_disable_cleanup() (Jerry Snitselaar) [2144376]
- dmaengine: idxd: Do not enable user type Work Queue without Shared Virtual Addressing (Jerry Snitselaar) [2144376]
- dmaengine: idxd: fix RO device state error after been disabled/reset (Jerry Snitselaar) [2144376]
- dmaengine: idxd: avoid deadlock in process_misc_interrupts() (Jerry Snitselaar) [2144376]
- redhat: configs: enable DWMAC_TEGRA (d.marlin) [2122405]
- net: stmmac: tegra: Add MGBE support (d.marlin) [2122405]
- net: stmmac: Power up SERDES after the PHY link (d.marlin) [2122405]
- net: stmmac: power up/down serdes in stmmac_open/release (d.marlin) [2122405]
- clk: tegra: Support BPMP-FW ABI deny flags (Joel Slebodnick) [2144640]
- firmware: tegra: Update BPMP ABI (Joel Slebodnick) [2144640]
- vlan: fix memory leak in vlan_newlink() (Xin Long) [2155365]
- vlan: move dev_put into vlan_dev_uninit (Xin Long) [2155365]
- vlan: introduce vlan_dev_free_egress_priority (Xin Long) [2155365]
- net: ethernet: move from strlcpy with unused retval to strscpy (Ken Cox) [2104473]
- intel/ixgbevf:fix repeated words in comments (Ken Cox) [2104473]
- intel: remove unused macros (Ken Cox) [2104473]
- ixgbevf: add disable link state (Ken Cox) [2104473]
- clk: tegra: Register clocks from root to leaf (Joel Slebodnick) [2122346]
- clk: tegra: Replace .round_rate() with .determine_rate() (Joel Slebodnick) [2122346]
Resolves: rhbz#2166738, rhbz#2166717, rhbz#2166660, rhbz#2093791, rhbz#2166482, rhbz#2166447, rhbz#2165730, rhbz#2155690, rhbz#2144376, rhbz#2122405, rhbz#2144640, rhbz#2155365, rhbz#2104473, rhbz#2122346

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-08 23:18:51 +00:00
Herton R. Krzesinski
ce306e2dee kernel-5.14.0-258.el9
* Fri Feb 03 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-258.el9]
- HID: amd_sfh: Fix warning unwind goto (Benjamin Tissoires) [2122844]
- HID: revert CHERRY_MOUSE_000C quirk (Benjamin Tissoires) [2122844]
- HID: i2c: let RMI devices decide what constitutes wakeup event (Benjamin Tissoires) [2122844]
- HID: plantronics: Additional PIDs for double volume key presses quirk (Benjamin Tissoires) [2122844]
- HID: multitouch: fix Asus ExpertBook P2 P2451FA trackpoint (Benjamin Tissoires) [2122844]
- HID: mcp2221: don't connect hidraw (Benjamin Tissoires) [2122844]
- HID: wacom: Ensure bootloader PID is usable in hidraw mode (Benjamin Tissoires) [2122844]
- HID: logitech-hidpp: Guard FF init code against non-USB devices (Benjamin Tissoires) [2122844]
- HID: input: do not query XP-PEN Deco LW battery (Benjamin Tissoires) [2122844]
- HID: apple: enable APPLE_ISO_TILDE_QUIRK for the keyboards of Macs with the T2 chip (Benjamin Tissoires) [2122844]
- HID: apple: fix key translations where multiple quirks attempt to translate the same key (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Add missing check for dma_alloc_coherent (Benjamin Tissoires) [2122844]
- HID: hid-sensor-custom: set fixed size for custom attributes (Benjamin Tissoires) [2122844]
- Input: elants_i2c - properly handle the reset GPIO when power is off (Benjamin Tissoires) [2122844]
- Input: i8042 - apply probe defer to more ASUS ZenBook models (Benjamin Tissoires) [2122844]
- Input: synaptics - switch touchpad on HP Laptop 15-da3001TU to RMI mode (Benjamin Tissoires) [2122844]
- Input: i8042 - fix leaking of platform device on module removal (Benjamin Tissoires) [2122844]
- Input: document the units for resolution of size axes (Benjamin Tissoires) [2122844]
- Input: synaptics - disable Intertouch for Lenovo T14 and P14s AMD G1 (Benjamin Tissoires) [2122844]
- Input: deactivate MT slots when inhibiting or suspending devices (Benjamin Tissoires) [2122844]
- Input: properly queue synthetic events (Benjamin Tissoires) [2122844]
- Input: i8042 - add dritek quirk for Acer Aspire One AO532 (Benjamin Tissoires) [2122844]
- Input: i8042 - add additional TUXEDO devices to i8042 quirk tables (Benjamin Tissoires) [2122844]
- Input: i8042 - add TUXEDO devices to i8042 quirk tables (Benjamin Tissoires) [2122844]
- Input: i8042 - add debug output for quirks (Benjamin Tissoires) [2122844]
- Input: i8042 - merge quirk tables (Benjamin Tissoires) [2122844]
- Input: i8042 - move __initconst to fix code styling warning (Benjamin Tissoires) [2122844]
- Input: usbtouchscreen - add driver_info sanity check (Benjamin Tissoires) [2122844]
- HID: ite: Enable QUIRK_TOUCHPAD_ON_OFF_REPORT on Acer Aspire Switch V 10 (Benjamin Tissoires) [2122844]
- HID: core: fix shift-out-of-bounds in hid_report_raw_event (Benjamin Tissoires) [2122844]
- HID: hid-lg4ff: Add check for empty lbuf (Benjamin Tissoires) [2122844]
- HID: uclogic: Add HID_QUIRK_HIDINPUT_FORCE quirk (Benjamin Tissoires) [2122844]
- HID: fix I2C_HID not selected when I2C_HID_OF_ELAN is (Benjamin Tissoires) [2122844]
- HID: usbhid: Add ALWAYS_POLL quirk for some mice (Benjamin Tissoires) [2122844]
- HID: uclogic: Fix frame templates for big endian architectures (Benjamin Tissoires) [2122844]
- HID: wacom: Fix logic used for 3rd barrel switch emulation (Benjamin Tissoires) [2122844]
- HID: saitek: add madcatz variant of MMO7 mouse device ID (Benjamin Tissoires) [2122844]
- HID: magicmouse: Do not set BTN_MOUSE on double report (Benjamin Tissoires) [2122844]
- HID: playstation: add initial DualSense Edge controller support (Benjamin Tissoires) [2122844]
- HID: playstation: stop DualSense output work on remove. (Benjamin Tissoires) [2122844]
- HID: nintendo: check analog user calibration for plausibility (Benjamin Tissoires) [2122844]
- HID: roccat: Fix use-after-free in roccat_read() (Benjamin Tissoires) [2122844]
- hid: topre: Add driver fixing report descriptor (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Handle condition of "no sensors" for SFH1.1 (Benjamin Tissoires) [2122844]
- HID: uclogic: Fix warning in uclogic_rdesc_template_apply (Benjamin Tissoires) [2122844]
- HID: uclogic: Add missing suffix for digitalizers (Benjamin Tissoires) [2122844]
- HID: multitouch: Add memory barriers (Benjamin Tissoires) [2122844]
- HID: nintendo: fix rumble worker null pointer deref (Benjamin Tissoires) [2122844]
- HID: input: fix uclogic tablets (Benjamin Tissoires) [2122844]
- HID: Add Apple Touchbar on T2 Macs in hid_have_special_driver list (Benjamin Tissoires) [2122844]
- HID: add Lenovo Yoga C630 battery quirk (Benjamin Tissoires) [2122844]
- HID: AMD_SFH: Add a DMI quirk entry for Chromebooks (Benjamin Tissoires) [2122844]
- HID: thrustmaster: Add sparco wheel and fix array length (Benjamin Tissoires) [2122844]
- HID: asus: ROG NKey: Ignore portion of 0x5a report (Benjamin Tissoires) [2122844]
- HID: hidraw: fix memory leak in hidraw_release() (Benjamin Tissoires) [2122844]
- HID: steam: Prevent NULL pointer dereference in steam_{recv,send}_report (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Handle condition of "no sensors" (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Fix implicit declaration error on i386 (Benjamin Tissoires) [2122844]
- HID: apple: Add "GANSS" to the non-Apple list (Benjamin Tissoires) [2122844]
- HID: alps: Declare U1_UNICORN_LEGACY support (Benjamin Tissoires) [2122844]
- HID: wacom: Force pen out of prox if no events have been received in a while (Benjamin Tissoires) [2122844]
- HID: nintendo: Add missing array termination (Benjamin Tissoires) [2122844]
- HID: lg-g15: Fix comment typo (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Implement SFH1.1 functionality (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Move interrupt handling to common interface (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Move amd_sfh_work to common interface (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Move global functions to static (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Add remove operation in amd_mp2_ops (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Add PM operations in amd_mp2_ops (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Add descriptor operations in amd_mp2_ops (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Move request_list variable to client data (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Move request_list struct to header file (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Move common macros and structures (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Add NULL check for hid device (Benjamin Tissoires) [2122844]
- HID: core: remove unneeded assignment in hid_process_report() (Benjamin Tissoires) [2122844]
- HID: mcp2221: prevent a buffer overflow in mcp_smbus_write() (Benjamin Tissoires) [2122844]
- HID: nintendo: Set phys property of input device based on HID phys (Benjamin Tissoires) [2122844]
- HID: uclogic: Add support for XP-PEN Deco L (Benjamin Tissoires) [2122844]
- HID: uclogic: Allow to generate frame templates (Benjamin Tissoires) [2122844]
- HID: uclogic: Add KUnit tests for uclogic_rdesc_template_apply() (Benjamin Tissoires) [2122844]
- HID: uclogic: Make template placeholder IDs generic (Benjamin Tissoires) [2122844]
- HID: surface-hid: Add support for hot-removal (Benjamin Tissoires) [2122844]
- HID: multitouch: new device class fix Lenovo X12 trackpad sticky (Benjamin Tissoires) [2122844]
- HID: cp2112: prevent a buffer overflow in cp2112_xfer() (Benjamin Tissoires) [2122844]
- HID: hid-input: add Surface Go battery quirk (Benjamin Tissoires) [2122844]
- HID: logitech-hidpp: Fix syntax errors in comments (Benjamin Tissoires) [2122844]
- HID: uclogic: properly format kernel-doc comment for hid_dbg() wrappers (Benjamin Tissoires) [2122844]
- HID: apple: Properly handle function keys on non-Apple keyboard (Benjamin Tissoires) [2122844]
- HID: nintendo: fix unused const warning (Benjamin Tissoires) [2122844]
- HID: i2c-hid: elan: Add support for Elan eKTH6915 i2c-hid touchscreens (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Don't show client init failed as error when discovery fails (Benjamin Tissoires) [2122844]
- HID: wacom: Don't register pad_input for touch switch (Benjamin Tissoires) [2122844]
- HID: wacom: Only report rotation for art pen (Benjamin Tissoires) [2122844]
- HID: apple: Properly handle function keys on Keychron keyboards (Benjamin Tissoires) [2122844]
- HID: uclogic: Switch to Digitizer usage for styluses (Benjamin Tissoires) [2122844]
- HID: uclogic: Add pen support for XP-PEN Star 06 (Benjamin Tissoires) [2122844]
- HID: uclogic: Differentiate touch ring and touch strip (Benjamin Tissoires) [2122844]
- HID: uclogic: Always shift touch reports to zero (Benjamin Tissoires) [2122844]
- HID: uclogic: Do not focus on touch ring only (Benjamin Tissoires) [2122844]
- HID: uclogic: Return raw parameters from v2 pen init (Benjamin Tissoires) [2122844]
- HID: uclogic: Move param printing to a function (Benjamin Tissoires) [2122844]
- HID: core: Display "SENSOR HUB" for sensor hub bus string in hid_info (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Move bus declaration outside of amd-sfh (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Add physical location to HID device (Benjamin Tissoires) [2122844]
- HID: amd_sfh: Add sensor name by index for debug info (Benjamin Tissoires) [2122844]
- Hid: wacom: Fix kernel test robot warning (Benjamin Tissoires) [2122844]
- HID: uclogic: Disable pen usage for Huion keyboard interfaces (Benjamin Tissoires) [2122844]
- HID: uclogic: Support disabling pen usage (Benjamin Tissoires) [2122844]
- HID: uclogic: Pass keyboard reports as is (Benjamin Tissoires) [2122844]
- HID: uclogic: Clarify pen/frame desc_ptr description (Benjamin Tissoires) [2122844]
- HID: uclogic: Clarify params desc_size description (Benjamin Tissoires) [2122844]
- HID: Add support for Mega World controller force feedback (Benjamin Tissoires) [2122844]
- HID: lenovo: Add note about different report numbers (Benjamin Tissoires) [2122844]
- HID: lenovo: Sync Fn-lock state on button press for Compact and TrackPoint II keyboards (Benjamin Tissoires) [2122844]
- HID: lenovo: Add support for ThinkPad TrackPoint Keyboard II (Benjamin Tissoires) [2122844]
- HID: amd_sfh: change global variables to static (Benjamin Tissoires) [2122844]
- HID: uclogic: Add support for Huion Q620M (Benjamin Tissoires) [2122844]
- HID: uclogic: Add support for bitmap dials (Benjamin Tissoires) [2122844]
- HID: uclogic: Reduce indent for params format str/args (Benjamin Tissoires) [2122844]
- HID: uclogic: Compress params format string (Benjamin Tissoires) [2122844]
- HID: wacom: Correct power_supply type (Benjamin Tissoires) [2122844]
- HID: wacom: Adding Support for new usages (Benjamin Tissoires) [2122844]
- HID: uclogic: Add support for Huion touch ring reports (Benjamin Tissoires) [2122844]
- HID: uclogic: Allow three frame parameter sets (Benjamin Tissoires) [2122844]
- HID: uclogic: Support custom device suffix for frames (Benjamin Tissoires) [2122844]
- HID: uclogic: Add support for touch ring reports (Benjamin Tissoires) [2122844]
Resolves: rhbz#2122844

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-03 20:30:24 +00:00
Herton R. Krzesinski
bc985ce581 kernel-5.14.0-255.el9
* Thu Feb 02 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-255.el9]
- can: kvaser_usb: kvaser_usb_set_bittiming(): fix redundant initialization warning for err (Al Stone) [2071839]
- can: kvaser_usb: kvaser_usb_set_{,data}bittiming(): remove empty lines in variable declaration (Al Stone) [2071839]
- can: af_can: can_exit(): add missing dev_remove_pack() of canxl_packet (Al Stone) [2071839]
- can: can327: flush TX_work on ldisc .close() (Al Stone) [2071839]
- can: slcan: fix freed work crash (Al Stone) [2071839]
- can: can327: can327_feed_frame_to_netdev(): fix potential skb leak when netdev is down (Al Stone) [2071839]
- can: dev: fix skb drop check (Al Stone) [2071839]
- can: kvaser_usb: Compare requested bittiming parameters with actual parameters in do_set_{,data}_bittiming (Al Stone) [2071839]
- can: kvaser_usb: Add struct kvaser_usb_busparams (Al Stone) [2071839]
- can: kvaser_usb_leaf: Fix bogus restart events (Al Stone) [2071839]
- can: kvaser_usb_leaf: Ignore stale bus-off after start (Al Stone) [2071839]
- can: kvaser_usb_leaf: Fix wrong CAN state after stopping (Al Stone) [2071839]
- can: kvaser_usb_leaf: Fix improved state not being reported (Al Stone) [2071839]
- can: kvaser_usb_leaf: Set Warning state even without bus errors (Al Stone) [2071839]
- can: kvaser_usb: kvaser_usb_leaf: Handle CMD_ERROR_EVENT (Al Stone) [2071839]
- can: kvaser_usb: kvaser_usb_leaf: Rename {leaf,usbcan}_cmd_error_event to {leaf,usbcan}_cmd_can_error_event (Al Stone) [2071839]
- can: kvaser_usb: kvaser_usb_leaf: Get capabilities from device (Al Stone) [2071839]
- can: remove obsolete PCH CAN driver (Al Stone) [2071839]
- can: kvaser_usb_leaf: Fix CAN state after restart (Al Stone) [2071839]
- can: kvaser_usb_leaf: Fix TX queue out of sync after restart (Al Stone) [2071839]
- can: kvaser_usb: Fix use of uninitialized completion (Al Stone) [2071839]
- can: kvaser_usb_leaf: Fix overread with an invalid command (Al Stone) [2071839]
- can: gs_usb: gs_can_open(): initialize time counter before starting device (Al Stone) [2071839]
- can: gs_usb: add missing lock to protect struct timecounter::cycle_last (Al Stone) [2071839]
- can: gs_usb: gs_usb_get_timestamp(): fix endpoint parameter for usb_control_msg_recv() (Al Stone) [2071839]
- can: gs_usb: gs_usb_set_phys_id(): return with error if identify is not supported (Al Stone) [2071839]
- can: gs_usb: gs_can_open(): fix race dev->can.state condition (Al Stone) [2071839]
- can: canxl: update CAN infrastructure for CAN XL frames (Al Stone) [2071839]
- can: dev: add CAN XL support to virtual CAN (Al Stone) [2071839]
- can: canxl: introduce CAN XL data structure (Al Stone) [2071839]
- can: skb: add skb CAN frame data length helpers (Al Stone) [2071839]
- can: set CANFD_FDF flag in all CAN FD frame structures (Al Stone) [2071839]
- can: skb: unify skb CAN frame identification helpers (Al Stone) [2071839]
- can: gs_usb: add RX and TX hardware timestamp support (Al Stone) [2071839]
- rhel: Set initial defaults for CAN configs (Al Stone) [2071839]
- can: rcar_canfd: Add missing ECC error checks for channels 2-7 (Al Stone) [2071839]
- can: gs_usb: use common spelling of GS_USB in macros (Al Stone) [2071839]
- can: flexcan: fix typo: FLEXCAN_QUIRK_SUPPPORT_* -> FLEXCAN_QUIRK_SUPPORT_* (Al Stone) [2071839]
- can: rcar_canfd: fix channel specific IRQ handling for (Al Stone) [2071839]
- can: c_can: don't cache TX messages for C_CAN cores (Al Stone) [2071839]
- can: flexcan: flexcan_mailbox_read() fix return value for drop = true (Al Stone) [2071839]
- can: mcp251x: Fix race condition on receive interrupt (Al Stone) [2071839]
- can: ems_usb: fix clang's -Wunaligned-access warning (Al Stone) [2071839]
- can: can327: fix a broken link to Documentation (Al Stone) [2071839]
- can: etas_es58x: remove useless calls to usb_fill_bulk_urb() (Al Stone) [2071839]
- can: peak_usb: advertise timestamping capabilities and add ioctl support (Al Stone) [2071839]
- can: peak_canfd: advertise timestamping capabilities and add ioctl support (Al Stone) [2071839]
- can: kvaser_usb: advertise timestamping capabilities and add ioctl support (Al Stone) [2071839]
- can: kvaser_pciefd: advertise timestamping capabilities and add ioctl support (Al Stone) [2071839]
- can: etas_es58x: advertise timestamping capabilities and add ioctl support (Al Stone) [2071839]
- can: mcp251xfd: advertise timestamping capabilities and add ioctl support (Al Stone) [2071839]
- can: dev: add generic function can_eth_ioctl_hwts() (Al Stone) [2071839]
- can: dev: add generic function can_ethtool_op_get_ts_info_hwts() (Al Stone) [2071839]
- can: dev: add hardware TX timestamp (Al Stone) [2071839]
- can: tree-wide: advertise software timestamping capabilities (Al Stone) [2071839]
- can: v(x)can: add software tx timestamps (Al Stone) [2071839]
- can: slcan: add software tx timestamps (Al Stone) [2071839]
- can: janz-ican3: add software tx timestamp (Al Stone) [2071839]
- can: can327: add software tx timestamps (Al Stone) [2071839]
- MAINTAINERS: Add maintainer for the slcan driver (Al Stone) [2071839]
- can: slcan: add support for listen-only mode (Al Stone) [2071839]
- can: slcan: use the generic can_change_mtu() (Al Stone) [2071839]
- can: slcan: change every `slc' occurrence in `slcan' (Al Stone) [2071839]
- can: slcan: remove legacy infrastructure (Al Stone) [2071839]
- can: slcan: remove useless header inclusions (Al Stone) [2071839]
- can: slcan: use KBUILD_MODNAME and define pr_fmt to replace hardcoded names (Al Stone) [2071839]
- can: flexcan: export flexcan_ethtool_ops and remove flexcan_set_ethtool_ops() (Al Stone) [2071839]
- can: c_can: export c_can_ethtool_ops and remove c_can_set_ethtool_ops() (Al Stone) [2071839]
- can: slcan: export slcan_ethtool_ops and remove slcan_set_ethtool_ops() (Al Stone) [2071839]
- can: etas_es58x: remove DRV_VERSION (Al Stone) [2071839]
- can: etas_es58x: replace ES58X_MODULE_NAME with KBUILD_MODNAME (Al Stone) [2071839]
- can: ubs_8dev: use KBUILD_MODNAME instead of hard coded names (Al Stone) [2071839]
- can: kvaser_usb: use KBUILD_MODNAME instead of hard coded names (Al Stone) [2071839]
- can: gs_ubs: use KBUILD_MODNAME instead of hard coded names (Al Stone) [2071839]
- can: esd_usb: use KBUILD_MODNAME instead of hard coded names (Al Stone) [2071839]
- can: softing: use KBUILD_MODNAME instead of hard coded names (Al Stone) [2071839]
- can: ems_usb: use KBUILD_MODNAME instead of hard coded names (Al Stone) [2071839]
- can: can327: use KBUILD_MODNAME instead of hard coded names (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_dump(): fix comment (Al Stone) [2071839]
- can: pch_can: pch_can_error(): initialize errc before using it (Al Stone) [2071839]
- can: rcar_canfd: Add missing of_node_put() in rcar_canfd_probe() (Al Stone) [2071839]
- can: mcp251xfd: fix detection of mcp251863 (Al Stone) [2071839]
- can: add CAN_ERR_CNT flag to notify availability of error counter (Al Stone) [2071839]
- can: usb_8dev: do not report txerr and rxerr during bus-off (Al Stone) [2071839]
- can: kvaser_usb_leaf: do not report txerr and rxerr during bus-off (Al Stone) [2071839]
- can: kvaser_usb_hydra: do not report txerr and rxerr during bus-off (Al Stone) [2071839]
- can: sun4i_can: do not report txerr and rxerr during bus-off (Al Stone) [2071839]
- can: hi311x: do not report txerr and rxerr during bus-off (Al Stone) [2071839]
- can: slcan: do not report txerr and rxerr during bus-off (Al Stone) [2071839]
- can: sja1000: do not report txerr and rxerr during bus-off (Al Stone) [2071839]
- can: rcar_can: do not report txerr and rxerr during bus-off (Al Stone) [2071839]
- can: pch_can: do not report txerr and rxerr during bus-off (Al Stone) [2071839]
- can: peak_usb: include support for a new MCU (Al Stone) [2071839]
- can: peak_usb: correction of an initially misnamed field name (Al Stone) [2071839]
- can: peak_usb: pcan_dump_mem(): mark input prompt and data pointer as const (Al Stone) [2071839]
- can: ctucanfd: Update CTU CAN FD IP core registers to match version 3.x. (Al Stone) [2071839]
- can: c_can: remove wrong comment (Al Stone) [2071839]
- can: slcan: do not sleep with a spin lock held (Al Stone) [2071839]
- can: sja1000: Change the return type as void for SoC specific init (Al Stone) [2071839]
- can: sja1000: Use device_get_match_data to get device data (Al Stone) [2071839]
- can: sja1000: Add Quirk for RZ/N1 SJA1000 CAN controller (Al Stone) [2071839]
- can: slcan: clean up if/else (Al Stone) [2071839]
- can: slcan: convert comparison to NULL into !val (Al Stone) [2071839]
- can: slcan: fix whitespace issues (Al Stone) [2071839]
- can: slcan: slcan_init() convert printk(LEVEL ...) to pr_level() (Al Stone) [2071839]
- can: slcan: convert comments to network style comments (Al Stone) [2071839]
- can: slcan: use scnprintf() as a hardening measure (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_register_get_dev_id(): fix endianness conversion (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_register_get_dev_id(): use correct length to read dev_id (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_stop(): add missing hrtimer_cancel() (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_regmap_crc_read(): update workaround broken CRC on TBC register (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_regmap_crc_read(): improve workaround handling for mcp2517fd (Al Stone) [2071839]
- can: kvaser_usb: kvaser_usb_leaf: fix bittiming limits (Al Stone) [2071839]
- can: kvaser_usb: kvaser_usb_leaf: fix CAN clock frequency regression (Al Stone) [2071839]
- can: kvaser_usb: replace run-time checks with struct kvaser_usb_driver_info (Al Stone) [2071839]
- can: m_can: m_can_{read_fifo,echo_tx_event}(): shift timestamp to full 32 bits (Al Stone) [2071839]
- can: m_can: m_can_chip_config(): actually enable internal timestamping (Al Stone) [2071839]
- can: grcan: grcan_probe(): remove extra of_node_get() (Al Stone) [2071839]
- can: gs_usb: gs_usb_open/close(): fix memory leak (Al Stone) [2071839]
- can: rcar_canfd: Fix data transmission failed on R-Car V3U (Al Stone) [2071839]
- Revert "can: xilinx_can: Limit CANFD brp to 2" (Al Stone) [2071839]
- can: slcan: extend the protocol with CAN state info (Al Stone) [2071839]
- can: slcan: extend the protocol with error info (Al Stone) [2071839]
- can: slcan: add ethtool support to reset adapter errors (Al Stone) [2071839]
- can: slcan: move driver into separate sub directory (Al Stone) [2071839]
- can: slcan: send the open/close commands to the adapter (Al Stone) [2071839]
- can: slcan: set bitrate by CAN device driver API (Al Stone) [2071839]
- can: slcan: allow to send commands to the adapter (Al Stone) [2071839]
- can: slcan: use CAN network device driver API (Al Stone) [2071839]
- can: netlink: dump bitrate 0 if can_priv::bittiming.bitrate is -1U (Al Stone) [2071839]
- can: slcan: use the alloc_can_skb() helper (Al Stone) [2071839]
- can: slcan: use netdev helpers to print out messages (Al Stone) [2071839]
- can: slcan: use the BIT() helper (Al Stone) [2071839]
- can: ctucanfd: ctucan_interrupt(): fix typo (Al Stone) [2071839]
- can: can327: CAN/ldisc driver for ELM327 based OBD-II adapters (Al Stone) [2071839]
- docs: networking: device drivers: can: add ctucanfd to index (Al Stone) [2071839]
- docs: networking: device drivers: can: add flexcan (Al Stone) [2071839]
- docs: networking: device drivers: add can sub-folder (Al Stone) [2071839]
- can/esd_usb: Update to copyright, M_AUTHOR and M_DESCRIPTION (Al Stone) [2071839]
- can/esd_usb: Fixed some checkpatch.pl warnings (Al Stone) [2071839]
- can/esd_usb: Rename all terms USB2 to USB (Al Stone) [2071839]
- can/esd_usb2: Rename esd_usb2.c to esd_usb.c (Al Stone) [2071839]
- powerpc/mpc5xxx: Switch mpc5xxx_get_bus_frequency() to use fwnode (Al Stone) [2071839]
- can: netlink: allow configuring of fixed data bit rates without need for do_set_data_bittiming callback (Al Stone) [2071839]
- can: etas_es58x: fix signedness of USB RX and TX pipes (Al Stone) [2071839]
- can: etas_es58x: replace es58x_device::rx_max_packet_size by usb_maxpacket() (Al Stone) [2071839]
- can: skb: drop tx skb if in listen only mode (Al Stone) [2071839]
- can: skb: move can_dropped_invalid_skb() and can_skb_headroom_valid() to skb.c (Al Stone) [2071839]
- net: Kconfig: move the CAN device menu to the "Device Drivers" section (Al Stone) [2071839]
- can: Kconfig: add CONFIG_CAN_RX_OFFLOAD (Al Stone) [2071839]
- can: bittiming: move bittiming calculation functions to calc_bittiming.c (Al Stone) [2071839]
- can: Kconfig: turn menu "CAN Device Drivers" into a menuconfig using CAN_DEV (Al Stone) [2071839]
- can: Kconfig: rename config symbol CAN_DEV into CAN_NETLINK (Al Stone) [2071839]
- can: netlink: allow configuring of fixed bit rates without need for do_set_bittiming callback (Al Stone) [2071839]
- can: m_can: fix typo prescalar -> prescaler (Al Stone) [2071839]
- can: xilinx_can: fix typo prescalar -> prescaler (Al Stone) [2071839]
- can: xilinx_can: add Transmitter Delay Compensation (TDC) feature support (Al Stone) [2071839]
- can: ctucanfd: platform: add missing dependency to HAS_IOMEM (Al Stone) [2071839]
- can: kvaser_usb: silence a GCC 12 -Warray-bounds warning (Al Stone) [2071839]
- can: peak_usb: fix typo in comment (Al Stone) [2071839]
- can: mcp251xfd: silence clang's -Wunaligned-access warning (Al Stone) [2071839]
- can: can-dev: remove obsolete CAN LED support (Al Stone) [2071839]
- can: do not increase tx_bytes statistics for RTR frames (Al Stone) [2071839]
- can: do not increase rx_bytes statistics for RTR frames (Al Stone) [2071839]
- can: do not increase rx statistics when generating a CAN rx error message frame (Al Stone) [2071839]
- can: dev: reorder struct can_priv members for better packing (Al Stone) [2071839]
- can: can-dev: move to netif_napi_add_weight() (Al Stone) [2071839]
- can: slcan: slc_xmit(): use can_dropped_invalid_skb() instead of manual check (Al Stone) [2071839]
- can: ctucanfd: Let users select instead of depend on CAN_CTUCANFD (Al Stone) [2071839]
- can: m_can: remove support for custom bit timing, take #2 (Al Stone) [2071839]
- Revert "can: m_can: pci: use custom bit timings for Elkhart Lake" (Al Stone) [2071839]
- can: ctucanfd: remove PCI module debug parameters (Al Stone) [2071839]
- can: ctucanfd: remove debug statements (Al Stone) [2071839]
- can: ctucanfd: remove inline keyword from local static functions (Al Stone) [2071839]
- can: ctucanfd: ctucan_platform_probe(): remove unnecessary print function dev_err() (Al Stone) [2071839]
- can: ctucanfd: remove unused including <linux/version.h> (Al Stone) [2071839]
- can: grcan: only use the NAPI poll budget for RX (Al Stone) [2071839]
- can: grcan: grcan_probe(): fix broken system id check for errata workaround needs (Al Stone) [2071839]
- can: grcan: use ofdev->dev when allocating DMA memory (Al Stone) [2071839]
- can: grcan: grcan_close(): fix deadlock (Al Stone) [2071839]
- can: ctucanfd: CTU CAN FD open-source IP core - platform/SoC support. (Al Stone) [2071839]
- can: ctucanfd: CTU CAN FD open-source IP core - PCI bus support. (Al Stone) [2071839]
- can: ctucanfd: add support for CTU CAN FD open-source IP core - bus independent part. (Al Stone) [2071839]
- can: mcp251xfd: add support for mcp251863 (Al Stone) [2071839]
- can: xilinx_can: mark bit timing constants as const (Al Stone) [2071839]
- can: flexcan: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Al Stone) [2071839]
- can: mscan: mpc5xxx_can: Prepare cleanup of powerpc's asm/prom.h (Al Stone) [2071839]
- can: Fix Links to Technologic Systems web resources (Al Stone) [2071839]
- can: bittiming: can_calc_bittiming(): prefer small bit rate pre-scalers over larger ones (Al Stone) [2071839]
- can: rx-offload: rename can_rx_offload_queue_sorted() -> can_rx_offload_queue_timestamp() (Al Stone) [2071839]
- can: gs_usb: gs_make_candev(): fix memory leak for devices with extended bit timing configuration (Al Stone) [2071839]
- can: mcba_usb: properly check endpoint type (Al Stone) [2071839]
- can: mcba_usb: mcba_usb_start_xmit(): fix double dev_kfree_skb in error path (Al Stone) [2071839]
- can: usb_8dev: usb_8dev_start_xmit(): fix double dev_kfree_skb() in error path (Al Stone) [2071839]
- can: m_can: m_can_tx_handler(): fix use after free of skb (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_register_get_dev_id(): fix return of error value (Al Stone) [2071839]
- can: ucan: fix typos in comments (Al Stone) [2071839]
- can: mcp251xfd: ring: increase number of RX-FIFOs to 3 and increase max TX-FIFO depth to 16 (Al Stone) [2071839]
- can: mcp251xfd: add TX IRQ coalescing ethtool support (Al Stone) [2071839]
- can: mcp251xfd: add TX IRQ coalescing support (Al Stone) [2071839]
- can: mcp251xfd: add RX IRQ coalescing ethtool support (Al Stone) [2071839]
- can: mcp251xfd: add RX IRQ coalescing support (Al Stone) [2071839]
- can: mcp251xfd: ring: add support for runtime configurable RX/TX ring parameters (Al Stone) [2071839]
- can: mcp251xfd: update macros describing ring, FIFO and RAM layout (Al Stone) [2071839]
- can: mcp251xfd: ring: prepare support for runtime configurable RX/TX ring parameters (Al Stone) [2071839]
- can: mcp251xfd: ethtool: add support (Al Stone) [2071839]
- can: mcp251xfd: ram: coalescing support (Al Stone) [2071839]
- can: mcp251xfd: ram: add helper function for runtime ring size calculation (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_ring_init(): use %%d to print free RAM (Al Stone) [2071839]
- can: vxcan: vxcan_xmit(): use kfree_skb() instead of kfree() to free skb (Al Stone) [2071839]
- can: gs_usb: add VID/PID for ABE CAN Debugger devices (Al Stone) [2071839]
- can: gs_usb: add VID/PID for CES CANext FD devices (Al Stone) [2071839]
- can: gs_usb: add extended bt_const feature (Al Stone) [2071839]
- can: gs_usb: activate quirks for CANtact Pro unconditionally (Al Stone) [2071839]
- can: gs_usb: add quirk for CANtact Pro overlapping GS_USB_BREQ value (Al Stone) [2071839]
- can: gs_usb: add usb quirk for NXP LPC546xx controllers (Al Stone) [2071839]
- can: gs_usb: add CAN-FD support (Al Stone) [2071839]
- can: gs_usb: use union and FLEX_ARRAY for data in struct gs_host_frame (Al Stone) [2071839]
- can: gs_usb: support up to 3 channels per device (Al Stone) [2071839]
- can: gs_usb: gs_usb_probe(): introduce udev and make use of it (Al Stone) [2071839]
- can: gs_usb: document the PAD_PKTS_TO_MAX_PKT_SIZE feature (Al Stone) [2071839]
- can: gs_usb: document the USER_ID feature (Al Stone) [2071839]
- can: gs_usb: update GS_CAN_FEATURE_IDENTIFY documentation (Al Stone) [2071839]
- can: gs_usb: add HW timestamp mode bit (Al Stone) [2071839]
- can: gs_usb: gs_make_candev(): call SET_NETDEV_DEV() after handling all bt_const->feature (Al Stone) [2071839]
- can: gs_usb: rewrap usb_control_msg() and usb_fill_bulk_urb() (Al Stone) [2071839]
- can: gs_usb: rewrap error messages (Al Stone) [2071839]
- can: gs_usb: GS_CAN_FLAG_OVERFLOW: make use of BIT() (Al Stone) [2071839]
- can: gs_usb: sort include files alphabetically (Al Stone) [2071839]
- can: gs_usb: fix checkpatch warning (Al Stone) [2071839]
- can: gs_usb: use consistent one space indention (Al Stone) [2071839]
- can: rcar_canfd: Add support for r8a779a0 SoC (Al Stone) [2071839]
- can: etas_es58x: es58x_fd_rx_event_msg(): initialize rx_event_msg before calling es58x_check_msg_len() (Al Stone) [2071839]
- vxcan: enable local echo for sent CAN frames (Al Stone) [2071839]
- vxcan: remove sk reference in peer skb (Al Stone) [2071839]
- can: rcar_canfd: rcar_canfd_channel_probe(): register the CAN device when fully ready (Al Stone) [2071839]
- can: gs_usb: change active_channels's type from atomic_t to u8 (Al Stone) [2071839]
- can: etas_es58x: change opened_channel_cnt's type from atomic_t to u8 (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_priv: introduce macros specifying the number of supported TEF/RX/TX rings (Al Stone) [2071839]
- can: mcp251xfd: prepare for multiple RX-FIFOs (Al Stone) [2071839]
- can: mcp251xfd: ring: update FIFO setup debug info (Al Stone) [2071839]
- can: mcp251xfd: ring: mcp251xfd_ring_init(): checked RAM usage of ring setup (Al Stone) [2071839]
- can: mcp251xfd: ring: change order of TX and RX FIFOs (Al Stone) [2071839]
- can: mcp251xfd: ring: prepare to change order of TX and RX FIFOs (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_ring_init(): split ring_init into separate functions (Al Stone) [2071839]
- can: mcp251xfd: introduce struct mcp251xfd_tx_ring::nr and ::fifo_nr and make use of it (Al Stone) [2071839]
- can: mcp251xfd: add support for internal PLL (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_register(): prepare to activate PLL after softreset (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_chip_clock_init(): prepare for PLL support, wait for OSC ready (Al Stone) [2071839]
- can: mcp251xfd: __mcp251xfd_chip_set_mode(): prepare for PLL support: improve error handling and diagnostics (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_chip_wake(): renamed from mcp251xfd_chip_clock_enable() (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_chip_timestamp_init(): factor out into separate function (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_chip_softreset_check(): wait for OSC ready before accessing chip (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_chip_wait_for_osc_ready(): prepare for PLL support (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_chip_wait_for_osc_ready(): improve chip detection and error handling (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_chip_wait_for_osc_ready(): factor out into separate function (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_chip_stop(): convert to a void function (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_chip_sleep(): introduce function to bring chip into sleep mode (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_unregister(): simplify runtime PM handling (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_regmap_crc_read(): ignore CRC error only if solely OSC register is read (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_reg_invalid(): rename from mcp251xfd_osc_invalid() (Al Stone) [2071839]
- can: etas_es58x: use BITS_PER_TYPE() instead of manual calculation (Al Stone) [2071839]
- can: xilinx_can: Add check for NAPI Poll function (Al Stone) [2071839]
- can: softing: softing_netdev_open(): remove redundant ret variable (Al Stone) [2071839]
- can: c_can: ethtool: use default drvinfo (Al Stone) [2071839]
- can: kvaser_usb: kvaser_usb_send_cmd(): remove redundant variable actual_len (Al Stone) [2071839]
- can: bittiming: mark function arguments and local variables as const (Al Stone) [2071839]
- can: bittiming: can_validate_bitrate(): simplify bit rate checking (Al Stone) [2071839]
- can: flexcan: mark RX via mailboxes as supported on MCF5441X (Al Stone) [2071839]
- can: tcan4x5x: regmap: fix max register value (Al Stone) [2071839]
- can: m_can: m_can_fifo_{read,write}: don't read or write from/to FIFO if length is 0 (Al Stone) [2071839]
- can: gs_usb: gs_can_start_xmit(): zero-initialize hf->{flags,reserved} (Al Stone) [2071839]
- can: rcar_canfd: rcar_canfd_channel_probe(): make sure we free CAN network device (Al Stone) [2071839]
- can: xilinx_can: xcan_probe(): check for error irq (Al Stone) [2071839]
- can: softing: softing_startstop(): fix set but not used variable warning (Al Stone) [2071839]
- can: softing_cs: softingcs_probe(): fix memleak on registration failure (Al Stone) [2071839]
- can: flexcan: add ethtool support to get rx/tx ring parameters (Al Stone) [2071839]
- can: flexcan: add ethtool support to change rx-rtr setting during runtime (Al Stone) [2071839]
- can: flexcan: add more quirks to describe RX path capabilities (Al Stone) [2071839]
- can: flexcan: rename RX modes (Al Stone) [2071839]
- can: flexcan: allow to change quirks at runtime (Al Stone) [2071839]
- can: flexcan: move driver into separate sub directory (Al Stone) [2071839]
- can: mcp251xfd: introduce and make use of mcp251xfd_is_fd_mode() (Al Stone) [2071839]
- can: mcp251xfd: move ring init into separate function (Al Stone) [2071839]
- can: mcp251xfd: move chip FIFO init into separate file (Al Stone) [2071839]
- can: mcp251xfd: move TEF handling into separate file (Al Stone) [2071839]
- can: mcp251xfd: move TX handling into separate file (Al Stone) [2071839]
- can: mcp251xfd: move RX handling into separate file (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd.h: sort function prototypes (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_handle_rxovif(): denote RX overflow message to debug + add rate limiting (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_open(): make use of pm_runtime_resume_and_get() (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_open(): open_candev() first (Al Stone) [2071839]
- can: mcp251xfd: add missing newline to printed strings (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_tef_obj_read(): fix typo in error message (Al Stone) [2071839]
- can: mcp251xfd: remove double blank lines (Al Stone) [2071839]
- can: janz-ican3: initialize dlc variable (Al Stone) [2071839]
- can: gs_usb: fix use of uninitialized variable, detach device on reception of invalid USB data (Al Stone) [2071839]
- can: netlink: report the CAN controller mode supported flags (Al Stone) [2071839]
- can: dev: add sanity check in can_set_static_ctrlmode() (Al Stone) [2071839]
- can: dev: replace can_priv::ctrlmode_static by can_get_static_ctrlmode() (Al Stone) [2071839]
- can: dev: add can_tdc_get_relative_tdco() helper function (Al Stone) [2071839]
- can: do not copy the payload of RTR frames (Al Stone) [2071839]
- can: kvaser_usb: do not increase tx statistics when sending error message frames (Al Stone) [2071839]
- can: etas_es58x: es58x_init_netdev: populate net_device::dev_port (Al Stone) [2071839]
- can: sja1000: sp_probe(): use platform_get_irq() to get the interrupt (Al Stone) [2071839]
- can: ti_hecc: ti_hecc_probe(): use platform_get_irq() to get the interrupt (Al Stone) [2071839]
- can: kvaser_usb: make use of units.h in assignment of frequency (Al Stone) [2071839]
- can: mcp251x: mcp251x_gpio_setup(): Get rid of duplicate of_node assignment (Al Stone) [2071839]
- can: usb_8dev: remove unused member echo_skb from struct usb_8dev_priv (Al Stone) [2071839]
- can: kvaser_usb: get CAN clock frequency from device (Al Stone) [2071839]
- can: kvaser_pciefd: kvaser_pciefd_rx_error_frame(): increase correct stats->{rx,tx}_errors counter (Al Stone) [2071839]
- can: hi311x: hi3110_can_probe(): convert to use dev_err_probe() (Al Stone) [2071839]
- can: hi311x: hi3110_can_probe(): make use of device property API (Al Stone) [2071839]
- can: hi311x: hi3110_can_probe(): try to get crystal clock rate from property (Al Stone) [2071839]
- can: hi311x: hi3110_can_probe(): use devm_clk_get_optional() to get the input clock (Al Stone) [2071839]
- can: sun4i_can: add support for R40 CAN controller (Al Stone) [2071839]
- can: bittiming: replace CAN units with the generic ones from linux/units.h (Al Stone) [2071839]
- can: m_can: pci: use custom bit timings for Elkhart Lake (Al Stone) [2071839]
- can: m_can: make custom bittiming fields const (Al Stone) [2071839]
- can: m_can: pci: fix incorrect reference clock rate (Al Stone) [2071839]
- can: m_can: pci: fix iomap_read_fifo() and iomap_write_fifo() (Al Stone) [2071839]
- can: m_can: m_can_read_fifo: fix memory leak in error branch (Al Stone) [2071839]
- can: m_can: Disable and ignore ELO interrupt (Al Stone) [2071839]
- can: sja1000: fix use after free in ems_pcmcia_add_card() (Al Stone) [2071839]
- can: pch_can: pch_can_rx_normal: fix use after free (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_chip_start(): fix error handling for mcp251xfd_chip_rx_int_enable() (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_irq(): add missing can_rx_offload_threaded_irq_finish() in case of bus off (Al Stone) [2071839]
- can: peak_usb: exchange the order of information messages (Al Stone) [2071839]
- can: peak_usb: always ask for BERR reporting for PCAN-USB devices (Al Stone) [2071839]
- can: etas_es58x: es58x_rx_err_msg(): fix memory leak in error path (Al Stone) [2071839]
- can: xilinx_can: xcan_remove(): remove redundant netif_napi_del() (Al Stone) [2071839]
- can: xilinx_can: remove repeated word from the kernel-doc (Al Stone) [2071839]
- can: peak_usb: CANFD: store 64-bits hw timestamps (Al Stone) [2071839]
- can: gs_usb: use %%u to print unsigned values (Al Stone) [2071839]
- can: mscan: mpc5xxx_can: Make use of the helper function dev_err_probe() (Al Stone) [2071839]
- can: rcar: drop unneeded ARM dependency (Al Stone) [2071839]
- can: at91/janz-ican3: replace snprintf() in show functions with sysfs_emit() (Al Stone) [2071839]
- can: netlink: add can_priv::do_get_auto_tdcv() to retrieve tdcv from device (Al Stone) [2071839]
- can: netlink: add interface for CAN-FD Transmitter Delay Compensation (TDC) (Al Stone) [2071839]
- can: bittiming: change can_calc_tdco()'s prototype to not directly modify priv (Al Stone) [2071839]
- can: bittiming: change unit of TDC parameters to clock periods (Al Stone) [2071839]
- can: bittiming: allow TDC{V,O} to be zero and add can_tdc_const::tdc{v,o,f}_min (Al Stone) [2071839]
- can: bittiming: fix documentation for struct can_tdc (Al Stone) [2071839]
- can: bittiming: can_fixup_bittiming(): change type of tseg1 and alltseg to unsigned int (Al Stone) [2071839]
- treewide: Replace open-coded flex arrays in unions (Al Stone) [2071839]
- can: peak_usb: pcan_usb_fd_decode_status(): remove unnecessary test on the nullity of a pointer (Al Stone) [2071839]
- can: peak_usb: pcan_usb_fd_decode_status(): fix back to ERROR_ACTIVE state notification (Al Stone) [2071839]
- can: peak_pci: peak_pci_remove(): fix UAF (Al Stone) [2071839]
- can: m_can: fix iomap_read_fifo() and iomap_write_fifo() (Al Stone) [2071839]
- can: rcar_can: fix suspend/resume (Al Stone) [2071839]
- can: flexcan: Use struct_group() to zero struct flexcan_regs regions (Al Stone) [2071839]
- tty: make tty_ldisc_ops::hangup return void (Al Stone) [2071839]
- can: c_can: fix null-ptr-deref on ioctl() (Al Stone) [2071839]
- can: rcar_canfd: add __maybe_unused annotation to silence warning (Al Stone) [2071839]
- can: mscan: mpc5xxx_can: mpc5xxx_can_probe(): remove useless BUG_ON() (Al Stone) [2071839]
- can: mscan: mpc5xxx_can: mpc5xxx_can_probe(): use of_device_get_match_data to simplify code (Al Stone) [2071839]
- can: rcar_canfd: rcar_canfd_handle_channel_tx(): fix redundant assignment (Al Stone) [2071839]
- can: rcar: Kconfig: Add helper dependency on COMPILE_TEST (Al Stone) [2071839]
- can: c_can: cache frames to operate as a true FIFO (Al Stone) [2071839]
- can: c_can: support tx ring algorithm (Al Stone) [2071839]
- can: c_can: exit c_can_do_tx() early if no frames have been sent (Al Stone) [2071839]
- can: c_can: remove struct c_can_priv::priv field (Al Stone) [2071839]
- can: c_can: rename IF_RX -> IF_NAPI (Al Stone) [2071839]
- can: c_can: c_can_do_tx(): fix typo in comment (Al Stone) [2071839]
- can: m_can: Batch FIFO writes during CAN transmit (Al Stone) [2071839]
- can: m_can: Batch FIFO reads during CAN receive (Al Stone) [2071839]
- can: m_can: Disable IRQs on FIFO bus errors (Al Stone) [2071839]
- can: m_can: fix block comment style (Al Stone) [2071839]
- can: tcan4x5x: cdev_to_priv(): remove stray empty line (Al Stone) [2071839]
- can: rcar_canfd: Add support for RZ/G2L family (Al Stone) [2071839]
- can: mcp251xfd: mark some instances of struct mcp251xfd_priv as const (Al Stone) [2071839]
- can: etas_es58x: clean-up documentation of struct es58x_fd_tx_conf_msg (Al Stone) [2071839]
- can: netlink: allow user to turn off unsupported features (Al Stone) [2071839]
- can: dev: provide optional GPIO based termination support (Al Stone) [2071839]
- can: flexcan: flexcan_clks_enable(): add missing variable initialization (Al Stone) [2071839]
- can: flexcan: update Kconfig to enable coldfire (Al Stone) [2071839]
- can: flexcan: add mcf5441x support (Al Stone) [2071839]
- can: etas_es58x: rewrite the message cast in es58{1,_fd}_tx_can_msg to increase readability (Al Stone) [2071839]
- can: etas_es58x: use sizeof and sizeof_field macros instead of constant values (Al Stone) [2071839]
- can: etas_es58x: add es58x_free_netdevs() to factorize code (Al Stone) [2071839]
- can: etas_es58x: use devm_kzalloc() to allocate device resources (Al Stone) [2071839]
- can: etas_es58x: use error pointer during device probing (Al Stone) [2071839]
- can: etas_es58x: fix three typos in author name and documentation (Al Stone) [2071839]
- can: peak_usb: pcan_usb_decode_error(): upgrade handling of bus state changes (Al Stone) [2071839]
- can: peak_usb: pcan_usb_encode_msg(): add information (Al Stone) [2071839]
- can: peak_usb: PCAN-USB: add support of loopback and one-shot mode (Al Stone) [2071839]
- can: peak_usb: pcan_usb_get_device_id(): read value only in case of success (Al Stone) [2071839]
- can: peak_pci: Add name and FW version of the card in kernel buffer (Al Stone) [2071839]
- can: peak_pci: fix checkpatch warnings (Al Stone) [2071839]
- can: peak_pci: convert comments to network style comments (Al Stone) [2071839]
- net: at91_can: fix the comments style issue (Al Stone) [2071839]
- net: at91_can: remove redundant space (Al Stone) [2071839]
- net: at91_can: add braces {} to all arms of the statement (Al Stone) [2071839]
- net: at91_can: fix the alignment issue (Al Stone) [2071839]
- net: at91_can: use BIT macro (Al Stone) [2071839]
- net: at91_can: fix the code style issue about macro (Al Stone) [2071839]
- net: at91_can: add blank line after declarations (Al Stone) [2071839]
- net: at91_can: remove redundant blank lines (Al Stone) [2071839]
- can: at91_can: use DEVICE_ATTR_RW() helper macro (Al Stone) [2071839]
- can: janz-ican3: use DEVICE_ATTR_RO/RW() helper macro (Al Stone) [2071839]
- can: esd_usb2: use DEVICE_ATTR_RO() helper macro (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_open(): request IRQ as shared (Al Stone) [2071839]
- can: mcp251xfd: Fix header block to clarify independence from OF (Al Stone) [2071839]
- can: mcp251xfd: mcp251xfd_probe(): try to get crystal clock rate from property (Al Stone) [2071839]
- can: m_can: use devm_platform_ioremap_resource_byname (Al Stone) [2071839]
- can: m_can: Add support for transceiver as phy (Al Stone) [2071839]
- can: netlink: remove redundant check in can_validate() (Al Stone) [2071839]
- can: netlink: clear data_bittiming if FD is turned off (Al Stone) [2071839]
- can: rx-offload: can_rx_offload_threaded_irq_finish(): add new function to be called from threaded interrupt (Al Stone) [2071839]
- can: rx-offload: can_rx_offload_irq_finish(): directly call napi_schedule() (Al Stone) [2071839]
- can: rx-offload: add skb queue for use during ISR (Al Stone) [2071839]
Resolves: rhbz#2071839

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-02 14:39:47 +00:00
Herton R. Krzesinski
348a15cc92 kernel-5.14.0-254.el9
* Wed Feb 01 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-254.el9]
- arm64: Fix bit-shifting UB in the MIDR_CPU_MODEL() macro (Mark Salter) [2122232]
- arm64: Add AMPERE1 to the Spectre-BHB affected list (Mark Salter) [2122232]
- KVM: arm64: vgic-v3: List M1 Pro/Max as requiring the SEIS workaround (Mark Salter) [2122232]
- arm64: ptrace: Use ARM64_SME to guard the SME register enumerations (Mark Salter) [2122232]
- arm64/signal: Always allocate SVE signal frames on SME only systems (Mark Salter) [2122232]
- arm64/signal: Always accept SVE signal frames on SME only systems (Mark Salter) [2122232]
- arm64/signal: Flush FPSIMD register state when disabling streaming mode (Mark Salter) [2122232]
- arm64/sme: Don't flush SVE register state when handling SME traps (Mark Salter) [2122232]
- arm64/sme: Don't flush SVE register state when allocating SME storage (Mark Salter) [2122232]
- tools headers UAPI: Sync linux/prctl.h with the kernel sources (Mark Salter) [2122232]
- docs/arm64: elf_hwcaps: unify newlines in HWCAP lists (Mark Salter) [2122232]
- arm64/hwcap: Support FEAT_EBF16 (Mark Salter) [2122232]
- arm64/cpufeature: Store elf_hwcaps as a bitmap rather than unsigned long (Mark Salter) [2122232]
- arm64/hwcap: Document allocation of upper bits of AT_HWCAP (Mark Salter) [2122232]
- arm64: fix oops in concurrently setting insn_emulation (Mark Salter) [2122232]
- arm64: errata: Remove AES hwcap for COMPAT tasks (Mark Salter) [2122232]
- redhat/configs: aarch64: Enable ARM64_ERRATUM_2441009 (Mark Salter) [2122232]
- arm64: errata: Add Cortex-A510 to the repeat tlbi list (Mark Salter) [2122232]
- arm64/sysreg: Make BHB clear feature defines match the architecture (Mark Salter) [2122232]
- arm64/sysreg: Align pointer auth enumeration defines with architecture (Mark Salter) [2122232]
- arm64/mte: Standardise GMID field name definitions (Mark Salter) [2122232]
- arm64/sysreg: Standardise naming for DCZID_EL0 field names (Mark Salter) [2122232]
- arm64/sysreg: Standardise naming for CTR_EL0 fields (Mark Salter) [2122232]
- arm64/cache: Restrict which headers are included in __ASSEMBLY__ (Mark Salter) [2122232]
- mm: make minimum slab alignment a runtime property (Mark Salter) [2122232]
- arm64/sysreg: Add SYS_FIELD_GET() helper (Mark Salter) [2122232]
- arm64/sysreg: Allow leading blanks on comments in sysreg file (Mark Salter) [2122232]
- arm64/idreg: Fix tab/space damage (Mark Salter) [2122232]
- arm64/cpuinfo: Remove references to reserved cache type (Mark Salter) [2122232]
- arm64: vdso32: Add DWARF_DEBUG (Mark Salter) [2122232]
- arm64: vdso32: Shuffle .ARM.exidx section above ELF_DETAILS (Mark Salter) [2122232]
- arm64: compat: Move sigreturn32.S to .rodata section (Mark Salter) [2122232]
- arm64: vdso*: place got/plt sections in .rodata (Mark Salter) [2122232]
- arm64: vdso32: add ARM.exidx* sections (Mark Salter) [2122232]
- arm64: compat: Move kuser32.S to .rodata section (Mark Salter) [2122232]
- arm64: vdso32: enable orphan handling for VDSO (Mark Salter) [2122232]
- arm64: vdso32: put ELF related sections in the linker script (Mark Salter) [2122232]
- arm64: vdso: enable orphan handling for VDSO (Mark Salter) [2122232]
- arm64: vdso: put ELF related sections in the linker script (Mark Salter) [2122232]
- arm64/fpsimd: Remove duplicate SYS_SVCR read (Mark Salter) [2122232]
- arm64/signal: Clean up SVE/SME feature checking inconsistency (Mark Salter) [2122232]
- arm64/sme: Expose SMIDR through sysfs (Mark Salter) [2122232]
- arm64/cpufeature: Unexport set_cpu_feature() (Mark Salter) [2122232]
- arm64: Add kasan_hw_tags_enable() prototype to silence sparse (Mark Salter) [2122232]
- arm64/sme: Fix EFI save/restore (Mark Salter) [2122232]
- arm64/fpsimd: Fix typo in comment (Mark Salter) [2122232]
- arm64/sysreg: Fix typo in Enum element regex (Mark Salter) [2122232]
- arm64/sme: Fix SVE/SME typo in ABI documentation (Mark Salter) [2122232]
- arm64/sme: Fix tests for 0b1111 value ID registers (Mark Salter) [2122232]
- arm64: hibernate: Fix syntax errors in comments (Mark Salter) [2122232]
- arm64: Remove the __user annotation for the restore_za_context() argument (Mark Salter) [2122232]
- arm64/sysreg: Generate definitions for FAR_ELx (Mark Salter) [2122232]
- arm64/sysreg: Generate definitions for DACR32_EL2 (Mark Salter) [2122232]
- arm64/sysreg: Generate definitions for CSSELR_EL1 (Mark Salter) [2122232]
- arm64/sysreg: Generate definitions for CPACR_ELx (Mark Salter) [2122232]
- arm64/sysreg: Generate definitions for CONTEXTIDR_ELx (Mark Salter) [2122232]
- arm64/sysreg: Generate definitions for CLIDR_EL1 (Mark Salter) [2122232]
- arm64/sve: Generate ZCR definitions (Mark Salter) [2122232]
- arm64/sme: Generate defintions for SVCR (Mark Salter) [2122232]
- arm64/sme: Generate SMPRI_EL1 definitions (Mark Salter) [2122232]
- arm64/sme: Automatically generate SMPRIMAP_EL2 definitions (Mark Salter) [2122232]
- arm64/sme: Automatically generate SMIDR_EL1 defines (Mark Salter) [2122232]
- arm64/sme: Automatically generate defines for SMCR (Mark Salter) [2122232]
- arm64/sysreg: Support generation of RAZ fields (Mark Salter) [2122232]
- arm64/sme: Remove _EL0 from name of SVCR - FIXME sysreg.h (Mark Salter) [2122232]
- arm64/sme: Standardise bitfield names for SVCR (Mark Salter) [2122232]
- arm64/sme: Drop SYS_ from SMIDR_EL1 defines (Mark Salter) [2122232]
- arm64/fp: Rename SVE and SME LEN field name to _WIDTH (Mark Salter) [2122232]
- arm64/fp: Make SVE and SME length register definition match architecture (Mark Salter) [2122232]
- arm64/sve: Move sve_free() into SVE code section (Mark Salter) [2122232]
- arm64: Kconfig.platforms: Add comments (Mark Salter) [2122232]
- arm64: Kconfig: Fix indentation and add comments (Mark Salter) [2122232]
- arm64: mm: Make arch_faults_on_old_pte() check for migratability (Mark Salter) [2122232]
- arm64: Declare non global symbols as static (Mark Salter) [2122232]
- arm64: Set ARCH_NR_GPIO to 2048 for ARCH_APPLE (Mark Salter) [2122232]
- arm64: mte: Clean up user tag accessors (Mark Salter) [2122232]
- arm64: cputype: Avoid overflow using MIDR_IMPLEMENTOR_MASK (Mark Salter) [2122232]
- arm64: document the boot requirements for MTE (Mark Salter) [2122232]
- arm64/sve: Make kernel FPU protection RT friendly (Mark Salter) [2122232]
- arm64/sve: Delay freeing memory in fpsimd_flush_thread() (Mark Salter) [2122232]
- arm64/sme: More sensibly define the size for the ZA register set (Mark Salter) [2122232]
- arm64/sme: Fix NULL check after kzalloc (Mark Salter) [2122232]
- arm64/sme: Add ID_AA64SMFR0_EL1 to __read_sysreg_by_encoding() (Mark Salter) [2122232]
- redhat: configs: Add config for ARM64_SME (Mark Salter) [2122232]
- arm64/sme: Provide Kconfig for SME (Mark Salter) [2122232]
- arm64/sme: Save and restore streaming mode over EFI runtime calls (Mark Salter) [2122232]
- arm64/sme: Disable streaming mode and ZA when flushing CPU state (Mark Salter) [2122232]
- arm64/sme: Add ptrace support for ZA (Mark Salter) [2122232]
- arm64/sme: Implement ptrace support for streaming mode SVE registers (Mark Salter) [2122232]
- arm64/sme: Implement ZA signal handling (Mark Salter) [2122232]
- arm64/sme: Implement streaming SVE signal handling (Mark Salter) [2122232]
- arm64/sme: Disable ZA and streaming mode when handling signals (Mark Salter) [2122232]
- arm64/sme: Implement traps and syscall handling for SME (Mark Salter) [2122232]
- arm64/sme: Implement ZA context switching (Mark Salter) [2122232]
- arm64/sme: Implement streaming SVE context switching (Mark Salter) [2122232]
- arm64/sme: Implement SVCR context switching (Mark Salter) [2122232]
- arm64/sme: Implement support for TPIDR2 (Mark Salter) [2122232]
- arm64/sme: Implement vector length configuration prctl()s (Mark Salter) [2122232]
- arm64/sme: Implement sysctl to set the default vector length (Mark Salter) [2122232]
- arm64/sme: Identify supported SME vector lengths at boot (Mark Salter) [2122232]
- arm64/sme: Basic enumeration support (Mark Salter) [2122232]
- arm64/sme: Early CPU setup for SME (Mark Salter) [2122232]
- arm64/sme: Manually encode SME instructions (Mark Salter) [2122232]
- arm64/sme: System register and exception syndrome definitions (Mark Salter) [2122232]
- arm64/sme: Provide ABI documentation for SME (Mark Salter) [2122232]
- arm64/sysreg: fix odd line spacing (Mark Salter) [2122232]
- arm64/sysreg: improve comment for regs without fields (Mark Salter) [2122232]
- arm64/sysreg: Generate definitions for SCTLR_EL1 (Mark Salter) [2122232]
- arm64/sysreg: Generate definitions for TTBRn_EL1 (Mark Salter) [2122232]
- arm64/sysreg: Generate definitions for ID_AA64ISAR0_EL1 (Mark Salter) [2122232]
- arm64/sysreg: Enable automatic generation of system register definitions (Mark Salter) [2122232]
- arm64: Add sysreg header generation scripting (Mark Salter) [2122232]
- arm64/sysreg: Standardise ID_AA64ISAR0_EL1 macro names (Mark Salter) [2122232]
- arm64: Update name of ID_AA64ISAR0_EL1_ATOMIC to reflect ARM (Mark Salter) [2122232]
- arm64/sysreg: Define bits for previously RES1 fields in SCTLR_EL1 (Mark Salter) [2122232]
- arm64/sysreg: Rename SCTLR_EL1_NTWE/TWI to SCTLR_EL1_nTWE/TWI (Mark Salter) [2122232]
- arm64/mte: Make TCF field values and naming more standard (Mark Salter) [2122232]
- arm64/mte: Make TCF0 naming and field values more standard (Mark Salter) [2122232]
- arm64/sysreg: Introduce helpers for access to sysreg fields (Mark Salter) [2122232]
- arm64: Treat ESR_ELx as a 64-bit register (Mark Salter) [2122232]
- arm64: compat: Do not treat syscall number as ESR_ELx for a bad syscall (Mark Salter) [2122232]
- arm64: Make ESR_ELx_xVC_IMM_MASK compatible with assembly (Mark Salter) [2122232]
- arm64: stacktrace: align with common naming (Mark Salter) [2122232]
- arm64: stacktrace: rename stackframe to unwind_state (Mark Salter) [2122232]
- arm64: stacktrace: rename unwinder functions (Mark Salter) [2122232]
- arm64: stacktrace: make struct stackframe private to stacktrace.c (Mark Salter) [2122232]
- arm64: stacktrace: delete PCS comment (Mark Salter) [2122232]
- arm64: stacktrace: remove NULL task check from unwind_frame() (Mark Salter) [2122232]
- arm64: Use WFxT for __delay() when possible (Mark Salter) [2122232]
- arm64: Add wfet()/wfit() helpers (Mark Salter) [2122232]
- arm64: Add HWCAP advertising FEAT_WFXT (Mark Salter) [2122232]
- arm64: Add RV and RN fields for ESR_ELx_WFx_ISS (Mark Salter) [2122232]
- arm64: Expand ESR_ELx_WFx_ISS_TI to match its ARMv8.7 definition (Mark Salter) [2122232]
- arm64: kexec: load from kimage prior to clobbering (Mark Salter) [2122232]
- arm64: paravirt: Use RCU read locks to guard stolen_time (Mark Salter) [2122232]
- arm64, topology: enable use of init_cpu_capacity_cppc() (Mark Salter) [2122232]
- arm64: perf: Consistently make all event numbers as 16-bits (Mark Salter) [2122232]
- arm64: perf: Expose some Armv9 common events under sysfs (Mark Salter) [2122232]
- arm64: mte: Define the number of bytes for storing the tags in a page (Mark Salter) [2122232]
- elf: Introduce the ARM MTE ELF segment type (Mark Salter) [2122232]
- elfcore: Replace CONFIG_{IA64, UML} checks with a new option (Mark Salter) [2122232]
- elfcore: correct reference to CONFIG_UML (Mark Salter) [2122232]
- serial: pl011: Add ACPI SBSA UART match id (Mark Salter) [2122232]
- arm64: Use of_get_cpu_hwid() (Mark Salter) [2122232]
- arm64: Add support of PAuth QARMA3 architected algorithm (Mark Salter) [2122232]
- binfmt_elf: Don't write past end of notes for regset gap (Mark Salter) [2122232]
- coredump/elf: Pass coredump_params into fill_note_info (Mark Salter) [2122232]
Resolves: rhbz#2122232

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-02-01 22:12:33 +00:00
Herton R. Krzesinski
f4210bea11 kernel-5.14.0-249.el9
* Mon Jan 30 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-249.el9]
- DRM: add new config options (Mika Penttilä) [2154295]
- gpu: host1x: Fix a kernel-doc warning (Mika Penttilä) [2154295]
- gpu: host1x: Plug potential memory leak (Mika Penttilä) [2154295]
- gpu/host1x: fence: Make spinlock static (Mika Penttilä) [2154295]
- gpu: host1x: debug: Dump DMASTART and DMAEND register (Mika Penttilä) [2154295]
- gpu: host1x: debug: Dump only relevant parts of CDMA push buffer (Mika Penttilä) [2154295]
- gpu: host1x: debug: Use dma_addr_t more consistently (Mika Penttilä) [2154295]
- gpu: host1x: Use the bitmap API to allocate bitmaps (Mika Penttilä) [2154295]
- gpu: host1x: Generalize host1x_cdma_push_wide() (Mika Penttilä) [2154295]
- gpu: host1x: Initialize syncval in channel_submit() (Mika Penttilä) [2154295]
- gpu: host1x: Register context bus unconditionally (Mika Penttilä) [2154295]
- gpu: host1x: Use RESTART_W to skip timed out jobs on Tegra186+ (Mika Penttilä) [2154295]
- gpu: host1x: Add MLOCK release code on Tegra234 (Mika Penttilä) [2154295]
- gpu: host1x: Rewrite job opcode sequence (Mika Penttilä) [2154295]
- gpu: host1x: Tegra234 device data and headers (Mika Penttilä) [2154295]
- gpu: host1x: Allow reset to be missing (Mika Penttilä) [2154295]
- gpu: host1x: Program interrupt destinations on Tegra234 (Mika Penttilä) [2154295]
- gpu: host1x: Program virtualization tables (Mika Penttilä) [2154295]
- gpu: host1x: Simplify register mapping and add common aperture (Mika Penttilä) [2154295]
- gpu: host1x: Deduplicate hardware headers (Mika Penttilä) [2154295]
- drm/tegra: vic: Use devm_platform_ioremap_resource() (Mika Penttilä) [2154295]
- drm/tegra: Include DMA API header where used (Mika Penttilä) [2154295]
- drm/tegra: Fix vmapping of prime buffers (Mika Penttilä) [2154295]
- drm/tegra: vic: Fix build warning when CONFIG_PM=n (Mika Penttilä) [2154295]
- drm/tegra: vic: Add Tegra234 support (Mika Penttilä) [2154295]
- drm/tegra: Implement stream ID related callbacks on engines (Mika Penttilä) [2154295]
- drm/tegra: Support context isolation (Mika Penttilä) [2154295]
- gpu: host1x: Program context stream ID on submission (Mika Penttilä) [2154295]
- gpu: host1x: Add context device management code (Mika Penttilä) [2154295]
- gpu: host1x: Add context bus (Mika Penttilä) [2154295]
- gpu: host1x: Show all allocated syncpts via debugfs (Mika Penttilä) [2154295]
- gpu: host1x: Do not use mapping cache for job submissions (Mika Penttilä) [2154295]
- gpu: host1x: Fix a memory leak in 'host1x_remove()' (Mika Penttilä) [2154295]
- gpu: host1x: Fix an error handling path in 'host1x_probe()' (Mika Penttilä) [2154295]
- gpu: host1x: Always return syncpoint value when waiting (Mika Penttilä) [2154295]
- gpu: host1x: Fix hang on Tegra186+ (Mika Penttilä) [2154295]
- gpu: host1x: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295]
- gpu: host1x: Add initial runtime PM and OPP support (Mika Penttilä) [2154295]
- gpu: host1x: Add missing DMA API include (Mika Penttilä) [2154295]
- gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (Mika Penttilä) [2154295]
- gpu: host1x: Drop excess kernel-doc entry @key (Mika Penttilä) [2154295]
- drm/tegra: nvdec: Fix TRANSCFG register offset (Mika Penttilä) [2154295]
- drm/tegra: falcon: Set DMACTX field on DMA transactions (Mika Penttilä) [2154295]
- drm/tegra: gem: Do not try to dereference ERR_PTR() (Mika Penttilä) [2154295]
- drm/tegra: vic: Fix unused-function warnings (Mika Penttilä) [2154295]
- drm/tegra: Support YVYU, VYUY and YU24 formats (Mika Penttilä) [2154295]
- drm/tegra: Support semi-planar formats on Tegra114+ (Mika Penttilä) [2154295]
- drm/tegra: Fix planar formats on Tegra186 and later (Mika Penttilä) [2154295]
- drm/tegra: dpaux: Remove unneeded variable (Mika Penttilä) [2154295]
- drm/tegra: Fix reference leak in tegra_dsi_ganged_probe (Mika Penttilä) [2154295]
- drm/tegra: Use dev_err_probe() (Mika Penttilä) [2154295]
- drm/tegra: dpaux: Populate AUX bus (Mika Penttilä) [2154295]
- drm/tegra: Fix cast to restricted __le32 (Mika Penttilä) [2154295]
- drm/tegra: Add support for the nomodeset kernel parameter (Mika Penttilä) [2154295]
- drm/tegra: Add back arm_iommu_detach_device() (Mika Penttilä) [2154295]
- drm/tegra: Consolidate runtime PM management of older UAPI codepath (Mika Penttilä) [2154295]
- drm/tegra: submit: Remove pm_runtime_enabled() checks (Mika Penttilä) [2154295]
- drm/tegra: nvdec: Stop channel on suspend (Mika Penttilä) [2154295]
- drm/tegra: vic: Stop channel on suspend (Mika Penttilä) [2154295]
- drm/tegra: gr3d: Support generic power domain and runtime PM (Mika Penttilä) [2154295]
- drm/tegra: gr2d: Support generic power domain and runtime PM (Mika Penttilä) [2154295]
- gpu: host1x: Add host1x_channel_stop() (Mika Penttilä) [2154295]
- drm/tegra: hdmi: Add OPP support (Mika Penttilä) [2154295]
- drm/tegra: dc: Support OPP and SoC core voltage scaling (Mika Penttilä) [2154295]
- drm/tegra: submit: Add missing pm_runtime_mark_last_busy() (Mika Penttilä) [2154295]
- drm/tegra: vic: Handle tegra_drm_alloc() failure (Mika Penttilä) [2154295]
- drm/tegra: vic: Fix DMA API misuse (Mika Penttilä) [2154295]
- drm/tegra: hdmi: Register audio CODEC on Tegra20 (Mika Penttilä) [2154295]
- drm/tegra: hdmi: Unwind tegra_hdmi_init() errors (Mika Penttilä) [2154295]
- drm/tegra: Mark nvdec PM functions as __maybe_unused (Mika Penttilä) [2154295]
- drm/tegra: Mark nvdec_writel() as inline (Mika Penttilä) [2154295]
- drm/tegra: dc: rgb: Allow changing PLLD rate on Tegra30+ (Mika Penttilä) [2154295]
- drm/tegra: Remove duplicate struct declaration (Mika Penttilä) [2154295]
- drm/tegra: vic: Use autosuspend (Mika Penttilä) [2154295]
- drm/tegra: gr2d: Explicitly control module reset (Mika Penttilä) [2154295]
- drm/tegra: dc: rgb: Move PCLK shifter programming to CRTC (Mika Penttilä) [2154295]
- drm/tegra: Bump VIC/NVDEC clock rates to Fmax (Mika Penttilä) [2154295]
- drm/tegra: Add NVDEC driver (Mika Penttilä) [2154295]
- drm/tegra: Support asynchronous commits for cursor (Mika Penttilä) [2154295]
- drm/tegra: Propagate errors from drm_gem_plane_helper_prepare_fb() (Mika Penttilä) [2154295]
- drm/tegra: Do not reference tegra_plane_funcs directly (Mika Penttilä) [2154295]
- drm/tegra: Implement buffer object cache (Mika Penttilä) [2154295]
- drm/tegra: Implement correct DMA-BUF semantics (Mika Penttilä) [2154295]
- drm/tegra: uapi: Fix wrong mapping end address in case of disabled IOMMU (Mika Penttilä) [2154295]
- drm/tegra: dc: Remove unused variables (Mika Penttilä) [2154295]
- drm/tegra: Use fourcc_mod_is_vendor() helper (Mika Penttilä) [2154295]
- drm/tegra: dc: Extend debug stats with total number of events (Mika Penttilä) [2154295]
- drm/tegra: dc: Support memory bandwidth management (Mika Penttilä) [2154295]
- drm/tegra: Bump driver version (Mika Penttilä) [2154295]
- drm/tegra: Add job firewall (Mika Penttilä) [2154295]
- drm/tegra: Implement job submission part of new UAPI (Mika Penttilä) [2154295]
- gpu: host1x: Add support for syncpoint waits in CDMA pushbuffer (Mika Penttilä) [2154295]
- drm/tegra: Implement syncpoint wait UAPI (Mika Penttilä) [2154295]
- drm/tegra: Implement syncpoint management UAPI (Mika Penttilä) [2154295]
- drm/tegra: Implement new UAPI (Mika Penttilä) [2154295]
- drm/tegra: Allocate per-engine channel in core code (Mika Penttilä) [2154295]
- drm/tegra: Boot VIC during runtime PM resume (Mika Penttilä) [2154295]
- drm/tegra: Extract tegra_gem_lookup() (Mika Penttilä) [2154295]
- gpu: host1x: Add option to skip firewall for a job (Mika Penttilä) [2154295]
- gpu: host1x: Add job release callback (Mika Penttilä) [2154295]
- gpu: host1x: Add DMA fence implementation (Mika Penttilä) [2154295]
- gpu: host1x: Add no-recovery mode (Mika Penttilä) [2154295]
- drm/tegra: Fix damage from DRM backport partial commit fb8d617f8fd6 (Mika Penttilä) [2154295]
- drm/tegra: Fix damage from DRM backport partial commits 720cf96d8fecd da68386d9edb (Mika Penttilä) [2154295]
- drm/tegra: Remove workarounds needed to compile tegra after from DRM backport 99fc716 (Mika Penttilä) [2154295]
- drm/tegra: Fix damage from DRM backport partial commit adb9d5a2cc77 (Mika Penttilä) [2154295]
- drm/tegra: Fix damage from DRM backport partial commit a2151490cc6c (Mika Penttilä) [2154295]
- drm/tegra: Don't set struct drm_device.irq_enabled (Mika Penttilä) [2154295]
- Initial Orin graphics support (tegra drm and host1x) (Mika Penttilä) [2154295]
Resolves: rhbz#2154295

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-30 18:14:25 +00:00
Herton R. Krzesinski
f92b1bccf7 kernel-5.14.0-247.el9
* Fri Jan 27 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-247.el9]
- powerpc/hv-gpci: Fix hv_gpci event list (Mamatha Inamdar) [2162652]
- powerpc: declare unmodified attribute_group usages const (Mamatha Inamdar) [2162652]
- powerpc/perf: Fix branch_filter support for multiple filters (Steve Best) [2162235]
- s390/kexec: fix ipl report address for kdump (Tobias Huschle) [2161327]
- RHEL: ALSA: enable AMD Pink Sardine DMIC driver (Jaroslav Kysela) [2097071]
- ASoC: amd: ps: Move acp63_dev_data strcture from PCI driver (Jaroslav Kysela) [2097071]
- ASoC: amd: ps: update macros with ps platform naming convention (Jaroslav Kysela) [2097071]
- ASoC: amd: fix ACP version typo mistake (Jaroslav Kysela) [2097071]
- ASoC: amd: fix spelling mistake: "i.e" -> "i.e." (Jaroslav Kysela) [2097071]
- ASoC: amd: enable Pink sardine platform machine driver build. (Jaroslav Kysela) [2097071]
- ASoC: amd: add Pink Sardine machine driver using dmic (Jaroslav Kysela) [2097071]
- ASoC: amd: create platform device for acp6.2 machine driver (Jaroslav Kysela) [2097071]
- ASoC: amd: enable Pink Sardine acp6.2 drivers build (Jaroslav Kysela) [2097071]
- ASoC: amd: add acp6.2 pdm driver pm ops (Jaroslav Kysela) [2097071]
- ASoC: amd: add acp6.2 pci driver pm ops (Jaroslav Kysela) [2097071]
- ASoC: amd: add acp6.2 pdm driver dma ops (Jaroslav Kysela) [2097071]
- ASoC: amd: add acp6.2 irq handler (Jaroslav Kysela) [2097071]
- ASoC: amd: add acp6.2 pdm platform driver (Jaroslav Kysela) [2097071]
- ASoC: amd: add platform devices for acp6.2 pdm driver and dmic driver (Jaroslav Kysela) [2097071]
- ASoC: amd: add acp6.2 init/de-init functions (Jaroslav Kysela) [2097071]
- ASoC: amd: add Pink Sardine ACP PCI driver (Jaroslav Kysela) [2097071]
- ASoC: amd: add Pink Sardine platform ACP IP register header (Jaroslav Kysela) [2097071]
- arm64: defconfig: Drop ARM_CPUIDLE(generic idle driver) config (Mark Langsdorf) [2122313]
- cpuidle: Add cpu_idle_miss trace event (Mark Langsdorf) [2122313]
- cpuidle: cpuidle-arm: remove arm64 support (Mark Langsdorf) [2122313]
- cpuidle: haltpoll: Add trace points for guest_halt_poll_ns grow/shrink (Mark Langsdorf) [2122313]
- cpuidle: PSCI: Improve support for suspend-to-RAM for PSCI OSI mode (Mark Langsdorf) [2122313]
Resolves: rhbz#2162652, rhbz#2162235, rhbz#2161327, rhbz#2150903, rhbz#2097071, rhbz#2122313

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-27 16:14:22 +00:00
Herton R. Krzesinski
9911ae812a kernel-5.14.0-246.el9
* Fri Jan 27 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-246.el9]
- platform/mellanox: Remove redundant 'NULL' check (Mark Langsdorf) [2122315]
- platform/mellanox: Remove unnecessary code (Mark Langsdorf) [2122315]
- platform/mellanox: mlxreg-lc: Fix locking issue (Mark Langsdorf) [2122315]
- platform/mellanox: mlxreg-lc: Fix coverity warning (Mark Langsdorf) [2122315]
- platform/mellanox: mlxreg-lc: Fix error flow and extend verbosity (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_typec: Add bit offset for DP VDO (Mark Langsdorf) [2122315]
- platform/chrome: Use tables for values lists of ChromeOS ACPI sysfs ABI (Mark Langsdorf) [2122315]
- platform/chrome: cros_kbd_led_backlight: fix build warning (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: return -EPROTO if empty payload (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: return -EAGAIN when retries timed out (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: separate cros_ec_wait_until_complete() (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: separate cros_ec_xfer_command() (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: add "cros_ec_" prefix to send_command() (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_typec: Register port altmodes (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_typec: Rename port altmode array (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_typec: Use dev_err_probe on port register fail (Mark Langsdorf) [2122315]
- platform/chrome: wilco_ec: event: Fix typo in comment (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec: Always expose last resume result (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: Fix spelling mistake "unknwon" -> "unknown" (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: return 0 on getting wake mask success (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: check `msg->result` in getting cmd mask (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: return 0 on getting cmd mask success (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: don't show MKBP version if unsupported (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: handle empty payload in getting proto info (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: separate cros_ec_get_proto_info() (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: use cros_ec_map_error() (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: remove redundant NULL check (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: assign buffer size from protocol info (Mark Langsdorf) [2122315]
- platform/chrome: use macros for passthru indexes (Mark Langsdorf) [2122315]
- platform/chrome: cros_kbd_led_backlight: support EC PWM backend (Mark Langsdorf) [2122315]
- platform/chrome: cros_kbd_led_backlight: support OF match (Mark Langsdorf) [2122315]
- platform/chrome: cros_kbd_led_backlight: separate ACPI backend (Mark Langsdorf) [2122315]
- platform/chrome: cros_kbd_led_backlight: sort headers alphabetically (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: Update size arg types (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: Rename cros_ec_command function (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: update cros_ec_check_result() comment (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: factor legacy out from cros_ec_prepare_tx() (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: add Kunit tests for cros_ec_prepare_tx() (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_spi: drop unneeded BUG_ON() (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_i2c: drop BUG_ON() in cros_ec_pkt_xfer_i2c() (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: drop BUG_ON() in cros_ec_get_host_event() (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: drop BUG_ON() in cros_ec_prepare_tx() (Mark Langsdorf) [2122315]
- platform/chrome: correct cros_ec_prepare_tx() usage (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_proto: drop unneeded BUG_ON() in prepare_packet() (Mark Langsdorf) [2122315]
- redhat/configs: Enable ChromeOS ACPI driver for Chrome platforms (Mark Langsdorf) [2122315]
- platform/chrome: Add ChromeOS ACPI device driver (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_typec: Check for EC driver (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_lpcs: reserve the MEC LPC I/O ports first (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec_lpcs: detect the Framework Laptop (Mark Langsdorf) [2122315]
- platform/chrome: Re-introduce cros_ec_cmd_xfer and use it for ioctls (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec: append newline to all logs (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec: sort header inclusion alphabetically (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec: determine `wake_enabled` in cros_ec_suspend() (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec: remove unused variable `was_wake_device` (Mark Langsdorf) [2122315]
- platform/chrome: cros_ec: fix error handling in cros_ec_register() (Mark Langsdorf) [2122315]
Resolves: rhbz#2122315

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-27 11:24:08 +00:00
Herton R. Krzesinski
8b4f0dc7ee kernel-5.14.0-245.el9
* Thu Jan 26 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-245.el9]
- RDMA/bnxt_re: Fix endianness warning for req.pkey (Kamal Heib) [2142686]
- RDMA/bnxt_re: Use bitmap_zalloc() when applicable (Kamal Heib) [2142686]
- RDMA/bnxt_re: Remove dynamic pkey table (Kamal Heib) [2142686]
- RDMA/bnxt_re: Scan the whole bitmap when checking if "disabling RCFW with pending cmd-bit" (Kamal Heib) [2142686]
- RDMA/bnxt_re: Remove unneeded variable (Kamal Heib) [2142686]
- RDMA/bnxt_re: Remove unsupported bnxt_re_modify_ah callback (Kamal Heib) [2142686]
- RDMA/bnxt_re: Use helper function to set GUIDs (Kamal Heib) [2142686]
- RDMA/bnxt_re: Check if the vlan is valid before reporting (Kamal Heib) [2142686]
- RDMA/bnxt_re: Correct FRMR size calculation (Kamal Heib) [2142686]
- RDMA/bnxt_re: Use GFP_KERNEL in non atomic context (Kamal Heib) [2142686]
- RDMA/bnxt_re: Fix FRMR issue with single page MR allocation (Kamal Heib) [2142686]
- RDMA/bnxt_re: Fix query SRQ failure (Kamal Heib) [2142686]
- RDMA/bnxt_re: Suppress unwanted error messages (Kamal Heib) [2142686]
- RDMA/bnxt_re: Support multiple page sizes (Kamal Heib) [2142686]
- RDMA/bnxt_re: Reduce the delay in polling for hwrm command completion (Kamal Heib) [2142686]
- RDMA/bnxt_re: Use separate response buffer for stat_ctx_free (Kamal Heib) [2142686]
- RDMA/bnxt_re: Prefer kcalloc over open coded arithmetic (Kamal Heib) [2142686]
- config: Enable Security Path (Ricardo Robaina) [2161307]
- nvme: fix SRCU protection of nvme_ns_head list (Ewan D. Milne) [2160573]
- PCI/MSI: Move descriptor counting on allocation fail to the legacy code (Myron Stowe) [2151246]
- genirq/msi: Handle PCI/MSI allocation fail in core code (Myron Stowe) [2151246]
- PCI/MSI: Make pci_msi_domain_check_cap() static (Myron Stowe) [2151246]
- PCI/MSI: Move msi_lock to struct pci_dev (Myron Stowe) [2151246]
- PCI/MSI: Sanitize MSI-X table map handling (Myron Stowe) [2151246]
- PCI/MSI: Split out irqdomain code (Myron Stowe) [2151246]
- PCI/MSI: Split out !IRQDOMAIN code (Myron Stowe) [2151246]
- PCI/MSI: Split out CONFIG_PCI_MSI independent part (Myron Stowe) [2151246]
- PCI/MSI: Move code into a separate directory (Myron Stowe) [2151246]
- PCI/MSI: Make msix_update_entries() smarter (Myron Stowe) [2151246]
- PCI/MSI: Cleanup include zoo (Myron Stowe) [2151246]
- PCI/MSI: Make arch_restore_msi_irqs() less horrible. (Myron Stowe) [2151246]
- genirq/msi, treewide: Use a named struct for PCI/MSI attributes (Myron Stowe) [2151246]
- MIPS: Octeon: Use arch_setup_msi_irq() (Myron Stowe) [2151246]
- PCI/sysfs: Use pci_irq_vector() (Myron Stowe) [2151246]
- PCI/MSI: Remove msi_desc_to_pci_sysdata() (Myron Stowe) [2151246]
- PCI/MSI: Make pci_msi_domain_write_msg() static (Myron Stowe) [2151246]
- genirq/msi: Fixup includes (Myron Stowe) [2151246]
- genirq/msi: Remove unused domain callbacks (Myron Stowe) [2151246]
- genirq/msi: Guard sysfs code (Myron Stowe) [2151246]
- PCI/MSI: Fix pci_irq_vector()/pci_irq_get_affinity() (Myron Stowe) [2151246]
- powerpc/4xx: Complete removal of MSI support (Myron Stowe) [2151246]
- powerpc/4xx: Remove MSI support which never worked (Myron Stowe) [2151246]
- PCI/MSI: Clear PCI_MSIX_FLAGS_MASKALL on error (Myron Stowe) [2151246]
- PCI/MSI: Mask MSI-X vectors only on success (Myron Stowe) [2151246]
- genirq: Fix kernel-doc warnings in pm.c, msi.c and ipi.c (Myron Stowe) [2151246]
- efi/cper, cxl: Decode CXL Error Log (Lenny Szubowicz) [2143734]
- efi/cper, cxl: Decode CXL Protocol Error Section (Lenny Szubowicz) [2143734]
Resolves: rhbz#2142686, rhbz#2161307, rhbz#2160573, rhbz#2151246, rhbz#2143734

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-26 15:02:34 +00:00
Herton R. Krzesinski
ac9f183783 kernel-5.14.0-240.el9
* Fri Jan 20 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-240.el9]
- clk: tegra: Fix Tegra PWM parent clock (Mark Salter) [2135064]
- redhat/configs: Enable CONFIG_SERIAL_TEGRA_TCU (Mark Salter) [2135064]
- firmware: tegra: bpmp: Do not support big-endian (Mark Salter) [2135064]
- firmware: tegra: bpmp: Use iosys-map helpers (Mark Salter) [2135064]
- firmware: tegra: bpmp: Prefer u32 over uint32_t (Mark Salter) [2135064]
- redhat/configs: enable Tegra234 SOC (Mark Salter) [2135064]
- soc/tegra: cbb: Remove redundant dev_err call (Mark Salter) [2135064]
- soc/tegra: cbb: Use DEFINE_SHOW_ATTRIBUTE to simplify tegra_cbb_err (Mark Salter) [2135064]
- soc/tegra: cbb: Check firewall before enabling error reporting (Mark Salter) [2135064]
- soc/tegra: cbb: Add checks for potential out of bound errors (Mark Salter) [2135064]
- soc/tegra: cbb: Update slave maps for Tegra234 (Mark Salter) [2135064]
- soc/tegra: cbb: Use correct master_id mask for CBB NOC in Tegra194 (Mark Salter) [2135064]
- soc/tegra: fuse: Use platform info with SoC revision (Mark Salter) [2135064]
- soc/tegra: pmc: Process wake events during resume (Mark Salter) [2135064]
- soc/tegra: pmc: Fix dual edge triggered wakes (Mark Salter) [2135064]
- soc/tegra: pmc: Add I/O pad table for Tegra234 (Mark Salter) [2135064]
- soc/tegra: fuse: Add nvmem keepout list (Mark Salter) [2135064]
- soc/tegra: fuse: Use SoC specific nvmem cells (Mark Salter) [2135064]
- soc/tegra: pmc: Select IRQ_DOMAIN_HIERARCHY (Mark Salter) [2135064]
- dt-bindings: tegra: pmc: Convert to json-schema (Mark Salter) [2135064]
- dt-bindings: PHY: P2U: Add support for Tegra234 P2U block (Mark Salter) [2135064]
- dt-bindings: phy: Tegra194 P2U convert to YAML (Mark Salter) [2135064]
- dt-bindings: memory: tegra: Update validation for reg and reg-names (Mark Salter) [2135064]
- dt-bindings: arm-smmu: Add compatible for Tegra234 SOC (Mark Salter) [2135064]
- dmaengine: tegra: Fix build error without IOMMU_API (Mark Salter) [2135064]
- redhat: configs: disable tegra186 gpc_dma (Mark Salter) [2135064]
- dmaengine: tegra: Add terminate() for Tegra234 (Mark Salter) [2135064]
- cpufreq: tegra194: Staticize struct tegra_cpufreq_soc instances (Mark Salter) [2135064]
- dmaengine: tegra: Remove unused switch case (Mark Salter) [2135064]
- dmaengine: tegra: Fix uninitialized variable usage (Mark Salter) [2135064]
- dmaengine: tegra: Use platform_get_irq() to get IRQ resource (Mark Salter) [2135064]
- dmaengine: tegra: Remove unused including <linux/version.h> (Mark Salter) [2135064]
- dmaengine: tegra: Add tegra gpcdma driver (Mark Salter) [2135064]
- redhat: configs: Enable Tegra Control Backbone driver (Mark Salter) [2135064]
- arm64: tegra: Add GPCDMA support for Tegra I2C (Mark Salter) [2135064]
- arm64: tegra: Add iommus for HDA on Tegra234 (Mark Salter) [2135064]
- arm64: tegra: Enable HDA node for Jetson AGX Orin (Mark Salter) [2135064]
- arm64: tegra: Add context isolation domains on Tegra234 (Mark Salter) [2135064]
- arm64: tegra: Fixup iommu-map property formatting (Mark Salter) [2135064]
- arm64: dts: tegra: smaug: Add Wi-Fi node (Mark Salter) [2135064]
- arm64: dts: tegra: smaug: Add Bluetooth node (Mark Salter) [2135064]
- soc/tegra: pmc: Check device node status property (Mark Salter) [2135064]
- soc/tegra: pmc: Use devm_clk_get_optional() (Mark Salter) [2135064]
- soc/tegra: fuse: Drop Kconfig dependency on TEGRA20_APB_DMA (Mark Salter) [2135064]
- soc/tegra: pmc: Add USB port wake events for Tegra194 (Mark Salter) [2135064]
- soc/tegra: pmc: Add support for simple wake events (Mark Salter) [2135064]
- soc/tegra: pmc: Remove leading space (Mark Salter) [2135064]
- soc/tegra: fuse: Add missing of_node_put() (Mark Salter) [2135064]
- soc/tegra: fuse: Add missing of_node_put() in tegra_init_fuse() (Mark Salter) [2135064]
- dt-bindings: Add Host1x context stream IDs on Tegra234 (Mark Salter) [2135064]
- soc/tegra: cbb: Add support for Tegra241 (Grace) (Mark Salter) [2135064]
- soc/tegra: cbb: Add driver for Tegra234 CBB 2.0 (Mark Salter) [2135064]
- soc/tegra: cbb: Add CBB 1.0 driver for Tegra194 (Mark Salter) [2135064]
- soc/tegra: Set ERD bit to mask inband errors (Mark Salter) [2135064]
- memory: tegra: Add MGBE memory clients for Tegra234 (Mark Salter) [2135064]
- cpufreq: tegra194: Add support for Tegra234 (Mark Salter) [2135064]
- cpufreq: tegra194: add soc data to support multiple soc (Mark Salter) [2135064]
- memory: tegra: Add MC error logging on Tegra186 onward (Mark Salter) [2135064]
- memory: tegra: Add memory controller channels support (Mark Salter) [2135064]
- memory: tegra: Add APE memory clients for Tegra234 (Mark Salter) [2135064]
- memory: tegra: Add Tegra234 support (Mark Salter) [2135064]
- memory: tegra: mc: simplify platform_get_resource() (Mark Salter) [2135064]
- memory: Update of_memory lpddr2 revision-id binding (Mark Salter) [2135064]
- memory: of: parse max-freq property (Mark Salter) [2135064]
- memory: tegra: Constify struct thermal_cooling_device_ops (Mark Salter) [2135064]
- memory: tegra20-emc: Correct memory device mask (Mark Salter) [2135064]
- memory: tegra30-emc: Print additional memory info (Mark Salter) [2135064]
- memory: tegra20-emc: Add runtime dependency on devfreq governor module (Mark Salter) [2135064]
- memory: tegra20-emc: Support matching timings by LPDDR2 configuration (Mark Salter) [2135064]
- memory: Add LPDDR2-info helpers (Mark Salter) [2135064]
- memory: tegra210-emc: replace DEFINE_SIMPLE_ATTRIBUTE with (Mark Salter) [2135064]
- memory: tegra186-emc: Fix error return code in tegra186_emc_probe() (Mark Salter) [2135064]
- memory: tegra: Make use of the helper function devm_add_action_or_reset() (Mark Salter) [2135064]
- memory: tegra186-emc: Handle errors in BPMP response (Mark Salter) [2135064]
- memory: tegra: Remove interconnect state syncing hack (Mark Salter) [2135064]
- memory: tegra210-emc: replace DEFINE_SIMPLE_ATTRIBUTE with DEFINE_DEBUGFS_ATTRIBUTE (Mark Salter) [2135064]
- memory: tegra30-emc: replace DEFINE_SIMPLE_ATTRIBUTE with DEFINE_DEBUGFS_ATTRIBUTE (Mark Salter) [2135064]
- memory: tegra: make the array list static const, makes object smaller (Mark Salter) [2135064]
- memory: tegra: fix unused-function warning (Mark Salter) [2135064]
- soc/tegra: pmc: Document core domain fields (Mark Salter) [2135064]
- soc/tegra: pmc: Select REGMAP (Mark Salter) [2135064]
- soc/tegra: pmc: Update Tegra234 reset sources (Mark Salter) [2135064]
- soc/tegra: fuse: Add nvmem cell lookup entries for Tegra194 (Mark Salter) [2135064]
- arm64: tegra: Move audio IOMMU properties to ADMAIF node (Mark Salter) [2135064]
- soc/tegra: bpmp: cleanup double word in comment (Mark Salter) [2135064]
- soc/tegra: pmc: Add Tegra234 wake events (Mark Salter) [2135064]
- soc/tegra: fuse: Explicitly cast to/from __iomem (Mark Salter) [2135064]
- soc/tegra: fuse: Update nvmem cell list (Mark Salter) [2135064]
- soc/tegra: pmc: Enable core domain support for Tegra20 and Tegra30 (Mark Salter) [2135064]
- dt-bindings: Document Tegra234 HDA support (Mark Salter) [2135064]
- arm64: tegra: smaug: Remove extra PLL power supplies for XUSB (Mark Salter) [2135064]
- soc/tegra: pmc: Rename core power domain (Mark Salter) [2135064]
- soc/tegra: pmc: Rename 3d power domains (Mark Salter) [2135064]
- soc/tegra: regulators: Prepare for suspend (Mark Salter) [2135064]
- soc/tegra: fuse: Use resource-managed helpers (Mark Salter) [2135064]
- soc/tegra: fuse: Reset hardware (Mark Salter) [2135064]
- soc/tegra: pmc: Add reboot notifier (Mark Salter) [2135064]
- soc/tegra: Don't print error message when OPPs not available (Mark Salter) [2135064]
- soc/tegra: Enable runtime PM during OPP state-syncing (Mark Salter) [2135064]
- soc/tegra: fuse: Fix bitwise vs. logical OR warning (Mark Salter) [2135064]
- soc/tegra: pmc: Use devm_platform_ioremap_resource() (Mark Salter) [2135064]
- soc/tegra: Add Tegra186 ARI driver (Mark Salter) [2135064]
- soc/tegra: Fix an error handling path in tegra_powergate_power_up() (Mark Salter) [2135064]
- soc/tegra: pmc: Expose USB regmap to all SoCs (Mark Salter) [2135064]
- soc/tegra: pmc: Disable PMC state syncing (Mark Salter) [2135064]
- firmware: tegra: bpmp: Use devm_platform_ioremap_resource() (Mark Salter) [2135064]
- soc/tegra: pm: Make stubs usable for compile testing (Mark Salter) [2135064]
- soc/tegra: irq: Add stubs needed for compile testing (Mark Salter) [2135064]
- soc/tegra: fuse: Add stubs needed for compile testing (Mark Salter) [2135064]
- soc/tegra: fuse: Enable fuse clock on suspend for Tegra124 (Mark Salter) [2135064]
- soc/tegra: fuse: Add runtime PM support (Mark Salter) [2135064]
- soc/tegra: fuse: Clear fuse->clk on driver probe failure (Mark Salter) [2135064]
- soc/tegra: pmc: Prevent racing with cpuilde driver (Mark Salter) [2135064]
- soc/tegra: bpmp: Remove unused including <linux/version.h> (Mark Salter) [2135064]
Resolves: rhbz#2135064

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-20 14:03:01 +00:00
Herton R. Krzesinski
3a66cc0a53 kernel-5.14.0-238.el9
* Wed Jan 18 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-238.el9]
- net/mlx5: Eswitch, Fix forwarding decision to uplink (Mohammad Kabat) [2112940]
- RDMA/mlx5: Use the proper number of ports (Mohammad Kabat) [2112940]
- net/mlx5: Fix driver use of uninitialized timeout (Mohammad Kabat) [2112940]
- net/mlx5e: Ring the TX doorbell on DMA errors (Mohammad Kabat) [2112940]
- net/mlx5e: Fix capability check for updating vnic env counters (Mohammad Kabat) [2112940]
- net/mlx5e: CT: Use own workqueue instead of mlx5e priv (Mohammad Kabat) [2112940]
- net/mlx5: Lag, correct get the port select mode str (Mohammad Kabat) [2112940]
- net/mlx5e: Fix enabling sriov while tc nic rules are offloaded (Mohammad Kabat) [2112940]
- net/mlx5e: kTLS, Fix build time constant test in RX (Mohammad Kabat) [2112940]
- net/mlx5e: kTLS, Fix build time constant test in TX (Mohammad Kabat) [2112940]
- net/mlx5: Lag, decouple FDB selection and shared FDB (Mohammad Kabat) [2112940]
- net/mlx5: TC, allow offload from uplink to other PF's VF (Mohammad Kabat) [2112940]
- net/mlx5e: Fix matchall police parameters validation (Mohammad Kabat) [2112940]
- mellanox: mlx5: avoid uninitialized variable warning with gcc-12 (Mohammad Kabat) [2112940]
- net/mlx5: E-Switch, pair only capable devices (Mohammad Kabat) [2112940]
- net/mlx5e: CT: Fix cleanup of CT before cleanup of TC ct rules (Mohammad Kabat) [2112940]
- Revert "net/mlx5e: Allow relaxed ordering over VFs" (Mohammad Kabat) [2112940]
- net/mlx5: Fix mlx5_get_next_dev() peer device matching (Mohammad Kabat) [2112940]
- net/mlx5e: Update netdev features after changing XDP state (Mohammad Kabat) [2112940]
- vdpa/mlx5: Add support for reading descriptor statistics (Mohammad Kabat) [2112940]
- RDMA/mlx5: Remove duplicate pointer assignment in mlx5_ib_alloc_implicit_mr() (Mohammad Kabat) [2112940]
- net/mlx5: fix multiple definitions of mlx5_lag_mpesw_init / mlx5_lag_mpesw_cleanup (Mohammad Kabat) [2112940]
- net/mlx5: Support multiport eswitch mode (Mohammad Kabat) [2112940]
- net/mlx5: Remove unused argument (Mohammad Kabat) [2112940]
- net/mlx5: Lag, refactor lag state machine (Mohammad Kabat) [2112940]
- net/mlx5e: Add XDP SQs to uplink representors steering tables (Mohammad Kabat) [2112940]
- net/mlx5e: Correct the calculation of max channels for rep (Mohammad Kabat) [2112940]
- net/mlx5e: CT: Add ct driver counters (Mohammad Kabat) [2112940]
- net/mlx5e: Allow relaxed ordering over VFs (Mohammad Kabat) [2112940]
- net/mlx5e: Support partial GSO for tunnels over vlans (Mohammad Kabat) [2112940]
- net/mlx5e: IPoIB, Improve ethtool rxnfc callback structure in IPoIB (Mohammad Kabat) [2112940]
- net/mlx5e: Allocate virtually contiguous memory for reps structures (Mohammad Kabat) [2112940]
- net/mlx5e: Allocate virtually contiguous memory for VLANs list (Mohammad Kabat) [2112940]
- net/mlx5: Allocate virtually contiguous memory in pci_irq.c (Mohammad Kabat) [2112940]
- net/mlx5: Allocate virtually contiguous memory in vport.c (Mohammad Kabat) [2112940]
- net/mlx5: Inline db alloc API function (Mohammad Kabat) [2112940]
- net/mlx5: Add last command failure syndrome to debugfs (Mohammad Kabat) [2112940]
- net/mlx5: sparse: error: context imbalance in 'mlx5_vf_get_core_dev' (Mohammad Kabat) [2112940]
- net/mlx5: Expose mlx5_sriov_blocking_notifier_register / unregister APIs (Mohammad Kabat) [2112940]
- net/mlx5: Lag, add debugfs to query hardware lag state (Mohammad Kabat) [2112940]
- net/mlx5: Lag, use buckets in hash mode (Mohammad Kabat) [2112940]
- net/mlx5: Lag, refactor dmesg print (Mohammad Kabat) [2112940]
- net/mlx5: Support devices with more than 2 ports (Mohammad Kabat) [2112940]
- net/mlx5: Lag, use actual number of lag ports (Mohammad Kabat) [2112940]
- net/mlx5: Lag, use hash when in roce lag on 4 ports (Mohammad Kabat) [2112940]
- net/mlx5: Lag, support single FDB only on 2 ports (Mohammad Kabat) [2112940]
- net/mlx5: Lag, store number of ports inside lag object (Mohammad Kabat) [2112940]
- net/mlx5: Lag, filter non compatible devices (Mohammad Kabat) [2112940]
- net/mlx5: Lag, use lag lock (Mohammad Kabat) [2112940]
- net/mlx5: Lag, move E-Switch prerequisite check into lag code (Mohammad Kabat) [2112940]
- net/mlx5: devcom only supports 2 ports (Mohammad Kabat) [2112940]
- net/mlx5: Lag, expose number of lag ports (Mohammad Kabat) [2112940]
- net/mlx5: Increase FW pre-init timeout for health recovery (Mohammad Kabat) [2112940]
- net/mlx5: Add exit route when waiting for FW (Mohammad Kabat) [2112940]
- net/mlx5: Don't perform lookup after already known sec_path (Mohammad Kabat) [2112940]
- net/mlx5: Cleanup XFRM attributes struct (Mohammad Kabat) [2112940]
- net/mlx5: Remove not-supported ICV length (Mohammad Kabat) [2112940]
- net/mlx5: Simplify IPsec capabilities logic (Mohammad Kabat) [2112940]
- net/mlx5: Don't advertise IPsec netdev support for non-IPsec device (Mohammad Kabat) [2112940]
- net/mlx5: Make sure that no dangling IPsec FS pointers exist (Mohammad Kabat) [2112940]
- net/mlx5: Clean IPsec FS add/delete rules (Mohammad Kabat) [2112940]
- net/mlx5: Simplify HW context interfaces by using SA entry (Mohammad Kabat) [2112940]
- net/mlx5: Remove indirections from esp functions (Mohammad Kabat) [2112940]
- net/mlx5: Merge various control path IPsec headers into one file (Mohammad Kabat) [2112940]
- net/mlx5: Remove useless validity check (Mohammad Kabat) [2112940]
- net/mlx5: Store IPsec ESN update work in XFRM state (Mohammad Kabat) [2112940]
- net/mlx5: Reduce useless indirection in IPsec FS add/delete flows (Mohammad Kabat) [2112940]
- net/mlx5: Don't hide fallback to software IPsec in FS code (Mohammad Kabat) [2112940]
- net/mlx5: Check IPsec TX flow steering namespace in advance (Mohammad Kabat) [2112940]
- net/mlx5: Simplify IPsec flow steering init/cleanup functions (Mohammad Kabat) [2112940]
- net/mlx5: fs, an FTE should have no dests when deleted (Mohammad Kabat) [2112940]
- net/mlx5: fs, call the deletion function of the node (Mohammad Kabat) [2112940]
- net/mlx5: fs, delete the FTE when there are no rules attached to it (Mohammad Kabat) [2112940]
- net/mlx5: fs, do proper bookkeeping for forward destinations (Mohammad Kabat) [2112940]
- net/mlx5: fs, add unused destination type (Mohammad Kabat) [2112940]
- net/mlx5: fs, jump to exit point and don't fall through (Mohammad Kabat) [2112940]
- net/mlx5: fs, refactor software deletion rule (Mohammad Kabat) [2112940]
- net/mlx5: fs, split software and IFC flow destination definitions (Mohammad Kabat) [2112940]
- net/mlx5e: TC, set proper dest type (Mohammad Kabat) [2112940]
- net/mlx5e: Remove unused mlx5e_dcbnl_build_rep_netdev function (Mohammad Kabat) [2112940]
- net/mlx5e: Drop error CQE handling from the XSK RX handler (Mohammad Kabat) [2112940]
- net/mlx5: Print initializing field in case of timeout (Mohammad Kabat) [2112940]
- net/mlx5: Delete redundant default assignment of runtime devlink params (Mohammad Kabat) [2112940]
- net/mlx5: Remove useless kfree (Mohammad Kabat) [2112940]
- net/mlx5: use kvfree() for kvzalloc() in mlx5_ct_fs_smfs_matcher_create (Mohammad Kabat) [2112940]
- RDMA/mlx5: Clean UMR QP type flow from mlx5_ib_post_send() (Mohammad Kabat) [2112940]
- RDMA/mlx5: Use mlx5_umr_post_send_wait() to update xlt (Mohammad Kabat) [2112940]
- RDMA/mlx5: Use mlx5_umr_post_send_wait() to update MR pas (Mohammad Kabat) [2112940]
- RDMA/mlx5: Move creation and free of translation tables to umr.c (Mohammad Kabat) [2112940]
- RDMA/mlx5: Use mlx5_umr_post_send_wait() to rereg pd access (Mohammad Kabat) [2112940]
- RDMA/mlx5: Use mlx5_umr_post_send_wait() to revoke MRs (Mohammad Kabat) [2112940]
- RDMA/mlx5: Introduce mlx5_umr_post_send_wait() (Mohammad Kabat) [2112940]
- RDMA/mlx5: Expose wqe posting helpers outside of wr.c (Mohammad Kabat) [2112940]
- RDMA/mlx5: Simplify get_umr_update_access_mask() (Mohammad Kabat) [2112940]
- RDMA/mlx5: Move mkey ctrl segment logic to umr.c (Mohammad Kabat) [2112940]
- RDMA/mlx5: Move umr checks to umr.h (Mohammad Kabat) [2112940]
- RDMA/mlx5: Move init and cleanup of UMR to umr.c (Mohammad Kabat) [2112940]
- RDMA/mlx5: Fix flow steering egress flow (Mohammad Kabat) [2112940]
- mlx5, xsk: Diversify return values from xsk_wakeup call paths (Mohammad Kabat) [2112940]
- net/mlx5: Remove not-implemented IPsec capabilities (Mohammad Kabat) [2112940]
- net/mlx5: Remove ipsec_ops function table (Mohammad Kabat) [2112940]
- net/mlx5: Reduce kconfig complexity while building crypto support (Mohammad Kabat) [2112940]
- net/mlx5: Move IPsec file to relevant directory (Mohammad Kabat) [2112940]
- net/mlx5: Remove not-needed IPsec config (Mohammad Kabat) [2112940]
- net/mlx5: Align flow steering allocation namespace to common style (Mohammad Kabat) [2112940]
- net/mlx5: Unify device IPsec capabilities check (Mohammad Kabat) [2112940]
- net/mlx5: Remove useless IPsec device checks (Mohammad Kabat) [2112940]
- net/mlx5: Remove ipsec vs. ipsec offload file separation (Mohammad Kabat) [2112940]
- RDMA/mlx5: Drop crypto flow steering API (Mohammad Kabat) [2112940]
- RDMA/mlx5: Delete never supported IPsec flow action (Mohammad Kabat) [2112940]
- net/mlx5: Remove FPGA ipsec specific statistics (Mohammad Kabat) [2112940]
- net/mlx5: Remove XFRM no_trailer flag (Mohammad Kabat) [2112940]
- net/mlx5: Remove not-used IDA field from IPsec struct (Mohammad Kabat) [2112940]
- net/mlx5: Delete metadata handling logic (Mohammad Kabat) [2112940]
- net/mlx5_fpga: Drop INNOVA IPsec support (Mohammad Kabat) [2112940]
- net/mlx5: Cleanup kTLS function names and their exposure (Mohammad Kabat) [2112940]
- net/mlx5: Remove tls vs. ktls separation as it is the same (Mohammad Kabat) [2112940]
- net/mlx5: Remove indirection in TLS build (Mohammad Kabat) [2112940]
- net/mlx5: Reliably return TLS device capabilities (Mohammad Kabat) [2112940]
- net/mlx5_fpga: Drop INNOVA TLS support (Mohammad Kabat) [2112940]
Resolves: rhbz#2112940

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-18 13:22:36 +00:00
Herton R. Krzesinski
bebb6cbbf7 kernel-5.14.0-236.el9
* Mon Jan 16 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-236.el9]
- Revert "nvme: warn about shared namespaces without CONFIG_NVME_MULTIPATH" (Ewan D. Milne) [2140810]
- vmxnet3: correctly report csum_level for encapsulated packet (Izabela Bakollari) [2158807]
- cpu/hotplug: Set cpuhp target for boot cpu (Phil Auld) [2086305]
- cpu/hotplug: Make target_store() a nop when target == state (Phil Auld) [2086305]
- scsi: target: core: Fix hard lockup when executing a compare-and-write command (Maurizio Lombardi) [2153690]
- ceph: rely on vfs for setgid stripping (Andrey Albershteyn) [2128900]
- fs: move S_ISGID stripping into the vfs_*() helpers (Andrey Albershteyn) [2128898 2128900]
- fs: Add missing umask strip in vfs_tmpfile (Andrey Albershteyn) [2128898 2128900]
- fs: add mode_strip_sgid() helper (Andrey Albershteyn) [2128898 2128900]
- tracing: Add linear buckets to histogram logic (Jerome Marchand) [2143635]
- disable CONFIG_INTEL_MEI_PXP (Jocelyn Falempe) [2147558]
- mei: pxp: support matching with a gfx discrete card (Jocelyn Falempe) [2147558]
- mei: pxp: add command streamer API to the PXP driver (Jocelyn Falempe) [2147558]
- mei: bus: extend bus API to support command streamer API (Jocelyn Falempe) [2147558]
- mei: adjust extended header kdocs (Jocelyn Falempe) [2147558]
- mei: bus: enable sending gsc commands (Jocelyn Falempe) [2147558]
- mei: add support to GSC extended header (Jocelyn Falempe) [2147558]
- mei: debugfs: add pxp mode to devstate in debugfs (Jocelyn Falempe) [2147558]
- mei: drop ready bits check after start (Jocelyn Falempe) [2147558]
- mei: gsc: add transition to PXP mode in resume flow (Jocelyn Falempe) [2147558]
- mei: gsc: setup gsc extended operational memory (Jocelyn Falempe) [2147558]
- mei: mkhi: add memory ready command (Jocelyn Falempe) [2147558]
- mei: bus: export common mkhi definitions into a separate header (Jocelyn Falempe) [2147558]
- mei: extend timeouts on slow devices (Jocelyn Falempe) [2147558]
- mei: gsc: wait for reset thread on stop (Jocelyn Falempe) [2147558]
- mei: gsc: use polling instead of interrupts (Jocelyn Falempe) [2147558]
- mei: add slow_firmware flag to the mei auxiliary device (Jocelyn Falempe) [2147558]
- mei: add kdoc for struct mei_aux_device (Jocelyn Falempe) [2147558]
- mei: me: fix clang -Wformat warning (Jocelyn Falempe) [2147558]
- mei: me: add raptor lake point S DID (Jocelyn Falempe) [2147558]
- mei: hbm: drop capability response on early shutdown (Jocelyn Falempe) [2147558]
- mei: me: set internal pg flag to off on hardware reset (Jocelyn Falempe) [2147558]
- misc/mei: Add NULL check to component match callback functions (Jocelyn Falempe) [2147558]
- drm/display: Move HDCP helpers into display-helper module (Jocelyn Falempe) [2147558]
- mei: avoid iterator usage outside of list_for_each_entry (Jocelyn Falempe) [2147558]
- mei: do not overwrite state on hw start (Jocelyn Falempe) [2147558]
- mei: me: fix reset policy on read error in interrupt (Jocelyn Falempe) [2147558]
- mei: me: avoid link reset on shutdown (Jocelyn Falempe) [2147558]
- mei: me: Use dma_set_mask_and_coherent() and simplify code (Jocelyn Falempe) [2147558]
- mei: hbm: fix client dma reply status (Jocelyn Falempe) [2147558]
- mei: cleanup status before client dma setup call (Jocelyn Falempe) [2147558]
- mei: add POWERING_DOWN into device state print (Jocelyn Falempe) [2147558]
- mei: Remove some dead code (Jocelyn Falempe) [2147558]
- mei: bus: add client dma interface (Jocelyn Falempe) [2147558]
- mei: hbm: drop hbm responses on early shutdown (Jocelyn Falempe) [2147558]
- mei: pxp: export pavp client to me client bus (Jocelyn Falempe) [2147558]
- mei: Remove usage of the deprecated "pci-dma-compat.h" API (Jocelyn Falempe) [2147558]
- mei: constify passed buffers and structures (Jocelyn Falempe) [2147558]
Resolves: rhbz#2140810, rhbz#2158807, rhbz#2086305, rhbz#2153690, rhbz#2128900, rhbz#2128898, rhbz#2143635, rhbz#2147558

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-16 16:22:21 +00:00
Herton R. Krzesinski
8a2b99841c kernel-5.14.0-230.el9
* Fri Jan 06 2023 Herton R. Krzesinski <herton@redhat.com> [5.14.0-230.el9]
- x86/cpu: Add several Intel server CPU model numbers (David Arcari) [2158038]
- ip_gre: do not report erspan version on GRE interface (Hangbin Liu) [2150168]
- redhat/configs: enable HP_WATCHDOG for aarch64 (Mark Salter) [2113082]
- watchdog/hpwdt: Enable HP_WATCHDOG for ARM64 systems. (Mark Salter) [2113082]
- watchdog/hpwdt: Include nmi.h only if CONFIG_HPWDT_NMI_DECODING (Mark Salter) [2113082]
Resolves: rhbz#2158038, rhbz#2150168, rhbz#2113082

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2023-01-06 17:56:58 +00:00
Herton R. Krzesinski
8e50ecbb28 kernel-5.14.0-226.el9
* Fri Dec 23 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-226.el9]
- KVM: s390: pv: don't allow userspace to set the clock under PV (Tobias Huschle) [2154283]
- net: ethernet: move from strlcpy with unused retval to strscpy (Corinna Vinschen) [2104470]
- x86/microcode/AMD: Apply the patch early on every logical thread (Waiman Long) [2138389]
- x86/bugs: Enable STIBP for IBPB mitigated RETBleed (Waiman Long) [2138389]
- x86/bugs: Add "unknown" reporting for MMIO Stale Data (Waiman Long) [2138389]
- config: mhi: set CONFIG_MHI_BUS_EP to disabled (Íñigo Huguet) [2136122]
- config: rtw89: set CONFIG_RTW89_8852C to disabled (Íñigo Huguet) [2136122]
- wifi: ath11k: avoid deadlock during regulatory update in ath11k_regd_update() (Íñigo Huguet) [2136122 2139482]
- wifi: mac80211: mlme: Fix double unlock on assoc success handling (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: Fix missing unlock on beacon RX (Íñigo Huguet) [2136122]
- wifi: mac80211: fix memory corruption in minstrel_ht_update_rates() (Íñigo Huguet) [2136122]
- wifi: mac80211: fix regression with non-QoS drivers (Íñigo Huguet) [2136122]
- wifi: mac80211: ensure vif queues are operational after start (Íñigo Huguet) [2136122]
- wifi: mac80211: don't start TX with fq->lock to fix deadlock (Íñigo Huguet) [2136122]
- wifi: cfg80211: fix MCS divisor value (Íñigo Huguet) [2136122]
- wifi: mt76: fix 5 GHz connection regression on mt76x0/mt76x2 (Íñigo Huguet) [2136122]
- wifi: mt76: fix reading current per-tid starting sequence number for aggregation (Íñigo Huguet) [2136122]
- wifi: iwlwifi: Mark IWLMEI as broken (Íñigo Huguet) [2136122]
- wifi: iwlwifi: don't spam logs with NSS>2 messages (Íñigo Huguet) [2136122]
- wifi: use struct_group to copy addresses (Íñigo Huguet) [2136122]
- wifi: mac80211_hwsim: check length for virtio packets (Íñigo Huguet) [2136122]
- wifi: mac80211: fix locking in auth/assoc timeout (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: release deflink channel in error case (Íñigo Huguet) [2136122]
- wifi: mac80211: fix link warning in RX agg timer expiry (Íñigo Huguet) [2136122]
- wifi: wilc1000: fix DMA on stack objects (Íñigo Huguet) [2136122]
- bus: mhi: host: Fix up null pointer access in mhi_irq_handler (Íñigo Huguet) [2136122]
- net: Use u64_stats_fetch_begin_irq() for stats fetch. (Íñigo Huguet) [2136122]
- wifi: mt76: mt7921e: fix crash in chip reset fail (Íñigo Huguet) [2136122]
- wifi: mac80211: potential NULL dereference in ieee80211_tx_control_port() (Íñigo Huguet) [2136122]
- wifi: cfg80211: debugfs: fix return type in ht40allow_map_read() (Íñigo Huguet) [2136122]
- wifi: mac80211: Don't finalize CSA in IBSS mode if state is disconnected (Íñigo Huguet) [2136122]
- wifi: mac80211: fix possible leak in ieee80211_tx_control_port() (Íñigo Huguet) [2136122]
- wifi: mac80211: always free sta in __sta_info_alloc in case of error (Íñigo Huguet) [2136122]
- wifi: mac80211: Fix UAF in ieee80211_scan_rx() (Íñigo Huguet) [2136122]
- net: qrtr: start MHI channel after endpoit creation (Íñigo Huguet) [2136122]
- wifi: wilc1000: fix spurious inline in wilc_handle_disconnect() (Íñigo Huguet) [2136122]
- wifi: cfg80211: Fix validating BSS pointers in __cfg80211_connect_result (Íñigo Huguet) [2136122]
- wifi: rtw88: check the return value of alloc_workqueue() (Íñigo Huguet) [2136122]
- wifi: rtw89: 8852a: adjust IMR for SER L1 (Íñigo Huguet) [2136122]
- wifi: rtw89: 8852a: update RF radio A/B R56 (Íñigo Huguet) [2136122]
- wifi: brcmfmac: prevent double-free on hardware-reset (Íñigo Huguet) [2136122]
- wifi: brcmfmac: support brcm,ccode-map-trivial DT property (Íñigo Huguet) [2136122]
- wifi: brcmfmac: Replace default (not configured) MAC with a random MAC (Íñigo Huguet) [2136122]
- wifi: brcmfmac: Add brcmf_c_set_cur_etheraddr() helper (Íñigo Huguet) [2136122]
- wifi: brcmfmac: Remove #ifdef guards for PM related functions (Íñigo Huguet) [2136122]
- wifi: brcmfmac: use strreplace() in brcmf_of_probe() (Íñigo Huguet) [2136122]
- wifi: wilc1000: use existing iftype variable to store the interface type (Íñigo Huguet) [2136122]
- wifi: wilc1000: add 'isinit' flag for SDIO bus similar to SPI (Íñigo Huguet) [2136122]
- wifi: wilc1000: cancel the connect operation during interface down (Íñigo Huguet) [2136122]
- wifi: wilc1000: get correct length of string WID from received config packet (Íñigo Huguet) [2136122]
- wifi: wilc1000: set station_info flag only when signal value is valid (Íñigo Huguet) [2136122]
- wifi: wilc1000: set correct value of 'close' variable in failure case (Íñigo Huguet) [2136122]
- wifi: wilc1000: add WID_TX_POWER WID in g_cfg_byte array (Íñigo Huguet) [2136122]
- wifi: rtl8xxxu: Fix the error handling of the probe function (Íñigo Huguet) [2136122]
- wifi: mwifiex: clean up one inconsistent indenting (Íñigo Huguet) [2136122]
- wifi: rtlwifi: Remove duplicate word and Fix typo (Íñigo Huguet) [2136122]
- wifi: mwifiex: Fix comment typo (Íñigo Huguet) [2136122]
- wifi: iwlwifi: mvm: fix clang -Wformat warnings (Íñigo Huguet) [2136122]
- Revert "ath11k: add support for hardware rfkill for QCA6390" (Íñigo Huguet) [2136122]
- wifi: ath11k: Fix register write failure on QCN9074 (Íñigo Huguet) [2136122]
- mac80211: tracing: Use the new __vstring() helper (Íñigo Huguet) [2136122]
- wifi: mac80211: fix link data leak (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: fix disassoc with MLO (Íñigo Huguet) [2136122]
- wifi: mac80211: add macros to loop over active links (Íñigo Huguet) [2136122]
- wifi: mac80211: remove erroneous sband/link validation (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: transmit assoc frame with address translation (Íñigo Huguet) [2136122]
- wifi: mac80211: verify link addresses are different (Íñigo Huguet) [2136122]
- wifi: mac80211: rx: track link in RX data (Íñigo Huguet) [2136122]
- wifi: mac80211: optionally implement MLO multicast TX (Íñigo Huguet) [2136122]
- wifi: mac80211: expand ieee80211_mgmt_tx() for MLO (Íñigo Huguet) [2136122]
- wifi: nl80211: add MLO link ID to the NL80211_CMD_FRAME TX API (Íñigo Huguet) [2136122]
- wifi: mac80211: report link ID to cfg80211 on mgmt RX (Íñigo Huguet) [2136122]
- wifi: cfg80211: report link ID in NL80211_CMD_FRAME (Íñigo Huguet) [2136122]
- wifi: mac80211: add hardware timestamps for RX and TX (Íñigo Huguet) [2136122]
- wifi: cfg80211: add hardware timestamps to frame RX info (Íñigo Huguet) [2136122]
- wifi: cfg80211/nl80211: move rx management data into a struct (Íñigo Huguet) [2136122]
- wifi: cfg80211: add a function for reporting TX status with hardware timestamps (Íñigo Huguet) [2136122]
- wifi: nl80211: add RX and TX timestamp attributes (Íñigo Huguet) [2136122]
- wifi: ieee80211: add helper functions for detecting TM/FTM frames (Íñigo Huguet) [2136122]
- wifi: mac80211_hwsim: handle links for wmediumd/virtio (Íñigo Huguet) [2136122]
- wifi: mac80211: sta_info: fix link_sta insertion (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: fix link_sta setup (Íñigo Huguet) [2136122]
- wifi: nl80211/mac80211: clarify link ID in control port TX (Íñigo Huguet) [2136122]
- wifi: mac80211: return error from control port TX for drops (Íñigo Huguet) [2136122]
- wifi: nl80211: require MLD address on link STA add/modify (Íñigo Huguet) [2136122]
- wifi: mac80211: more station handling sanity checks (Íñigo Huguet) [2136122]
- wifi: mac80211: fix link sta hash table handling (Íñigo Huguet) [2136122]
- wifi: mac80211: validate link address doesn't change (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: set sta.mlo to mlo state (Íñigo Huguet) [2136122]
- wifi: mac80211: fast-xmit: handle non-MLO clients (Íñigo Huguet) [2136122]
- wifi: mac80211_hwsim: fix address translation for MLO (Íñigo Huguet) [2136122]
- wifi: mac80211: fix RX MLD address translation (Íñigo Huguet) [2136122]
- wifi: mac80211: fix NULL pointer deref with non-MLD STA (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: fix override calculation (Íñigo Huguet) [2136122]
- wifi: mac80211: tx: use AP address in some places for MLO (Íñigo Huguet) [2136122]
- net: add missing includes and forward declarations under net/ (Íñigo Huguet) [2136122]
- wifi: rtl8192se: fix repeated words in comments (Íñigo Huguet) [2136122]
- wifi: rtlwifi: fix repeated words in comments (Íñigo Huguet) [2136122]
- wifi: rt2x00: fix repeated words in comments (Íñigo Huguet) [2136122]
- wifi: brcmsmac: fix repeated words in comments (Íñigo Huguet) [2136122]
- wifi: brcmfmac: fix repeated words in comments (Íñigo Huguet) [2136122]
- wifi: mac80211: do not abuse fq.lock in ieee80211_do_stop() (Íñigo Huguet) [2136122]
- wifi: mt7601u: fix clang -Wformat warning (Íñigo Huguet) [2136122]
- wifi: mt7601u: eeprom: fix clang -Wformat warning (Íñigo Huguet) [2136122]
- wifi: ath: fix repeated words in comments (Íñigo Huguet) [2136122]
- wifi: ath11k: mac: fix long line (Íñigo Huguet) [2136122]
- tracing/iwlwifi: Use the new __vstring() helper (Íñigo Huguet) [2136122]
- tracing/brcm: Use the new __vstring() helper (Íñigo Huguet) [2136122]
- tracing/ath: Use the new __vstring() helper (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: set sta.mlo correctly (Íñigo Huguet) [2136122]
- wifi: mac80211: remove stray printk (Íñigo Huguet) [2136122]
- wifi: mac80211: support MLO authentication/association with one link (Íñigo Huguet) [2136122]
- wifi: mac80211: add API to parse multi-link element (Íñigo Huguet) [2136122]
- wifi: mac80211_hwsim: fix TX link selection (Íñigo Huguet) [2136122]
- wifi: mac80211_hwsim: use MLO link ID for TX (Íñigo Huguet) [2136122]
- wifi: mac80211_hwsim: do rc update per link (Íñigo Huguet) [2136122]
- wifi: mac80211: do link->MLD address translation on RX (Íñigo Huguet) [2136122]
- wifi: mac80211: select link when transmitting to non-MLO stations (Íñigo Huguet) [2136122]
- wifi: mac80211: fix up link station creation/insertion (Íñigo Huguet) [2136122]
- wifi: mac80211_hwsim: implement sta_state for MLO (Íñigo Huguet) [2136122]
- wifi: mac80211: limit A-MSDU subframes for client too (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: refactor ieee80211_set_associated() (Íñigo Huguet) [2136122]
- wifi: cfg80211: add cfg80211_get_iftype_ext_capa() (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: look up beacon elems only if needed (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: refactor assoc link setup (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: remove address arg to ieee80211_mark_sta_auth() (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: refactor assoc success handling (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: refactor ieee80211_prep_channel() a bit (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: refactor assoc req element building (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: switch some things back to deflink (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: change flags in ieee80211_determine_chantype() (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: shift some code around (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: refactor link station setup (Íñigo Huguet) [2136122]
- wifi: mac80211: move IEEE80211_SDATA_OPERATING_GMODE to link (Íñigo Huguet) [2136122]
- wifi: mac80211: make ieee80211_check_rate_mask() link-aware (Íñigo Huguet) [2136122]
- wifi: mac80211: add multi-link element to AUTH frames (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: clean up supported channels element code (Íñigo Huguet) [2136122]
- wifi: mac80211: release channel context on link stop (Íñigo Huguet) [2136122]
- wifi: mac80211: prohibit DEAUTH_NEED_MGD_TX_PREP in MLO (Íñigo Huguet) [2136122]
- wifi: nl80211: fix some attribute policy entries (Íñigo Huguet) [2136122]
- wifi: nl80211: reject fragmented and non-inheritance elements (Íñigo Huguet) [2136122]
- wifi: nl80211: reject link specific elements on assoc link (Íñigo Huguet) [2136122]
- wifi: cfg80211: set country_elem to NULL (Íñigo Huguet) [2136122]
- wifi: mac80211: remove link_id parameter from link_info_changed() (Íñigo Huguet) [2136122]
- wifi: mac80211: replace link_id with link_conf in switch/(un)assign_vif_chanctx() (Íñigo Huguet) [2136122]
- wifi: nl80211: advertise MLO support (Íñigo Huguet) [2136122]
- wifi: mac80211: Support multi link in ieee80211_recalc_min_chandef() (Íñigo Huguet) [2136122]
- wifi: mac80211: don't check carrier in chanctx code (Íñigo Huguet) [2136122]
- wifi: nl80211: allow link ID in set_wiphy with frequency (Íñigo Huguet) [2136122]
- wifi: mac80211: Allow EAPOL tx from specific link (Íñigo Huguet) [2136122]
- wifi: mac80211: Allow EAPOL frames from link addresses (Íñigo Huguet) [2136122]
- wifi: cfg80211/mac80211: Support control port TX from specific link (Íñigo Huguet) [2136122]
- wifi: nl80211: Support MLD parameters in nl80211_set_station() (Íñigo Huguet) [2136122]
- wifi: nl80211: check MLO support in authenticate (Íñigo Huguet) [2136122]
- wifi: mac80211: add a helper to fragment an element (Íñigo Huguet) [2136122]
- wifi: mac80211: skip rate statistics for MLD STAs (Íñigo Huguet) [2136122]
- wifi: nl80211: set BSS to NULL if IS_ERR() (Íñigo Huguet) [2136122]
- wifi: nl80211: add EML/MLD capabilities to per-iftype capabilities (Íñigo Huguet) [2136122]
- wifi: nl80211: better validate link ID for stations (Íñigo Huguet) [2136122]
- wifi: mac80211: fix link manipulation (Íñigo Huguet) [2136122]
- wifi: mac80211: tighten locking check (Íñigo Huguet) [2136122]
- wifi: cfg80211: clean up links appropriately (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: simplify adding ht/vht/he/eht elements (Íñigo Huguet) [2136122]
- wifi: mac80211: refactor adding custom elements (Íñigo Huguet) [2136122]
- wifi: mac80211: refactor adding rates to assoc request (Íñigo Huguet) [2136122]
- wifi: mac80211: use only channel width in ieee80211_parse_bitrates() (Íñigo Huguet) [2136122]
- wifi: cfg80211: add ieee80211_chanwidth_rate_flags() (Íñigo Huguet) [2136122]
- wifi: mac80211: remove redundant condition (Íñigo Huguet) [2136122]
- wifi: mac80211: don't set link address for station (Íñigo Huguet) [2136122]
- wifi: mac80211: fix multi-BSSID element parsing (Íñigo Huguet) [2136122]
- wifi: mac80211: move tdls_chan_switch_prohibited to link data (Íñigo Huguet) [2136122]
- wifi: mac80211: don't re-parse elems in ieee80211_assoc_success() (Íñigo Huguet) [2136122]
- wifi: mac80211: replace link_id with link_conf in start/stop_ap() (Íñigo Huguet) [2136122]
- wifi: mac80211: refactor elements parsing with parameter struct (Íñigo Huguet) [2136122]
- wifi: cfg80211: extend cfg80211_rx_assoc_resp() for MLO (Íñigo Huguet) [2136122]
- wifi: cfg80211: put cfg80211_rx_assoc_resp() arguments into a struct (Íñigo Huguet) [2136122]
- wifi: cfg80211: adjust assoc comeback for MLO (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: unify assoc data event sending (Íñigo Huguet) [2136122]
- wifi: cfg80211: prepare association failure APIs for MLO (Íñigo Huguet) [2136122]
- wifi: cfg80211: remove BSS pointer from cfg80211_disassoc_request (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: use correct link_sta (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: remove sta argument from ieee80211_config_bw (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: use ieee80211_get_link_sband() (Íñigo Huguet) [2136122]
- wifi: mac80211: split IEEE80211_STA_DISABLE_WMM to link data (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: first adjustments for MLO (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: do IEEE80211_STA_RESET_SIGNAL_AVE per link (Íñigo Huguet) [2136122]
- wifi: mac80211: mlme: track AP (MLD) address separately (Íñigo Huguet) [2136122]
- wifi: mac80211: remove unused bssid variable (Íñigo Huguet) [2136122]
- wifi: mac80211: change QoS settings API to take link into account (Íñigo Huguet) [2136122]
- wifi: mac80211: expect powersave handling in driver for MLO (Íñigo Huguet) [2136122]
- wifi: mac80211: move ps setting to vif config (Íñigo Huguet) [2136122]
- wifi: mac80211: provide link ID in link_conf (Íñigo Huguet) [2136122]
- wifi: mac80211: set up/tear down client vif links properly (Íñigo Huguet) [2136122]
- wifi: mac80211: move ieee80211_request_smps_mgd_work (Íñigo Huguet) [2136122]
- wifi: nl80211: acquire wdev mutex for dump_survey (Íñigo Huguet) [2136122]
- wifi: mac80211: fix key lookup (Íñigo Huguet) [2136122]
- wifi: mac80211: separate out connection downgrade flags (Íñigo Huguet) [2136122]
- wifi: mac80211: Align with Draft P802.11be_D2.0 (Íñigo Huguet) [2136122]
- wifi: mac80211: Align with Draft P802.11be_D1.5 (Íñigo Huguet) [2136122]
- wifi: mac80211: skip powersave recalc if driver SUPPORTS_DYNAMIC_PS (Íñigo Huguet) [2136122]
- wifi: mac80211: debug: omit link if non-MLO connection (Íñigo Huguet) [2136122]
- wifi: mac80211_hwsim: Ack link addressed frames (Íñigo Huguet) [2136122]
- wifi: cfg80211: drop BSS elements from assoc trace for now (Íñigo Huguet) [2136122]
- wifi: cfg80211: make cfg80211_auth_request::key_idx signed (Íñigo Huguet) [2136122]
- wifi: nl80211: enable setting the link address at new station (Íñigo Huguet) [2136122]
- wifi: mac80211: RCU-ify link/link_conf pointers (Íñigo Huguet) [2136122]
- wifi: nl80211: hold wdev mutex for station APIs (Íñigo Huguet) [2136122]
- wifi: nl80211: hold wdev mutex for channel switch APIs (Íñigo Huguet) [2136122]
- wifi: nl80211: hold wdev mutex in add/mod/del link station (Íñigo Huguet) [2136122]
- wifi: mac80211: implement callbacks for <add/mod/del>_link_station (Íñigo Huguet) [2136122]
- wifi: cfg80211/mac80211: separate link params from station params (Íñigo Huguet) [2136122]
- wifi: cfg80211: add API to add/modify/remove a link station (Íñigo Huguet) [2136122]
- wifi: mac80211: add an ieee80211_get_link_sband (Íñigo Huguet) [2136122]
- wifi: mac80211: Remove AP SMPS leftovers (Íñigo Huguet) [2136122]
- wifi: cfg80211: Allow MLO TX with link source address (Íñigo Huguet) [2136122]
- wifi: mac80211: Consider MLO links in offchannel logic (Íñigo Huguet) [2136122]
- wifi: mac80211: rx: accept link-addressed frames (Íñigo Huguet) [2136122]
- wifi: mac80211: consistently use sdata_dereference() (Íñigo Huguet) [2136122]
- wifi: mac80211_hwsim: Support link channel matching on rx (Íñigo Huguet) [2136122]
- wifi: mac80211: fix mesh airtime link metric estimating (Íñigo Huguet) [2136122]
- wifi: mac80211: make 4addr null frames using min_rate for WDS (Íñigo Huguet) [2136122]
- wifi: cfg80211: use strscpy to replace strlcpy (Íñigo Huguet) [2136122]
- wifi: mac80211: exclude multicast packets from AQL pending airtime (Íñigo Huguet) [2136122]
- wifi: mac80211_hwsim: use 32-bit skb cookie (Íñigo Huguet) [2136122]
- mt76: mt7921: Let PCI core handle power state and use pm_sleep_ptr() (Íñigo Huguet) [2136122]
- mediatek: mt76: mac80211: Fix missing of_node_put() in mt76_led_init() (Íñigo Huguet) [2136122]
- mt76: get rid of mt76_wcid_hw routine (Íñigo Huguet) [2136122]
- mt76: convert MT_TX_HW_QUEUE_EXT_PHY to MT_TX_HW_QUEUE_PHY (Íñigo Huguet) [2136122]
- mt76: add phy_idx to mt76_wcid (Íñigo Huguet) [2136122]
- mt76: introduce phys array in mt76_dev structure (Íñigo Huguet) [2136122]
- mt76: add phy_idx in mt76_rx_status (Íñigo Huguet) [2136122]
- mt76: introduce MT_RXQ_BAND2 and MT_RXQ_BAND2_WA in mt76_rxq_id (Íñigo Huguet) [2136122]
- mt76: add len parameter to __mt76_mcu_msg_alloc signature (Íñigo Huguet) [2136122]
- mt76: mt7915 add ht mpdu density (Íñigo Huguet) [2136122]
- mt76: mt7921: reduce the mutex lock scope during reset (Íñigo Huguet) [2136122]
- mt76: mt7921: reduce log severity levels for informative messages (Íñigo Huguet) [2136122]
- mt76: connac: move tx initialization/cleanup in mt76_connac module (Íñigo Huguet) [2136122]
- mt76: mt7921: make mt7921_pci_driver static (Íñigo Huguet) [2136122]
- mt76: mt7921: enable HW beacon filter in the initialization stage (Íñigo Huguet) [2136122]
- mt76: mt7921: enable HW beacon filter not depending on PM flag (Íñigo Huguet) [2136122]
- mt76: remove q->qid (Íñigo Huguet) [2136122]
- mt76: do not use skb_set_queue_mapping for internal purposes (Íñigo Huguet) [2136122]
- mt76: pass original queue id from __mt76_tx_queue_skb to the driver (Íñigo Huguet) [2136122]
- mt76: allow receiving frames with invalid CCMP PN via monitor interfaces (Íñigo Huguet) [2136122]
- mt76: mt76x02: improve reliability of the beacon hang check (Íñigo Huguet) [2136122]
- mt76: mt7615: add sta_rec with EXTRA_INFO_NEW for the first time only (Íñigo Huguet) [2136122]
- mt76: mt7915: update the maximum size of beacon offload (Íñigo Huguet) [2136122]
- mt76: do not check the ccmp pn for ONLY_MONITOR frame (Íñigo Huguet) [2136122]
- mt76: mt7915: add sta_rec with EXTRA_INFO_NEW for the first time only (Íñigo Huguet) [2136122]
- mt76: mt7915: update mpdu density in 6g capability (Íñigo Huguet) [2136122]
- mt76: mt7915: do not copy ieee80211_ops pointer in mt7915_mmio_probe (Íñigo Huguet) [2136122]
- mt76: mt7915: disable UL MU-MIMO for mt7915 (Íñigo Huguet) [2136122]
- mt76: move mt76_connac2_mcu_fill_message in mt76_connac module (Íñigo Huguet) [2136122]
- mt76: move mcu_txd/mcu_rxd structures in shared code (Íñigo Huguet) [2136122]
- mt76: mt7915: rely on mt76_connac_tx_free (Íñigo Huguet) [2136122]
- mt76: connac: move mt7615_txp_skb_unmap in common code (Íñigo Huguet) [2136122]
- mt76: connac: move mt76_connac_write_hw_txp in shared code (Íñigo Huguet) [2136122]
- mt76: connac: move mt76_connac_tx_complete_skb in shared code (Íñigo Huguet) [2136122]
- mt76: connac: move mt76_connac_tx_free in shared code (Íñigo Huguet) [2136122]
- mt76: move mt7615_txp_ptr in mt76_connac module (Íñigo Huguet) [2136122]
- mt76: connac: move mt76_connac_fw_txp in common module (Íñigo Huguet) [2136122]
- mt76: mt7915: get rid of unnecessary new line in mt7915_mac_write_txwi (Íñigo Huguet) [2136122]
- mt76: mt7921s: remove unnecessary goto in mt7921s_mcu_drv_pmctrl (Íñigo Huguet) [2136122]
- mt76: connac: move mt76_connac2_mac_fill_rx_rate in connac module (Íñigo Huguet) [2136122]
- mt76: connac: move mt76_connac2_reverse_frag0_hdr_trans in mt76-connac module (Íñigo Huguet) [2136122]
- mt76: connac: move HE radiotap parsing in connac module (Íñigo Huguet) [2136122]
- mt76: mt7921: fix command timeout in AP stop period (Íñigo Huguet) [2136122]
- mt76: mt7921: not support beacon offload disable command (Íñigo Huguet) [2136122]
- mt76: connac: move mt76_connac2_mac_add_txs_skb in connac module (Íñigo Huguet) [2136122]
- mt76: connac: move connac2_mac_write_txwi in mt76_connac module (Íñigo Huguet) [2136122]
- mt76: connac: move mac connac2 defs in mt76_connac2_mac.h (Íñigo Huguet) [2136122]
- mt76: mt7915: rely on mt76_dev in mt7915_mac_write_txwi signature (Íñigo Huguet) [2136122]
- mt76: mt7921: rely on mt76_dev in mt7921_mac_write_txwi signature (Íñigo Huguet) [2136122]
- mt76: enable the VHT extended NSS BW feature (Íñigo Huguet) [2136122]
- mt76: mt7663: rely on mt76_connac2_fw_trailer (Íñigo Huguet) [2136122]
- mt76: connac: move mt76_connac2_load_patch in connac module (Íñigo Huguet) [2136122]
- mt76: connac: move mt76_connac2_load_ram in connac module (Íñigo Huguet) [2136122]
- mt76: mt7921: move fw toggle in mt7921_load_firmware (Íñigo Huguet) [2136122]
- mt76: connac: move shared fw structures in connac module (Íñigo Huguet) [2136122]
- mt76: mt7921: get rid of mt7921_mcu_exit (Íñigo Huguet) [2136122]
- mt76: mt7921: add missing bh-disable around rx napi schedule (Íñigo Huguet) [2136122]
- mt76: mt7615: add missing bh-disable around rx napi schedule (Íñigo Huguet) [2136122]
- mt76: mt7915: add missing bh-disable around tx napi enable/schedule (Íñigo Huguet) [2136122]
- mt76: mt7921: add PATCH_FINISH_REQ cmd response handling (Íñigo Huguet) [2136122]
- mt76: add DBDC rxq handlings into mac_reset_work (Íñigo Huguet) [2136122]
- mt76: mt7915: add more ethtool stats (Íñigo Huguet) [2136122]
- mt76: mt7921: introduce ACPI SAR config in tx power (Íñigo Huguet) [2136122]
- mt76: mt7921: introduce ACPI SAR support (Íñigo Huguet) [2136122]
- mt76: add 6 GHz band support in mt76_sar_freq_ranges (Íñigo Huguet) [2136122]
- mt76: mt7915: fix endian bug in mt7915_rf_regval_set() (Íñigo Huguet) [2136122]
- mt76: mt76x02u: fix possible memory leak in __mt76x02u_mcu_send_msg (Íñigo Huguet) [2136122]
- mt76: mt7915: fix endianness in mt7915_rf_regval_get (Íñigo Huguet) [2136122]
- wifi: mac80211_hwsim: add back erroneously removed cast (Íñigo Huguet) [2136122]
- wifi: mac80211: fix queue selection for mesh/OCB interfaces (Íñigo Huguet) [2136122]
- wifi: mac80211_hwsim: fix race condition in pending packet (Íñigo Huguet) [2136122]
- wifi: mac80211: Use the bitmap API to allocate bitmaps (Íñigo Huguet) [2136122]
- wifi: mac80211: fix center freq calculation in ieee80211_chandef_downgrade (Íñigo Huguet) [2136122]
- wifi: nl80211: fix sending link ID info of associated BSS (Íñigo Huguet) [2136122]
- wifi: cfg80211: fix a comment in cfg80211_mlme_mgmt_tx() (Íñigo Huguet) [2136122]
- wifi: nl80211: Fix reading NL80211_ATTR_MLO_LINK_ID in nl80211_pre_doit (Íñigo Huguet) [2136122]
- bus: mhi: ep: Check dev_set_name() return value (Íñigo Huguet) [2136122]
- ath9k: remove unexpected words "the" in comments (Íñigo Huguet) [2136122]
- ath11k: Fix typo in comments (Íñigo Huguet) [2136122]
- wifi: nl80211: retrieve EHT related elements in AP mode (Íñigo Huguet) [2136122]
- wifi: cfg80211: Increase akm_suites array size in cfg80211_crypto_settings (Íñigo Huguet) [2136122]
- wifi: cfg80211: remove chandef check in cfg80211_cac_event() (Íñigo Huguet) [2136122]
- wifi: nl80211: relax wdev mutex check in wdev_chandef() (Íñigo Huguet) [2136122]
- wifi: nl80211: acquire wdev mutex earlier in start_ap (Íñigo Huguet) [2136122]
- wifi: nl80211: hold wdev mutex for tid config (Íñigo Huguet) [2136122]
- wifi: cfg80211: handle IBSS in channel switch (Íñigo Huguet) [2136122]
- wifi: mac80211: properly skip link info driver update (Íñigo Huguet) [2136122]
- wifi: mac80211: only accumulate airtime deficit for active clients (Íñigo Huguet) [2136122]
- wifi: mac80211: add debugfs file to display per-phy AQL pending airtime (Íñigo Huguet) [2136122]
- wifi: mac80211: add a per-PHY AQL limit to improve fairness (Íñigo Huguet) [2136122]
- wifi: mac80211: keep recently active tx queues in scheduling list (Íñigo Huguet) [2136122]
- wifi: mac80211: consider aql_tx_pending when checking airtime deficit (Íñigo Huguet) [2136122]
- wifi: mac80211: make sta airtime deficit field s32 instead of s64 (Íñigo Huguet) [2136122]
- wifi: mac80211: fix a kernel-doc complaint (Íñigo Huguet) [2136122]
- wifi: cfg80211: remove redundant documentation (Íñigo Huguet) [2136122]
- wifi: mac80211: sta_info: fix a missing kernel-doc struct element (Íñigo Huguet) [2136122]
- wifi: mac80211: add a missing comma at kernel-doc markup (Íñigo Huguet) [2136122]
- wifi: cfg80211: fix kernel-doc warnings all over the file (Íñigo Huguet) [2136122]
- wifi: ieee80211: s1g action frames are not robust (Íñigo Huguet) [2136122]
- bus: mhi: host: pci_generic: Add another Foxconn T99W175 (Íñigo Huguet) [2136122]
- wifi: cfg80211: Allow P2P client interface to indicate port authorization (Íñigo Huguet) [2136122]
- wifi: mac80211: do not wake queues on a vif that is being stopped (Íñigo Huguet) [2136122]
- wifi: mac80211: check skb_shared in ieee80211_8023_xmit() (Íñigo Huguet) [2136122]
- wifi: mac80211: add gfp_t parameter to ieeee80211_obss_color_collision_notify (Íñigo Huguet) [2136122]
- bus: mhi: host: Move IRQ allocation to controller registration phase (Íñigo Huguet) [2136122]
- bus: mhi: host: pci_generic: Add Cinterion MV31-W with new baseline (Íñigo Huguet) [2136122]
- bus: mhi: host: pci_generic: Add support for Quectel EM120 FCCL modem (Íñigo Huguet) [2136122]
- wifi: rtw89: disable invalid phy reports for all ICs (Íñigo Huguet) [2136122]
- wifi: rtw89: pci: fix PCI doesn't reclaim TX BD properly (Íñigo Huguet) [2136122]
- wifi: rtw89: fix long RX latency in low power mode (Íñigo Huguet) [2136122]
- wifi: rtw89: drop invalid TX rate report of legacy rate (Íñigo Huguet) [2136122]
- wifi: rtw89: add UNEXP debug mask to keep monitor messages unexpected to happen frequently (Íñigo Huguet) [2136122]
- wifi: rtw89: enable VO TX AMPDU (Íñigo Huguet) [2136122]
- wifi: rtw89: fix potential TX stuck (Íñigo Huguet) [2136122]
- wifi: rtw89: support TDLS (Íñigo Huguet) [2136122]
- wifi: rtw89: allocate BSSID CAM per TDLS peer (Íñigo Huguet) [2136122]
- wifi: rtw89: separate BSSID CAM operations (Íñigo Huguet) [2136122]
- wifi: rtw89: allocate address CAM and MAC ID to TDLS peer (Íñigo Huguet) [2136122]
- cfg80211: Indicate MLO connection info in connect and roam callbacks (Íñigo Huguet) [2136122]
- wifi: mac80211_hwsim: print the link id (Íñigo Huguet) [2136122]
- wifi: mac80211_hwsim: support creating MLO-capable radios (Íñigo Huguet) [2136122]
- wifi: nl80211: expose link ID for associated BSSes (Íñigo Huguet) [2136122]
- wifi: nl80211: expose link information for interfaces (Íñigo Huguet) [2136122]
- wifi: mac80211_hwsim: send a beacon per link (Íñigo Huguet) [2136122]
- wifi: mac80211: set STA deflink addresses (Íñigo Huguet) [2136122]
- wifi: mac80211: maintain link-sta hash table (Íñigo Huguet) [2136122]
- wifi: mac80211: RCU-ify link STA pointers (Íñigo Huguet) [2136122]
- wifi: mac80211: ethtool: use deflink for now (Íñigo Huguet) [2136122]
- wifi: mac80211: move ieee80211_bssid_match() function (Íñigo Huguet) [2136122]
- wifi: mac80211: return a beacon for a specific link (Íñigo Huguet) [2136122]
- wifi: mac80211: pass the link id in start/stop ap (Íñigo Huguet) [2136122]
- wifi: mac80211: use link in start/stop ap (Íñigo Huguet) [2136122]
- wifi: mac80211: implement add/del interface link callbacks (Íñigo Huguet) [2136122]
- wifi: cfg80211: add optional link add/remove callbacks (Íñigo Huguet) [2136122]
- wifi: cfg80211: sort trace.h (Íñigo Huguet) [2136122]
- wifi: mac80211: add sta link addition/removal (Íñigo Huguet) [2136122]
- wifi: mac80211: add MLO link ID to TX frame metadata (Íñigo Huguet) [2136122]
- wifi: mac80211: remove band from TX info in MLO (Íñigo Huguet) [2136122]
- wifi: mac80211: add vif link addition/removal (Íñigo Huguet) [2136122]
- wifi: nl80211: support MLO in auth/assoc (Íñigo Huguet) [2136122]
- wifi: mac80211: ignore IEEE80211_CONF_CHANGE_SMPS in chanctx mode (Íñigo Huguet) [2136122]
- wifi: mac80211_hwsim: split bss_info_changed to vif/link info_changed (Íñigo Huguet) [2136122]
- wifi: cfg80211: simplify cfg80211_mlme_auth() prototype (Íñigo Huguet) [2136122]
- wifi: ieee80211: add definitions for multi-link element (Íñigo Huguet) [2136122]
- wifi: nl80211: refactor BSS lookup in nl80211_associate() (Íñigo Huguet) [2136122]
- wifi: cfg80211: mlme: get BSS entry outside cfg80211_mlme_assoc() (Íñigo Huguet) [2136122]
- wifi: mac80211: tx: simplify chanctx_conf handling (Íñigo Huguet) [2136122]
- wifi: mac80211: status: look up band only where needed (Íñigo Huguet) [2136122]
- wifi: mac80211: sort trace.h file (Íñigo Huguet) [2136122]
- wifi: mac80211: correct link config data in tracing (Íñigo Huguet) [2136122]
- wifi: mac80211: make ieee80211_he_cap_ie_to_sta_he_cap() MLO-aware (Íñigo Huguet) [2136122]
- wifi: mac80211: make some SMPS code MLD-aware (Íñigo Huguet) [2136122]
- wifi: mac80211: HT: make ieee80211_ht_cap_ie_to_sta_ht_cap() MLO-aware (Íñigo Huguet) [2136122]
- wifi: mac80211: add link_id to eht.c code for MLO (Íñigo Huguet) [2136122]
- wifi: mac80211: add link_id to vht.c code for MLO (Íñigo Huguet) [2136122]
- wifi: mac80211: refactor some link setup code (Íñigo Huguet) [2136122]
- wifi: mac80211: validate some driver features for MLO (Íñigo Huguet) [2136122]
- wifi: mac80211: use IEEE80211_MLD_MAX_NUM_LINKS (Íñigo Huguet) [2136122]
- wifi: mac80211: refactor some sta_info link handling (Íñigo Huguet) [2136122]
- wifi: mac80211: remove sta_info_tx_streams() (Íñigo Huguet) [2136122]
- wifi: mac80211: make channel context code MLO-aware (Íñigo Huguet) [2136122]
- wifi: mac80211: pass link ID where already present (Íñigo Huguet) [2136122]
- wifi: mac80211: add per-link configuration pointer (Íñigo Huguet) [2136122]
- wifi: mac80211: split bss_info_changed method (Íñigo Huguet) [2136122]
- wifi: mac80211: reorg some iface data structs for MLD (Íñigo Huguet) [2136122]
- wifi: mac80211: move interface config to new struct (Íñigo Huguet) [2136122]
- wifi: mac80211: move some future per-link data to bss_conf (Íñigo Huguet) [2136122]
- wifi: cfg80211: do some rework towards MLO link APIs (Íñigo Huguet) [2136122]
- wifi: mac80211: reject WEP or pairwise keys with key ID > 3 (Íñigo Huguet) [2136122]
- brcmfmac: Switch to appropriate helper to load EFI variable contents (Íñigo Huguet) [2136122]
- iwlwifi: Switch to proper EFI variable store interface (Íñigo Huguet) [2136122]
- wifi: mac80211_hwsim: Directly use ida_alloc()/free() (Íñigo Huguet) [2136122]
- wifi: mac80211: refactor some key code (Íñigo Huguet) [2136122]
- wifi: mac80211: remove cipher scheme support (Íñigo Huguet) [2136122]
- wifi: nl80211: fix typo in comment (Íñigo Huguet) [2136122]
- wifi: virt_wifi: fix typo in comment (Íñigo Huguet) [2136122]
- treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_391.RULE (Íñigo Huguet) [2136122]
- rtw88: 8821c: fix access const table of channel parameters (Íñigo Huguet) [2136122]
- rtw89: add new state to CFO state machine for UL-OFDMA (Íñigo Huguet) [2136122]
- rtw89: 8852c: add trigger frame counter (Íñigo Huguet) [2136122]
- ieee80211: add trigger frame definition (Íñigo Huguet) [2136122]
- ath10k: fix recently introduced checkpatch warning (Íñigo Huguet) [2136122]
- wifi: rtw89: support MULTI_BSSID and correct BSSID mask of H2C (Íñigo Huguet) [2136122]
- wifi: ray_cs: Drop useless status variable in parse_addr() (Íñigo Huguet) [2136122]
- wifi: ray_cs: Utilize strnlen() in parse_addr() (Íñigo Huguet) [2136122]
- wifi: rtw88: use %%*ph to print small buffer (Íñigo Huguet) [2136122]
- ath11k: Fix warnings reported by checkpatch (Íñigo Huguet) [2136122]
- ath11k: Fix LDPC config in set_bitrate_mask hook (Íñigo Huguet) [2136122]
- wifi: mac80211: fix use-after-free in chanctx code (Íñigo Huguet) [2136122]
- ath10k: fix regdomain info of iw reg set/get (Íñigo Huguet) [2136122]
- ath11k: support avg signal in station dump (Íñigo Huguet) [2136122]
- wifi: wilc1000: add IGTK support (Íñigo Huguet) [2136122]
- wifi: wilc1000: add WPA3 SAE support (Íñigo Huguet) [2136122]
- wifi: wilc1000: remove WEP security support (Íñigo Huguet) [2136122]
- wifi: wilc1000: use correct sequence of RESET for chip Power-UP/Down (Íñigo Huguet) [2136122]
- wifi: rtlwifi: fix error codes in rtl_debugfs_set_write_h2c() (Íñigo Huguet) [2136122]
- wifi: rtw88: Fix Sparse warning for rtw8821c_hw_spec (Íñigo Huguet) [2136122]
- wifi: rtw88: Fix Sparse warning for rtw8723d_hw_spec (Íñigo Huguet) [2136122]
- wifi: rtw88: Fix Sparse warning for rtw8822c_hw_spec (Íñigo Huguet) [2136122]
- wifi: rtw88: Fix sparse warning for rtw8822b_hw_spec (Íñigo Huguet) [2136122]
- rtw88: fix null vif pointer when hw_scan fails (Íñigo Huguet) [2136122]
- rtw89: sar: adjust and support SAR on 6GHz band (Íñigo Huguet) [2136122]
- rtw89: 8852c: rfk: re-calibrate RX DCK once thermal changes a lot (Íñigo Huguet) [2136122]
- rtw89: pci: handle hardware watchdog timeout interrupt status (Íñigo Huguet) [2136122]
- rtw89: fix null vif pointer when hw_scan fails (Íñigo Huguet) [2136122]
- rtw89: fix channel inconsistency during hw_scan (Íñigo Huguet) [2136122]
- wifi: rtw88: add a work to correct atomic scheduling warning of ::set_tim (Íñigo Huguet) [2136122]
- wifi: iwlwifi: pcie: rename CAUSE macro (Íñigo Huguet) [2136122]
- wifi: brcmfmac: work around a GCC 12 -Warray-bounds warning (Íñigo Huguet) [2136122]
- wifi: iwlwifi: use unsigned to silence a GCC 12 warning (Íñigo Huguet) [2136122]
- wifi: rtlwifi: remove always-true condition pointed out by GCC 12 (Íñigo Huguet) [2136122]
- wifi: ath9k: silence array-bounds warning on GCC 12 (Íñigo Huguet) [2136122]
- ath9k: replace ternary operator with max() (Íñigo Huguet) [2136122]
- ath11k: Init hw_params before setting up AHB resources (Íñigo Huguet) [2136122]
- ath11k: Fix warning on variable 'sar' dereference before check (Íñigo Huguet) [2136122]
- ath10k: fix misreported tx bandwidth for 160Mhz (Íñigo Huguet) [2136122]
- ath10k: add encapsulation offloading support (Íñigo Huguet) [2136122]
- ath10k: turn rawmode into frame_mode (Íñigo Huguet) [2136122]
- ath10k: improve tx status reporting (Íñigo Huguet) [2136122]
- ath10k: do not enforce interrupt trigger type (Íñigo Huguet) [2136122]
- iwlwifi: mei: fix potential NULL-ptr deref (Íñigo Huguet) [2136122]
- iwlwifi: mei: clear the sap data header before sending (Íñigo Huguet) [2136122]
- iwlwifi: mvm: remove vif_count (Íñigo Huguet) [2136122]
- iwlwifi: mvm: always tell the firmware to accept MCAST frames in BSS (Íñigo Huguet) [2136122]
- iwlwifi: mvm: add OTP info in case of init failure (Íñigo Huguet) [2136122]
- iwlwifi: mvm: fix assert 1F04 upon reconfig (Íñigo Huguet) [2136122]
- iwlwifi: mvm: clean up authorized condition (Íñigo Huguet) [2136122]
- iwlwifi: mvm: use NULL instead of ERR_PTR when parsing wowlan status (Íñigo Huguet) [2136122]
- iwlwifi: pcie: simplify MSI-X cause mapping (Íñigo Huguet) [2136122]
- ath11k: update missing MU-MIMO and OFDMA stats (Íñigo Huguet) [2136122]
- rtw89: pci: only mask out INT indicator register for disable interrupt v1 (Íñigo Huguet) [2136122]
- rtw89: convert rtw89_band to nl80211_band precisely (Íñigo Huguet) [2136122]
- rtw89: 8852c: update txpwr tables to HALRF_027_00_052 (Íñigo Huguet) [2136122]
- rtw89: cfo: check mac_id to avoid out-of-bounds (Íñigo Huguet) [2136122]
- rtw89: 8852c: set TX antenna path (Íñigo Huguet) [2136122]
- rtw89: add ieee80211::sta_rc_update ops (Íñigo Huguet) [2136122]
- wireless: Fix Makefile to be in alphabetical order (Íñigo Huguet) [2136122]
- mac80211: refactor freeing the next_beacon (Íñigo Huguet) [2136122]
- cfg80211: fix kernel-doc for cfg80211_beacon_data (Íñigo Huguet) [2136122]
- mac80211: minstrel_ht: support ieee80211_rate_status (Íñigo Huguet) [2136122]
- mac80211: extend current rate control tx status API (Íñigo Huguet) [2136122]
- mac80211: minstrel_ht: fill all requested rates (Íñigo Huguet) [2136122]
- mac80211: disable BSS color collision detection in case of no free colors (Íñigo Huguet) [2136122]
- nl80211: Parse NL80211_ATTR_HE_BSS_COLOR as a part of nl80211_parse_beacon (Íñigo Huguet) [2136122]
- mac80211: mlme: track assoc_bss/associated separately (Íñigo Huguet) [2136122]
- mac80211: remove useless bssid copy (Íñigo Huguet) [2136122]
- mac80211: remove unused argument to ieee80211_sta_connection_lost() (Íñigo Huguet) [2136122]
- mac80211: mlme: use local SSID copy (Íñigo Huguet) [2136122]
- mac80211: use ifmgd->bssid instead of ifmgd->associated->bssid (Íñigo Huguet) [2136122]
- mac80211: mlme: move in RSSI reporting code (Íñigo Huguet) [2136122]
- mac80211: remove stray multi_sta_back_32bit docs (Íñigo Huguet) [2136122]
- mac80211: fix typo in documentation (Íñigo Huguet) [2136122]
- mac80211: unify CCMP/GCMP AAD construction (Íñigo Huguet) [2136122]
- mt76: mt7921: add ipv6 NS offload support (Íñigo Huguet) [2136122]
- mt76: add gfp to mt76_mcu_msg_alloc signature (Íñigo Huguet) [2136122]
- mt76: mt7915: add more statistics from fw_util debugfs knobs (Íñigo Huguet) [2136122]
- mt76: mt7915: improve error handling for fw_debug knobs (Íñigo Huguet) [2136122]
- mt76: mt7615/mt7915: do reset_work with mt76's work queue (Íñigo Huguet) [2136122]
- mt76: mt7915: add support for 6G in-band discovery (Íñigo Huguet) [2136122]
- mt76: mt7915: add Wireless Ethernet Dispatch support (Íñigo Huguet) [2136122]
- net: ethernet: mtk_eth_soc: add support for Wireless Ethernet Dispatch (WED) (Íñigo Huguet) [2136122]
- mt76: make number of tokens configurable dynamically (Íñigo Huguet) [2136122]
- mt76: add support for overriding the device used for DMA mapping (Íñigo Huguet) [2136122]
- mt76: dma: add wrapper macro for accessing queue registers (Íñigo Huguet) [2136122]
- mt76: mt7915: move MT_INT_MASK_CSR to init.c (Íñigo Huguet) [2136122]
- mt76: mt7915: introduce mt7915_mac_severe_check() (Íñigo Huguet) [2136122]
- mt76: mt7915: rework SER debugfs knob (Íñigo Huguet) [2136122]
- mt76: mt7915: limit minimum twt duration (Íñigo Huguet) [2136122]
- mt76: mt7915: reject duplicated twt flows (Íñigo Huguet) [2136122]
- mt76: mt7915: update mt7986 patch in mt7986_wmac_adie_patch_7976() (Íñigo Huguet) [2136122]
- mt76: connac: use skb_put_data instead of open coding (Íñigo Huguet) [2136122]
- mt76: mt7915: configure soc clocks in mt7986_wmac_init (Íñigo Huguet) [2136122]
- mt76: fix encap offload ethernet type check (Íñigo Huguet) [2136122]
- mt76: mt7915: disable RX_HDR_TRANS_SHORT (Íñigo Huguet) [2136122]
- mt76: mt7603: move spin_lock_bh() to spin_lock() (Íñigo Huguet) [2136122]
- mt76: mt7915: add debugfs knob for RF registers read/write (Íñigo Huguet) [2136122]
- mt76: mt7921: make read-only array ppet16_ppet8_ru3_ru0 static const (Íñigo Huguet) [2136122]
- mt76: mt7915: make read-only array ppet16_ppet8_ru3_ru0 static const (Íñigo Huguet) [2136122]
- mt76: mt7915: remove SCS feature (Íñigo Huguet) [2136122]
- mt76: fix rx reordering with non explicit / psmp ack policy (Íñigo Huguet) [2136122]
- mt76: mt7921: Add AP mode support (Íñigo Huguet) [2136122]
- mt76: mt7915: use 0xff to initialize bitrate_mask in mt7915_init_bitrate_mask (Íñigo Huguet) [2136122]
- mt76: mt7915: always call mt7915_wfsys_reset() during init (Íñigo Huguet) [2136122]
- mt76: mt7921: rely on mt76_dev rxfilter in mt7921_configure_filter (Íñigo Huguet) [2136122]
- mt76: mt7921u: add suspend/resume support (Íñigo Huguet) [2136122]
- mt76: fix MBSS index condition in DBDC mode (Íñigo Huguet) [2136122]
- mt76: fix use-after-free by removing a non-RCU wcid pointer (Íñigo Huguet) [2136122]
- mt76: mt7921: accept rx frames with non-standard VHT MCS10-11 (Íñigo Huguet) [2136122]
- mt76: mt7915: accept rx frames with non-standard VHT MCS10-11 (Íñigo Huguet) [2136122]
- mt76: dma: use kzalloc instead of devm_kzalloc for txwi (Íñigo Huguet) [2136122]
- mt76: reduce tx queue lock hold time (Íñigo Huguet) [2136122]
- mt76: mt7915: rework hardware/phy initialization (Íñigo Huguet) [2136122]
- rtlwifi: Use pr_warn instead of WARN_ONCE (Íñigo Huguet) [2136122]
- rtw89: add debug entry to dump BSSID CAM (Íñigo Huguet) [2136122]
- rtw89: add debug select to dump MAC pages 0x30 to 0x33 (Íñigo Huguet) [2136122]
- rtw89: correct CCA control (Íñigo Huguet) [2136122]
- rtw89: correct setting of RX MPDU length (Íñigo Huguet) [2136122]
- rtw89: 8852c: add settings to decrease the effect of DC (Íñigo Huguet) [2136122]
- brcmfmac: allow setting wlan MAC address using device tree (Íñigo Huguet) [2136122]
- wilc1000: add valid vmm_entry check before fetching from TX queue (Íñigo Huguet) [2136122]
- wilc1000: use 'u64' datatype for cookie variable (Íñigo Huguet) [2136122]
- wilc1000: fix crash observed in AP mode with cfg80211_register_netdevice() (Íñigo Huguet) [2136122]
- wilc1000: use fixed function base register value to access SDIO_FBR_ENABLE_CSA (Íñigo Huguet) [2136122]
- wilc1000: increase firmware version array size (Íñigo Huguet) [2136122]
- ath11k: remove redundant assignment to variables vht_mcs and he_mcs (Íñigo Huguet) [2136122]
- ath11k: Reuse the available memory after firmware reload (Íñigo Huguet) [2136122]
- ath11k: Designating channel frequency when sending management frames (Íñigo Huguet) [2136122]
- ath11k: Implement remain-on-channel support (Íñigo Huguet) [2136122]
- ath11k: Handle keepalive during WoWLAN suspend and resume (Íñigo Huguet) [2136122]
- bus: mhi: host: Add support for Foxconn T99W373 and T99W368 (Íñigo Huguet) [2136122]
- bus: mhi: host: pci_generic: add Telit FN990 (Íñigo Huguet) [2136122]
- bus: mhi: host: pci_generic: add Telit FN980 v1 hardware revision (Íñigo Huguet) [2136122]
- bus: mhi: replace snprintf with sysfs_emit (Íñigo Huguet) [2136122]
- rtw88: adjust adaptivity option to 1 (Íñigo Huguet) [2136122]
- ath11k: Fix RX de-fragmentation issue on WCN6750 (Íñigo Huguet) [2136122]
- ath10k: support bus and device specific API 1 BDF selection (Íñigo Huguet) [2136122]
- ath10k: mac: fix too long lines (Íñigo Huguet) [2136122]
- ath11k: mac: fix too long line (Íñigo Huguet) [2136122]
- mac80211: support disabling EHT mode (Íñigo Huguet) [2136122]
- cfg80211: support disabling EHT mode (Íñigo Huguet) [2136122]
- mac80211: upgrade passive scan to active scan on DFS channels after beacon rx (Íñigo Huguet) [2136122]
- mac80211: tx: delete a redundant if statement in ieee80211_check_fast_xmit() (Íñigo Huguet) [2136122]
- mac80211: consider Order bit to fill CCMP AAD (Íñigo Huguet) [2136122]
- cfg80211: declare MODULE_FIRMWARE for regulatory.db (Íñigo Huguet) [2136122]
- nl80211: rework internal_flags usage (Íñigo Huguet) [2136122]
- nl80211: don't hold RTNL in color change request (Íñigo Huguet) [2136122]
- cfg80211: remove cfg80211_get_chan_state() (Íñigo Huguet) [2136122]
- ath11k: Add support for targets without trustzone (Íñigo Huguet) [2136122]
- rtw89: 8852c: add 8852ce to Makefile and Kconfig (Íñigo Huguet) [2136122]
- rtw89: 8852c: fix warning of FIELD_PREP() mask type (Íñigo Huguet) [2136122]
- rtw89: 8852c: correct register definitions used by 8852c (Íñigo Huguet) [2136122]
- rtw89: correct AID settings of beamformee (Íñigo Huguet) [2136122]
- rtw89: ps: fine tune polling interval while changing low power mode (Íñigo Huguet) [2136122]
- rtw89: 8852c: add basic and remaining chip_info (Íñigo Huguet) [2136122]
- rtw89: 8852c: add chip_ops::bb_ctrl_btc_preagc (Íñigo Huguet) [2136122]
- rtw89: 8852c: rfk: get calibrated channels to notify firmware (Íñigo Huguet) [2136122]
- rtw89: 8852c: rfk: add DPK (Íñigo Huguet) [2136122]
- rtw89: 8852c: rfk: add IQK (Íñigo Huguet) [2136122]
- rtw89: 8852c: rfk: add RX DCK (Íñigo Huguet) [2136122]
- rtw89: 8852c: rfk: add RCK (Íñigo Huguet) [2136122]
- rtw89: 8852c: rfk: add TSSI (Íñigo Huguet) [2136122]
- rtw89: 8852c: rfk: add LCK (Íñigo Huguet) [2136122]
- rtw89: 8852c: rfk: add DACK (Íñigo Huguet) [2136122]
- rtw89: 8852c: rfk: add RFK tables (Íñigo Huguet) [2136122]
- ath11k: Add support for WCN6750 device (Íñigo Huguet) [2136122]
- ath11k: Datapath changes to support WCN6750 (Íñigo Huguet) [2136122]
- ath11k: HAL changes to support WCN6750 (Íñigo Huguet) [2136122]
- ath11k: Add QMI changes for WCN6750 (Íñigo Huguet) [2136122]
- ath11k: Fetch device information via QMI for WCN6750 (Íñigo Huguet) [2136122]
- ath11k: Add register access logic for WCN6750 (Íñigo Huguet) [2136122]
- ath11k: Add HW params for WCN6750 (Íñigo Huguet) [2136122]
- ath11k: Move parameters in bus_params to hw_params (Íñigo Huguet) [2136122]
- ath11k: change management tx queue to avoid connection timed out (Íñigo Huguet) [2136122]
- ath11k: fix warning of not found station for bssid in message (Íñigo Huguet) [2136122]
- rtw88: fix hw scan may cause disconnect issue (Íñigo Huguet) [2136122]
- rtw88: fix not disabling beacon filter after disconnection (Íñigo Huguet) [2136122]
- rtw88: add HT MPDU density value for each chip (Íñigo Huguet) [2136122]
- ath11k: Don't use GFP_KERNEL in atomic context (Íñigo Huguet) [2136122]
- ath10k: skip ath10k_halt during suspend for driver state RESTARTING (Íñigo Huguet) [2136122]
- rtw89: remove unneeded semicolon (Íñigo Huguet) [2136122]
- ath10k: simplify if-if to if-else (Íñigo Huguet) [2136122]
- ath11k: read country code from SMBIOS for WCN6855/QCA6390 (Íñigo Huguet) [2136122]
- ath11k: disable spectral scan during spectral deinit (Íñigo Huguet) [2136122]
- ath11k: Update WBM idle ring HP after FW mode on (Íñigo Huguet) [2136122]
- ath11k: WMI changes to support WCN6750 (Íñigo Huguet) [2136122]
- ath11k: Do not put HW in DBS mode for WCN6750 (Íñigo Huguet) [2136122]
- rtlwifi: btcoex: fix if == else warning (Íñigo Huguet) [2136122]
- rtw88: use the correct bit in the REG_HCI_OPT_CTRL register (Íñigo Huguet) [2136122]
- mwifiex: Add SD8997 SDIO-UART firmware (Íñigo Huguet) [2136122]
- mwifiex: Select firmware based on strapping (Íñigo Huguet) [2136122]
- rtw89: 8852c: add chip_ops related to BTC (Íñigo Huguet) [2136122]
- rtw89: 8852c: fill freq and band of RX status by PPDU report (Íñigo Huguet) [2136122]
- rtw89: 8852c: implement chip_ops::get_thermal (Íñigo Huguet) [2136122]
- rtw89: 8852c: implement chip_ops related to TX power (Íñigo Huguet) [2136122]
- rtw89: 8852c: configure default BB TX/RX path (Íñigo Huguet) [2136122]
- rtw89: add RF H2C to notify firmware (Íñigo Huguet) [2136122]
- rtw89: don't flush hci queues and send h2c if power is off (Íñigo Huguet) [2136122]
- rtw89: pci: allow to process RPP prior to TX BD (Íñigo Huguet) [2136122]
- rtw89: ps: access TX/RX rings via another registers in low power mode (Íñigo Huguet) [2136122]
- rtw89: ser: re-enable interrupt in threadfn if under_recovery (Íñigo Huguet) [2136122]
- rtw89: pci: add a separate interrupt handler for low power mode (Íñigo Huguet) [2136122]
- rtw89: pci: reclaim TX BD only if it really need (Íñigo Huguet) [2136122]
- rtw89: pci: add variant RPWM/CPWM to enter low power mode (Íñigo Huguet) [2136122]
- rtw89: pci: add variant IMR/ISR and configure functions (Íñigo Huguet) [2136122]
- bus: mhi: host: Add support for Cinterion MV32-WA/MV32-WB (Íñigo Huguet) [2136122]
- bus: mhi: host: Optimize and update MMIO register write method (Íñigo Huguet) [2136122]
- bus: mhi: host: Bail on writing register fields if read fails (Íñigo Huguet) [2136122]
- bus: mhi: host: Wait for ready state after reset (Íñigo Huguet) [2136122]
- bus: mhi: host: Add soc_reset sysfs (Íñigo Huguet) [2136122]
- bus: mhi: host: pci_generic: Sort mhi_pci_id_table based on the PID (Íñigo Huguet) [2136122]
- bus: mhi: host: Use cached values for calculating the shared write pointer (Íñigo Huguet) [2136122]
- rtw88: pci: 8821c: Disable 21ce completion timeout (Íñigo Huguet) [2136122]
- rtw88: fix uninitialized 'tim_offset' warning (Íñigo Huguet) [2136122]
- rtw89: 8852c: add help function of set channel (Íñigo Huguet) [2136122]
- rtw89: 8852c: add set channel of BB part (Íñigo Huguet) [2136122]
- rtw89: 8852c: set channel of MAC part (Íñigo Huguet) [2136122]
- rtw89: 8852c: add set channel function of RF part (Íñigo Huguet) [2136122]
- rtw89: 8852c: add HFC parameters (Íñigo Huguet) [2136122]
- rtw89: 8852c: add efuse gain offset parser (Íñigo Huguet) [2136122]
- rtw89: 8852c: support bb gain info (Íñigo Huguet) [2136122]
- rtw89: 8852c: add BB initial and reset functions (Íñigo Huguet) [2136122]
- rtw89: 8852c: phy: configure TSSI bandedge (Íñigo Huguet) [2136122]
- rtw89: 8852c: add TX power track tables (Íñigo Huguet) [2136122]
- rtw89: 8852c: add TX power by rate and limit tables (Íñigo Huguet) [2136122]
- rtw89: 8852c: add BB and RF parameters tables (Íñigo Huguet) [2136122]
- ath9k: fix QCA9561 PA bias level (Íñigo Huguet) [2136122]
- ath9k_htc: fix potential out of bounds access with invalid rxstatus->rs_keyix (Íñigo Huguet) [2136122]
- ath11k: Fix build warning without CONFIG_IPV6 (Íñigo Huguet) [2136122]
- ath11k: fix missing unlock on error in ath11k_wow_op_resume() (Íñigo Huguet) [2136122]
- ath11k: Add support for SAR (Íñigo Huguet) [2136122]
- ath11k: add support for extended wmi service bit (Íñigo Huguet) [2136122]
- rtw89: pci: correct return value handling of rtw89_write16_mdio_mask() (Íñigo Huguet) [2136122]
- rtw89: configure security CAM for V1 chip (Íñigo Huguet) [2136122]
- rtw89: add new H2C to configure security CAM via DCTL for V1 chip (Íñigo Huguet) [2136122]
- rtw89: extend H2C of CMAC control info (Íñigo Huguet) [2136122]
- rtlwifi: rtl8192cu: Fix spelling mistake "writting" -> "writing" (Íñigo Huguet) [2136122]
- rtlwifi: Fix spelling mistake "cacluated" -> "calculated" (Íñigo Huguet) [2136122]
- rtw88: 8821ce: Disable PCIe ASPM L1 for 8821CE using chip ID (Íñigo Huguet) [2136122]
- rtw88: 8821ce: add support for device ID 0xb821 (Íñigo Huguet) [2136122]
- rtw88: 8821c: fix debugfs rssi value (Íñigo Huguet) [2136122]
- rtw88: do PHY calibration while starting AP (Íñigo Huguet) [2136122]
- rtw88: 8821c: Enable TX report for management frames (Íñigo Huguet) [2136122]
- rtw88: Add update beacon flow for AP mode (Íñigo Huguet) [2136122]
- rtw88: fix incorrect frequency reported (Íñigo Huguet) [2136122]
- rtw88: add ieee80211:sta_rc_update ops (Íñigo Huguet) [2136122]
- rtw89: rtw89_ser: add const to struct state_ent and event_ent (Íñigo Huguet) [2136122]
- rtw89: 8852c: add 8852c specific BT-coexistence initial function (Íñigo Huguet) [2136122]
- rtw89: Skip useless dig gain and igi related settings for 8852C (Íñigo Huguet) [2136122]
- rtw89: 8852c: disable firmware watchdog if CPU disabled (Íñigo Huguet) [2136122]
- rtw89: reset BA CAM (Íñigo Huguet) [2136122]
- rtw89: change station scheduler setting for hardware TX mode (Íñigo Huguet) [2136122]
- rtw89: ser: configure top ERR IMR for firmware to recover (Íñigo Huguet) [2136122]
- rtw89: ser: configure C-MAC interrupt mask (Íñigo Huguet) [2136122]
- rtw89: ser: configure D-MAC interrupt mask (Íñigo Huguet) [2136122]
- rtw89: ser: fix unannotated fall-through (Íñigo Huguet) [2136122]
- ath9k: Remove unnecessary print function dev_err() (Íñigo Huguet) [2136122]
- ath11k: Fix spelling mistake "reseting" -> "resetting" (Íñigo Huguet) [2136122]
- ath9k: fix ath_get_rate_txpower() to respect the rate list end tag (Íñigo Huguet) [2136122]
- mac80211: prepare sta handling for MLO support (Íñigo Huguet) [2136122]
- mac80211: minstrel_ht: fix where rate stats are stored (fixes debugfs output) (Íñigo Huguet) [2136122]
- nl80211: show SSID for P2P_GO interfaces (Íñigo Huguet) [2136122]
- mac80211: introduce BSS color collision detection (Íñigo Huguet) [2136122]
- mac80211: Improve confusing comment around tx_info clearing (Íñigo Huguet) [2136122]
- mac80211: protect ieee80211_assign_beacon with next_beacon check (Íñigo Huguet) [2136122]
- rtl8xxxu: fill up txrate info for gen1 chips (Íñigo Huguet) [2136122]
- rtl8xxxu: feed antenna information for cfg80211 (Íñigo Huguet) [2136122]
- rtlwifi: replace usage of found with dedicated list iterator variable (Íñigo Huguet) [2136122]
- brcmfmac: Avoid keeping power to SDIO card unless WOWL is used (Íñigo Huguet) [2136122]
- mwifiex: add mutex lock for call in mwifiex_dfs_chan_sw_work_queue (Íñigo Huguet) [2136122]
- rtw89: fix misconfiguration on hw_scan channel time (Íñigo Huguet) [2136122]
- rtw89: coex: Add case for scan offload (Íñigo Huguet) [2136122]
- rtw89: packet offload handler to avoid warning (Íñigo Huguet) [2136122]
- rtw89: change idle mode condition during hw_scan (Íñigo Huguet) [2136122]
- rtw89: update ptcl_init (Íñigo Huguet) [2136122]
- rtw89: update TMAC parameters (Íñigo Huguet) [2136122]
- rtw89: initialize NAV control (Íñigo Huguet) [2136122]
- rtw89: update scheduler setting (Íñigo Huguet) [2136122]
- rtw89: 8852c: update security engine setting (Íñigo Huguet) [2136122]
- rtw89: Turn on CR protection of CMAC (Íñigo Huguet) [2136122]
- rtw89: add chip_ops::{enable,disable}_bb_rf to support v1 chip (Íñigo Huguet) [2136122]
- rtw89: update STA scheduler parameters for v1 chip (Íñigo Huguet) [2136122]
- rtw89: extend dmac_pre_init to support 8852C (Íñigo Huguet) [2136122]
- rtw89: pci: add L1 settings (Íñigo Huguet) [2136122]
- rtw89: pci: add deglitch setting (Íñigo Huguet) [2136122]
- rtw89: pci: set address info registers depends on chips (Íñigo Huguet) [2136122]
- rtw89: pci: add LTR setting for v1 chip (Íñigo Huguet) [2136122]
- rtw89: pci: refine pci pre_init function (Íñigo Huguet) [2136122]
- rtw89: pci: add pci attributes to configure operating mode (Íñigo Huguet) [2136122]
- rtw89: pci: add register definition to rtw89_pci_info to generalize pci code (Íñigo Huguet) [2136122]
- ath11k: mhi: remove unnecessary goto from ath11k_mhi_start() (Íñigo Huguet) [2136122]
- ath11k: mhi: add error handling for suspend and resume (Íñigo Huguet) [2136122]
- ath11k: mhi: remove state machine (Íñigo Huguet) [2136122]
- rtw89: handle potential uninitialized variable (Íñigo Huguet) [2136122]
- rtw89: read RX bandwidth from v1 type RX descriptor (Íñigo Huguet) [2136122]
- rtw89: support hardware generate security header (Íñigo Huguet) [2136122]
- rtw89: support variant of fill_txdesc (Íñigo Huguet) [2136122]
- rtw89: pci: support variant of fill_txaddr_info (Íñigo Huguet) [2136122]
- rtw89: add chip_info::h2c_desc_size/fill_txdesc_fwcmd to support new chips (Íñigo Huguet) [2136122]
- rtw89: disabled IGI configuration for unsupported hardware (Íñigo Huguet) [2136122]
- rtw89: packed IGI configuration flow into function for DIG feature (Íñigo Huguet) [2136122]
- rtw89: regd: update mapping table to R59-R32 (Íñigo Huguet) [2136122]
- rtw89: regd: consider 6G band (Íñigo Huguet) [2136122]
- rtw89: 8852a: update txpwr tables to HALRF_027_00_038 (Íñigo Huguet) [2136122]
- rtw89: add UK to regulation type (Íñigo Huguet) [2136122]
- rtw89: reduce export symbol number of mac size and quota (Íñigo Huguet) [2136122]
- rtw89: support FW crash simulation (Íñigo Huguet) [2136122]
- rtw89: reconstruct fw feature (Íñigo Huguet) [2136122]
- rtw89: ser: dump fw backtrace while L2 reset (Íñigo Huguet) [2136122]
- rtw89: ser: dump memory for fw payload engine while L2 reset (Íñigo Huguet) [2136122]
- rtw89: ser: control hci interrupts on/off by state (Íñigo Huguet) [2136122]
- rtw89: mac: correct decision on error status by scenario (Íñigo Huguet) [2136122]
- rtw89: mac: move table of mem base addr to common (Íñigo Huguet) [2136122]
- rtw89: ser: fix CAM leaks occurring in L2 reset (Íñigo Huguet) [2136122]
- rtw88: change idle mode condition during hw_scan (Íñigo Huguet) [2136122]
- iwlwifi: mei: Replace zero-length array with flexible-array member (Íñigo Huguet) [2136122]
- iwlwifi: fw: Replace zero-length arrays with flexible-array members (Íñigo Huguet) [2136122]
- ath11k: fix driver initialization failure with WoW unsupported hw (Íñigo Huguet) [2136122]
- ath11k: Remove unnecessary delay in ath11k_core_suspend (Íñigo Huguet) [2136122]
- ath11k: Change max no of active probe SSID and BSSID to fw capability (Íñigo Huguet) [2136122]
- ath11k: Remove core PCI references from PCI common code (Íñigo Huguet) [2136122]
- ath11k: Refactor MSI logic to support WCN6750 (Íñigo Huguet) [2136122]
- ath11k: Choose MSI config based on HW revision (Íñigo Huguet) [2136122]
- ath11k: Refactor PCI code to support WCN6750 (Íñigo Huguet) [2136122]
- ath11k: PCI changes to support WCN6750 (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add uevent support for module autoloading (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add support for suspending and resuming channels (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add support for queueing SKBs to the host (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add support for processing channel rings (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add support for reading from the host (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add support for processing command rings (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add support for handling SYS_ERR condition (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add support for handling MHI_RESET (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add support for powering down the MHI endpoint stack (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add support for powering up the MHI endpoint stack (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add support for processing MHI endpoint interrupts (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add support for managing MHI state machine (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add support for sending events to the host (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add support for ring management (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add support for managing MMIO registers (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add support for creating and destroying MHI EP devices (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add support for registering MHI endpoint client drivers (Íñigo Huguet) [2136122]
- bus: mhi: ep: Add support for registering MHI endpoint controllers (Íñigo Huguet) [2136122]
- ath9k: make is2ghz consistent in ar9003_eeprom (Íñigo Huguet) [2136122]
- ath10k: add support for MSDU IDs for USB devices (Íñigo Huguet) [2136122]
- ath11k: add support to search regdb data in board-2.bin for WCN6855 (Íñigo Huguet) [2136122]
- ath11k: store and send country code to firmware after recovery (Íñigo Huguet) [2136122]
- ath9k: add functions to get paprd rate mask (Íñigo Huguet) [2136122]
- ath9k: refactor ar9003_hw_spur_mitigate_ofdm (Íñigo Huguet) [2136122]
- ath9k: fix ar9003_get_eepmisc (Íñigo Huguet) [2136122]
- ath9k: use AR9300_MAX_CHAINS when appropriate (Íñigo Huguet) [2136122]
- ath9k: split set11nRateFlags and set11nChainSel (Íñigo Huguet) [2136122]
- ath9k: make ATH_SREV macros more consistent (Íñigo Huguet) [2136122]
- ath11k: Add peer rhash table support (Íñigo Huguet) [2136122]
- ath11k: add read variant from SMBIOS for download board data (Íñigo Huguet) [2136122]
- ath11k: add fallback board name without variant while searching board-2.bin (Íñigo Huguet) [2136122]
- ath11k: enable PLATFORM_CAP_PCIE_GLOBAL_RESET QMI host capability (Íñigo Huguet) [2136122]
- ath11k: Add hw-restart option to simulate_fw_crash (Íñigo Huguet) [2136122]
- ath11k: add synchronization operation between reconfigure of mac80211 and ath11k_base (Íñigo Huguet) [2136122]
- ath11k: add support for device recovery for QCA6390/WCN6855 (Íñigo Huguet) [2136122]
- ath11k: disable regdb support for QCA6390 (Íñigo Huguet) [2136122]
- ath11k: remove unused ATH11K_BD_IE_BOARD_EXT (Íñigo Huguet) [2136122]
- ath11k: change fw build id format in driver init log (Íñigo Huguet) [2136122]
- ath11k: Refactor the peer delete (Íñigo Huguet) [2136122]
- ath10k: Use of_device_get_match_data() helper (Íñigo Huguet) [2136122]
- ath10k: Trigger sta disconnect on hardware restart (Íñigo Huguet) [2136122]
- ath9k: Use platform_get_irq() to get the interrupt (Íñigo Huguet) [2136122]
- ath11k: support GTK rekey offload (Íñigo Huguet) [2136122]
- ath11k: support ARP and NS offload (Íñigo Huguet) [2136122]
- ath11k: purge rx pktlog when entering WoW (Íñigo Huguet) [2136122]
- ath11k: implement hardware data filter (Íñigo Huguet) [2136122]
- ath11k: Add WoW net-detect functionality (Íñigo Huguet) [2136122]
- ath11k: Add basic WoW functionalities (Íñigo Huguet) [2136122]
Resolves: rhbz#2154283, rhbz#2104470, rhbz#2138389, rhbz#2136122, rhbz#2139482

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-23 13:47:27 +00:00
Herton R. Krzesinski
1926bbc97e kernel-5.14.0-224.el9
* Thu Dec 22 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-224.el9]
- tls: strp: make sure the TCP skbs do not have overlapping data (Sabrina Dubroca) [2143700]
- selftests: tls: handful of memrnd() and length checks (Sabrina Dubroca) [2143700]
- selftests: tls: add a test for timeo vs lock (Sabrina Dubroca) [2143700]
- selftests: tls: add test for NoPad getsockopt (Sabrina Dubroca) [2143700]
- selftests: tls: add selftest variant for pad (Sabrina Dubroca) [2143700]
- selftests: tls: skip cmsg_to_pipe tests with TLS=n (Sabrina Dubroca) [2143700]
- selftests: tls: test for correct proto_ops (Sabrina Dubroca) [2143700]
- selftests: tls: test splicing decrypted records (Sabrina Dubroca) [2143700]
- selftests: tls: test splicing cmsgs (Sabrina Dubroca) [2143700]
- tls: rx: react to strparser initialization errors (Sabrina Dubroca) [2143700]
- net/tls: Use RCU API to access tls_ctx->netdev (Sabrina Dubroca) [2143700]
- tls: rx: device: don't try to copy too much on detach (Sabrina Dubroca) [2143700]
- tls: rx: device: bound the frag walk (Sabrina Dubroca) [2143700]
- net/tls: Remove redundant workqueue flush before destroy (Sabrina Dubroca) [2143700]
- net/tls: Multi-threaded calls to TX tls_dev_del (Sabrina Dubroca) [2143700]
- net/tls: Perform immediate device ctx cleanup when possible (Sabrina Dubroca) [2143700]
- tls: rx: fix the false positive warning (Sabrina Dubroca) [2143700]
- tls: strp: rename and multithread the workqueue (Sabrina Dubroca) [2143700]
- tls: rx: don't consider sock_rcvtimeo() cumulative (Sabrina Dubroca) [2143700]
- tls: rx: Fix unsigned comparison with less than zero (Sabrina Dubroca) [2143700]
- tls: rx: do not use the standard strparser (Sabrina Dubroca) [2143700]
- tls: rx: device: add input CoW helper (Sabrina Dubroca) [2143700]
- tcp: allow tls to decrypt directly from the tcp rcv queue (Sabrina Dubroca) [2143700]
- tcp: avoid indirect calls to sock_rfree (Sabrina Dubroca) [2143700]
- tls: rx: device: keep the zero copy status with offload (Sabrina Dubroca) [2143700]
- tls: rx: don't free the output in case of zero-copy (Sabrina Dubroca) [2143700]
- redhat/configs: enable CONFIG_DEBUG_NET for debug kernel (Sabrina Dubroca) [2143700]
- net: add CONFIG_DEBUG_NET (Sabrina Dubroca) [2143700]
- net: add include/net/net_debug.h (Sabrina Dubroca) [2143700]
- tls: rx: factor SW handling out of tls_rx_one_record() (Sabrina Dubroca) [2143700]
- tls: rx: wrap recv_pkt accesses in helpers (Sabrina Dubroca) [2143700]
- net/tls: Remove the context from the list in tls_device_down (Sabrina Dubroca) [2143700]
- tls: rx: release the sock lock on locking timeout (Sabrina Dubroca) [2143700]
- tls: rx: decrypt into a fresh skb (Sabrina Dubroca) [2143700]
- tls: rx: async: don't put async zc on the list (Sabrina Dubroca) [2143700]
- tls: rx: async: hold onto the input skb (Sabrina Dubroca) [2143700]
- tls: rx: async: adjust record geometry immediately (Sabrina Dubroca) [2143700]
- tls: rx: return the decrypted skb via darg (Sabrina Dubroca) [2143700]
- tls: rx: read the input skb from ctx->recv_pkt (Sabrina Dubroca) [2143700]
- tls: rx: factor out device darg update (Sabrina Dubroca) [2143700]
- tls: rx: remove the message decrypted tracking (Sabrina Dubroca) [2143700]
- tls: rx: don't keep decrypted skbs on ctx->recv_pkt (Sabrina Dubroca) [2143700]
- tls: rx: don't try to keep the skbs always on the list (Sabrina Dubroca) [2143700]
- tls: rx: allow only one reader at a time (Sabrina Dubroca) [2143700]
- net/tls: Fix race in TLS device down flow (Sabrina Dubroca) [2143700]
- net/tls: Check for errors in tls_device_init (Sabrina Dubroca) [2143700]
- tls: rx: fix the NoPad getsockopt (Sabrina Dubroca) [2143700]
- tls: rx: add counter for NoPad violations (Sabrina Dubroca) [2143700]
- tls: fix spelling of MIB (Sabrina Dubroca) [2143700]
- tls: rx: make tls_wait_data() return an recvmsg retcode (Sabrina Dubroca) [2143700]
- tls: create an internal header (Sabrina Dubroca) [2143700]
- tls: rx: coalesce exit paths in tls_decrypt_sg() (Sabrina Dubroca) [2143700]
- tls: rx: wrap decrypt params in a struct (Sabrina Dubroca) [2143700]
- tls: rx: always allocate max possible aad size for decrypt (Sabrina Dubroca) [2143700]
- strparser: pad sk_skb_cb to avoid straddling cachelines (Sabrina Dubroca) [2143700]
- tls: rx: periodically flush socket backlog (Sabrina Dubroca) [2143700]
- tls: rx: add sockopt for enabling optimistic decrypt with TLS 1.3 (Sabrina Dubroca) [2143700]
- docs: tls: document the TLS_TX_ZEROCOPY_RO (Sabrina Dubroca) [2143700]
- tls: rx: support optimistic decrypt to user buffer with TLS 1.3 (Sabrina Dubroca) [2143700]
- tls: rx: don't include tail size in data_len (Sabrina Dubroca) [2143700]
- Revert "tls: rx: move counting TlsDecryptErrors for sync" (Sabrina Dubroca) [2143700]
- tls: Rename TLS_INFO_ZC_SENDFILE to TLS_INFO_ZC_TX (Sabrina Dubroca) [2143700]
- net: tls: fix messing up lists when bpf enabled (Sabrina Dubroca) [2143700]
- tls: Add opt-in zerocopy mode of sendfile() (Sabrina Dubroca) [2143700]
- tls: Fix context leak on tls_device_down (Sabrina Dubroca) [2143700]
- tls: Skip tls_append_frag on zero copy size (Sabrina Dubroca) [2143700]
- net: tls: fix async vs NIC crypto offload (Sabrina Dubroca) [2143700]
- tls: rx: only copy IV from the packet for TLS 1.2 (Sabrina Dubroca) [2143700]
- tls: rx: use MAX_IV_SIZE for allocations (Sabrina Dubroca) [2143700]
- tls: rx: use async as an in-out argument (Sabrina Dubroca) [2143700]
- tls: rx: return the already-copied data on crypto error (Sabrina Dubroca) [2143700]
- tls: rx: treat process_rx_list() errors as transient (Sabrina Dubroca) [2143700]
- tls: rx: assume crypto always calls our callback (Sabrina Dubroca) [2143700]
- tls: rx: don't handle TLS 1.3 in the async crypto callback (Sabrina Dubroca) [2143700]
- tls: rx: move counting TlsDecryptErrors for sync (Sabrina Dubroca) [2143700]
- tls: rx: reuse leave_on_list label for psock (Sabrina Dubroca) [2143700]
- tls: rx: consistently use unlocked accessors for rx_list (Sabrina Dubroca) [2143700]
- tls: rx: jump out for cases which need to leave skb on list (Sabrina Dubroca) [2143700]
- tls: rx: clear ctx->recv_pkt earlier (Sabrina Dubroca) [2143700]
- tls: rx: inline consuming the skb at the end of the loop (Sabrina Dubroca) [2143700]
- tls: rx: pull most of zc check out of the loop (Sabrina Dubroca) [2143700]
- tls: rx: don't track the async count (Sabrina Dubroca) [2143700]
- tls: rx: don't handle async in tls_sw_advance_skb() (Sabrina Dubroca) [2143700]
- tls: rx: factor out writing ContentType to cmsg (Sabrina Dubroca) [2143700]
- tls: rx: simplify async wait (Sabrina Dubroca) [2143700]
- tls: rx: wrap decryption arguments in a structure (Sabrina Dubroca) [2143700]
- tls: rx: don't report text length from the bowels of decrypt (Sabrina Dubroca) [2143700]
- tls: rx: drop unnecessary arguments from tls_setup_from_iter() (Sabrina Dubroca) [2143700]
- tls: hw: rx: use return value of tls_device_decrypted() to carry status (Sabrina Dubroca) [2143700]
- tls: rx: refactor decrypt_skb_update() (Sabrina Dubroca) [2143700]
- tls: rx: don't issue wake ups when data is decrypted (Sabrina Dubroca) [2143700]
- tls: rx: replace 'back' with 'offset' (Sabrina Dubroca) [2143700]
- tls: rx: use a define for tag length (Sabrina Dubroca) [2143700]
- tls: rx: init decrypted status in tls_read_size() (Sabrina Dubroca) [2143700]
- tls: rx: don't store the decryption status in socket context (Sabrina Dubroca) [2143700]
- tls: rx: don't store the record type in socket context (Sabrina Dubroca) [2143700]
- tls: rx: drop pointless else after goto (Sabrina Dubroca) [2143700]
- tls: rx: jump to a more appropriate label (Sabrina Dubroca) [2143700]
- net/tls: optimize judgement processes in tls_set_device_offload() (Sabrina Dubroca) [2143700]
- net/tls: remove unnecessary jump instructions in do_tls_setsockopt_conf() (Sabrina Dubroca) [2143700]
- net/tls: Provide {__,}tls_driver_ctx() unconditionally (Sabrina Dubroca) [2143700]
- net/tls: simplify the tls_set_sw_offload function (Sabrina Dubroca) [2143700]
- net/tls: getsockopt supports complete algorithm list (Sabrina Dubroca) [2143700]
- net/tls: tls_crypto_context add supported algorithms context (Sabrina Dubroca) [2143700]
- net/tls: support SM4 CCM algorithm (Sabrina Dubroca) [2143700]
- net/tls: support SM4 GCM/CCM algorithm (Sabrina Dubroca) [2143700]
Resolves: rhbz#2143700

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-22 21:19:17 +00:00
Herton R. Krzesinski
6f91dc1a10 kernel-5.14.0-220.el9
* Wed Dec 21 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-220.el9]
- firmware_loader: Fix memory leak in firmware upload (Mark Langsdorf) [2122318]
- firmware_loader: Fix use-after-free during unregister (Mark Langsdorf) [2122318]
- firmware_loader: Replace kmap() with kmap_local_page() (Mark Langsdorf) [2122318]
- firmware_loader: describe 'module' parameter of firmware_upload_register() (Mark Langsdorf) [2122318]
- firmware_loader: Move definitions from sysfs_upload.h to sysfs.h (Mark Langsdorf) [2122318]
- firmware_loader: Add sysfs nodes to monitor fw_upload (Mark Langsdorf) [2122318]
- redhat/configs: enable CONFIG_FW_UPLOAD (Mark Langsdorf) [2122318]
- firmware_loader: Add firmware-upload support (Mark Langsdorf) [2122318]
- firmware_loader: Split sysfs support from fallback (Mark Langsdorf) [2122318]
- PM / devfreq: Fix kernel warning with cpufreq passive register fail (Mark Langsdorf) [2122318]
- PM / devfreq: Fix cpufreq passive unregister erroring on PROBE_DEFER (Mark Langsdorf) [2122318]
- PM / devfreq: Mute warning on governor PROBE_DEFER (Mark Langsdorf) [2122318]
- PM / devfreq: Fix kernel panic with cpu based scaling to passive gov (Mark Langsdorf) [2122318]
- of: base: Avoid console probe delay when fw_devlink.strict=1 (Mark Langsdorf) [2122318]
- driver core: Set default deferred_probe_timeout back to 0. (Mark Langsdorf) [2122318]
- PM / devfreq: passive: revert an editing accident in SPDX-License line (Mark Langsdorf) [2122318]
- drm/rockchip: Detach from ARM DMA domain in attach_device (Mark Langsdorf) [2122318]
- serial: 8250: Fix PM usage_count for console handover (Mark Langsdorf) [2122318]
- arch_topology: Make cluster topology span at least SMT CPUs (Mark Langsdorf) [2122318]
- driver core: fix driver_set_override() issue with empty strings (Mark Langsdorf) [2122318]
- arch_topology: Silence early cacheinfo errors when non-existent (Mark Langsdorf) [2122318]
- driver core: Don't probe devices after bus_type.match() probe deferral (Mark Langsdorf) [2122318]
- regmap: spi: Reserve space for register address/padding (Mark Langsdorf) [2122318]
- arch_topology: Fix cache attributes detection in the CPU hotplug path (Mark Langsdorf) [2122318]
- cacheinfo: Use atomic allocation for percpu cache attributes (Mark Langsdorf) [2122318]
- regmap: permit to set reg_update_bits with bulk implementation (Mark Langsdorf) [2122318]
- PM: domains: Ensure genpd_debugfs_dir exists before remove (Mark Langsdorf) [2122318]
- PM: runtime: Extend support for wakeirq for force_suspend|resume (Mark Langsdorf) [2122318]
- regmap: add WARN_ONCE when invalid mask is provided to regmap_field_init() (Mark Langsdorf) [2122318]
- PM: wakeup: Unify device_init_wakeup() for PM_SLEEP and !PM_SLEEP (Mark Langsdorf) [2122318]
- regmap-irq: Fix bug in regmap_irq_get_irq_reg_linear() (Mark Langsdorf) [2122318]
- arch_topology: Warn that topology for nested clusters is not supported (Mark Langsdorf) [2122318]
- arch_topology: Add support for parsing sockets in /cpu-map (Mark Langsdorf) [2122318]
- arch_topology: Set cluster identifier in each core/thread from /cpu-map (Mark Langsdorf) [2122318]
- arch_topology: Limit span of cpu_clustergroup_mask() (Mark Langsdorf) [2122318]
- arch_topology: Don't set cluster identifier as physical package identifier (Mark Langsdorf) [2122318]
- arch_topology: Avoid parsing through all the CPUs once a outlier CPU is found (Mark Langsdorf) [2122318]
- arch_topology: Check for non-negative value rather than -1 for IDs validity (Mark Langsdorf) [2122318]
- arch_topology: Set thread sibling cpumask only within the cluster (Mark Langsdorf) [2122318]
- arch_topology: Drop LLC identifier stash from the CPU topology (Mark Langsdorf) [2122318]
- arch_topology: Use the last level cache information from the cacheinfo (Mark Langsdorf) [2122318]
- arch_topology: Add support to parse and detect cache attributes (Mark Langsdorf) [2122318]
- cacheinfo: Align checks in cache_shared_cpu_map_{setup,remove} for readability (Mark Langsdorf) [2122318]
- cacheinfo: Use cache identifiers to check if the caches are shared if available (Mark Langsdorf) [2122318]
- cacheinfo: Allow early detection and population of cache attributes (Mark Langsdorf) [2122318]
- cacheinfo: Add support to check if last level cache(LLC) is valid or shared (Mark Langsdorf) [2122318]
- cacheinfo: Move cache_leaves_are_shared out of CONFIG_OF (Mark Langsdorf) [2122318]
- cacheinfo: Add helper to access any cache index for a given CPU (Mark Langsdorf) [2122318]
- cacheinfo: Use of_cpu_device_node_get instead cpu_dev->of_node (Mark Langsdorf) [2122318]
- arm64: topology: Remove redundant setting of llc_id in CPU topology (Mark Langsdorf) [2122318]
- PM: runtime: Fix supplier device management during consumer probe (Mark Langsdorf) [2122318]
- PM: runtime: Redefine pm_runtime_release_supplier() (Mark Langsdorf) [2122318]
- regmap: cache: Add extra parameter check in regcache_init (Mark Langsdorf) [2122318]
- regmap-irq: Deprecate the not_fixed_stride flag (Mark Langsdorf) [2122318]
- regmap-irq: Add get_irq_reg() callback (Mark Langsdorf) [2122318]
- regmap-irq: Fix inverted handling of unmask registers (Mark Langsdorf) [2122318]
- regmap-irq: Deprecate type registers and virtual registers (Mark Langsdorf) [2122318]
- regmap-irq: Introduce config registers for irq types (Mark Langsdorf) [2122318]
- regmap-irq: Refactor checks for status bulk read support (Mark Langsdorf) [2122318]
- regmap-irq: Remove mask_writeonly and regmap_irq_update_bits() (Mark Langsdorf) [2122318]
- regmap-irq: Remove inappropriate uses of regmap_irq_update_bits() (Mark Langsdorf) [2122318]
- regmap-irq: Remove an unnecessary restriction on type_in_mask (Mark Langsdorf) [2122318]
- regmap-irq: Cleanup sizeof(...) use in memory allocation (Mark Langsdorf) [2122318]
- regmap-irq: Remove unused type_reg_stride field (Mark Langsdorf) [2122318]
- regmap-irq: Convert bool bitfields to unsigned int (Mark Langsdorf) [2122318]
- driver core: fw_devlink: Allow firmware to mark devices as best effort (Mark Langsdorf) [2122318]
- driver core: fix potential deadlock in __driver_attach (Mark Langsdorf) [2122318]
- devtmpfs: fix the dangling pointer of global devtmpfsd thread (Mark Langsdorf) [2122318]
- regmap: Don't warn about cache only mode for devices with no cache (Mark Langsdorf) [2122318]
- MAINTAINERS: Add new IOMMU development mailing list (Mark Langsdorf) [2122318]
- regmap-irq: Fix offset/index mismatch in read_sub_irq_data() (Mark Langsdorf) [2122318]
- regmap-irq: Fix a bug in regmap_irq_enable() for type_in_mask chips (Mark Langsdorf) [2122318]
- regmap: Wire up regmap_config provided bulk write in missed functions (Mark Langsdorf) [2122318]
- regmap: Make regmap_noinc_read() return -ENOTSUPP if map->read isn't set (Mark Langsdorf) [2122318]
- regmap: Re-introduce bulk read support check in regmap_bulk_read() (Mark Langsdorf) [2122318]
- init: Initialize noop_backing_dev_info early (Mark Langsdorf) [2122318]
- regmap: provide regmap_field helpers for simple bit operations (Mark Langsdorf) [2122318]
- driver core: Introduce device_find_any_child() helper (Mark Langsdorf) [2122318]
- driver core: Add wait_for_init_devices_probe helper function (Mark Langsdorf) [2122318]
- pinctrl: devicetree: Delete usage of driver_deferred_probe_check_state() (Mark Langsdorf) [2122318]
- regmap: cache: Fix syntax errors in comments (Mark Langsdorf) [2122318]
- firmware_loader: enable XZ by default if compressed support is enabled (Mark Langsdorf) [2122318]
- driver core: Fix wait_for_device_probe() & deferred_probe_timeout interaction (Mark Langsdorf) [2122318]
- PM: domains: Trust domain-idle-states from DT to be correct by genpd (Mark Langsdorf) [2122318]
- PM: domains: Measure power-on/off latencies in genpd based on a governor (Mark Langsdorf) [2122318]
- PM: domains: Allocate governor data dynamically based on a genpd governor (Mark Langsdorf) [2122318]
- PM: domains: Clean up some code in pm_genpd_init() and genpd_remove() (Mark Langsdorf) [2122318]
- PM: domains: Fix initialization of genpd's next_wakeup (Mark Langsdorf) [2122318]
- PM: domains: Fixup QoS latency measurements for IRQ safe devices in genpd (Mark Langsdorf) [2122318]
- PM: domains: Measure suspend/resume latencies in genpd based on governor (Mark Langsdorf) [2122318]
- PM: domains: Move the next_wakeup variable into the struct gpd_timing_data (Mark Langsdorf) [2122318]
- PM: domains: Allocate gpd_timing_data dynamically based on governor (Mark Langsdorf) [2122318]
- PM: domains: Skip another warning in irq_safe_dev_in_sleep_domain() (Mark Langsdorf) [2122318]
- PM: domains: Rename irq_safe_dev_in_no_sleep_domain() in genpd (Mark Langsdorf) [2122318]
- PM: domains: Don't check PM_QOS_FLAG_NO_POWER_OFF in genpd (Mark Langsdorf) [2122318]
- PM: domains: Drop redundant code for genpd always-on governor (Mark Langsdorf) [2122318]
- PM: domains: Add GENPD_FLAG_RPM_ALWAYS_ON for the always-on governor (Mark Langsdorf) [2122318]
- PM: wakeup: expose pm_wakeup_pending to modules (Mark Langsdorf) [2122318]
- driver core: fix deadlock in __device_attach (Mark Langsdorf) [2122318]
- driver core: Extend deferred probe timeout on driver registration (Mark Langsdorf) [2122318]
- driver: base: fix UAF when driver_attach failed (Mark Langsdorf) [2122318]
- driver core: location: Add "back" as a possible output for panel (Mark Langsdorf) [2122318]
- driver core: location: Free struct acpi_pld_info *pld (Mark Langsdorf) [2122318]
- driver core: Add "*" wildcard support to driver_async_probe cmdline param (Mark Langsdorf) [2122318]
- PM / devfreq: passive: Keep cpufreq_policy for possible cpus (Mark Langsdorf) [2122318]
- PM / devfreq: passive: Reduce duplicate code when passive_devfreq case (Mark Langsdorf) [2122318]
- PM / devfreq: Add cpu based scaling support to passive governor (Mark Langsdorf) [2122318]
- PM / devfreq: Export devfreq_get_freq_range symbol within devfreq (Mark Langsdorf) [2122318]
- iommu/arm-smmu: Force identity domains for legacy binding (Mark Langsdorf) [2122318]
- regmap: Add missing map->bus check (Mark Langsdorf) [2122318]
- driver core: location: Check for allocations failure (Mark Langsdorf) [2122318]
- arch_topology: Trace the update thermal pressure (Mark Langsdorf) [2122318]
- regmap: Add bulk read/write callbacks into regmap_config (Mark Langsdorf) [2122318]
- drivers/base/memory: fix an unlikely reference counting issue in __add_memory_block() (Mark Langsdorf) [2122318]
- driver core: Add sysfs support for physical location of a device (Mark Langsdorf) [2122318]
- platform: finally disallow IRQ0 in platform_get_irq() and its ilk (Mark Langsdorf) [2122318]
- drivers/base/node.c: fix compaction sysfs file leak (Mark Langsdorf) [2122318]
- driver core: Prevent overriding async driver of a device before it probe (Mark Langsdorf) [2122318]
- Documentation: dd: Use ReST lists for return values of driver_deferred_probe_check_state() (Mark Langsdorf) [2122318]
- regmap: cache: set max_register with reg_stride (Mark Langsdorf) [2122318]
- firmware_loader: Check fw_state_is_done in loading_store (Mark Langsdorf) [2122318]
- firmware_loader: Clear data and size in fw_free_paged_buf (Mark Langsdorf) [2122318]
- driver: platform: Add helper for safer setting of driver_override (Mark Langsdorf) [2122318]
- PM: domains: Move genpd's time-accounting to ktime_get_mono_fast_ns() (Mark Langsdorf) [2122318]
- redhat/configs: Enable XZ and ZSTD compress firmware loading (Mark Langsdorf) [2122318]
- firmware: Add the support for ZSTD-compressed firmware files (Mark Langsdorf) [2122318]
- PM: runtime: Allow to call __pm_runtime_set_status() from atomic context (Mark Langsdorf) [2122318]
- PM: runtime: Avoid device usage count underflows (Mark Langsdorf) [2122318]
- PM: domains: Extend dev_pm_domain_detach() doc (Mark Langsdorf) [2122318]
- regmap: Constify static regmap_bus structs (Mark Langsdorf) [2122318]
Resolves: rhbz#2122318

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-21 15:16:36 +00:00
Herton R. Krzesinski
182f10835d kernel-5.14.0-219.el9
* Tue Dec 20 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-219.el9]
- i2c: ismt: Fix an out-of-bounds bug in ismt_access() (David Arcari) [2119067] {CVE-2022-2873}
- redhat/configs: Enable CONFIG_CRYPTO_CURVE25519 (Prarit Bhargava) [2030750]
- x86/fpu: Drop fpregs lock before inheriting FPU permissions (Valentin Schneider) [2153181]
- vmxnet3: use correct intrConf reference when using extended queues (Izabela Bakollari) [2150922]
- vmxnet3: correctly report encapsulated LRO packet (Izabela Bakollari) [2150922]
- net: move from strlcpy with unused retval to strscpy (Izabela Bakollari) [2150922]
- vmxnet3: Implement ethtool's get_channels command (Izabela Bakollari) [2150922]
- vmxnet3: Record queue number to incoming packets (Izabela Bakollari) [2150922]
- powerpc/rtas: Allow ibm,platform-dump RTAS call with null buffer address (Mamatha Inamdar) [2095499]
- kernfs: remove redundant kernfs_rwsem declaration. (Luis Claudio R. Goncalves) [2152737]
- kernfs: fix potential NULL dereference in __kernfs_remove (Luis Claudio R. Goncalves) [2152737]
- kernfs: fix NULL dereferencing in kernfs_remove (Luis Claudio R. Goncalves) [2152737]
- kernfs: prevent early freeing of root node (Luis Claudio R. Goncalves) [2152737]
- kernfs: switch global kernfs_rwsem lock to per-fs lock (Luis Claudio R. Goncalves) [2152737]
- tracing: Use a copy of the va_list for __assign_vstr() (Íñigo Huguet) [2143357]
- tracing/events: Add __vstring() and __assign_vstr() helper macros (Íñigo Huguet) [2143357]
- kunit/memcpy: Avoid pathological compile-time string size (Josef Oskera) [2139493]
- mips: boot/compressed: use __NO_FORTIFY (Josef Oskera) [2139493]
- fortify: Fix __compiletime_strlen() under UBSAN_BOUNDS_LOCAL (Josef Oskera) [2139493]
- string: Introduce strtomem() and strtomem_pad() (Josef Oskera) [2139493]
- fortify: Provide a memcpy trap door for sharp corners (Josef Oskera) [2139493]
- fortify: Add Clang support (Josef Oskera) [2139493]
- fortify: Make sure strlen() may still be used as a constant expression (Josef Oskera) [2139493]
- fortify: Use __diagnose_as() for better diagnostic coverage (Josef Oskera) [2139493]
- fortify: Make pointer arguments const (Josef Oskera) [2139493]
- Compiler Attributes: Add __diagnose_as for Clang (Josef Oskera) [2139493]
- Compiler Attributes: Add __overloadable for Clang (Josef Oskera) [2139493]
- fortify: Update compile-time tests for Clang 14 (Josef Oskera) [2139493]
- fortify: Replace open-coded __gnu_inline attribute (Josef Oskera) [2139493]
- fortify: Detect struct member overflows in memset() at compile-time (Josef Oskera) [2139493]
- fortify: Detect struct member overflows in memmove() at compile-time (Josef Oskera) [2139493]
- fortify: Detect struct member overflows in memcpy() at compile-time (Josef Oskera) [2139493]
- Compiler Attributes: Add __pass_object_size for Clang (Josef Oskera) [2139493]
- lib/string_helpers: Introduce kasprintf_strarray() (Josef Oskera) [2139493]
- string: uninline memcpy_and_pad (Josef Oskera) [2139493]
- fortify: strlen: Avoid shadowing previous locals (Josef Oskera) [2139493]
- fortify: Add compile-time FORTIFY_SOURCE tests (Josef Oskera) [2139493]
- fortify: Allow strlen() and strnlen() to pass compile-time known lengths (Josef Oskera) [2139493]
- fortify: Prepare to improve strnlen() and strlen() warnings (Josef Oskera) [2139493]
- fortify: Fix dropped strcpy() compile-time write overflow check (Josef Oskera) [2139493]
- fortify: Explicitly disable Clang support (Josef Oskera) [2139493]
- fortify: Move remaining fortify helpers into fortify-string.h (Josef Oskera) [2139493]
- lib/string: Move helper functions out of string.c (Josef Oskera) [2139493]
- Redo missing uapi/linux/stddef.h: Add include guards (Patrick Talbert) [2132632]
Resolves: rhbz#2119067, rhbz#2030750, rhbz#2153181, rhbz#2150922, rhbz#2095499, rhbz#2152737, rhbz#2143357, rhbz#2139493, rhbz#2132632

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-20 18:20:10 +00:00
Herton R. Krzesinski
8805416fda kernel-5.14.0-215.el9
* Thu Dec 15 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-215.el9]
- scsi: storvsc: Fix handling of srb_status and capacity change events (Mohammed Gamal) [2149711]
- redhat/Makefile: Drop message about BUILDID deprecation (Veronika Kabatova)
- pinctrl: amd: Don't save/restore interrupt status and wake status bits (David Arcari) [2130060]
- clocksource/drivers/hyperv: add data structure for reference TSC MSR (Mohammed Gamal) [2139455]
- x86/hyperv: Restore VP assist page after cpu offlining/onlining (Mohammed Gamal) [2139455]
- x86/hyperv: fix invalid writes to MSRs during root partition kexec (Mohammed Gamal) [2139455]
- x86/hyperv: Remove BUG_ON() for kmap_local_page() (Mohammed Gamal) [2139455]
- x86/hyperv: Disable hardlockup detector by default in Hyper-V guests (Mohammed Gamal) [2139455]
- x86/hyperv: fix for unwanted manipulation of sched_clock when TSC marked unstable (Mohammed Gamal) [2139455]
- x86/hyperv: Update 'struct hv_enlightened_vmcs' definition (Mohammed Gamal) [2139455]
- x86/hyperv: Fix 'struct hv_enlightened_vmcs' definition (Mohammed Gamal) [2139455]
- x86/hyperv: Replace kmap() with kmap_local_page() (Mohammed Gamal) [2139455]
- hyperv: simplify and rename generate_guest_id (Mohammed Gamal) [2139455]
- PCI: hv: Only reuse existing IRTE allocation for Multi-MSI (Mohammed Gamal) [2139460]
- PCI: hv: Fix the definition of vector in hv_compose_msi_msg() (Mohammed Gamal) [2139460]
- PCI: hv: Take a const cpumask in hv_compose_msi_req_get_cpu() (Mohammed Gamal) [2139460]
- PCI: hv: Fix synchronization between channel callback and hv_pci_bus_exit() (Mohammed Gamal) [2139460]
- PCI: hv: Add validation for untrusted Hyper-V values (Mohammed Gamal) [2139460]
- PCI: hv: Fix interrupt mapping for multi-MSI (Mohammed Gamal) [2139460]
- PCI: hv: Reuse existing IRTE allocation in compose_msi_msg() (Mohammed Gamal) [2139460]
- PCI: hv: Fix hv_arch_irq_unmask() for multi-MSI (Mohammed Gamal) [2139460]
- PCI: hv: Fix synchronization between channel callback and hv_compose_msi_msg() (Mohammed Gamal) [2139460]
- PCI: hv: Use vmbus_requestor to generate transaction IDs for VMbus hardening (Mohammed Gamal) [2139460]
- PCI: hv: Fix multi-MSI to allow more than one MSI vector (Mohammed Gamal) [2139460]
- Drivers: hv: vmbus: fix possible memory leak in vmbus_device_register() (Mohammed Gamal) [2139472]
- Drivers: hv: vmbus: fix double free in the error path of vmbus_add_channel_work() (Mohammed Gamal) [2139472]
- Drivers: hv: fix repeated words in comments (Mohammed Gamal) [2139472]
- Drivers: hv: vmbus: Split memcpy of flex-array (Mohammed Gamal) [2139472]
- Drivers: hv: vmbus: Don't wait for the ACPI device upon initialization (Mohammed Gamal) [2139472]
- Drivers: hv: vmbus: Use PCI_VENDOR_ID_MICROSOFT for better discoverability (Mohammed Gamal) [2139472]
- Drivers: hv: vmbus: Fix kernel-doc (Mohammed Gamal) [2139472]
- Drivers: hv: vmbus: Optimize vmbus_on_event (Mohammed Gamal) [2139472]
- Drivers: hv: Never allocate anything besides framebuffer from framebuffer memory region (Mohammed Gamal) [2139472]
- Drivers: hv: Always reserve framebuffer region for Gen1 VMs (Mohammed Gamal) [2139472]
- Drivers: hv: remove duplicate word in a comment (Mohammed Gamal) [2139472]
- Drivers: hv: Create debugfs file with hyper-v balloon usage information (Mohammed Gamal) [2139472]
- Drivers: hv: vm_bus: Handle vmbus rescind calls after vmbus is suspended (Mohammed Gamal) [2139472]
- Drivers: hv: vmbus: Release cpu lock in error case (Mohammed Gamal) [2139472]
- Drivers: hv: Fix syntax errors in comments (Mohammed Gamal) [2139472]
- Drivers: hv: vmbus: Don't assign VMbus channel interrupts to isolated CPUs (Mohammed Gamal) [2139472]
- tcp: Fix build break when CONFIG_IPV6=n (Jamie Bainbridge) [2143850]
- tcp: annotate data-race around queue->synflood_warned (Jamie Bainbridge) [2143850]
- tcp: Add listening address to SYN flood message (Jamie Bainbridge) [2143850]
- tcp: Fix data-races around sysctl_tcp_syncookies. (Jamie Bainbridge) [2143850]
- redhat/configs: enable CONFIG_GNSS for RHEL (Michal Schmidt) [2136119]
- gnss: replace ida_simple API (Michal Schmidt) [2136119]
Resolves: rhbz#2149711, rhbz#2130060, rhbz#2139455, rhbz#2139460, rhbz#2139472, rhbz#2143850, rhbz#2136119

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-15 19:55:52 +00:00
Herton R. Krzesinski
fe7fe048f5 kernel-5.14.0-214.el9
* Wed Dec 14 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-214.el9]
- sfc: move unmaintained message to sfc_siena (Íñigo Huguet) [2112206 2139802]
- sfc/siena: add entries for new CONFIG_SFC_SIENA* configs (Íñigo Huguet) [2112206 2139802]
- sfc: Fix an error handling path in efx_pci_probe() (Íñigo Huguet) [2112206]
- sfc: support PTP over Ethernet (Íñigo Huguet) [2112206 2139802]
- sfc: support PTP over IPv6/UDP (Íñigo Huguet) [2112206 2139802]
- sfc: allow more flexible way of adding filters for PTP (Íñigo Huguet) [2112206 2139802]
- sfc: correct filter_table_remove method for EF10 PFs (Íñigo Huguet) [2112206]
- sfc/siena: fix null pointer dereference in efx_hard_start_xmit (Íñigo Huguet) [2112206]
- sfc/siena: fix TX channel offset when using legacy interrupts (Íñigo Huguet) [2112206]
- sfc: implement ethtool get/set RX ring size for EF100 reps (Íñigo Huguet) [2112206]
- sfc: use a dynamic m-port for representor RX and set it promisc (Íñigo Huguet) [2112206]
- sfc: move table locking into filter_table_{probe,remove} methods (Íñigo Huguet) [2112206]
- sfc: insert default MAE rules to connect VFs to representors (Íñigo Huguet) [2112206]
- sfc: receive packets from EF100 VFs into representors (Íñigo Huguet) [2112206]
- sfc: check ef100 RX packets are from the wire (Íñigo Huguet) [2112206]
- sfc: determine wire m-port at EF100 PF probe time (Íñigo Huguet) [2112206]
- sfc: ef100 representor RX top half (Íñigo Huguet) [2112206]
- sfc: ef100 representor RX NAPI poll (Íñigo Huguet) [2112206]
- sfc: plumb ef100 representor stats (Íñigo Huguet) [2112206]
- sfc: disable softirqs for ptp TX (Íñigo Huguet) [2112206]
- sfc/siena: fix repeated words in comments (Íñigo Huguet) [2112206]
- sfc: attach/detach EF100 representors along with their owning PF (Íñigo Huguet) [2112206]
- sfc: hook up ef100 representor TX (Íñigo Huguet) [2112206]
- sfc: support passing a representor to the EF100 TX path (Íñigo Huguet) [2112206]
- sfc: determine representee m-port for EF100 representors (Íñigo Huguet) [2112206]
- sfc: phys port/switch identification for ef100 reps (Íñigo Huguet) [2112206]
- sfc: add basic ethtool ops to ef100 reps (Íñigo Huguet) [2112206]
- sfc: add skeleton ef100 VF representors (Íñigo Huguet) [2112206]
- sfc: detect ef100 MAE admin privilege/capability at probe time (Íñigo Huguet) [2112206]
- sfc: update EF100 register descriptions (Íñigo Huguet) [2112206]
- sfc: update MCDI protocol headers (Íñigo Huguet) [2112206]
- sfc/siena: Use the bitmap API to allocate bitmaps (Íñigo Huguet) [2112206]
- sfc: Separate netdev probe/remove from PCI probe/remove (Íñigo Huguet) [2112206]
- sfc: replace function name in string with __func__ (Íñigo Huguet) [2112206]
- sfc: Unsplit literal string. (Íñigo Huguet) [2112206]
- sfc: Move EF100 efx_nic_type structs to the end of the file (Íñigo Huguet) [2112206]
- sfc: Separate efx_nic memory from net_device memory (Íñigo Huguet) [2112206]
- sfc: Encapsulate access to netdev_priv() (Íñigo Huguet) [2112206]
- sfc: Change BUG_ON to WARN_ON and recovery code. (Íñigo Huguet) [2112206]
- sfc: Remove netdev init from efx_init_struct (Íñigo Huguet) [2112206]
- sfc: Add a PROBED state for EF100 VDPA use. (Íñigo Huguet) [2112206]
- sfc: Split STATE_READY in to STATE_NET_DOWN and STATE_NET_UP. (Íñigo Huguet) [2112206]
- sfc: fix repeated words in comments (Íñigo Huguet) [2112206]
- sfc: siena: fix repeated words in comments (Íñigo Huguet) [2112206]
- sfc/siena: Fix typo in comment (Íñigo Huguet) [2112206]
- sfc: Fix typo in comment (Íñigo Huguet) [2112206]
- sfc/siena: fix wrong tx channel offset with efx_separate_tx_channels (Íñigo Huguet) [2112206]
- sfc/siena: fix considering that all channels have TX queues (Íñigo Huguet) [2112206]
- sfc/siena: Remove duplicate check on segments (Íñigo Huguet) [2112206]
- sfc: siena: Have a unique wrapper ifndef for efx channels header (Íñigo Huguet) [2112206]
- eth: sfc: remove remnants of the out-of-tree napi_weight module param (Íñigo Huguet) [2112206]
- sfc: siena: Fix Kconfig dependencies (Íñigo Huguet) [2112206]
- sfc/siena: Reinstate SRIOV init/fini function calls (Íñigo Huguet) [2112206]
- sfc/siena: Make PTP and reset support specific for Siena (Íñigo Huguet) [2112206]
- sfc/siena: Make MCDI logging support specific for Siena (Íñigo Huguet) [2112206]
- siena: Make HWMON support specific for Siena (Íñigo Huguet) [2112206]
- siena: Make SRIOV support specific for Siena (Íñigo Huguet) [2112206]
- siena: Make MTD support specific for Siena (Íñigo Huguet) [2112206]
- sfc: Add a basic Siena module (Íñigo Huguet) [2112206]
- sfc/siena: Inline functions in sriov.h to avoid conflicts with sfc (Íñigo Huguet) [2112206]
- sfc/siena: Rename functions in nic_common.h to avoid conflicts with sfc (Íñigo Huguet) [2112206]
- sfc/siena: Rename functions in mcdi headers to avoid conflicts with sfc (Íñigo Huguet) [2112206]
- sfc/siena: Rename peripheral functions to avoid conflicts with sfc (Íñigo Huguet) [2112206]
- sfc/siena: Rename RX/TX functions to avoid conflicts with sfc (Íñigo Huguet) [2112206]
- sfc/siena: Rename functions in efx headers to avoid conflicts with sfc (Íñigo Huguet) [2112206]
- sfc/siena: Remove build references to missing functionality (Íñigo Huguet) [2112206]
- sfc: Copy shared files needed for Siena (part 2) (Íñigo Huguet) [2112206]
- sfc: Copy shared files needed for Siena (part 1) (Íñigo Huguet) [2112206]
- sfc: Move Siena specific files (Íñigo Huguet) [2112206]
- sfc: Copy a subset of mcdi_pcol.h to siena (Íñigo Huguet) [2112206]
- sfc: Disable Siena support (Íñigo Huguet) [2112206]
- sfc: add EF100 VF support via a write to sriov_numvfs (Íñigo Huguet) [2112206]
- sfc: Remove global definition of efx_reset_type_names (Íñigo Huguet) [2112206]
- sfc: Remove duplicate definition of efx_xmit_done (Íñigo Huguet) [2112206]
- sfc: efx_default_channel_type APIs can be static (Íñigo Huguet) [2112206]
- sfc: Fix spelling mistake "writting" -> "writing" (Íñigo Huguet) [2112206]
- sfc: ef10: Fix assigning negative value to unsigned variable (Íñigo Huguet) [2112206]
- sfc: use hardware tx timestamps for more than PTP (Íñigo Huguet) [2112206 2139802]
- drm/vc4: hdmi: Fix build error for implicit function declaration (Adrien Thierry) [2138240]
- drm/vc4: Use pm_runtime_resume_and_get to fix pm_runtime_get_sync() usage (Adrien Thierry) [2138240]
- drm/vc4: Fix build error when CONFIG_DRM_VC4=y && CONFIG_RASPBERRYPI_FIRMWARE=m (Adrien Thierry) [2138240]
- drm/vc4: Make use of the helper component_compare_dev (Adrien Thierry) [2138240]
- drm/vc4: Use drm_mode_copy() (Adrien Thierry) [2138240]
- drm/vc4: crtc: Fix runtime_pm reference counting (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Unregister codec device on unbind (Adrien Thierry) [2138240]
- drm/vc4: Use of_device_get_match_data() (Adrien Thierry) [2138240]
- drm/vc4: crtc: Fix redundant variable assignment (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Allow DBLCLK modes even if horz timing is odd. (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Don't try disabling SCDC on Pi0-3. (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Ensure we don't use 2711 HPD registers on Pi0-3 (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Simplify the connector state retrieval (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Change CSC callback prototype (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Define colorspace matrices (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Replace CSC_CTL hardcoded value by defines (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Move XBAR setup to csc_setup (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Use full range helper in csc functions (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Add full range RGB helper (Adrien Thierry) [2138240]
- drm/edid: Rename drm_hdmi_avi_infoframe_colorspace to _colorimetry (Adrien Thierry) [2138240]
- drm/vc4: Fix deadlock on DSI device attach error (Adrien Thierry) [2138240]
- drm/vc4: remove redundant assignment of variable size (Adrien Thierry) [2138240]
- drm/vc4: Notify the firmware when DRM is in charge (Adrien Thierry) [2138240]
- drm/vc4: Remove conflicting framebuffers before callind bind_all (Adrien Thierry) [2138240]
- drm/vc4: Support nomodeset (Adrien Thierry) [2138240]
- firmware: raspberrypi: Add RPI_FIRMWARE_NOTIFY_DISPLAY_DONE (Adrien Thierry) [2138240]
- drm/vc4: plane: Add support for YUV color encodings and ranges (Adrien Thierry) [2138240]
- drm/vc4: plane: Add support for DRM_FORMAT_P030 (Adrien Thierry) [2138240]
- drm/vc4: kms: Wait for the commit before increasing our clock rate (Adrien Thierry) [2138240]
- drm: Remove CONFIG_DRM_KMS_CMA_HELPER option (Adrien Thierry) [2138240]
- drm/cma-helper: Pass GEM CMA object in public interfaces (Adrien Thierry) [2138240]
- drm/cma-helper: Export dedicated wrappers for GEM object functions (Adrien Thierry) [2138240]
- drm/vc4: kms: Fix previous HVS commit wait (Adrien Thierry) [2138240]
- drm/vc4: kms: Don't duplicate pending commit (Adrien Thierry) [2138240]
- drm/vc4: kms: Clear the HVS FIFO commit pointer once done (Adrien Thierry) [2138240]
- drm/vc4: kms: Add missing drm_crtc_commit_put (Adrien Thierry) [2138240]
- drm/vc4: kms: Fix return code check (Adrien Thierry) [2138240]
- drm/vc4: fix error code in vc4_create_object() (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Introduce a scdc_enabled flag (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Introduce an output_enabled flag (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Check the device state in prepare() (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Prevent access to crtc->state outside of KMS (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Use a mutex to prevent concurrent framework access (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Add a spinlock to protect register access (Adrien Thierry) [2138240]
- drm/vc4: crtc: Copy assigned channel to the CRTC (Adrien Thierry) [2138240]
- drm/vc4: Fix non-blocking commit getting stuck forever (Adrien Thierry) [2138240]
- drm/vc4: crtc: Drop feed_txp from state (Adrien Thierry) [2138240]
- drm/vc4: Increase the core clock based on HVS load (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Enable the scrambler on reconnection (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Raise the maximum clock rate (Adrien Thierry) [2138240]
- drm/vc4: Leverage the load tracker on the BCM2711 (Adrien Thierry) [2138240]
- drm/vc4: crtc: Add some logging (Adrien Thierry) [2138240]
- drm/vc4: crtc: Rework the encoder retrieval code (again) (Adrien Thierry) [2138240]
- drm/vc4: crtc: Add encoder to vc4_crtc_config_pv prototype (Adrien Thierry) [2138240]
- drm/vc4: Make vc4_crtc_get_encoder public (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Fix HPD GPIO detection (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Remove the DDC probing for status detection (Adrien Thierry) [2138240]
- drm/vc4: crtc: Make sure the HDMI controller is powered when disabling (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Warn if we access the controller while disabled (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Make sure the device is powered with CEC (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Split the CEC disable / enable functions in two (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Rework the pre_crtc_configure error handling (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Make sure the controller is powered up during bind (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Make sure the controller is powered in detect (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Move the HSM clock enable to runtime_pm (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Set a default HSM rate (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Remove unused struct (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Actually check for the connector status in hotplug (Adrien Thierry) [2138240]
- Revert "drm/vc4: hdmi: Remove drm_encoder->crtc usage" (Adrien Thierry) [2138240]
- Revert drm/vc4 hdmi runtime PM changes (Adrien Thierry) [2138240]
- drm/vc4: dsi: Switch to devm_drm_of_get_bridge (Adrien Thierry) [2138240]
- drm/vc4: dpi: Switch to devm_drm_of_get_bridge (Adrien Thierry) [2138240]
- drm/vc4: Make use of the helper function devm_platform_ioremap_resource() (Adrien Thierry) [2138240]
- drm/vc4: hdmi: make vc4_hdmi_codec_pdata static (Adrien Thierry) [2138240]
- drm/vc4: Convert to Linux IRQ interfaces (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Remove unused struct (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Remove redundant variables (Adrien Thierry) [2138240]
- drm/bridge: Centralize error message when bridge attach fails (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Remove drm_encoder->crtc usage (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Only call into DRM framework if registered (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Drop devm interrupt handler for hotplug interrupts (Adrien Thierry) [2138240]
- drm: vc4: Fix pixel-wrap issue with DVP teardown (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Limit noise when deferring snd card registration (Adrien Thierry) [2138240]
- drm/aperture: Pass DRM driver structure instead of driver name (Adrien Thierry) [2138240]
- drm/vc4: Don't set struct drm_device.irq_enabled (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Register HDMI codec (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Remove firmware logic for MAI threshold setting (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Set VC4_HDMI_MAI_CONFIG_FORMAT_REVERSE (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Set HDMI_MAI_FMT (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Set HD_CTL_WHOLSMP and HD_CTL_CHALIGN_SET (Adrien Thierry) [2138240]
- drm/vc4: hdmi: Rely on interrupts to handle hotplug (Adrien Thierry) [2138240]
Resolves: rhbz#2112206, rhbz#2139802, rhbz#2138240

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-14 23:28:37 +00:00
Herton R. Krzesinski
b4ee79a4a1 kernel-5.14.0-213.el9
* Wed Dec 14 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-213.el9]
- redhat: configs: disable vDPA on all archs except x86_64 (Laurent Vivier) [2140885]
- pipe: Fix missing lock in pipe_resize_ring() (Ian Kent) [2141632] {CVE-2022-2959}
- NFSD: Protect against send buffer overflow in NFSv3 READ (Scott Mayhew) [2141770] {CVE-2022-43945}
- NFSD: Protect against send buffer overflow in NFSv2 READ (Scott Mayhew) [2141770] {CVE-2022-43945}
- NFSD: Protect against send buffer overflow in NFSv3 READDIR (Scott Mayhew) [2141770] {CVE-2022-43945}
- NFSD: Protect against send buffer overflow in NFSv2 READDIR (Scott Mayhew) [2141770] {CVE-2022-43945}
- SUNRPC: Fix svcxdr_init_encode's buflen calculation (Scott Mayhew) [2141770] {CVE-2022-43945}
- SUNRPC: Fix svcxdr_init_decode's end-of-buffer calculation (Scott Mayhew) [2141770] {CVE-2022-43945}
- block: change request end_io handler to pass back a return value (Eric Chanudet) [2139205]
- block: Change the return type of blk_mq_map_queues() into void (Eric Chanudet) [2139205]
- block: simplify disk shutdown (Eric Chanudet) [2139205]
- scsi: ufs: Split the drivers/scsi/ufs directory (Eric Chanudet) [2139205]
- blk-mq: remove the done argument to blk_execute_rq_nowait (Eric Chanudet) [2139205]
- i40e: Fix error handling in i40e_init_module() (Stefan Assmann) [2104466]
- i40e: Add appropriate error message logged for incorrect duplex setting (Stefan Assmann) [2104466]
- i40e: Add i40e_napi_poll tracepoint (Stefan Assmann) [2104466]
- i40e: Record number of RXes cleaned during NAPI (Stefan Assmann) [2104466]
- i40e: Record number TXes cleaned during NAPI (Stefan Assmann) [2104466]
- i40e: Store the irq number in i40e_q_vector (Stefan Assmann) [2104466]
- ptp: introduce helpers to adjust by scaled parts per million (Stefan Assmann) [2104466]
- net: Remove the obsolte u64_stats_fetch_*_irq() users (drivers). (Stefan Assmann) [2104466]
- i40e: Fix flow-type by setting GL_HASH_INSET registers (Stefan Assmann) [2104466]
- i40e: Fix VF hang when reset is triggered on another VF (Stefan Assmann) [2104466]
- i40e: Fix ethtool rx-flow-hash setting for X722 (Stefan Assmann) [2104466]
- i40e: Fix DMA mappings leak (Stefan Assmann) [2104466]
- i40e: add description and modify interrupts configuration procedure (Stefan Assmann) [2104466]
- i40e: Add basic support for I710 devices (Stefan Assmann) [2104466]
- i40e: Fix set max_tx_rate when it is lower than 1 Mbps (Stefan Assmann) [2104466]
- i40e: Fix VF set max MTU size (Stefan Assmann) [2104466]
- i40e: Fix ADQ rate limiting for PF (Stefan Assmann) [2104466]
- net: ethernet: move from strlcpy with unused retval to strscpy (Stefan Assmann) [2104466]
- i40e: Fix incorrect address type for IPv6 flow rules (Stefan Assmann) [2104466]
- i40e: Fix to stop tx_timeout recovery if GLOBR fails (Stefan Assmann) [2104466]
- i40e: convert .adjfreq to .adjfine (Stefan Assmann) [2104466]
- i40e: use mul_u64_u64_div_u64 for PTP frequency calculation (Stefan Assmann) [2104466]
- i40e: Fix interface init with MSI interrupts (no MSI-X) (Stefan Assmann) [2104466]
- i40e: Refactor tc mqprio checks (Stefan Assmann) [2104466]
- i40e: Fix erroneous adapter reinitialization during recovery process (Stefan Assmann) [2104466]
- i40e: Fix VF's MAC Address change on VM (Stefan Assmann) [2104466]
- i40e: Fix dropped jumbo frames statistics (Stefan Assmann) [2104466]
- intel/i40e:fix repeated words in comments (Stefan Assmann) [2104466]
- intel: remove unused macros (Stefan Assmann) [2104466]
- i40e: read the XDP program once per NAPI (Stefan Assmann) [2104466]
- intel/i40e: delete if NULL check before dev_kfree_skb (Stefan Assmann) [2104466]
- i40e: Remove unnecessary synchronize_irq() before free_irq() (Stefan Assmann) [2104466]
- i40e: Add support for ethtool -s <interface> speed <speed in Mb> (Stefan Assmann) [2104466]
- i40e: Add VF VLAN pruning (Stefan Assmann) [2104466]
- ethernet: constify references to netdev->dev_addr in drivers (Stefan Assmann) [2104466]
Resolves: rhbz#2140885, rhbz#2141632, rhbz#2141770, rhbz#2139205, rhbz#2104466

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-14 15:28:06 +00:00
Herton R. Krzesinski
e7c1be0e15 kernel-5.14.0-211.el9
* Mon Dec 12 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-211.el9]
- RDMA/core: Fix null-ptr-deref in ib_core_cleanup() (Kamal Heib) [2120668]
- IB/hfi1: Correctly move list in sc_disable() (Kamal Heib) [2120668]
- RDMA/cma: Use output interface for net_dev check (Kamal Heib) [2120668]
- RDMA/usnic: fix set-but-not-unused variable 'flags' warning (Kamal Heib) [2120668]
- IB/rdmavt: Add __init/__exit annotations to module init/exit funcs (Kamal Heib) [2120668]
- IB: Set IOVA/LENGTH on IB_MR in core/uverbs layers (Kamal Heib) [2120668]
- RDMA/cm: Use SLID in the work completion as the DLID in responder side (Kamal Heib) [2120668]
- RDMA/siw: Fix QP destroy to wait for all references dropped. (Kamal Heib) [2120668]
- RDMA/srp: Fix srp_abort() (Kamal Heib) [2120668]
- RDMA/irdma: Validate udata inlen and outlen (Kamal Heib) [2120668]
- RDMA/irdma: Align AE id codes to correct flush code and event (Kamal Heib) [2120668]
- vgacon: Propagate console boot parameters before calling `vc_resize' (Mohammed Gamal) [2141944]
- cifs: fix uninitialized pointer in error case in dfs_cache_get_tgt_share (Ronnie Sahlberg) [2145009]
- cifs: skip trailing separators of prefix paths (Ronnie Sahlberg) [2145009]
- cifs: use new enum for ses_status (Ronnie Sahlberg) [2145009]
- cifs: fix minor compile warning (Ronnie Sahlberg) [2145009]
- cifs: return ENOENT for DFS lookup_cache_entry() (Ronnie Sahlberg) [2145009]
- cifs: use correct lock type in cifs_reconnect() (Ronnie Sahlberg) [2145009]
- cifs: force new session setup and tcon for dfs (Ronnie Sahlberg) [2145009]
- smb3: cleanup and clarify status of tree connections (Ronnie Sahlberg) [2145009]
- cifs: remove repeated state change in dfs tree connect (Ronnie Sahlberg) [2145009]
- cifs: skip extra NULL byte in filenames (Ronnie Sahlberg) [2145009]
- cifs: don't call cifs_dfs_query_info_nonascii_quirk() if nodfs was set (Ronnie Sahlberg) [2145009]
- cifs: quirk for STATUS_OBJECT_NAME_INVALID returned for non-ASCII dfs refs (Ronnie Sahlberg) [2145009]
- cifs: alloc_path_with_tree_prefix: do not append sep. if the path is empty (Ronnie Sahlberg) [2145009]
- Enable the Tegra186 timer (Al Stone) [2129130]
- clocksource/drivers/timer-tegra186: Add support for Tegra234 SoC (Al Stone) [2129130]
- clocksource: Add Tegra186 timers support (Al Stone) [2129130]
- hv_netvsc: Fix race between VF offering and VF association message from host (Mohammed Gamal) [2139466]
- clocksource: hyper-v: unexport __init-annotated hv_init_clocksource() (Mohammed Gamal) [2139464]
- net: mana: Add rmb after checking owner bits (Mohammed Gamal) [2139461]
- net: mana: Add support of XDP_REDIRECT action (Mohammed Gamal) [2139461]
- net: mana: Add the Linux MANA PF driver (Mohammed Gamal) [2139461]
- drm/ast: Avoid reprogramming primary-plane scanout address (Jocelyn Falempe) [2128599]
- drm/ast: Convert ast to SHMEM (Jocelyn Falempe) [2128599]
- drm/ast: Style cleanups in plane code (Jocelyn Falempe) [2128599]
- drm/ast: Rename struct ast_cursor_plane to struct ast_plane (Jocelyn Falempe) [2128599]
- drm/ast: Remove cursor double buffering (Jocelyn Falempe) [2128599]
- drm/ast: Do not call drm_atomic_add_affected_planes() (Jocelyn Falempe) [2128599]
- drm/ast: Call drm_atomic_helper_check_plane_state() unconditionally (Jocelyn Falempe) [2128599]
- drm/ast: Acquire I/O-register lock in atomic_commit_tail function (Jocelyn Falempe) [2128599]
- drm/ast: Add Atomic gamma lut support for aspeed (Jocelyn Falempe) [2128599]
- drm/ast: make ast_modeset static (Jocelyn Falempe) [2128599]
- drm/ast: Fix video broken in some resolutions on ast2600 (Jocelyn Falempe) [2128599]
- drm/ast: Add resolution support for 1152x864@75 (Jocelyn Falempe) [2128599]
- misc: rtsx: rts522a rts5228 rts5261 support Runtime PM (Mark Pearson) [2120778]
- misc: rtsx: conditionally build rtsx_pm_power_saving() (Mark Pearson) [2120778]
- misc: rtsx: Quiesce rts5249 on system suspend (Mark Pearson) [2120778]
- misc: rtsx: Cleanup power management ops (Mark Pearson) [2120778]
- misc: rtsx: Rework runtime power management flow (Mark Pearson) [2120778]
Resolves: rhbz#2120668, rhbz#2141944, rhbz#2145009, rhbz#2129130, rhbz#2139466, rhbz#2139464, rhbz#2139461, rhbz#2128599, rhbz#2120778

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-12 14:23:45 +00:00
Herton R. Krzesinski
7b9a0590d9 kernel-5.14.0-210.el9
* Fri Dec 09 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-210.el9]
- NFSD: Mark exports of NFS as unsupported (Benjamin Coddington) [2150887]
- bonding: get correct NA dest address (Jonathan Toppins) [2112748]
- bonding: fix ICMPv6 header handling when receiving IPv6 messages (Jonathan Toppins) [2112748]
- mmc: cqhci: Provide helper for resetting both SDHCI and CQHCI (Steve Best) [2122404]
- mmc: sdhci-tegra: Fix SDHCI_RESET_ALL for CQHCI (Steve Best) [2122404]
- mmc: sdhci-tegra: Use actual clock rate for SW tuning correction (Steve Best) [2122404]
- mmc: sdhci-tegra: Use of_device_get_match_data() helper (Steve Best) [2122404]
- mmc: sdhci-tegra: Enable wake on SD card event (Steve Best) [2122404]
- mmc: sdhci-tegra: Add runtime PM and OPP support (Steve Best) [2122404]
- mmc: sdhci-tegra: Fix switch to HS400ES mode (Steve Best) [2122404]
- Enable LinuxRV in Config (Alessandro Carminati) [2129758]
- rv/dot2c: Make automaton definition static (Alessandro Carminati) [2129758]
- rv/monitor: Add __init/__exit annotations to module init/exit funcs (Alessandro Carminati) [2129758]
- rv/dot2K: add 'static' qualifier for local variable (Alessandro Carminati) [2129758]
- rv/monitors: add 'static' qualifier for local symbols (Alessandro Carminati) [2129758]
- rv/reactor: add __init/__exit annotations to module init/exit funcs (Alessandro Carminati) [2129758]
- rv: Unlock on error path in rv_unregister_reactor() (Alessandro Carminati) [2129758]
- MAINTAINERS: Add Runtime Verification (RV) entry (Alessandro Carminati) [2129758]
- rv/monitors: Make monitor's automata definition static (Alessandro Carminati) [2129758]
- rv/reactor: Add the panic reactor (Alessandro Carminati) [2129758]
- rv/reactor: Add the printk reactor (Alessandro Carminati) [2129758]
- rv/monitor: Add the wwnr monitor (Alessandro Carminati) [2129758]
- rv/monitor: Add the wip monitor (Alessandro Carminati) [2129758]
- rv/monitor: Add the wip monitor skeleton created by dot2k (Alessandro Carminati) [2129758]
- Documentation/rv: Add deterministic automata instrumentation documentation (Alessandro Carminati) [2129758]
- Documentation/rv: Add deterministic automata monitor synthesis documentation (Alessandro Carminati) [2129758]
- tools/rv: Add dot2k (Alessandro Carminati) [2129758]
- Documentation/rv: Add deterministic automaton documentation (Alessandro Carminati) [2129758]
- tools/rv: Add dot2c (Alessandro Carminati) [2129758]
- Documentation/rv: Add a basic documentation (Alessandro Carminati) [2129758]
- rv/include: Add instrumentation helper functions (Alessandro Carminati) [2129758]
- rv/include: Add deterministic automata monitor definition via C macros (Alessandro Carminati) [2129758]
- rv/include: Add helper functions for deterministic automata (Alessandro Carminati) [2129758]
- rv: Add runtime reactors interface (Alessandro Carminati) [2129758]
- rv: Add Runtime Verification (RV) interface (Alessandro Carminati) [2129758]
- tracing: make tracer_init_tracefs initcall asynchronous (Alessandro Carminati) [2129758]
- fs: dlm: fix race in lowcomms (Alexander Aring) [2110543]
- EDAC/ghes: Set the DIMM label unconditionally (Aristeu Rozanski) [2109713]
Resolves: rhbz#2150887, rhbz#2112748, rhbz#2122404, rhbz#2129758, rhbz#2110543, rhbz#2109713

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-09 16:30:00 +00:00
Herton R. Krzesinski
cad2349d14 kernel-5.14.0-209.el9
* Thu Dec 08 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-209.el9]
- RHEL: ALSA: update configuration (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: pci-mtl: fix firmware name (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Correct pin configs for ASUS G533Z (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: remove ALC289_FIXUP_DUAL_SPK for Dell 5530 (Jaroslav Kysela) [2125537]
- ALSA: ac97: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537]
- ALSA: ca0106: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537]
- ALSA: emu10k1: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: Use snd_ctl_rename() to rename a control (Jaroslav Kysela) [2125537]
- ALSA: control: add snd_ctl_rename() (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Fix build error unused-function (Jaroslav Kysela) [2125537]
- ACPI: utils: Add api to read _SUB from ACPI (Jaroslav Kysela) [2125537]
- ASoC: rockchip: i2s: use regmap_read_poll_timeout_atomic to poll I2S_CLR (Jaroslav Kysela) [2125537]
- ASoC: rockchip: i2s: use regmap_read_poll_timeout to poll I2S_CLR (Jaroslav Kysela) [2125537]
- ASoC: wcd-mbhc-v2: remove useless initialization (Jaroslav Kysela) [2125537]
- ALSA: aoa: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537]
- sound:spi: remove reference to AVR32 in Atmel AT73C213 DAC driver (Jaroslav Kysela) [2125537]
- ASoC: xtensa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: xilinx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: xilinx: Suppress second error message about reset failure in .remove() (Jaroslav Kysela) [2125537]
- ASoC: ux500: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: ux500: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: ux500: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: ux500: mop500: Check before clk_put() not needed (Jaroslav Kysela) [2125537]
- ASoC: ux500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537]
- ASoC: ti: osk5912: Make it CCF clk API compatible (Jaroslav Kysela) [2125537]
- ASoC: ti: omap-mcbsp: duplicate sysfs error (Jaroslav Kysela) [2125537]
- ASoC: ti: j721e-evm: Fix refcount leak in j721e_soc_probe_* (Jaroslav Kysela) [2125537]
- ASoC: ti: davinci-mcasp: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- ASoC: ti: davinci-mcasp: Add dma-type for bcdma (Jaroslav Kysela) [2125537]
- ASoC: ti: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: ti: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: ti: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: tegra: Fix clock DAI format on Tegra210 (Jaroslav Kysela) [2125537]
- ASoC: tegra: AHUB routes for OPE module (Jaroslav Kysela) [2125537]
- ASoC: tegra: AHUB routes for ASRC module (Jaroslav Kysela) [2125537]
- ASoC: tegra: delete a semicolon (Jaroslav Kysela) [2125537]
- ASoC: tegra: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: tegra: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: tegra: tegra20_das: Drop write-only driver data member (Jaroslav Kysela) [2125537]
- ASoC: tegra: tegra20_das: Make helper functions return void (Jaroslav Kysela) [2125537]
- ASoC: tegra: tegra20_das: Get rid of global pointer for driver data (Jaroslav Kysela) [2125537]
- ASoC: tegra: tegra20_das: Remove unused function tegra20_das_read (Jaroslav Kysela) [2125537]
- ASoC: tegra: tegra20_das: Fold header file into only user (Jaroslav Kysela) [2125537]
- ASoC: tegra20_ac97: Fix missing error code in tegra20_ac97_platform_probe() (Jaroslav Kysela) [2125537]
- ASoC: tegra: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: tegra: Fix MBDRC bypass mode check (Jaroslav Kysela) [2125537]
- ASoC: tegra: Add Tegra210 based OPE driver (Jaroslav Kysela) [2125537]
- ASoC: tegra186_asrc: mark runtime-pm functions as __maybe_unused (Jaroslav Kysela) [2125537]
- ASoC: tegra: Add Tegra186 based ASRC driver (Jaroslav Kysela) [2125537]
- ASoC: sun8i-codec: Partial revert to fix clock specifiers (Jaroslav Kysela) [2125537]
- ASoC: sun50i-codec-analog: Add support for internal bias (Jaroslav Kysela) [2125537]
- ASoC: sunxi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: sunxi: sun4i-i2s: update kernel-doc (Jaroslav Kysela) [2125537]
- ASoC: sunxi: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: sunxi: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: sunxi: Use {regmap/regmap_field}_{set/clear}_bits helpers (Jaroslav Kysela) [2125537]
- ASoC: stm32: sai: Remove useless define (Jaroslav Kysela) [2125537]
- ASoC: stm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: stm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: stm32: dfsdm: fix typo in comment (Jaroslav Kysela) [2125537]
- ASoC: stm32: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: sti-uniperf: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-stream: report error on stream not opened (Jaroslav Kysela) [2125537]
- ASoC: SOF: debug: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537]
- ASoC: SOF: Kconfig: Make IPC_FLOOD_TEST depend on SND_SOC_SOF (Jaroslav Kysela) [2125537]
- ASoC: rsnd: care default case on rsnd_ssiu_busif_err_status_clear() (Jaroslav Kysela) [2125537]
- ASoC: sh: rz-ssi: Improve error handling in rz_ssi_probe() error path (Jaroslav Kysela) [2125537]
- ASoC: sh: rz-ssi: Release the DMA channels in rz_ssi_probe() error path (Jaroslav Kysela) [2125537]
- ASoC: sh: rz-ssi: Propagate error codes returned from platform_get_irq_byname() (Jaroslav Kysela) [2125537]
- ASoC: sh: rz-ssi: Drop SSIFSR_TDC and SSIFSR_RDC macros (Jaroslav Kysela) [2125537]
- ASoC: rsnd: care default case on rsnd_ssiu_busif_err_irq_ctrl() (Jaroslav Kysela) [2125537]
- ASoC: rsnd: ssiu: add missing .quit callback for gen2 (Jaroslav Kysela) [2125537]
- ASoC: rsnd: care return value from rsnd_node_fixed_index() (Jaroslav Kysela) [2125537]
- ASoC: rsnd: Emit useful error messages in .remove() (Jaroslav Kysela) [2125537]
- ASoC: sh: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: sh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: sh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: rsnd: use inclusive language for DAIFMT mask (Jaroslav Kysela) [2125537]
- ASoC: sh: Make SND_SOC_RZ depend on ARCH_RZG2L (Jaroslav Kysela) [2125537]
- ASoC: samsung: Enable compile test (Jaroslav Kysela) [2125537]
- ASoC: samsung: spdif: remove unnecessary check of mem_res (Jaroslav Kysela) [2125537]
- ASoC: samsung: snow: simplify error handling (Jaroslav Kysela) [2125537]
- ASoC: samsung: s3c24xx-i2s: Drop unneeded gpio.h include (Jaroslav Kysela) [2125537]
- ASoC: samsung: s3c24xx-i2s: Fix typo in DAIFMT handling (Jaroslav Kysela) [2125537]
- ASoC: samsung: s3c-i2s-v2: Allow build for unsupported hardware (Jaroslav Kysela) [2125537]
- ASoC: samsung: change gpiod_speaker_power and rx1950_audio from global to static variables (Jaroslav Kysela) [2125537]
- ASoC: samsung: change neo1973_audio from a global to static (Jaroslav Kysela) [2125537]
- ASoC: samsung: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: samsung: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: samsung: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: samsung: h1940_uda1380: include proepr GPIO consumer header (Jaroslav Kysela) [2125537]
- ASoC: samsung: Constify snd_soc_dapm_{route,widget} structs (Jaroslav Kysela) [2125537]
- ASoC: samsung: Fix error handling in aries_audio_probe (Jaroslav Kysela) [2125537]
- ASoC: samsung: Fix refcount leak in aries_audio_probe (Jaroslav Kysela) [2125537]
- ASoC: rockchip: i2s_tdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537]
- ASoC: dt-bindings: Add bindings for WCD9335 DAIs (Jaroslav Kysela) [2125537]
- MAINTAINERS: add ASoC Qualcomm codecs (Jaroslav Kysela) [2125537]
- ASoC: rockchip: pdm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537]
- ASoC: rockchip: i2s: Fix error code when fail to read I2S_CLR (Jaroslav Kysela) [2125537]
- ASoC: rockchip: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537]
- ASoC: rockchip: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: rockchip: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: rockchip-i2s: Undo BCLK pinctrl changes (Jaroslav Kysela) [2125537]
- ASoC: rockchip: i2s: Fix NULL pointer dereference when pinctrl is not found (Jaroslav Kysela) [2125537]
- ASoC: rockchip: i2s: switch BCLK to GPIO (Jaroslav Kysela) [2125537]
- ASoC: rockchip: simplify error handling (Jaroslav Kysela) [2125537]
- ASoC: qcom: sm8250: add missing module owner (Jaroslav Kysela) [2125537]
- ASoC: qcom: Add driver support for audioreach solution (Jaroslav Kysela) [2125537]
- ASoC: qcom: SC7280: Update machine driver startup, shutdown callbacks (Jaroslav Kysela) [2125537]
- ASoC: qcom: Use MCLK as RT5682I-VS sysclk source (Jaroslav Kysela) [2125537]
- ASoC: qcom: Add driver support for ALC5682I-VS (Jaroslav Kysela) [2125537]
- ASoC: q6asm: use kcalloc() instead of kzalloc() (Jaroslav Kysela) [2125537]
- ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared (Jaroslav Kysela) [2125537]
- ASoC: qcom: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: qcom: q6dsp: q6adm: remove useless initializations (Jaroslav Kysela) [2125537]
- ASoC: qcom: lpass: Fix apq8016 compat string to match yaml (Jaroslav Kysela) [2125537]
- ASoC: mxs-saif: Fix refcount leak in mxs_saif_probe (Jaroslav Kysela) [2125537]
- ASoC: mxs-saif: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: mxs-saif: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: qdsp6: fix potential memory leak in q6apm_get_audioreach_graph() (Jaroslav Kysela) [2125537]
- ASoC: qcom: q6dsp: remove spurious space (Jaroslav Kysela) [2125537]
- ASoC: qcom: q6dsp: Fix an off-by-one in q6adm_alloc_copp() (Jaroslav Kysela) [2125537]
- ASoC: qcom: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: qcom: lpass-platform: Update memremap flag to MEMREMAP_WC (Jaroslav Kysela) [2125537]
- ASoC: qcom: Fix missing of_node_put() in asoc_qcom_lpass_cpu_platform_probe() (Jaroslav Kysela) [2125537]
- ASoC: qcom: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: pxa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: pxa: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: pxa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: mxs-saif: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: meson: remove useless initialization (Jaroslav Kysela) [2125537]
- ASoC: meson: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: meson: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: meson: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: meson: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: remove unnecessary NULL check (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8195-mt6359: Properly register sound card for SOF (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8195: Fix build warning without CONFIG_OF (Jaroslav Kysela) [2125537]
- ASoC: mediatek: simplify the return expression of mtk_dai_pcm_prepare() (Jaroslav Kysela) [2125537]
- ASoC: mediatek: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: mediatek: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8195: simplify error handling (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8173-rt5650: Fix refcount leak in mt8173_rt5650_dev_probe (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8173: Fix refcount leak in mt8173_rt5650_rt5676_dev_probe (Jaroslav Kysela) [2125537]
- ASoC: mediatek: remove unnecessary check of clk_disable_unprepare (Jaroslav Kysela) [2125537]
- ASoC: mt6797-mt6351: Fix refcount leak in mt6797_mt6351_dev_probe (Jaroslav Kysela) [2125537]
- ASoC: mediatek: Fix missing of_node_put in mt2701_wm8960_machine_probe (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8192: support rt1015p_rt5682s (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8192: refactor for I2S8/I2S9 DAI links of headset (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8192: refactor for I2S3 DAI link of speaker (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: fix Kconfig dependency (Jaroslav Kysela) [2125537]
- ASoC: img-spdif-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8195: extract SOF common code (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: fix DMIC record noise (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: remove unnecessary judgments (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: set the correct string to strncmp() (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: add machine driver with mt6366, rt1019 and rt5682s (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: add machine driver with mt6366, da7219 and max98357 (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: add mt8186-mt6366 common driver (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: add platform driver (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: Remove condition with no effect (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: Fix mutex double unlock in GPIO request (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: Use new direct clock defines (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: Fix a handful of spelling mistakes (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: add misc driver and register definitions (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: support gpio control in platform driver (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: support audio clock control in platform driver (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: support tdm in platform driver (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: support src in platform driver (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: support pcm in platform driver (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: support i2s in platform driver (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: support hw gain in platform driver (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: support hostless in platform driver (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: support adda in platform driver (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8186: support audsys clock control (Jaroslav Kysela) [2125537]
- ASoC: jz4740-i2s: Remove unused 'mem' resource (Jaroslav Kysela) [2125537]
- ASoC: jz4740-i2s: Refactor DMA channel setup (Jaroslav Kysela) [2125537]
- ASoC: jz4740-i2c: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: jz4740-i2s: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: js4740-i2s: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: jz4740-i2s: Remove Open Firmware dependency (Jaroslav Kysela) [2125537]
- ASoC: img-spdif-out: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537]
- ASoC: img-parallel-out: using pm_runtime_resume_and_get (Jaroslav Kysela) [2125537]
- ASoC: img: img-i2s-out: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- ASoC: Intel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: img-i2s-in: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537]
- ASoC: hisilicon: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: img: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: img: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: img: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: hisilicon: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: hisilicon: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: fsl: pcm030-audio-fabric: use platform_device_unregsiter() (Jaroslav Kysela) [2125537]
- ASoC: fsl: Fix refcount leak in imx_sgtl5000_probe (Jaroslav Kysela) [2125537]
- ASoC: imx-hdmi: remove useless null check before call of_node_put() (Jaroslav Kysela) [2125537]
- ASoC: imx-card: Fix refcount issue with of_node_put (Jaroslav Kysela) [2125537]
- ASoC: imx-card: use snd_pcm_format_t type for asrc_format (Jaroslav Kysela) [2125537]
- ASoC: imx-audmux: Silence a clang warning (Jaroslav Kysela) [2125537]
- ASoC: imx-card: Fix DSD/PDM mclk frequency (Jaroslav Kysela) [2125537]
- ASoC: imx-audmux: remove unnecessary check of clk_disable_unprepare/clk_prepare_enable (Jaroslav Kysela) [2125537]
- ASoC: fsl_xcvr:Fix unbalanced pm_runtime_enable in fsl_xcvr_probe (Jaroslav Kysela) [2125537]
- ASoC: fsl_utils: Drop usage of __clk_get_name() (Jaroslav Kysela) [2125537]
- ASoC: fsl_utils: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537]
- ASoC: fsl_utils: Add function to handle PLL clock source (Jaroslav Kysela) [2125537]
- ASoC: fsl_ssi: Add support multi fifo script (Jaroslav Kysela) [2125537]
- ASoC: fsl_mqs: Add support for i.MX93 platform (Jaroslav Kysela) [2125537]
- ASoC: fsl_mqs: Fix supported clock DAI format (Jaroslav Kysela) [2125537]
- ASoC: fsl_mqs: simplify the code with adding fsl_mqs_soc_data (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: fix the naming style for mask definition (Jaroslav Kysela) [2125537]
- ASoC: fsl: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537]
- ASoC: fsl_aud2htx: Add error handler for pm_runtime_enable (Jaroslav Kysela) [2125537]
- ASoC: fsl_easrc: use snd_pcm_format_t type for sample_format (Jaroslav Kysela) [2125537]
- ASoC: fsl_aud2htx: register platform component before registering cpu dai (Jaroslav Kysela) [2125537]
- ASoC: fsl: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: fsl_sai: Fix FSL_SAI_xDR/xFR definition (Jaroslav Kysela) [2125537]
- ASoC: fsl_sai: Add DSD bit format support (Jaroslav Kysela) [2125537]
- ASoC: fsl_asrc_dma: enable dual fifo for ASRC P2P (Jaroslav Kysela) [2125537]
- ASoC: fsl_asrc_dma: Use dmaengine_terminate_async() (Jaroslav Kysela) [2125537]
- ASoC: fsl_asrc: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537]
- ASoC: fsl_asrc: force cast the asrc_format type (Jaroslav Kysela) [2125537]
- ASoC: fsl-asoc-card: force cast the asrc_format type (Jaroslav Kysela) [2125537]
- ASoC: fsl_sai: fix incorrect mclk number in error message (Jaroslav Kysela) [2125537]
- ASoC: fsl_sai: Don't use plain integer as NULL pointer (Jaroslav Kysela) [2125537]
- ASoC: fsl_sai: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537]
- ASoC: fsl_sai: Configure dataline/FIFO information from dts property (Jaroslav Kysela) [2125537]
- ASoC: fsl_sai: Make res a member of struct fsl_sai (Jaroslav Kysela) [2125537]
- ASoc: fsl_sai: Add pinctrl operation for PDM and DSD (Jaroslav Kysela) [2125537]
- ASoC: fsl_sai: Add support for more sample rates (Jaroslav Kysela) [2125537]
- ASoC: fsl_sai: Add PDM daifmt support (Jaroslav Kysela) [2125537]
- ASoC: fsl: fsl_sai: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- ASoC: fsl_sai: Enable MCTL_MCLK_EN bit for master mode (Jaroslav Kysela) [2125537]
- ASoC: fsl_sai: add error message in case of missing imx-pcm-dma support (Jaroslav Kysela) [2125537]
- ASoC: fsl_sai: use local device pointer (Jaroslav Kysela) [2125537]
- ASoC: fsl: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: fsl: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: fsl_sai: Add support for i.MX8MN (Jaroslav Kysela) [2125537]
- ASoC: fsl_sai: Add support for i.MX8ULP (Jaroslav Kysela) [2125537]
- ASoC: fsl_sai: Add support for i.MX8M Plus (Jaroslav Kysela) [2125537]
- ASoC: fsl_sai: Add support for i.MX8MM (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: Add support for PLL switch at runtime (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: change micfil default settings (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: fold fsl_set_clock_params() into its only user (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: drop only once used defines (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: drop unused include (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: rework quality setting (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: simplify clock setting (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: Drop get_pdm_clk() (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: use define for OSR default value (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: add multi fifo support (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: drop unused variables (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: drop error messages from failed register accesses (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: use clear/set bits (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: use GENMASK to define register bit fields (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: do not define SHIFT/MASK for single bits (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: drop fsl_micfil_set_mclk_rate() (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: Drop unused register read (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: Drop unnecessary register read (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: drop support for undocumented property (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: Remove debug message (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: Add support for i.MX8MPlus (Jaroslav Kysela) [2125537]
- ASoC: fsl_spdif: Add support for PLL switch at runtime. (Jaroslav Kysela) [2125537]
- ASoC: dwc: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: dwc: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: dwc: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: codecs: wsa883x: handle timeouts in resume path (Jaroslav Kysela) [2125537]
- ASoC: codecs: wsa883x: fix warning using-module-alias-sdw.cocci (Jaroslav Kysela) [2125537]
- ASoC: codecs: wsa883x: add missing break statement (Jaroslav Kysela) [2125537]
- ASoC: codecs: wsa883x: add control, dapm widgets and map (Jaroslav Kysela) [2125537]
- ASoC: wcd938x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: wcd938x: Fix event generation for some controls (Jaroslav Kysela) [2125537]
- ASoC: wcd934x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: tlv320adcx140: Fix tx_mask check (Jaroslav Kysela) [2125537]
- ASoC: tlv320adcx140: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: tlv320adc3xxx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: tlv320adc3xxx: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: codecs: tfa989x: Add support for tfa9890 (Jaroslav Kysela) [2125537]
- ASoC: sgtl5000: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: rk817: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: nau8822: Don't reconfigure PLL to the same values (Jaroslav Kysela) [2125537]
- ASoC: madera: Fix event generation for rate controls (Jaroslav Kysela) [2125537]
- ASoC: madera: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537]
- ASoC: codecs: lpass: Fix passing zero to 'PTR_ERR' (Jaroslav Kysela) [2125537]
- ASoC: codecs: Fix error handling in power domain init and exit handlers (Jaroslav Kysela) [2125537]
- ASoC: codecs: va-macro: use fsgen as clock (Jaroslav Kysela) [2125537]
- ASoC: lm49453: Drop no-op remove function (Jaroslav Kysela) [2125537]
- ASoC: codecs: da7210: add check for i2c_add_driver (Jaroslav Kysela) [2125537]
- ASoC: cs47l92: Fix event generation for OUT1 demux (Jaroslav Kysela) [2125537]
- ASoC: cs4234: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: cs35l45: Make cs35l45_remove() return void (Jaroslav Kysela) [2125537]
- ASoC: cs35l41: Remove incorrect comment (Jaroslav Kysela) [2125537]
- ASoC: cros_ec_codec: Fix refcount leak in cros_ec_codec_platform_probe (Jaroslav Kysela) [2125537]
- ASoC: cs35l41: Add one more variable in the debug log (Jaroslav Kysela) [2125537]
- ASoC: cros_ec_codec: Add endianness flag in i2s_rx_component_driver (Jaroslav Kysela) [2125537]
- ASoC: add tas2780 driver (Jaroslav Kysela) [2125537]
- ASoC: codecs: add wsa883x amplifier support (Jaroslav Kysela) [2125537]
- ASoC: rt1016: enable building (Jaroslav Kysela) [2125537]
- ASoC: ep93xx: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: ep93xx: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: ep93xx: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: mchp-spdiftx: add and remove black line around MODULE_DEVICE_TABLE() (Jaroslav Kysela) [2125537]
- treewide: Replace GPLv2 boilerplate/reference with SPDX - gpl-2.0_30.RULE (part 2) (Jaroslav Kysela) [2125537]
- ASoC: bcm: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: bcm: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: sam9g20_wm8731: Simplify some error message (Jaroslav Kysela) [2125537]
- ASoC: sam9g20_wm8731: Use dev_err_probe() for snd_soc_register_card() (Jaroslav Kysela) [2125537]
- ASoC: bcm: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: au1x: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: au1x: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: au1x: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: atmel: Fix error handling in at91samg20ek probe() (Jaroslav Kysela) [2125537]
- ASoC: atmel: Don't squash error codes from atmel_ssc_set_audio() (Jaroslav Kysela) [2125537]
- ASoC: mchp-spdiftx: return directly ret (Jaroslav Kysela) [2125537]
- ASoC: mchp-spdiftx: Fix clang -Wbitfield-constant-conversion (Jaroslav Kysela) [2125537]
- ASoC: mchp-spdiftx: remove references to mchp_i2s_caps (Jaroslav Kysela) [2125537]
- ASoC: mchp-spdifrx: disable end of block interrupt on failures (Jaroslav Kysela) [2125537]
- ASoC: mchp-spdifrx: use single tab indent for structure (Jaroslav Kysela) [2125537]
- ASoC: atmel: mchp-pdmc: remove space in front of mchp_pdmc_dt_init() (Jaroslav Kysela) [2125537]
- ASoC: atmel: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: atmel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: atmel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: atmel-pdmic: Remove endianness flag on pdmic component (Jaroslav Kysela) [2125537]
- ASoC: atmel-classd: Remove endianness flag on class d component (Jaroslav Kysela) [2125537]
- ASoC: adi: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: Makefile: Fix simultaneous build of KUNIT tests (Jaroslav Kysela) [2125537]
- ALSA: ac97: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537]
- ASoC: madera: Replace kernel.h with the necessary inclusions (Jaroslav Kysela) [2125537]
- ASoC: dt-bindings: cs35l45: Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537]
- ASoC: cs35l45: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: cs35l45: typo in argument definition (Jaroslav Kysela) [2125537]
- ASoC: cs35l45: Enable BOOST (Jaroslav Kysela) [2125537]
- ASoC: cs35l45: Make exports namespaced (Jaroslav Kysela) [2125537]
- ASoC: cs35l45: Add driver for Cirrus Logic CS35L45 Smart Amp (Jaroslav Kysela) [2125537]
- ASoC: doc: Capitalize RESET line name (Jaroslav Kysela) [2125537]
- ASoC: soc-utils: Add kunit test for snd_soc_tdm_params_to_bclk() (Jaroslav Kysela) [2125537]
- ASoC: soc-utils: Add helper to calculate BCLK from TDM info (Jaroslav Kysela) [2125537]
- treewide: uapi: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537]
- ALSA: hda/hdmi: Use only dynamic PCM device allocation (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: Free the ida when IPC fails in sof_ipc4_widget_setup() (Jaroslav Kysela) [2125537]
- ASoC: test-component: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: ssm4567: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: rk3328: fix disabling mclk on pclk probe failure (Jaroslav Kysela) [2125537]
- ASoC: pcm3060: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: cs42l42: remove redundant test (Jaroslav Kysela) [2125537]
- ASoC: soc-component: Add comment for the endianness flag (Jaroslav Kysela) [2125537]
- ASoC: codecs: wsa881x: handle timeouts in resume path (Jaroslav Kysela) [2125537]
- ASoC: wsa881x: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- ASoC: wm_adsp: Fix event generation for wm_adsp_fw_put() (Jaroslav Kysela) [2125537]
- ASoC: wm_adsp: Minor clean and redundant code removal (Jaroslav Kysela) [2125537]
- ASoC: wm_adsp: Compressed stream DSP memory structs should be __packed (Jaroslav Kysela) [2125537]
- ASoC: wm8998: Fix event generation for input mux (Jaroslav Kysela) [2125537]
- ASoC: wm8962: Fix suspend while playing music (Jaroslav Kysela) [2125537]
- ASoC: codecs: wm8962: using pm_runtime_resume_and_get instead of pm_runtime_get_sync (Jaroslav Kysela) [2125537]
- ASoC: wm8960: Add ACPI support (Jaroslav Kysela) [2125537]
- ASoC: wm8940: add devicetree support (Jaroslav Kysela) [2125537]
- ASoC: wm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537]
- ASoC: wm8903: Fix the error handling of wm8903_i2c_probe() (Jaroslav Kysela) [2125537]
- ASoC: wm8731: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: wm5102: Fix event generation for output compensation (Jaroslav Kysela) [2125537]
- ASoC: wcd9335: Use int array instead of bitmask for TX mixers (Jaroslav Kysela) [2125537]
- ASoC: wcd9335: Use DT bindings instead of local DAI definitions (Jaroslav Kysela) [2125537]
- ASoC: wcd9335: Fix spurious event generation (Jaroslav Kysela) [2125537]
- ASoC: wcd9335: Remove RX channel from old list before adding it to a new one (Jaroslav Kysela) [2125537]
- ASoC: codecs: wcd9335: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537]
- ASoC: wcd9335: remove redundant tests (Jaroslav Kysela) [2125537]
- ASoC: uda1380: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: uda1334: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: twl4030: Drop legacy, non DT boot support (Jaroslav Kysela) [2125537]
- ASoC: tscs*: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: ts3a227e: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: tpa6130: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537]
- ASoC: tlv320dac3x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: tlv320aic33: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: codec: tlv320aic32x4: fix mono playback via I2S (Jaroslav Kysela) [2125537]
- ASoC: tlv320aic32x4: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: tlv320*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537]
- ASoC: tlv320aic31xx: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: tlv320aic26: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: tlv320aic23: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: tlv320*: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: tas6424: Return zero in remove callback (Jaroslav Kysela) [2125537]
- ASoC: tda7419: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: tas6424: Fix the error handling of tas6424_i2c_probe() (Jaroslav Kysela) [2125537]
- ASoC: tas6424: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: tas5720: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: tas571x: Fix the error handling of tas571x_i2c_probe() (Jaroslav Kysela) [2125537]
- ASoC: tas5086: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: tas2552: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- ASoC: tas2770: Reinit regcache on reset (Jaroslav Kysela) [2125537]
- ASoC: tas2562: Propagate the error in tas2562_dac_event() (Jaroslav Kysela) [2125537]
- ASoC: tas2562: Fix mute/unmute (Jaroslav Kysela) [2125537]
- ASoC: tas2764: Fix mute/unmute (Jaroslav Kysela) [2125537]
- ASoC: tas2764: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537]
- ASoC: tas2764: Allow mono streams (Jaroslav Kysela) [2125537]
- ASoC: tas2770: Fix handling of mute/unmute (Jaroslav Kysela) [2125537]
- ASoC: tas2770: Drop conflicting set_bias_level power setting (Jaroslav Kysela) [2125537]
- ASoC: tas2770: Allow mono streams (Jaroslav Kysela) [2125537]
- ASoC: tas2770: Set correct FSYNC polarity (Jaroslav Kysela) [2125537]
- ASoC: tas2770: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: tas*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537]
- ASoC: tas2552: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: sti-sas: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: sta350: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: sta350: Remove redundant big endian formats (Jaroslav Kysela) [2125537]
- ASoC: sta*: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: sta32x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: sta32x: Remove redundant big endian formats (Jaroslav Kysela) [2125537]
- ASoC: ssm2602: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: ssm2518: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: sgtl5000: Fix noise on shutdown/remove (Jaroslav Kysela) [2125537]
- ASoC: rt9120: Fix 3byte read, valule offset typo (Jaroslav Kysela) [2125537]
- ASoC: rt9120: Use pm_runtime and regcache to optimize 'pwdnn' logic (Jaroslav Kysela) [2125537]
- ASoC: codecs: rt715-sdca: remove useless assignment of ops (Jaroslav Kysela) [2125537]
- ASoC: rt5682s: Separate the regulator consumer controls (Jaroslav Kysela) [2125537]
- ASoC: rt5645: Fix the error handling of rt5645_i2c_probe() (Jaroslav Kysela) [2125537]
- ASoC: rt5640: Fix the issue of the abnormal JD2 status (Jaroslav Kysela) [2125537]
- ASoC: rt5640: Fix the JD voltage dropping issue (Jaroslav Kysela) [2125537]
- ASoC: rt5640: Fix the wrong state of JD1 and JD2 (Jaroslav Kysela) [2125537]
- ASoC: rt5640: Add the MICBIAS1 to the dapm routing (Jaroslav Kysela) [2125537]
- ASoC: codecs: rt298: Fix jack detection (Jaroslav Kysela) [2125537]
- ASoC: codecs: rt298: Fix NULL jack in interrupt (Jaroslav Kysela) [2125537]
- ASoC: codecs: rt298: Set component to NULL on remove (Jaroslav Kysela) [2125537]
- ASoC: codecs: rt286: Set component to NULL on remove (Jaroslav Kysela) [2125537]
- ASoC: codecs: rt274: Set component to NULL on remove (Jaroslav Kysela) [2125537]
- ASoC: codecs: rt274: Always init jack_detect_work (Jaroslav Kysela) [2125537]
- ASoC: rt1308-sdw: add the default value of register 0xc320 (Jaroslav Kysela) [2125537]
- ASoC: rk3328: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: pcm1789: Make pcm1789_common_exit() return void (Jaroslav Kysela) [2125537]
- ASoC: pcm186x: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537]
- ASoC: pcm186x: simplify the return expression of pcm186x_power_off() (Jaroslav Kysela) [2125537]
- ASoC: pcm*: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: nau8825: Implement hw constraint for rates (Jaroslav Kysela) [2125537]
- ASoC: nau8825: Declare 2 channels for DAI of capture stream (Jaroslav Kysela) [2125537]
- ASoC: nau8824: Fix semaphore is released unexpectedly (Jaroslav Kysela) [2125537]
- ASoC: nau8824: Implement hw constraint for rates (Jaroslav Kysela) [2125537]
- ASoC: nau8824: Fix semaphore unbalance at error paths (Jaroslav Kysela) [2125537]
- ASoC: nau8822: Disable internal PLL if freq_out is zero (Jaroslav Kysela) [2125537]
- ASoC: nau8821: Implement hw constraint for rates (Jaroslav Kysela) [2125537]
- ASoC: nau8821: Don't unconditionally free interrupt (Jaroslav Kysela) [2125537]
- ASoC: nau8821: add new widget to control system clock (Jaroslav Kysela) [2125537]
- ASoC: nau8821: Add headset button detection (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt6366: support for mt6366 codec (Jaroslav Kysela) [2125537]
- ASoC: nau8540: Implement hw constraint for rates (Jaroslav Kysela) [2125537]
- ASoC: nau8*: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: mt6660: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: mt6359: Fix refcount leak bug (Jaroslav Kysela) [2125537]
- ASoC: codecs: msm8916-wcd-digital: move gains from SX_TLV to S8_TLV (Jaroslav Kysela) [2125537]
- ASoC: ml26124: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: max9860: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: max98390: use linux/gpio/consumer.h to fix build (Jaroslav Kysela) [2125537]
- ASoC: max98390: Add reset gpio control (Jaroslav Kysela) [2125537]
- ASoC: codecs: soundwire: call pm_runtime_resume() in component probe (Jaroslav Kysela) [2125537]
- ASoC: max98373: Removing 0x203E from the volatile reg (Jaroslav Kysela) [2125537]
- ASoC: max98090: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: max98090: Fix event generation for custom controls (Jaroslav Kysela) [2125537]
- ASoC: codecs: max98088: Clean up some inconsistent indenting (Jaroslav Kysela) [2125537]
- ASoC: max980*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537]
- ASoC: lm4857: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: da732x: Drop no-op remove function (Jaroslav Kysela) [2125537]
- ASoC: da7219: Drop no-op remove function (Jaroslav Kysela) [2125537]
- ASoC: max9*: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: lm49xxx: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: hdmi-codec: Remove redundant big endian formats (Jaroslav Kysela) [2125537]
- ASoC: es83*: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: codes: Add support for ES8316 producer mode (Jaroslav Kysela) [2125537]
- ASoC: dmic: Add support for DSD data format (Jaroslav Kysela) [2125537]
- ASoC: da[79]*: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: da7219: cancel AAD related work earlier for jack removal (Jaroslav Kysela) [2125537]
- ASoC: da7219: Fix pole orientation detection on certain headsets (Jaroslav Kysela) [2125537]
- ASoC: da7218: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537]
- ASoC: cx2072x: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: cx2072x: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: cs4349: Remove redundant big endian formats (Jaroslav Kysela) [2125537]
- ASoC: cs42l56: Fix the error handling of cs42l56_i2c_probe() (Jaroslav Kysela) [2125537]
- ASoC: cs42l51: Remove redundant big endian formats (Jaroslav Kysela) [2125537]
- ASoC: cs42l42: Only report button state if there was a button interrupt (Jaroslav Kysela) [2125537]
- ASoC: cs4270: update kernel-doc (Jaroslav Kysela) [2125537]
- ASoC: cs4270: Remove redundant big endian formats (Jaroslav Kysela) [2125537]
- ASoC: cs35l41: Add support for CLSA3541 ACPI device ID (Jaroslav Kysela) [2125537]
- ALSA: cs35l41: Enable Internal Boost in shared lib (Jaroslav Kysela) [2125537]
- ASoC: cs35l41: Do not print error when waking from hibernation (Jaroslav Kysela) [2125537]
- ASoC: cs35l36: Fix the error handling of cs35l36_i2c_probe() (Jaroslav Kysela) [2125537]
- ASoC: cs*: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: arizona: Update arizona_aif_cfg_changed to use RX_BCLK_RATE (Jaroslav Kysela) [2125537]
- ASoC: alc56*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537]
- ASoC: ak4613: cares Simple-Audio-Card case for TDM (Jaroslav Kysela) [2125537]
- ASoC: ak4613: add TDM256 support (Jaroslav Kysela) [2125537]
- ASoC: ak4613: rename constraint to constraint_rates (Jaroslav Kysela) [2125537]
- ASoC: ak4613: priv has ctrl1 instead of iface (Jaroslav Kysela) [2125537]
- ASoC: ak4613: return error if it was setup as clock provider (Jaroslav Kysela) [2125537]
- ASoC: ak4613: tidyup ak4613_interface (Jaroslav Kysela) [2125537]
- ASoC: ak4613: add missing mutex_lock() (Jaroslav Kysela) [2125537]
- ASoC: ak4642: Drop no-op remove function (Jaroslav Kysela) [2125537]
- ASoC: ak*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537]
- ASoC: ak4*: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: adau1761: Add ADAU1761-as-ADAU1361 compatibility mode (Jaroslav Kysela) [2125537]
- ASoC: ada*, ssm*: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: ab8500: Remove some leftover from the "Replace GPLv2 boilerplate/reference with SPDX" rules (Jaroslav Kysela) [2125537]
- ASoC: ad1*, ada*, ssm*: use i2c_match_id and simple i2c probe (Jaroslav Kysela) [2125537]
- ASoC: test-component: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: simple-card-utils: rename asoc_simple_init_dai_link_params() to asoc_simple_init_for_codec2codec() (Jaroslav Kysela) [2125537]
- ASoC: simple-card-utils.c: care Codec2Codec vs DPCM:BE (Jaroslav Kysela) [2125537]
- ASoC: simple-card-utils.c: ignore Codec2Codec setting if it already have (Jaroslav Kysela) [2125537]
- ASoC: simple-card-utils: Make asoc_simple_clean_reference() return void (Jaroslav Kysela) [2125537]
- ASoC: audio-graph-card2: indicate "Experimental stage" warning only when successed (Jaroslav Kysela) [2125537]
- ASoC: audio-graph-card2: Add of_node_put() in fail path (Jaroslav Kysela) [2125537]
- ASoc: audio-graph-card2: Fix refcount leak bug in __graph_get_type() (Jaroslav Kysela) [2125537]
- ASoC: audio-graph-card2.c: remove pre-alloced Codec2Codec space (Jaroslav Kysela) [2125537]
- ASoC: audio-graph-card2.c: make Codec2Codec settings optional (Jaroslav Kysela) [2125537]
- ASoC: audio-graph-card2.c: use of_property_read_u32() for rate (Jaroslav Kysela) [2125537]
- ASoC: audio_graph_card2: Fix port numbers in example (Jaroslav Kysela) [2125537]
- ASoC: audio-graph-card2-custom-sample.dtsi: add verbose explanation (Jaroslav Kysela) [2125537]
- ASoC: audio-graph-card: Add of_node_put() in fail path (Jaroslav Kysela) [2125537]
- ASoC: test-component: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_ssp_amp: remove 'set-but-not-used' warning (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_sdw: avoid oops in error handling (Jaroslav Kysela) [2125537]
- ASoC: Intel: boards: sof_sdw: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537]
- ASoC: Intel: catpt: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- ASoC: Intel: catpt: Drop redundant enum constant (Jaroslav Kysela) [2125537]
- ASoC: Intel: catpt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_ssp_amp: enable capture stream from cs35l41 (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_sdw: allow HDaudio/HDMI disable (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_sdw: add quirk for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537]
- ASoC: intel: sof_sdw: add RT711 SDCA card for MTL platform (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_pcm512x: remove unnecessary init (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_nau8825: Move quirk check to the front in late probe (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_sdw: add support for Dell SKU 0AFF (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_sdw: add support for Dell SKU 0AF0 (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_rt5682: Perform quirk check first in card late probe (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_rt5682: Add support for mtl_mx98357_rt5682 (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_rt5682: fix out-of-bounds array access (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_rt5682: remap jack pins (Jaroslav Kysela) [2125537]
- ASoC: Intel: boards: rename RTL1019 compatible driver to rt1019p (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_nau8825: remap jack pins (Jaroslav Kysela) [2125537]
- ASoC: Intel: kbl_da7219_max98927: remap jack pins (Jaroslav Kysela) [2125537]
- ALSA: x86: intel_hdmi_audio: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- ALSA: x86: intel_hdmi_audio: enable pm_runtime and set autosuspend delay (Jaroslav Kysela) [2125537]
- ASoC: xtensa: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: xtensa: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: uniphier: simplify the return expression of uniphier_aio_compr_set_params() (Jaroslav Kysela) [2125537]
- ASoC: spear: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-client: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3-topology: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-stream: test DMA buffer first in hw_params (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-stream: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-ipc: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-dsp: report error on power-up/down (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-dai: Drop misleading comment regarding dma_data (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-dai: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537]
- ASoC: Intel: fix sof_es8336 probe (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_es8336: remove hard-coded SSP selection (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_es8336: reset the num_links during probe (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_es8336: add support for HDMI_In capture (Jaroslav Kysela) [2125537]
- ASoC: Intel: common: fix typo for tplg naming (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_rt5682: Add support for adl_rt1019_rt5682s (Jaroslav Kysela) [2125537]
- ASoC: Intel: soc-acpi: add table for HP Omen 16-k0005TX (Jaroslav Kysela) [2125537]
- ASoC: Intel: soc-acpi: Add entry for sof_es8336 in ADL match table (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_es8336: ignore GpioInt when looking for speaker/headset GPIO lines (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_es8336: Fix GPIO quirks set via module option (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_da7219_max98373: remap jack pins (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_cs42l42: add adl_mx98360a_cs4242 board config (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_cs42l42: support BT offload audio (Jaroslav Kysela) [2125537]
- ASoC: Intel: sof_cs42l42: remap jack pins (Jaroslav Kysela) [2125537]
- ASoC: Intel: skl_nau88l25_ssm4567: remap jack pins (Jaroslav Kysela) [2125537]
- ASoC: Intel: skl_nau88l25_max98357a: remap jack pins (Jaroslav Kysela) [2125537]
- ASoC: Intel: kbl_rt5663_rt5514_max98927: remap jack pins (Jaroslav Kysela) [2125537]
- ASoC: Intel: kbl_rt5663_max98927: remap jack pins (Jaroslav Kysela) [2125537]
- ASoC: Intel: kbl_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537]
- ASoC: Intel: boards: hda: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537]
- ASoC: Intel: glk_rt5682_max98357a: remap jack pins (Jaroslav Kysela) [2125537]
- ASoC: Intel: cml_rt1011_rt5682: remap jack pins (Jaroslav Kysela) [2125537]
- ASoC: Intel: boards: Use temporary variable for struct device (Jaroslav Kysela) [2125537]
- ASoC: Intel: boards: reset acpi_chan_package (Jaroslav Kysela) [2125537]
- ASoC: codecs: rt298: Reorganize jack detect handling (Jaroslav Kysela) [2125537]
- ASoC: Intel: bxt_da7219_max98357a: remap jack pins (Jaroslav Kysela) [2125537]
- ASoC: Intel: hsw_rt5640: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537]
- ASoC: Intel: hsw_rt5640: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537]
- ASoC: Intel: hsw_rt5640: Improve probe() function quality (Jaroslav Kysela) [2125537]
- ASoC: Intel: hsw_rt5640: Update file comments (Jaroslav Kysela) [2125537]
- ASoC: Intel: hsw_rt5640: Update code indentation (Jaroslav Kysela) [2125537]
- ASoC: Intel: hsw_rt5640: Reword driver name (Jaroslav Kysela) [2125537]
- ASoC: Intel: hsw_rt5640: Reword prefixes of all driver members (Jaroslav Kysela) [2125537]
- ASoC: Intel: Rename haswell source file to hsw_rt5640 (Jaroslav Kysela) [2125537]
- ASoC: Intel: atom: sst_ipc: remove redundant test (Jaroslav Kysela) [2125537]
- ASoC: Intel: bdw_rt286: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537]
- ASoC: Intel: bdw_rt286: Remove FE DAI ops (Jaroslav Kysela) [2125537]
- ASoC: Intel: bdw_rt286: Improve codec_init() quality (Jaroslav Kysela) [2125537]
- ASoC: Intel: bdw_rt286: Improve hw_params() debug-ability (Jaroslav Kysela) [2125537]
- ASoC: Intel: bdw_rt286: Improve probe() function quality (Jaroslav Kysela) [2125537]
- ASoC: Intel: bdw_rt286: Update file comments (Jaroslav Kysela) [2125537]
- ASoC: Intel: bdw_rt286: Update code indentation (Jaroslav Kysela) [2125537]
- ASoC: Intel: bdw_rt286: Reword driver name (Jaroslav Kysela) [2125537]
- ASoC: Intel: bdw_rt286: Reword prefixes of all driver members (Jaroslav Kysela) [2125537]
- ASoC: Intel: Rename broadwell source file to bdw_rt286 (Jaroslav Kysela) [2125537]
- ASoC: codecs: rt286: Reorganize jack detect handling (Jaroslav Kysela) [2125537]
- ASoC: Intel: broadwell: Make broadwell_disable_jack() return void (Jaroslav Kysela) [2125537]
- ASoC: Intel: bdw_rt5677: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537]
- ASoC: Intel: bdw_rt5650: Mark BE DAI as nonatomic (Jaroslav Kysela) [2125537]
- ASoC: Intel: atom: sst_ipc: remove useless initializations (Jaroslav Kysela) [2125537]
- ASoC: Intel: atom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- ASoC: Intel: atom: sst: remove useless initialization (Jaroslav Kysela) [2125537]
- ASoC: intel: atom: Remove superfluous flush_scheduled_work() (Jaroslav Kysela) [2125537]
- ASoC: Intel: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: Intel: atom: controls: remove useless initializations (Jaroslav Kysela) [2125537]
- ASoC: Intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: Intel: skylake: skl-pcm: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- ASoC: Intel: Skylake: remove redundant re-assignments to pointer array (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: bdw: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: byt: remove duplicating driver data retrieval (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: cnl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-dai: Do snd_hdac_ext_stream_decouple() only once (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda: add sanity check on SSP index reported by NHLT (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda: only fixup topology name if not set already (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda: don't set fw_filename (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: enable dmic handling with 2 or fewer SoundWire links (Jaroslav Kysela) [2125537]
- ASoC: SOF: compress: Prevent current kernel running with older FW (Jaroslav Kysela) [2125537]
- ASoC: SOF: Copy compress parameters into extended data (Jaroslav Kysela) [2125537]
- ASoC: SOF: compress: Dynamically allocate pcm params struct (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: atom: don't keep a temporary string in fixup_tplg_name (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: add trigger callback into sdw_callback (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda: Correct the ROM/FW state reporting code (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: MTL: remove redundant snd_sof_dsp_read() call (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: mtl: Do not process IPC reply before firmware boot (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: mtl: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda: Introduce skip_imr_boot flag (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: disable IMR boot when resuming from ACPI S4 and S5 states (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: IPC4: enable IMR boot (Jaroslav Kysela) [2125537]
- ASoC: SOC: Intel: introduce cl_init callback (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: Do not (wrongly) assume positive return value in IMR path (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda: Revisit IMR boot sequence (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3-dtrace: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3-loader: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3-loader: Print out the non matching ext_man magic number (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3-topology: Fix clang -Wformat warning (Jaroslav Kysela) [2125537]
- ASoC: SOF: remove warning on ABI checks (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: Fix error code in sof_ipc4_volume_put() (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-pcm: set pcm rate to dai setting (Jaroslav Kysela) [2125537]
- ASoC: SOF: reduce default verbosity of IPC logs (Jaroslav Kysela) [2125537]
- Revert "RedHat: ASoC: SOF: Intel: do not enable IMR boot when resuming by default" (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: fix alh_group_ida max value (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: fix wrong use of sizeof in sof_ipc4_widget_setup_comp_src() (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: set domain bit based on dp domain type (Jaroslav Kysela) [2125537]
- ASoC: SOF: add ipc4 SRC module support (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: move ida allocate/free to widget_setup/free (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: free memories allocated in sof_ipc4_get_audio_fmt (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: check dai->private in ipc_free() (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: add SoundWire/ALH aggregation support (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537]
- ASoC: SOF: Compile and runtime IPC version selection (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: Align mt8186 clock names with dt-bindings (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: Fix error code in probe (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: Revise mt8195 boot flow (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: fix mt8195 StatvectorSel wrong setting (Jaroslav Kysela) [2125537]
- ASoC: SOF: pcm: use pm_resume_and_get() on component probe (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc-msg-injector: fix copy in sof_msg_inject_ipc4_dfs_write() (Jaroslav Kysela) [2125537]
- ASoC: sof: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-client-probes: Only load the driver if IPC3 is used (Jaroslav Kysela) [2125537]
- ASoC: SOF: probes: rename assign/free callbacks as startup/shutdown (Jaroslav Kysela) [2125537]
- ASoC: SOF: Add cont_update_posn to platform parameters (Jaroslav Kysela) [2125537]
- ASoC: SOF: pm: add definitions for S4 and S5 states (Jaroslav Kysela) [2125537]
- ASoC: SOF: pm: add explicit behavior for ACPI S1 and S2 (Jaroslav Kysela) [2125537]
- ASoC: SOF: debug: Clarify the IPC timeout handling path (Jaroslav Kysela) [2125537]
- ASoC: SOF: topology: remove unused variable (Jaroslav Kysela) [2125537]
- ASoC: SOF: Switch to IPC generic firmware tracing (Jaroslav Kysela) [2125537]
- ALSA: aloop: Fix random zeros in capture data when using jiffies timer (Jaroslav Kysela) [2125537]
- ALSA: Add generic serial MIDI driver using serial bus API (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Fix potential buffer overflow by snprintf() (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Use lookup table to create modules (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Update AVS_FW_INIT_TIMEOUT_US declaration (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Lower UNLOAD_MULTIPLE_MODULES IPC timeout (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Replace hardcodes with SD_CTL_STREAM_RESET (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Recognize FW_CFG_RESERVED (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Use helper function to set up DMA (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Set max DMA segment size (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Block IPC channel on suspend (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Shield LARGE_CONFIG_GETs against zero payload_size (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Copy only as many RX bytes as necessary (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Relax DSP core transition timings (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Assign I2S gateway when parsing topology (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Fix i2s_test card name initialization (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: correct config reference for I2S test board (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Fix parsing UUIDs in topology (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Add da7219 machine board (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Add max98373 machine board (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Add max98357a machine board (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Add ssm4567 machine board (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Add nau8825 machine board (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Add rt5682 machine board (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Add rt298 machine board (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Add rt286 machine board (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Add rt274 machine board (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Add I2S-test machine board (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Add DMIC machine board (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Fix build error on arc, m68k and sparc (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: APL-based platforms support (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: SKL-based platforms support (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Power management (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Machine board registration (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Replace link_mask usage with i2s_link_mask (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Event tracing (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: D0ix power state support (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Prepare for firmware tracing (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Register HDAudio ext-bus operations (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Add HDAudio machine board (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: PCI driver implementation (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Coredump and recovery flow (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Drop direct ACPI dependency (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Depend on SND_INTEL_DSP_CONFIG (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Enable AVS driver only on x86 platforms (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: HDA PCM BE operations (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: non-HDA PCM BE operations (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Generic PCM FE operations (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Generic soc component driver (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Account for libraries when booting basefw (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Configure modules according to their type (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Prepare modules before bindings them (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Arm paths after creating them (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Path state management (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Path creation and freeing (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Declare path and its components (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Support link_mask formatted string (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Add topology loading operations (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Parse path and path templates tuples (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Parse pipeline and module tuples (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Parse pplcfg and binding tuples (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Parse module-extension tuples (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Add topology parsing infrastructure (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: add MeteorLake machines (Jaroslav Kysela) [2125537]
- ASoC: Intel: avs: Declare vendor tokens (Jaroslav Kysela) [2125537]
- ASoC: SOF: dai-intel: add SOF_DAI_INTEL_SSP_CLKCTRL_MCLK_AON bit (Jaroslav Kysela) [2125537]
- uapi: sof: abi: Bump SOF ABI for ext_data_length (Jaroslav Kysela) [2125537]
- ASoC: SOF: Add two new structures for topology manifest data (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc: introduce cont_update_posn in sof_ipc_stream_params struct (Jaroslav Kysela) [2125537]
- ASoC: SOF: bump SOF_ABI_MINOR (Jaroslav Kysela) [2125537]
- ASoC: SOF: Add header for IPC4 manifest (Jaroslav Kysela) [2125537]
- ASoC: SOF: topology: add code to parse config params for ACPDMIC dai (Jaroslav Kysela) [2125537]
- ASoC: SOF: Add topology tokens for IPC4 (Jaroslav Kysela) [2125537]
- ASoC: hdac_hda: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: max98504: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: adau1372: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: cx2072x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: mt6351: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: mt6358: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: mt6359: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: mt6660: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: pcm3060: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: rt9120: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: wcd9335: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: rt700: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: rt715: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: rt715-sdca: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: rt1308-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: rt1316-sdw: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: wsa881x: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: sdw-mockup: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: lochnagar: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: lochnagar: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: tfa*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: tfa9879: Use modern ASoC DAI format terminology (Jaroslav Kysela) [2125537]
- ASoC: tlv320*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: cs*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: wm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: wm9090: Remove redundant endianness flag (Jaroslav Kysela) [2125537]
- ASoC: wm8731: Factor out the I2C and SPI bus code into separate modules (Jaroslav Kysela) [2125537]
- ASoC: wm8731: Factor our MCLK and mutex initialisation (Jaroslav Kysela) [2125537]
- ASoC: wm8731: Move regulator request into wm8731_init() (Jaroslav Kysela) [2125537]
- ASoC: wm8731: Factor component init out of bus code (Jaroslav Kysela) [2125537]
- Revert "ASoC: wm8731: Disable the regulator when probing fails" (Jaroslav Kysela) [2125537]
- ASoC: wm8731: Update to modern DAI terminology (Jaroslav Kysela) [2125537]
- ASoC: wm2000: fix missing clk_disable_unprepare() on error in wm2000_anc_transition() (Jaroslav Kysela) [2125537]
- ASoC: wm2000: Remove redundant endianness flag (Jaroslav Kysela) [2125537]
- ASoC: wm*: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: tas2764: Fix amp gain register offset & default (Jaroslav Kysela) [2125537]
- ASoC: tas2764: Correct playback volume range (Jaroslav Kysela) [2125537]
- ASoC: tas2764: Fix and extend FSYNC polarity handling (Jaroslav Kysela) [2125537]
- ASoC: tas2764: Add post reset delays (Jaroslav Kysela) [2125537]
- ASoC: tas*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: tas*: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: max98396: Fix TDM mode BSEL settings (Jaroslav Kysela) [2125537]
- ASoC: max*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: max98396: Implement DSP speaker monitor (Jaroslav Kysela) [2125537]
- ASoC: max98396: Fix register access for PCM format settings (Jaroslav Kysela) [2125537]
- ASoC: max98396: Improve some error prints (Jaroslav Kysela) [2125537]
- ASoC: max98396: add voltage regulators (Jaroslav Kysela) [2125537]
- ASoC: max98396: Fix build error for implicit function declaration (Jaroslav Kysela) [2125537]
- ASoC: max98396: add amplifier driver (Jaroslav Kysela) [2125537]
- ASoC: rt*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: rt1019: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: rt*: use simple i2c probe function (Jaroslav Kysela) [2125537]
- ASoC: fsl: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: pistachio: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: samsung: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: sunxi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: tegra: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: test-component: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: uniphier: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: ad*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: ak*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: alc*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: da*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: es*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: msm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: nau*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: pcm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: spdif: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: ssm*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: sta*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: twl*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: uda*: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: 88pm860x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: ab8500: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: ac97: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: ads117x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: bd28623: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: bt-sco: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: cpcap: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: cq93vc: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: cx20442: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: dmic: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: gtm601: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: hdac_hdmi: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: hdmi-codec: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: ics43432: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: inno_rk3036: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: isabelle: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: jz4740: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: lm49453: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: mc13783: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: ml26124: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: sgtl5000: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: si476x: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: stac9766: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: sti-sas: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: tscs42xx: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: wl1273: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: zl38060: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: fsl_micfil: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: fsl_asrc_dma: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: soc-component: Remove non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: simple-card-utils: Move snd_soc_component_is_codec to be local (Jaroslav Kysela) [2125537]
- ASoC: soc-component: Add legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: core: Add new SOC_DOUBLE_SX_TLV macro (Jaroslav Kysela) [2125537]
- ASoC: Remove unused hw_write_t type (Jaroslav Kysela) [2125537]
- ASoC: soc.h: Add SOC_SINGLE_S_EXT_TLV macro (Jaroslav Kysela) [2125537]
- ASoC: soc.h: Introduce SOC_DOUBLE_R_S_EXT_TLV() macro (Jaroslav Kysela) [2125537]
- ASoC: soc.h: Add SOC_SINGLE_S_TLV() macro (Jaroslav Kysela) [2125537]
- ALSA: hda: Skip event processing for unregistered codecs (Jaroslav Kysela) [2125537]
- ASoC: codecs: Add HD-Audio codec driver (Jaroslav Kysela) [2125537]
- ASoC: cs42l42: Move CS42L42 register descriptions to general include (Jaroslav Kysela) [2125537]
- ASoC: cs35l41: Read System Name from ACPI _SUB to identify firmware (Jaroslav Kysela) [2125537]
- ASoC: cs35l41: Add common cs35l41 enter hibernate function (Jaroslav Kysela) [2125537]
- ASoC: cs35l41: Move cs35l41 exit hibernate function into shared code (Jaroslav Kysela) [2125537]
- ASoC: cs35l41: Move cs_dsp config struct into shared code (Jaroslav Kysela) [2125537]
- ASoC: cs35l41: Move cs35l41 fs errata into shared code (Jaroslav Kysela) [2125537]
- ASoC: cs35l41: Move cs35l41_set_cspl_mbox_cmd to shared code (Jaroslav Kysela) [2125537]
- ASoC: cs35l41: Add endianness flag in snd_soc_component_driver (Jaroslav Kysela) [2125537]
- ASoC: cs35l41: Support external boost (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: Add support for MeteorLake (MTL) (Jaroslav Kysela) [2125537]
- treewide: Replace zero-length arrays with flexible-array members (Jaroslav Kysela) [2125537]
- ASoC: SOF: add definitions for different IPC types (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-dai: enhance debug messages (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3-dtrace: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- ASoC: SOF: IPC4: add sdw blob (Jaroslav Kysela) [2125537]
- AsoC: SOF: ipc4-topology: Add dai_get_clk op (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: Add support for SSP/DMIC DAI's (Jaroslav Kysela) [2125537]
- ASoC: SOF: Add a new IPC op for parsing topology manifest (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda: init NHLT for IPC4 (Jaroslav Kysela) [2125537]
- ASoC: SOF: Add ops_free (Jaroslav Kysela) [2125537]
- ASoC: SOF: IPC4: set the BE DAI ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-pcm: Expose sof_ipc4_set_pipeline_state() (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: Add the dai_config op (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: Add route_setup/route_free ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: Add widget_setup/widget_free ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: IPC4: Add pcm ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: Add control IO ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: Add control_setup op (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: Add support for parsing mixer widgets (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: Add support for parsing and preparing pga widgets (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: Add prepare op for DAI type widgets (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: Add prepare op for AIF type widgets (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: Add support for parsing DAI_IN/DAI_OUT widgets (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4-topology: Add support for parsing AIF_IN/AIF_OUT widgets (Jaroslav Kysela) [2125537]
- ASoC: SOF: IPC4: Introduce topology ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3-dtrace: Return from dtrace_read if there is no new data available (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3-dtrace: Add helper function to update the sdev->host_offset (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3-dtrace: Introduce SOF_DTRACE_INITIALIZING state (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4: implement pm ctx_save callback (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: Switch to use the generic pm_ops.set_core_state (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537]
- ASoC: SOF: Add initial header file for ipc4 (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3: Add set_core_state pm_ops implementation (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof_ipc_pm_ops: Add support for DSP core power management (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: mt8195: Import namespace SND_SOC_SOF_MTK_COMMON (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: mt8195 suspend check dsp idle (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: mt8195 modify dram type as non-cache (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: Add shared_size for mediatek common chip information (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: revise mt8195 clock sequence (Jaroslav Kysela) [2125537]
- ASoC: SOF: amd: Add SOF pm ops callback for Renoir (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc-msg-injector: Fix reversed if statement (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc-msg-injector: Propagate write errors correctly (Jaroslav Kysela) [2125537]
- ASoC: SOF: Fix potential NULL pointer dereference (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-client-ipc-flood-test: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: remove duplicate include in mt8195.c (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: Add mt8195 debug dump (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: Add mediatek common debug dump (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3-dtrace: Move dtrace related variables local from sof_dev (Jaroslav Kysela) [2125537]
- ASoC: SOF: Introduce opaque storage of private data for firmware tracing (Jaroslav Kysela) [2125537]
- ASoC: SOF: Modify the host trace_init parameter list to include dmab (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3-dtrace: Move host ops wrappers from generic header to private (Jaroslav Kysela) [2125537]
- ASoC: SOF: Clone the trace code to ipc3-dtrace as fw_tracing implementation (Jaroslav Kysela) [2125537]
- ASoC: SOF: Rename dtrace_is_supported flag to fw_trace_is_supported (Jaroslav Kysela) [2125537]
- ASoC: SOF: Introduce IPC independent ops for firmware tracing support (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc-msg-injector: Cap the rmaining to count in IPC4 mode (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: Add mt8186 ipc support (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: Add ipc support for mt8195 (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: HDA: Set up sof_ipc4_fw_data for IPC4 (Jaroslav Kysela) [2125537]
- ASoC: SOF: IPC4: Add FW loader ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: Add IPC4 private header (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: HDA: Set IPC4-specific DSP ops for CaVS platforms (Jaroslav Kysela) [2125537]
- ASoC: SOF: trace: The dtrace is only available with SOF_IPC (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-client: IPC flood test can only work with SOF_IPC (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc-msg-injector: Add support for IPC4 messages (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc-msg-injector: Separate the message sending (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-client: Add support IPC4 message sending (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-client: Add API to get the ipc_type (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-client-probes: Query the maximum IPC payload size (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc-msg-injector: Query the maximum IPC payload size (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-client: Add API to get the maximum IPC payload size (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc4: Add support for mandatory message handling functionality (Jaroslav Kysela) [2125537]
- ASoC: SOF: Add rx_data pointer to snd_sof_ipc_msg struct (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3: Remove the ipc3-ops.h header file (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-pci-dev: fix missing pci_release_regions() on error in sof_pci_probe() (Jaroslav Kysela) [2125537]
- ASoC: SOF: loader: Call optional query_fw_configuration on first boot (Jaroslav Kysela) [2125537]
- ASoC: SOF: loader: Remove snd_sof_parse_module_memcpy() as it is not used (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: mt8195: Do not set the load_module ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: bdw/byt/pci-tng: Do not set the load_module ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: imx: Do not set the load_module ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: amd: renoir: Do not set the load_module ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: loader: Switch to use the fw_loader ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc: Add check for fw_loader ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3-loader: Implement firmware parsing and loading (Jaroslav Kysela) [2125537]
- ASoC: SOF: Introduce IPC dependent ops for firmware handling, loading (Jaroslav Kysela) [2125537]
- ASoC: SOF: Add a prepare op to IPC topology widget ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: clarify use of widget complete flag (Jaroslav Kysela) [2125537]
- ASoC: SOF: topology: Skip parsing DAI link tokens if not needed (Jaroslav Kysela) [2125537]
- ASoC: SOF: pcm: remove unnecessary function declaration (Jaroslav Kysela) [2125537]
- ASoC: SOF: Add two new fields to struct snd_sof_widget (Jaroslav Kysela) [2125537]
- ASoC: SOF: Add a route_free op in struct sof_ipc_tplg_ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: expose a couple of functions (Jaroslav Kysela) [2125537]
- ASoC: SOF: pcm: Move the call to snd_sof_pcm_platform_hw_params() (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-audio: Set up widgets from source to sink (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-audio: reset route status before freeing widget (Jaroslav Kysela) [2125537]
- ASoC: SOF: Add a new op to set up volume table (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: Fix allyesconfig build error (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: mt8195 change ipc timeout as 1 second (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-client-ipc-msg-injector: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- ASoC: SOF: debug: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- ASoC: SOF: control: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: Add DSP system PM callback for mt8186 (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: Add mt8186 dsp clock support (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: Add mt8186 sof fw loader and dsp ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: Add mt8186 hardware support (Jaroslav Kysela) [2125537]
- ASoC: SOF: loader: Remove the old fw_ready related code (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: Do not set fw_ready callback (Jaroslav Kysela) [2125537]
- ASoC: SOF: imx: Do not set fw_ready callback (Jaroslav Kysela) [2125537]
- ASoC: SOF: amd: Do not set fw_ready callback (Jaroslav Kysela) [2125537]
- ASoC: SOF: Do not check for the fw_ready callback (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3: Add local implementation for handling fw_ready message (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-dai: protect hw_params against successive calls (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: add helper for link DMA cleanups (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-dai: reset dma_data and release stream (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-dai: improve suspend case (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-dai: move code to deal with hda dai/dailink suspend (Jaroslav Kysela) [2125537]
- ASOC: SOF: Intel: hda-dai: add hda_dai_hw_free_ipc() helper (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-audio: flag errors on pipeline teardown (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-dai: regroup dai and link DMA operations (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-dai: split link DMA and dai operations (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-dai: use snd_soc_dai_get_widget() helper (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-dai: simplify hda_dai_widget_update() prototype (Jaroslav Kysela) [2125537]
- ASOC: SOF: Intel: hda-dai: consistent naming for HDA DAI and HDA link DMA (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: Add IPC-specific dai ops for IPC3 (Jaroslav Kysela) [2125537]
- ASoC: SOF: remove incorrect clearing of prepared flag (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda: simplify NHLT handling (Jaroslav Kysela) [2125537]
- ASoC: SOF: topology: Check w->sname validity once in sof_connect_dai_widget() (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-audio: remove useless assignment (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: pci-tgl: add RPL-P support (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: pci-tgl: add ADL-PS support (Jaroslav Kysela) [2125537]
- ASOC: SOF: pci: add ipc_type override for Intel IPC4 tests (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-pci-dev: don't use the community key on APL Chromebooks (Jaroslav Kysela) [2125537]
- ASoC: SOF: amd: Use dedicated MBOX for ACP and PSP communication (Jaroslav Kysela) [2125537]
- ASoC: SOF: amd: Add psp_mbox_ready() and psp_send_cmd() callback (Jaroslav Kysela) [2125537]
- ASoC: SOF: using pm_runtime_resume_and_get to simplify the code (Jaroslav Kysela) [2125537]
- ASoC: SOF: Kconfig: Make SND_SOC_SOF_HDA_PROBES tristate (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: add IP identifier (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda: expose some codeloader functions (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda: Define rom_status_reg in sof_intel_dsp_desc (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda: define check_ipc_irq op (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda: use BIT() macros for consistency (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: move HDA_CL_STREAM_FORMAT definition to header (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda: use common ops across platforms (Jaroslav Kysela) [2125537]
- ASoC: SOF: remove const qualifier for 'struct snd_sof_dsp_ops' (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-audio: preserve topology filename override in nocodec mode (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-pci-dev: add parameter to override topology filename (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-pci-dev: add parameter to override firmware filename (Jaroslav Kysela) [2125537]
- ASoC: SOF: add IPC-dependent file names (Jaroslav Kysela) [2125537]
- ASoC: SOF: add default IPC capability and file paths (Jaroslav Kysela) [2125537]
- ASoC: SOF: add path indirection to each IPC type (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: Check the bar size before remapping (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc: Move the ipc_set_get_comp_data() local to ipc3-control (Jaroslav Kysela) [2125537]
- ASoC: SOF: sof-audio: Use the widget_kcontrol_setup ops for kcontrol set up (Jaroslav Kysela) [2125537]
- ASoC: SOF: Add widget_kcontrol_setup control ops for IPC3 (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc: Switch over to use the rx_msg ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc: Switch over to use the tx_msg and set_get_data ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc: Use the get_reply ops in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc: Add check for mandatory IPC message handling ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc: Separate the ops checks by functions/topics (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3: Implement rx_msg IPC ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3: Implement the get_reply IPC ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3: Implement the set_get_data IPC ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3: Use sof_ipc3_tx_msg() internally for message sending (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc3: Implement the tx_msg IPC ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: Add high level IPC IO callback definitions to ipc_ops (Jaroslav Kysela) [2125537]
- ASoC: SOF: Add helper function to prepare and send an IPC message (Jaroslav Kysela) [2125537]
- ASoC: SOF: mediatek: mt8195 add shutdown callback (Jaroslav Kysela) [2125537]
- ASoC: SOF: OF: Add shutdown callback for SOF OF device (Jaroslav Kysela) [2125537]
- ASoC: SOF: topology: Avoid open coded arithmetic in memory allocation (Jaroslav Kysela) [2125537]
- ASoC: SOF: topology: use new sound control LED layer (Jaroslav Kysela) [2125537]
- ASoC: SOF: Remove redundant return statements (Jaroslav Kysela) [2125537]
- ASoC: SOF: Remove header from struct snd_sof_ipc_msg (Jaroslav Kysela) [2125537]
- ASoC: SOF: Drop 'header' parameter from tx_message() API (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc: Use msg->reply_data directly in snd_sof_ipc_get_reply() (Jaroslav Kysela) [2125537]
- ASoC: SOF: disable dma trace in s0ix (Jaroslav Kysela) [2125537]
- ASoC: SOF: extend the interface to stop DMA trace (Jaroslav Kysela) [2125537]
- ASoC: SOF: Drop support for mapped control data (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc: Use ipc->max_payload_size for message/reply size checking (Jaroslav Kysela) [2125537]
- ASoC: SOF: ipc: Add max_payload_size field in struct snd_sof_ipc (Jaroslav Kysela) [2125537]
- ASoC: SOF: Remove dmab and dmab_bdl from snd_sof_dev struct (Jaroslav Kysela) [2125537]
- ASoC: SOF: Intel: hda-loader: Use local snd_dma_buffer (Jaroslav Kysela) [2125537]
- ASoC: amd: acp: add missing platform_device_unregister() in acp_pci_probe() (Jaroslav Kysela) [2125537]
- ASoC: amd: acp: Fix initialization of ext_intr_stat1 in i2s_irq_handler() (Jaroslav Kysela) [2125537]
- ASoC: amd: vangogh: Use non-legacy DAI naming for cs35l41 (Jaroslav Kysela) [2125537]
- ASoC: amd: enable RPL Platform acp drivers build (Jaroslav Kysela) [2125537]
- ASoC: amd: add RPL Platform pci driver pm-ops (Jaroslav Kysela) [2125537]
- ASoC: amd: add RPL Platform init/de-init functions (Jaroslav Kysela) [2125537]
- ASoC: amd: add ACP PCI driver for RPL platform (Jaroslav Kysela) [2125537]
- ASoC: amd: add RPL Platform acp header file (Jaroslav Kysela) [2125537]
- ASoC: amd: acp: Add error handling cases (Jaroslav Kysela) [2125537]
- ASoC: amd: acp: Modify const resource struct variable to generic (Jaroslav Kysela) [2125537]
- ASoC: amd: acp: Drop superfluous mmap callback (Jaroslav Kysela) [2125537]
- ASoC: amd: acp: Modify local variables name to generic (Jaroslav Kysela) [2125537]
- ASoC: amd: yc: Decrease level of error message (Jaroslav Kysela) [2125537]
- ASoC: amd: yc: add new YC platform varaint support (Jaroslav Kysela) [2125537]
- ASoC: amd: fix Jadeite kconfig warning and build errors (Jaroslav Kysela) [2125537]
- ASoC: amd: Fix error pointer dereference (Jaroslav Kysela) [2125537]
- ASoC: amd: acp: Add legacy audio driver support for Rembrandt platform (Jaroslav Kysela) [2125537]
- ASoC: amd: acp: ACP code generic to support newer platforms (Jaroslav Kysela) [2125537]
- ASoC: amd: acp: Remove rt1019_1 codec conf from machine driver (Jaroslav Kysela) [2125537]
- ASoC: amd: Remove duplicated include in acp-es8336.c (Jaroslav Kysela) [2125537]
- ASoC: amd: fix for variable set but not used warning (Jaroslav Kysela) [2125537]
- ASoC: amd: drop machine driver remove function (Jaroslav Kysela) [2125537]
- ASoC: amd: remove unused header file inclusion (Jaroslav Kysela) [2125537]
- ASoC: amd: acp-es8336: use static variables (Jaroslav Kysela) [2125537]
- ASoC: amd: fix ACPI dependency compile errors and warnings (Jaroslav Kysela) [2125537]
- ASoC: amd: enable machine driver build for Jadeite platform (Jaroslav Kysela) [2125537]
- ASoC: amd: add Machine driver for Jadeite platform (Jaroslav Kysela) [2125537]
- ASoC: amd: add I2S MICSP instance support (Jaroslav Kysela) [2125537]
- ASoC: amd: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: amd: acp: Fix error handling in .remove() (Jaroslav Kysela) [2125537]
- ASoC: amd: acp: fix typo in comment (Jaroslav Kysela) [2125537]
- ASoC: amd: acp: Add support for rt5682s and rt1019 card with hs instance (Jaroslav Kysela) [2125537]
- ASoC: amd: acp: Add support for nau8825 and max98360 card (Jaroslav Kysela) [2125537]
- ASoC: amd: vangogh: Rename set_fmt_new back to set_fmt (Jaroslav Kysela) [2125537]
- ASoC: amd: vangogh: Update to use set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver. (Jaroslav Kysela) [2125537]
- ASoC: amd: acp: Set Speaker enable/disable pin through rt1019 codec driver (Jaroslav Kysela) [2125537]
- ASoC: amd: vangogh: Remove duplicate include files (Jaroslav Kysela) [2125537]
- ASoC: amd: acp: Add pm ops callback in machine driver (Jaroslav Kysela) [2125537]
- ASoC: soc-utils: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: topology: Drop superfluous check of CONFIG_SND_CTL_VALIDATION (Jaroslav Kysela) [2125537]
- ASoC: topology: Rename soc_tplg_init_kcontrol() function (Jaroslav Kysela) [2125537]
- ASoC: topology: Correct error message (Jaroslav Kysela) [2125537]
- ASoC: topology: Rename SOC_TPLG_PASS_MIXER to _CONTROL (Jaroslav Kysela) [2125537]
- ASoC: topology: Return bool instead of int (Jaroslav Kysela) [2125537]
- ASoC: topology: Remove unnecessary looping (Jaroslav Kysela) [2125537]
- ASoC: topology: Use for loop instead of while (Jaroslav Kysela) [2125537]
- ASoC: topology: KUnit: Remove now redundant non_legacy_dai_naming flag (Jaroslav Kysela) [2125537]
- ASoC: topology: KUnit: Followup prototype change of snd_soc_unregister_card() (Jaroslav Kysela) [2125537]
- ASoC: DPCM: Don't pick up BE without substream (Jaroslav Kysela) [2125537]
- ASoC: soc-pcm: demote warnings on non-atomic BE connection (Jaroslav Kysela) [2125537]
- ASoC: soc-pcm: fix BE transition for TRIGGER_START (Jaroslav Kysela) [2125537]
- ASoC: soc-pcm: improve BE transition for TRIGGER_START (Jaroslav Kysela) [2125537]
- ASoC: soc-pcm: improve BE transition for PAUSE_RELEASE (Jaroslav Kysela) [2125537]
- ASoC: ops: Fix integer detection for when max possible values > 1 (Jaroslav Kysela) [2125537]
- ASoC: ops: Fix boolean/integer detection for simple controls (Jaroslav Kysela) [2125537]
- ASoC: ops: Don't modify the driver's plaform_max when reading state (Jaroslav Kysela) [2125537]
- ASoC: ops: Remove unneeded delay.h inclusion (Jaroslav Kysela) [2125537]
- ASoC: ops: Clarify snd_soc_info_volsw_sx() (Jaroslav Kysela) [2125537]
- ASoC: soc-generic-dmaengine-pcm: Use default config when none is given (Jaroslav Kysela) [2125537]
- ASoC: dapm: Move stereo autodisable check (Jaroslav Kysela) [2125537]
- ASoC: soc-dai: Remove set_fmt_new callback (Jaroslav Kysela) [2125537]
- ASoC: soc-core.c: share code for snd_soc_of_get_dai_link_cpus/codecs() (Jaroslav Kysela) [2125537]
- ASoC: soc-core.c: fixup snd_soc_of_get_dai_link_cpus() (Jaroslav Kysela) [2125537]
- ASoC: core: Switch core to new DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: core: Make snd_soc_unregister_card() return void (Jaroslav Kysela) [2125537]
- ASoC: core: Always send the CPU DAI a direct clock specifier (Jaroslav Kysela) [2125537]
- ASoC: core: Add set_fmt_new callback that directly specifies provider (Jaroslav Kysela) [2125537]
- ASoC: core: Pass legacy_dai_naming flag directly (Jaroslav Kysela) [2125537]
- ASoC: core: Correct spelling fliped -> flipped (Jaroslav Kysela) [2125537]
- ASoC: soc-core: remove always-false path (Jaroslav Kysela) [2125537]
- ASoC: Introduce snd_soc_of_get_dai_link_cpus (Jaroslav Kysela) [2125537]
- ASoC: soc-component: Update handling to component delays (Jaroslav Kysela) [2125537]
- ASoC: Introduce 'fixup_controls' card method (Jaroslav Kysela) [2125537]
- ASoC: soc-card: Create jack kcontrol without pins (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8195: Make sure of_device_id table are NULL terminated (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8195: add machine support for max98390 and rt5682 (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8195: rename card controls (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8195: merge machine driver (Jaroslav Kysela) [2125537]
- ASoC: mediatek: mt8195: revise mt8195-mt6359-rt1019-rt5682.c (Jaroslav Kysela) [2125537]
- ALSA: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537]
- Revert "ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations" (Jaroslav Kysela) [2125537]
- ALSA: hda: beep: Simplify keep-power-at-enable behavior (Jaroslav Kysela) [2125537]
- ALSA: hda/hdmi: Don't skip notification handling during PM operation (Jaroslav Kysela) [2125537]
- ALSA: hda/hdmi: Limit the maximal count of PCM devices to 8 (Jaroslav Kysela) [2125537]
- ALSA: hda/hdmi: ELD procfs - print the codec NIDs (Jaroslav Kysela) [2125537]
- ALSA: hda/hdmi: Simplify the pcm_idx condition in hdmi_pcm_setup_pin() (Jaroslav Kysela) [2125537]
- ALSA: hda/hdmi: Fix the converter allocation for the silent stream (Jaroslav Kysela) [2125537]
- ALSA: hda/hdmi: change type for the 'assigned' variable (Jaroslav Kysela) [2125537]
- ALSA: hda: intel-nhlt: Move structs out of #define block (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Add a quirk for HP OMEN 16 (8902) mute LED (Jaroslav Kysela) [2125537]
- ALSA: hda/hdmi: Fix the converter reuse for the silent stream (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Add quirk for ASUS GA503R laptop (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Add pincfg for ASUS G533Z HP jack (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Add pincfg for ASUS G513 HP jack (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Re-arrange quirk table entries (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5530 laptop (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5570 laptop (Jaroslav Kysela) [2125537]
- ALSA: hda: Fix Nvidia dp infoframe (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Add quirk for Huawei WRT-WX9 (Jaroslav Kysela) [2125537]
- ALSA: hda/tegra: set depop delay for tegra (Jaroslav Kysela) [2125537]
- ALSA: hda: add Intel 5 Series / 3400 PCI DID (Jaroslav Kysela) [2125537]
- ALSA: hda: Fix hang at HD-audio codec unbinding due to refcount saturation (Jaroslav Kysela) [2125537]
- ALSA: emu10k1: Fix out of bounds access in snd_emu10k1_pcm_channel_alloc() (Jaroslav Kysela) [2125537]
- ALSA: hda/tegra: Align BDL entry to 4KB boundary (Jaroslav Kysela) [2125537]
- ALSA: hda/sigmatel: Fix unused variable warning for beep power change (Jaroslav Kysela) [2125537]
- ALSA: hda/sigmatel: Keep power up while beep is enabled (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Add speaker AMP init for Samsung laptops with ALC298 (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Add quirk for Clevo NS50PU, NS70PU (Jaroslav Kysela) [2125537]
- ALSA: hda/cs8409: Support new Dolphin Variants (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Add quirk for Lenovo Yoga7 14IAL7 (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Clarify support for CSC3551 without _DSD Properties (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Add quirks for ASUS Zenbooks using CS35L41 (Jaroslav Kysela) [2125537]
- ALSA: hda: Fix crash due to jack poll in suspend (Jaroslav Kysela) [2125537]
- ALSA: hda/cirrus - support for iMac 12,1 model (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Add a quirk for HP OMEN 15 (8786) mute LED (Jaroslav Kysela) [2125537]
- ALSA: hda/conexant: Add quirk for LENOVO 20149 Notebook model (Jaroslav Kysela) [2125537]
- ALSA: ice1712: remove redundant assignment to new (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Add quirk for another Asus K42JZ model (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Add quirk for HP Spectre x360 15-eb0xxx (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Add quirk for Clevo NV45PZ (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Add quirk for Lenovo Yoga9 14IAP7 (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Support CLSA0101 (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Use the CS35L41 HDA internal define (Jaroslav Kysela) [2125537]
- ALSA: hda/realtek: Enable speaker and mute LEDs for HP laptops (Jaroslav Kysela) [2125537]
- ALSA: asihpi: fix repeated words in comments (Jaroslav Kysela) [2125537]
- ALSA: asihpi: Fix typo in comments (Jaroslav Kysela) [2125537]
- ALSA: emu10k1: Fix typo in comments (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Add module parameter to control firmware load (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Support Firmware switching and reloading (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Add defaulted values into dsp bypass config sequence (Jaroslav Kysela) [2125537]
- ALSA: hda: hda_cs_dsp_ctl: Add fw id strings (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Read Speaker Calibration data from UEFI variables (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Support Hibernation during Suspend (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Support Speaker ID for laptops (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Support multiple load paths for firmware (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Support reading subsystem id from ACPI (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Save Subsystem ID inside CS35L41 Driver (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Add initial DSP support and firmware loading (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Save codec object inside component struct (Jaroslav Kysela) [2125537]
- ALSA: hda: hda_cs_dsp_ctl: Add apis to write the controls directly (Jaroslav Kysela) [2125537]
- ALSA: hda: hda_cs_dsp_ctl: Add Library to support CS_DSP ALSA controls (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Consolidate selections under SND_HDA_SCODEC_CS35L41 (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Drop wrong use of ACPI_PTR() (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Allow compilation test on non-ACPI configurations (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Don't dereference fwnode handle (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Improve dev_err_probe() messaging (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Fix comments wrt serial-multi-instantiate reference (Jaroslav Kysela) [2125537]
- ALSA: hda: Fix put_device() inconsistency in error path (Jaroslav Kysela) [2125537]
- ALSA: hda: Make device usage_count consistent across subsequent probing (Jaroslav Kysela) [2125537]
- ALSA: hda: Fix null-ptr-deref when i915 fails and hdmi is denylisted (Jaroslav Kysela) [2125537]
- ALSA: hda/cs8409: change cs8409_fixups v.pins initializers to static (Jaroslav Kysela) [2125537]
- ASoC: doc: Update dead links (Jaroslav Kysela) [2125537]
- ALSA: hda/via: Delete does not require return (Jaroslav Kysela) [2125537]
- ALSA: ctxfi: fix typo in comment (Jaroslav Kysela) [2125537]
- ALSA: cs5535audio: fix typo in comment (Jaroslav Kysela) [2125537]
- ALSA: lola: Bounds check loop iterator against streams array size (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Add Amp Name based on channel and index (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Enable GPIO2 Interrupt for CLSA0100 laptops (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Add Support for Interrupts (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Remove Set Channel Map api from binding (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Set Speaker Position for CLSA0100 Laptop (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Fix error in spi cs35l41 hda driver name (Jaroslav Kysela) [2125537]
- ALSA: hda/cs8409: Add Speaker Playback Switch for Warlock (Jaroslav Kysela) [2125537]
- ALSA: hda/cs8409: Add Speaker Playback Switch for Cyborg (Jaroslav Kysela) [2125537]
- ALSA: hda/cs8409: Support new Odin Variants (Jaroslav Kysela) [2125537]
- ALSA: hda/cs8409: Support manual mode detection for CS42L42 (Jaroslav Kysela) [2125537]
- ALSA: hda/cs8409: Use general cs42l42 include in cs8409 hda driver (Jaroslav Kysela) [2125537]
- ALSA: hdsp: remove redundant assignment to pointer kctl (Jaroslav Kysela) [2125537]
- ALSA: hda/conexant: add a new hda codec SN6140 (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Move external boost handling to lib for ASoC use (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Handle all external boost setups the same way (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Reorganize log for playback actions (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Remove cs35l41_hda_reg_sequence struct (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Move boost config to initialization code (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Mute the device before shutdown (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Put the device into safe mode for external boost (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Add Boost type flag (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Always configure the DAI (Jaroslav Kysela) [2125537]
- ALSA: hda: cs35l41: Fix I2S params comments (Jaroslav Kysela) [2125537]
- ALSA: cs35l41: Move cs35l41_gpio_config to shared lib (Jaroslav Kysela) [2125537]
- ALSA: cs35l41: Check hw_config before using it (Jaroslav Kysela) [2125537]
- ALSA: cs35l41: Unify hardware configuration (Jaroslav Kysela) [2125537]
- ALSA: hda/tegra: Enable Jack poll for tegra (Jaroslav Kysela) [2125537]
- ALSA: hda: Jack detection poll in suspend state (Jaroslav Kysela) [2125537]
- ALSA: echoaudio: remove redundant assignment to variable i (Jaroslav Kysela) [2125537]
- ALSA: emu10k1: Stop using iommu_present() (Jaroslav Kysela) [2125537]
- ALSA: hda: intel-dsp-config: add missing RaptorLake PCI IDs (Jaroslav Kysela) [2125537]
- ALSA: hda: intel-nhlt: Correct the handling of fmt_config flexible array (Jaroslav Kysela) [2125537]
- ALSA: hda: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537]
- ALSA: hda: Remove unused macro definition (Jaroslav Kysela) [2125537]
- ALSA: hda: Reset all SIE bits in INTCTL (Jaroslav Kysela) [2125537]
- tracing: ALSA: hda: Remove string manipulation out of the fast path (Jaroslav Kysela) [2125537]
- ALSA: hda: intel-nhlt: remove use of __func__ in dev_dbg (Jaroslav Kysela) [2125537]
- ALSA: hda: intel-dspcfg: use SOF for UpExtreme and UpExtreme11 boards (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: Properly refcounting clock rate (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: Clear fixed clock rate at closing EP (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: Fix an out-of-bounds bug in __snd_usb_parse_audio_interface() (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: Register card again for iface over delayed_register option (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: Inform the delayed registration more properly (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: Add quirk for LH Labs Geek Out HD Audio 1V5 (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: make read-only array marker static const (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: More comprehensive mixer map for ASUS ROG Zenith II (Jaroslav Kysela) [2125537]
- ALSA: scarlett2: Add Focusrite Clarett+ 8Pre support (Jaroslav Kysela) [2125537]
- ALSA: line6: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537]
- ALSA: hiface: fix repeated words in comments (Jaroslav Kysela) [2125537]
- ALSA: usb/6fire: fix repeated words in comments (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: Add quirk for Behringer UMC202HD (Jaroslav Kysela) [2125537]
- ALSA: bcd2000: Fix a UAF bug on the error path of probing (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: Use atomic_try_cmpxchg in ep_state_update (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: Add endianness annotations (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: Turn off 'manual mode' on Dell dock (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: Support jack detection on Dell dock (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: US16x08: Move overflow check before array access (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: Add mixer mapping for Gigabyte B450/550 Mobos (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: Fix wrong kfree issue in snd_usb_endpoint_free_all (Jaroslav Kysela) [2125537]
- ALSA: usb-audio: Refcount multiple accesses on the single clock (Jaroslav Kysela) [2125537]
- firmware: cs_dsp: Add memory chunk helpers (Jaroslav Kysela) [2125537]
- firmware: cs_dsp: Add pre_stop callback (Jaroslav Kysela) [2125537]
- regmap: Constify static regmap_bus structs (Jaroslav Kysela) [2125537]
- soundwire: peripheral: remove useless ops pointer (Jaroslav Kysela) [2125537]
- soundwire: intel: use pm_runtime_resume() on component probe (Jaroslav Kysela) [2125537]
- soundwire: qcom: fix device status array range (Jaroslav Kysela) [2125537]
- soundwire: qcom: remove duplicate reset control get (Jaroslav Kysela) [2125537]
- soundwire: Intel: add trigger callback (Jaroslav Kysela) [2125537]
- soundwire: qcom: Enable software clock gating requirement flag (Jaroslav Kysela) [2125537]
- soundwire: qcom: Check device status before reading devid (Jaroslav Kysela) [2125537]
- soundwire: qcom: Add flag for software clock gating check (Jaroslav Kysela) [2125537]
- soundwire: revisit driver bind/unbind and callbacks (Jaroslav Kysela) [2125537]
- soundwire: bus_type: fix remove and shutdown support (Jaroslav Kysela) [2125537]
- soundwire: intel: Migrate to new style legacy DAI naming flag (Jaroslav Kysela) [2125537]
- ASoC: qcom: soundwire: Add support for controlling audio CGCR from HLOS (Jaroslav Kysela) [2125537]
- soundwire: qcom: adjust autoenumeration timeout (Jaroslav Kysela) [2125537]
- soundwire: qcom: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- soundwire: intel: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- soundwire: cadence: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- soundwire: bus: use pm_runtime_resume_and_get() (Jaroslav Kysela) [2125537]
- soundwire: qcom: return error when pm_runtime_get_sync fails (Jaroslav Kysela) [2125537]
- soundwire: bus: pm_runtime_request_resume on peripheral attachment (Jaroslav Kysela) [2125537]
- soundwire: intel: disable WAKEEN in pm_runtime resume (Jaroslav Kysela) [2125537]
- soundwire: cadence: recheck device0 attachment after status change (Jaroslav Kysela) [2125537]
- soundwire: qcom: Add compatible name for v1.6.0 (Jaroslav Kysela) [2125537]
- soundwire: stream: Fix error return code in do_bank_switch() (Jaroslav Kysela) [2125537]
- soundwire: qcom: fix an error message in swrm_wait_for_frame_gen_enabled() (Jaroslav Kysela) [2125537]
- soundwire: Replace acpi_bus_get_device() (Jaroslav Kysela) [2125537]
- ALSA: Add snd_pcm_direction_name() helper (Jaroslav Kysela) [2125537]
- ALSA: core: Fix double-free at snd_card_new() (Jaroslav Kysela) [2125537]
- ALSA: hda: Once again fix regression of page allocations with IOMMU (Jaroslav Kysela) [2125537]
- ALSA: pcm: oss: Fix race at SNDCTL_DSP_SYNC (Jaroslav Kysela) [2125537]
- ALSA: control: Re-order bounds checking in get_ctl_id_hash() (Jaroslav Kysela) [2125537]
- ALSA: control: Fix an out-of-bounds bug in get_ctl_id_hash() (Jaroslav Kysela) [2125537]
- ALSA: seq: Fix data-race at module auto-loading (Jaroslav Kysela) [2125537]
- ALSA: seq: oss: Fix data-race for max_midi_devs access (Jaroslav Kysela) [2125537]
- ALSA: memalloc: Revive x86-specific WC page allocations again (Jaroslav Kysela) [2125537]
- ALSA: info: Fix llseek return value when using callback (Jaroslav Kysela) [2125537]
- ALSA: pcm: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537]
- ALSA: core: Replace scnprintf() with sysfs_emit() (Jaroslav Kysela) [2125537]
- ALSA: control-led: Replace sprintf() with sysfs_emit() (Jaroslav Kysela) [2125537]
- ALSA: control: Use deferred fasync helper (Jaroslav Kysela) [2125537]
- ALSA: pcm: Use deferred fasync helper (Jaroslav Kysela) [2125537]
- ALSA: timer: Use deferred fasync helper (Jaroslav Kysela) [2125537]
- ALSA: core: Add async signal helpers (Jaroslav Kysela) [2125537]
- ALSA: core: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537]
- ALSA: memalloc: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537]
- ALSA: control: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537]
- ALSA: compress: Fix kernel doc warnings (Jaroslav Kysela) [2125537]
- ALSA: dmaengine: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537]
- ALSA: pcm: Fix missing return value comments for kernel docs (Jaroslav Kysela) [2125537]
- ALSA: compress: Enable kernel doc markers for some functions (Jaroslav Kysela) [2125537]
- ALSA: rawmidi: Take buffer refcount while draining output (Jaroslav Kysela) [2125537]
- ALSA: rawmidi: Check stream state at exported functions (Jaroslav Kysela) [2125537]
- ALSA: rawmidi: Take open_mutex around parameter changes (Jaroslav Kysela) [2125537]
- ALSA: rawmidi: Move lock to snd_rawmidi_substream (Jaroslav Kysela) [2125537]
- ALSA: rawmidi: Make internal functions local static (Jaroslav Kysela) [2125537]
- ALSA: control: Add input validation (Jaroslav Kysela) [2125537]
- ALSA: control: Drop superfluous ifdef CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537]
- ALSA: control: Rename CONFIG_SND_CTL_VALIDATION to CONFIG_SND_CTL_DEBUG (Jaroslav Kysela) [2125537]
- ALSA: control: Use xarray for faster lookups (Jaroslav Kysela) [2125537]
- ALSA: seq: replace ternary operator with max() (Jaroslav Kysela) [2125537]
Resolves: rhbz#2125537

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-08 23:17:42 +00:00
Herton R. Krzesinski
0ef69dbd46 kernel-5.14.0-208.el9
* Wed Dec 07 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-208.el9]
- selftests/livepatch: better synchronize test_klp_callbacks_busy (Julia Denham) [2121205]
- livepatch: Reorder to use before freeing a pointer (Julia Denham) [2121205]
- livepatch: Skip livepatch tests if ftrace cannot be configured (Julia Denham) [2121205]
- livepatch: Don't block removal of patches that are safe to unload (Julia Denham) [2121205]
- enable CONFIG_CRYPTO_DH_RFC7919_GROUPS (Chris Leech) [2143836]
- crypto: add __init/__exit annotations to init/exit funcs (Chris Leech) [2143836]
- crypto: dh - Remove the unused function dh_safe_prime_dh_alg() (Chris Leech) [2143836]
- crypto: dh - calculate Q from P for the full public key verification (Chris Leech) [2143836]
- crypto: dh - allow for passing NULL to the ffdheXYZ(dh)s' ->set_secret() (Chris Leech) [2143836]
- crypto: testmgr - add keygen tests for ffdheXYZ(dh) templates (Chris Leech) [2143836]
- crypto: dh - implement private key generation primitive for ffdheXYZ(dh) (Chris Leech) [2143836]
- crypto: testmgr - add known answer tests for ffdheXYZ(dh) templates (Chris Leech) [2143836]
- crypto: dh - implement ffdheXYZ(dh) templates (Chris Leech) [2143836]
- crypto: dh - introduce common code for built-in safe-prime group support (Chris Leech) [2143836]
- crypto: dh - split out deserialization code from crypto_dh_decode() (Chris Leech) [2143836]
- crypto: dh - remove struct dh's ->q member (Chris Leech) [2143836]
- crypto: kpp - provide support for KPP spawns (Chris Leech) [2143836]
- crypto: kpp - provide support for KPP template instances (Chris Leech) [2143836]
- scsi: storvsc: remove an extraneous "to" in a comment (Cathy Avery) [2143335]
- Drivers: hv: vmbus: Optimize vmbus_on_event (Cathy Avery) [2143335]
- scsi: storvsc: Drop DID_TARGET_FAILURE use (Cathy Avery) [2143335]
- scsi: storvsc: Remove WQ_MEM_RECLAIM from storvsc_error_wq (Cathy Avery) [2143335]
- scsi: storvsc: Correct reporting of Hyper-V I/O size limits (Cathy Avery) [2143335]
- scsi: storvsc: Removing Pre Win8 related logic (Cathy Avery) [2143335]
- scsi: storvsc: Fix typo in comment (Cathy Avery) [2143335]
- scsi: storvsc: Remove support for Hyper-V 2008 and 2008R2/Win7 (Cathy Avery) [2143335]
Resolves: rhbz#2121205, rhbz#2143836, rhbz#2143335

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-12-07 23:04:51 +00:00
Frantisek Hrbata
1cd650ba09 kernel-5.14.0-206.el9
* Mon Dec 05 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-206.el9]
- dt-bindings: gpio: gpio-vf610: Add imx93 compatible string (Steve Best) [2143601]
- dt-bindings: gpio: gpio-vf610: Add imx8ulp compatible string (Steve Best) [2143601]
- ice: xsk: drop power of 2 ring size restriction for AF_XDP (Petr Oros) [2104468]
- ice: xsk: change batched Tx descriptor cleaning (Petr Oros) [2104468]
- ice: Fix interface being down after reset with link-down-on-close flag on (Petr Oros) [2104468]
- ice: config netdev tc before setting queues number (Petr Oros) [2104468]
- ice: Fix ice_xdp_xmit() when XDP TX queue number is not sufficient (Petr Oros) [2104468]
- ice: Don't double unplug aux on peer initiated reset (Petr Oros) [2104468]
- ice: use bitmap_free instead of devm_kfree (Petr Oros) [2104468]
- ice: Fix DMA mappings leak (Petr Oros) [2104468]
- ice: xsk: use Rx ring's XDP ring when picking NAPI context (Petr Oros) [2104468]
- ice: xsk: prohibit usage of non-balanced queue id (Petr Oros) [2104468]
- ice: Fix call trace with null VSI during VF reset (Petr Oros) [2104468]
- ice: Fix VSI rebuild WARN_ON check for VF (Petr Oros) [2104468]
- net/ice: fix initializing the bitmap in the switch code (Petr Oros) [2104468]
- net: ice: fix error NETIF_F_HW_VLAN_CTAG_FILTER check in ice_vsi_sync_fltr() (Petr Oros) [2104468]
- ice: implement adjfine with mul_u64_u64_div_u64 (Petr Oros) [2104468]
- ice: allow toggling loopback mode via ndo_set_features callback (Petr Oros) [2104468]
- ice: compress branches in ice_set_features() (Petr Oros) [2104468]
- ice: Add support for PPPoE hardware offload (Petr Oros) [2104468]
- ice: add i2c write command (Petr Oros) [2104468]
- ice: Remove pci_aer_clear_nonfatal_status() call (Petr Oros) [2104468]
- ice: Add EXTTS feature to the feature bitmap (Petr Oros) [2104468]
- ice: Remove unnecessary NULL check before dev_put (Petr Oros) [2104468]
- ice: use eth_broadcast_addr() to set broadcast address (Petr Oros) [2104468]
- ice: switch: dynamically add VLAN headers to dummy packets (Petr Oros) [2104468]
- ice: Add support for VLAN TPID filters in switchdev (Petr Oros) [2104468]
- ice: Add support for double VLAN in switchdev (Petr Oros) [2104468]
- intel/ice:fix repeated words in comments (Petr Oros) [2104468]
- drivers/net/ethernet/intel: fix typos in comments (Petr Oros) [2104468]
- ice: Use correct order for the parameters of devm_kcalloc() (Petr Oros) [2104468]
- ice: remove u16 arithmetic in ice_gnss (Petr Oros) [2104468]
- ice: remove VLAN representor specific ops (Petr Oros) [2104468]
- ice: don't set VF VLAN caps in switchdev (Petr Oros) [2104468]
- Merge DRM changes from upstream v6.0..v6.0.8 (Karol Herbst) [2115876]
- firmware: sysfb: Add sysfb_disable() helper function (Karol Herbst) [2115876]
- firmware: sysfb: Make sysfb_create_simplefb() return a pdev pointer (Karol Herbst) [2115876]
- Revert "dma-buf: remove useless FMODE_LSEEK flag" (Karol Herbst) [2115876]
- Revert "mm: shrinkers: provide shrinkers with names" (Karol Herbst) [2115876]
- Revert "drm/amdkfd: add SPM support for SVM" (Karol Herbst) [2115876]
- Merge DRM changes from upstream v5.19..v6.0 (Karol Herbst) [2115876]
- agp/intel: Rename intel-gtt symbols (Karol Herbst) [2115876]
- dma-buf: fix use of DMA_BUF_SET_NAME_{A,B} in userspace (Karol Herbst) [2115876]
- Revert "i915: Call aops write_begin() and write_end() directly" (Karol Herbst) [2115876]
- Merge DRM changes from upstream v5.18..v5.19 (Karol Herbst) [2115876]
- drm/i915/rpl-p: Add PCI IDs (Karol Herbst) [2115876]
- mei: gsc: retrieve the firmware version (Karol Herbst) [2115876]
- mei: gsc: add runtime pm handlers (Karol Herbst) [2115876]
- mei: gsc: setup char driver alive in spite of firmware handshake failure (Karol Herbst) [2115876]
- mei: add support for graphics system controller (gsc) devices (Karol Herbst) [2115876]
- drm/i915/gsc: add gsc as a mei auxiliary device (Karol Herbst) [2115876]
- drm/i915: Prepare for multiple GTs (Karol Herbst) [2115876]
- drm/i915/gt: add gt_is_root() helper (Karol Herbst) [2115876]
- drm/i915: Rename INTEL_REGION_LMEM with INTEL_REGION_LMEM_0 (Karol Herbst) [2115876]
- drm/i915: fixup the initial fb base on DGFX (Karol Herbst) [2115876]
- drm/i915: add i915_gem_object_create_region_at() (Karol Herbst) [2115876]
- drm/i915: Report steering details in debugfs (Karol Herbst) [2115876]
- DRM: add new options (Karol Herbst) [2115876]
- spec: Update bpftool versioning scheme (Viktor Malik) [2139374]
- ptp: introduce helpers to adjust by scaled parts per million (Izabela Bakollari) [2141641]
- ptp: add missing documentation for parameters (Izabela Bakollari) [2141641]
- dt-bindings: serial: fsl-lpuart: Add imx93 compatible string (Steve Best) [2143617]
- dt-bindings: serial: fsl-lpuart: Remove i.MX8QM from enum (Steve Best) [2143617]
- dt-bindings: serial: fsl-lpuart: Drop i.MX8QXP backwards compatibility (Steve Best) [2143617]
- dt-bindings: serial: fsl-lpuart: Add i.MX8DXL compatible (Steve Best) [2143617]
- dt-bindings: serial: fsl-lpuart: Fix i.MX 8QM compatible matching (Steve Best) [2143617]
- dt-bindings: serial: fsl-lpuart: add i.MXRT1050 compatible (Steve Best) [2143617]
- dt-bindings: serial: fsl-lpuart: Add imx8ulp compatible string (Steve Best) [2143617]
Resolves: rhbz#2143601, rhbz#2104468, rhbz#2115876, rhbz#2139374, rhbz#2141641, rhbz#2143617

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-12-05 01:09:22 -05:00
Frantisek Hrbata
7133ba4c77 kernel-5.14.0-205.el9
* Fri Dec 02 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-205.el9]
- gpio: vf610: fix compilation error (Steve Best) [2137977]
- gpio: vf610: drop the SOC_VF610 dependency for GPIO_VF610 (Steve Best) [2137977]
- usb: remove third argument of usb_maxpacket() (Íñigo Huguet) [2143363]
- sound: usb: remove third argument of usb_maxpacket() (Íñigo Huguet) [2143363]
- usb: remove third argument of usb_maxpacket() (Íñigo Huguet) [2143363]
- net: remove third argument of usb_maxpacket() (Íñigo Huguet) [2143363]
- media: remove third argument of usb_maxpacket() (Íñigo Huguet) [2143363]
- Input: remove third argument of usb_maxpacket() (Íñigo Huguet) [2143363]
- HID: usbhid: remove third argument of usb_maxpacket() (Íñigo Huguet) [2143363]
- dt-bindings: mailbox: imx-mu: add RST channel (Steve Best) [2137972]
- dt-bindings: mailbox: imx-mu: add i.MX93 S4 MU support (Steve Best) [2137972]
- dt-bindings: mailbox: imx-mu: add i.MX93 MU (Steve Best) [2137972]
- dt-bindings: mailbox: imx-mu: add i.MX8 SECO MU support (Steve Best) [2137972]
- dt-bindings: mailbox: imx-mu: add i.MX8ULP S400 MU support (Steve Best) [2137972]
- mm, slab: remove duplicate kernel-doc comment for ksize() (Michal Schmidt) [2143368]
- slab: Introduce kmalloc_size_roundup() (Michal Schmidt) [2143368]
- redhat/configs: turn off IMX93 CCM Clock Driver (Steve Best) [2137971]
- dt-bindings: clock: imx93-clock: add more MU/SAI clocks (Steve Best) [2137971]
- clk: imx93: drop of_match_ptr (Steve Best) [2137971]
- clk: imx93: add SAI IPG clk (Steve Best) [2137971]
- clk: imx93: add MU1/2 clock (Steve Best) [2137971]
- clk: imx93: switch to use new clk gate API (Steve Best) [2137971]
- clk: imx93: Correct the edma1's parent clock (Steve Best) [2137971]
- clk: imx93: correct nic_media parent (Steve Best) [2137971]
- clk: imx93: use adc_root as the parent clock of adc1 (Steve Best) [2137971]
- clk: imx: Select MXC_CLK for i.MX93 clock driver (Steve Best) [2137971]
- clk: imx: clk-fracn-gppll: Add more freq config for video pll (Steve Best) [2137971]
- clk: imx: clk-fracn-gppll: correct rdiv (Steve Best) [2137971]
- clk: imx: clk-fracn-gppll: Return rate in rate table properly in ->recalc_rate() (Steve Best) [2137971]
- clk: imx: clk-fracn-gppll: fix mfd value (Steve Best) [2137971]
- clk: imx: clk-composite-93: check white_list (Steve Best) [2137971]
- clk: imx: clk-composite-93: check slice busy (Steve Best) [2137971]
- clk: imx: add i.MX93 clk (Steve Best) [2137971]
- clk: imx: support fracn gppll (Steve Best) [2137971]
- clk: imx: add i.MX93 composite clk (Steve Best) [2137971]
- s390/block/dcssblk: add error handling support for add_disk() (Ming Lei) [2136402]
- s390/block/scm_blk: add error handling support for add_disk() (Ming Lei) [2136402]
- s390/block/dasd_genhd: add error handling support for add_disk() (Ming Lei) [2136402]
- platform/x86/intel/pmt: Sapphire Rapids PMT errata fix (David Arcari) [2144690]
- iavf: remove INITIAL_MAC_SET to allow gARP to work properly (Ivan Vecera) [2119698]
- iavf: Fix change VF's mac address (Ivan Vecera) [2119698]
- iavf: Add waiting for response from PF in set mac (Ivan Vecera) [2119698]
- scsi: smartpqi: Change version to 2.1.20-035 (Don Brace) [2116978]
- scsi: smartpqi: Initialize feature section info (Don Brace) [2116978]
- scsi: smartpqi: Add controller cache flush during rmmod (Don Brace) [2116978]
- scsi: smartpqi: Correct device removal for multi-actuator devices (Don Brace) [2116978]
- scsi: smartpqi: Change sysfs raid_level attribute to N/A for controllers (Don Brace) [2116978]
- scsi: smartpqi: Correct max LUN number (Don Brace) [2116978]
- scsi: smartpqi: Add new controller PCI IDs (Don Brace) [2116978]
- scsi: smartpqi: Convert to host_tagset (Don Brace) [2116978]
- scsi: smartpqi: Stop using the SCSI pointer (Don Brace) [2116978]
- scsi: smartpqi: Call scsi_done() directly (Don Brace) [2116978]
- smartpqi: Change request pointer to scsi_cmd_to_rq (Don Brace) [2116978]
- scsi: smartpqi: Use scsi_cmd_to_rq() instead of scsi_cmnd.request (Don Brace) [2116978]
Resolves: rhbz#2137977, rhbz#2143363, rhbz#2137972, rhbz#2143368, rhbz#2137971, rhbz#2136402, rhbz#2144690, rhbz#2119698, rhbz#2116978

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-12-02 02:30:36 -05:00
Frantisek Hrbata
2ad2947090 kernel-5.14.0-204.el9
* Wed Nov 30 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-204.el9]
- Documentation/admin-guide: Document nomodeset kernel parameter (Karol Herbst) [2143841]
- drm: Move nomodeset kernel parameter to the DRM subsystem (Karol Herbst) [2143841]
- Add rtla subpackage (John Kacur) [2075216]
- dt-bindings: mmc: PL18x stop relying on order of dma-names (Steve Best) [2137969]
- dt-bindings: mmc: imx-esdhc: Add i.MX8DXL compatible string (Steve Best) [2137969]
- dt-bindings: mmc: imx-esdhc: Update compatible fallbacks (Steve Best) [2137969]
- dt-bindings: mmc: imx-esdhc: Add imx93 compatible string (Steve Best) [2137969]
- dt-bindings: mmc: Add missing properties used in examples (Steve Best) [2137969]
- dt-bindings: mmc: fsl-imx-esdhc: add i.MXRT compatible string (Steve Best) [2137969]
- dt-bindings: mmc: imx-esdhc: Add imx8ulp compatible string (Steve Best) [2137969]
- dt-bindings: mmc: fsl-imx-esdhc: change the pinctrl-names rule (Steve Best) [2137969]
- dt-bindings: mmc: fsl-imx-esdhc: add a new compatible string (Steve Best) [2137969]
- MAINTAINERS: Update Kishon's email address in PCI endpoint subsystem (Myron Stowe) [2135902]
- MAINTAINERS: Add Vignesh Raghavendra as maintainer of TI DRA7XX/J721E PCI driver (Myron Stowe) [2135902]
- MAINTAINERS: Add Mahesh J Salgaonkar as EEH maintainer (Myron Stowe) [2135902]
- MAINTAINERS: Add Manivannan Sadhasivam as PCI Endpoint reviewer (Myron Stowe) [2135902]
- PCI/P2PDMA: Use for_each_pci_dev() helper (Myron Stowe) [2135902]
- PCI: mt7621: Use PCI_CONF1_EXT_ADDRESS() macro (Myron Stowe) [2135902]
- PCI: ftpci100: Use PCI_CONF1_ADDRESS() macro (Myron Stowe) [2135902]
- PCI: Add standard PCI Config Address macros (Myron Stowe) [2135902]
- PCI: qcom-ep: Check platform_get_resource_byname() return value (Myron Stowe) [2135902]
- PCI: qcom-ep: Add support for SM8450 SoC (Myron Stowe) [2135902]
- dt-bindings: PCI: qcom-ep: Add support for SM8450 SoC (Myron Stowe) [2135902]
- dt-bindings: PCI: qcom-ep: Define clocks per platform (Myron Stowe) [2135902]
- PCI: qcom-ep: Make PERST separation optional (Myron Stowe) [2135902]
- dt-bindings: PCI: qcom-ep: Make PERST separation optional (Myron Stowe) [2135902]
- PCI: qcom-ep: Disable Master AXI Clock when there is no PCIe traffic (Myron Stowe) [2135902]
- PCI: qcom-ep: Gate Master AXI clock to MHI bus during L1SS (Myron Stowe) [2135902]
- PCI: qcom-ep: Expose link transition counts via debugfs (Myron Stowe) [2135902]
- PCI: qcom-ep: Disable IRQs during driver remove (Myron Stowe) [2135902]
- PCI: qcom-ep: Make use of the cached dev pointer (Myron Stowe) [2135902]
- PCI: qcom-ep: Rely on the clocks supplied by devicetree (Myron Stowe) [2135902]
- PCI: qcom-ep: Add kernel-doc for qcom_pcie_ep structure (Myron Stowe) [2135902]
- PCI: qcom: Rename host-init error label (Myron Stowe) [2135902]
- PCI: qcom: Drop unused post_deinit callback (Myron Stowe) [2135902]
- PCI: qcom-ep: Add MODULE_DEVICE_TABLE (Myron Stowe) [2135902]
- PCI: qcom: Sort device-id table (Myron Stowe) [2135902]
- PCI: qcom: Clean up IP configurations (Myron Stowe) [2135902]
- PCI: qcom: Make all optional clocks optional (Myron Stowe) [2135902]
- PCI: qcom: Add support for SA8540P (Myron Stowe) [2135902]
- PCI: qcom: Add support for SC8280XP (Myron Stowe) [2135902]
- dt-bindings: PCI: qcom: Add SA8540P to binding (Myron Stowe) [2135902]
- dt-bindings: PCI: qcom: Add SC8280XP to binding (Myron Stowe) [2135902]
- dt-bindings: PCI: qcom: Enumerate platforms with single msi interrupt (Myron Stowe) [2135902]
- PCI: mvebu: Fix endianness when accessing PCI emul bridge members (Myron Stowe) [2135902]
- PCI: mediatek-gen3: Change driver name to mtk-pcie-gen3 (Myron Stowe) [2135902]
- phy: freescale: imx8m-pcie: Fix the wrong order of phy_init() and phy_power_on() (Myron Stowe) [2135902]
- PCI: imx6: Add i.MX8MP PCIe support (Myron Stowe) [2135902]
- PCI: dwc: Replace of_gpio_named_count() by gpiod_count() (Myron Stowe) [2135902]
- PCI: dwc: Drop dependency on ZONE_DMA32 (Myron Stowe) [2135902]
- dt-bindings: pci: QCOM Add missing sc7280 aggre0, aggre1 clocks (Myron Stowe) [2135902]
- dt-bindings: PCI: microchip,pcie-host: fix missing dma-ranges (Myron Stowe) [2135902]
- dt-bindings: PCI: microchip,pcie-host: fix missing clocks properties (Myron Stowe) [2135902]
- dt-bindings: PCI: mediatek-gen3: Add support for MT8188 and MT8195 (Myron Stowe) [2135902]
- PCI: pci-bridge-emul: Set position of PCI capabilities to real HW value (Myron Stowe) [2135902]
- PCI: aardvark: Add support for PCI Bridge Subsystem Vendor ID on emulated bridge (Myron Stowe) [2135902]
- PCI: Sanitise firmware BAR assignments behind a PCI-PCI bridge (Myron Stowe) [2135902]
- PCI: Fix typo in pci_scan_child_bus_extend() (Myron Stowe) [2135902]
- PCI: Fix whitespace and indentation (Myron Stowe) [2135902]
- PCI: Move pci_assign_unassigned_root_bus_resources() (Myron Stowe) [2135902]
- PCI: Pass available buses even if the bridge is already configured (Myron Stowe) [2135902]
- PCI: Fix used_buses calculation in pci_scan_child_bus_extend() (Myron Stowe) [2135902]
- PCI: Expose PCIe Resizable BAR support via sysfs (Myron Stowe) [2135902]
- PCI/PM: Reduce D3hot delay with usleep_range() (Myron Stowe) [2135902]
- PCI/PM: Simplify pci_pm_suspend_noirq() (Myron Stowe) [2135902]
- PCI/PM: Always disable PTM for all devices during suspend (Myron Stowe) [2135902]
- PCI/PTM: Consolidate PTM interface declarations (Myron Stowe) [2135902]
- PCI/PTM: Reorder functions in logical order (Myron Stowe) [2135902]
- PCI/PTM: Preserve RsvdP bits in PTM Control register (Myron Stowe) [2135902]
- PCI/PTM: Move pci_ptm_info() body into its only caller (Myron Stowe) [2135902]
- PCI/PTM: Add pci_suspend_ptm() and pci_resume_ptm() (Myron Stowe) [2135902]
- PCI/PTM: Separate configuration and enable (Myron Stowe) [2135902]
- PCI/PTM: Add pci_upstream_ptm() helper (Myron Stowe) [2135902]
- PCI/PTM: Cache PTM Capability offset (Myron Stowe) [2135902]
- PCI/DPC: Quirk PIO log size for certain Intel Root Ports (Myron Stowe) [2135902]
- PCI/ASPM: Correct LTR_L1.2_THRESHOLD computation (Myron Stowe) [2135902]
- PCI/ASPM: Ignore L1 PM Substates if device lacks capability (Myron Stowe) [2135902]
- PCI/ASPM: Factor out L1 PM Substates configuration (Myron Stowe) [2135902]
- PCI/ASPM: Save L1 PM Substates Capability for suspend/resume (Myron Stowe) [2135902]
- PCI/ASPM: Refactor L1 PM Substates Control Register programming (Myron Stowe) [2135902]
- drm: Implement DRM aperture helpers under video/ (Myron Stowe) [2135902]
- MAINTAINERS: Broaden scope of simpledrm entry (Myron Stowe) [2135902]
- MAINTAINERS: Add simpledrm driver co-maintainer (Myron Stowe) [2135902]
- PCI: qcom: Remove ddrss_sf_tbu clock from SC8180X (Myron Stowe) [2135902]
- ARM: 9100/1: MAINTAINERS: mark all linux-arm-kernel@infradead list as moderated (Myron Stowe) [2135902]
- PM: core: Remove static qualifier in DEFINE_SIMPLE_DEV_PM_OPS macro (Íñigo Huguet) [2147541]
- x86/ftrace: remove return_to_handler SYM_FUNC_END macro (Joe Lawrence) [2144901]
- dt-bindings: pinctrl: imx93: Add pinctrl binding (Steve Best) [2137921]
- pinctrl: imx93: Add MODULE_DEVICE_TABLE() (Steve Best) [2137921]
- ACPI: NUMA: Add CXL CFMWS 'nodes' to the possible nodes set (John W. Linville) [2107254]
- tools/testing/cxl: Fix decoder default state (John W. Linville) [2107254]
- cxl/mbox: Use __le32 in get,set_lsa mailbox structures (John W. Linville) [2107254]
- cxl: Fix cleanup of port devices on failure to probe driver. (John W. Linville) [2107254]
- cxl/mem: Drop mem_enabled check from wait_for_media() (John W. Linville) [2107254]
- cxl/pci: Make cxl_dvsec_ranges() failure not fatal to cxl_pci (John W. Linville) [2107254]
- rehdat/configs:  set missing options relevant to CXL update (John W. Linville) [2107254]
- cxl/pci: Drop shadowed variable (John W. Linville) [2107254]
- cxl/core/port: Fix NULL but dereferenced coccicheck error (John W. Linville) [2107254]
- cxl/port: Hold port reference until decoder release (John W. Linville) [2107254]
- cxl/port: Fix endpoint refcount leak (John W. Linville) [2107254]
- cxl/core: Fix cxl_device_lock() class detection (John W. Linville) [2107254]
- cxl/core/port: Fix unregister_port() lock assertion (John W. Linville) [2107254]
- cxl/regs: Fix size of CXL Capability Header Register (John W. Linville) [2107254]
- cxl/core/port: Handle invalid decoders (John W. Linville) [2107254]
- cxl/core/port: Fix / relax decoder target enumeration (John W. Linville) [2107254]
- tools/testing/cxl: Add a physical_node link (John W. Linville) [2107254]
- tools/testing/cxl: Enumerate mock decoders (John W. Linville) [2107254]
- tools/testing/cxl: Mock one level of switches (John W. Linville) [2107254]
- tools/testing/cxl: Fix root port to host bridge assignment (John W. Linville) [2107254]
- tools/testing/cxl: Mock dvsec_ranges() (John W. Linville) [2107254]
- cxl/core/port: Add endpoint decoders (John W. Linville) [2107254]
- cxl/core: Move target_list out of base decoder attributes (John W. Linville) [2107254]
- cxl/mem: Add the cxl_mem driver (John W. Linville) [2107254]
- cxl/core/port: Add switch port enumeration (John W. Linville) [2107254]
- cxl/memdev: Add numa_node attribute (John W. Linville) [2107254]
- cxl/pci: Emit device serial number (John W. Linville) [2107254]
- cxl/pci: Implement wait for media active (John W. Linville) [2107254]
- cxl/pci: Retrieve CXL DVSEC memory info (John W. Linville) [2107254]
- cxl/pci: Cache device DVSEC offset (John W. Linville) [2107254]
- cxl/pci: Store component register base in cxlds (John W. Linville) [2107254]
- cxl/core/port: Remove @host argument for dport + decoder enumeration (John W. Linville) [2107254]
- cxl/port: Add a driver for 'struct cxl_port' objects (John W. Linville) [2107254]
- cxl/core: Emit modalias for CXL devices (John W. Linville) [2107254]
- cxl/core/hdm: Add CXL standard decoder enumeration to the core (John W. Linville) [2107254]
- cxl/core: Generalize dport enumeration in the core (John W. Linville) [2107254]
- cxl/pci: Rename pci.h to cxlpci.h (John W. Linville) [2107254]
- cxl/port: Up-level cxl_add_dport() locking requirements to the caller (John W. Linville) [2107254]
- cxl/pmem: Introduce a find_cxl_root() helper (John W. Linville) [2107254]
- cxl/port: Introduce cxl_port_to_pci_bus() (John W. Linville) [2107254]
- cxl/core/port: Use dedicated lock for decoder target list (John W. Linville) [2107254]
- cxl: Prove CXL locking (John W. Linville) [2107254]
- cxl/core: Track port depth (John W. Linville) [2107254]
- cxl/core/port: Make passthrough decoder init implicit (John W. Linville) [2107254]
- cxl/core: Fix cxl_probe_component_regs() error message (John W. Linville) [2107254]
- cxl/core/port: Clarify decoder creation (John W. Linville) [2107254]
- cxl/core: Convert decoder range to resource (John W. Linville) [2107254]
- cxl/decoder: Hide physical address information from non-root (John W. Linville) [2107254]
- cxl/core/port: Rename bus.c to port.c (John W. Linville) [2107254]
- cxl: Introduce module_cxl_driver (John W. Linville) [2107254]
- cxl/acpi: Map component registers for Root Ports (John W. Linville) [2107254]
- cxl/pci: Add new DVSEC definitions (John W. Linville) [2107254]
- cxl: Flesh out register names (John W. Linville) [2107254]
- cxl/pci: Defer mailbox status checks to command timeouts (John W. Linville) [2107254]
- cxl/pci: Implement Interface Ready Timeout (John W. Linville) [2107254]
- cxl: Rename CXL_MEM to CXL_PCI (John W. Linville) [2107254]
- ACPI: NUMA: Add a node and memblk for each CFMWS not in SRAT (John W. Linville) [2107254]
- cxl/test: Mock acpi_table_parse_cedt() (John W. Linville) [2107254]
- cxl/acpi: Convert CFMWS parsing to ACPI sub-table helpers (John W. Linville) [2107254]
- cxl/pci: Use pci core's DVSEC functionality (John W. Linville) [2107254]
- cxl/core: Replace unions with struct_group() (John W. Linville) [2107254]
- PCI: Add pci_find_dvsec_capability to find designated VSEC (John W. Linville) [2107254]
- net: remove noblock parameter from skb_recv_datagram() (Íñigo Huguet) [2143360]
- net: ethernet: move from strlcpy with unused retval to strscpy (Ken Cox) [2104465]
- e1000e: convert .adjfreq to .adjfine (Ken Cox) [2104465]
- e1000e: remove unnecessary range check in e1000e_phc_adjfreq (Ken Cox) [2104465]
- Revert "e1000e: Fix possible HW unit hang after an s0ix exit" (Ken Cox) [2104465]
- e1000e: Enable GPT clock before sending message to CSME (Ken Cox) [2104465]
- intel/e1000e:fix repeated words in comments (Ken Cox) [2104465]
- intel: remove unused macros (Ken Cox) [2104465]
- e1000e: Remove useless DMA-32 fallback configuration (Ken Cox) [2104465]
Resolves: rhbz#2143841, rhbz#2075216, rhbz#2137969, rhbz#2135902, rhbz#2147541, rhbz#2144901, rhbz#2137921, rhbz#2107254, rhbz#2143360, rhbz#2104465

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-30 08:16:06 -05:00
Frantisek Hrbata
9e440f1c0a kernel-5.14.0-202.el9
* Mon Nov 28 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-202.el9]
- build: Fix generating BTF with pahole >=1.24 (Viktor Malik) [2148429]
- selftests: spectrum-2: tc_flower_scale: Dynamically set scale target (Ivan Vecera) [2140704]
- selftests: mlxsw: Add a RIF counter scale test (Ivan Vecera) [2140704]
- selftests: mlxsw: tc_flower_scale: Add a traffic test (Ivan Vecera) [2140704]
- selftests: mlxsw: resource_scale: Pass target count to cleanup (Ivan Vecera) [2140704]
- selftests: mlxsw: resource_scale: Allow skipping a test (Ivan Vecera) [2140704]
- selftests: mlxsw: resource_scale: Introduce traffic tests (Ivan Vecera) [2140704]
- selftests: mlxsw: resource_scale: Update scale target after test setup (Ivan Vecera) [2140704]
- selftests: mirror_gre_bridge_1q_lag: Enslave port to bridge before other configurations (Ivan Vecera) [2140704]
- mlxsw: Add a resource describing number of RIFs (Ivan Vecera) [2140704]
- mlxsw: Keep track of number of allocated RIFs (Ivan Vecera) [2140704]
- mlxsw: Trap ARP packets at layer 3 instead of layer 2 (Ivan Vecera) [2140704]
- mlxsw: Revert "Prepare for XM implementation - LPM trees" (Ivan Vecera) [2140704]
- mlxsw: Revert "Prepare for XM implementation - prefix insertion and removal" (Ivan Vecera) [2140704]
- mlxsw: Revert "Introduce initial XM router support" (Ivan Vecera) [2140704]
- selftests: mlxsw: Add QOS test for maximum use of descriptors (Ivan Vecera) [2140704]
- mlxsw: spectrum_router: Fix IPv4 nexthop gateway indication (Ivan Vecera) [2140704]
- selftests: forwarding: fix learning_test when h1 supports IFF_UNICAST_FLT (Ivan Vecera) [2140704]
- selftests: forwarding: fix flood_unicast_test when h2 supports IFF_UNICAST_FLT (Ivan Vecera) [2140704]
- mlxsw: spectrum_router: Fix rollback in tunnel next hop init (Ivan Vecera) [2140704]
- mlxsw: spectrum_cnt: Reorder counter pools (Ivan Vecera) [2140704]
- mlxsw: Avoid warning during ip6gre device removal (Ivan Vecera) [2140704]
- selftests: forwarding: Add a tunnel-based test for L3 HW stats (Ivan Vecera) [2140704]
- selftests: lib: Add a generic helper for obtaining HW stats (Ivan Vecera) [2140704]
- mlxsw: spectrum_router: Take router lock in router notifier handler (Ivan Vecera) [2140704]
- mlxsw: spectrum: Update a comment (Ivan Vecera) [2140704]
- mlxsw: spectrum: Move handling of tunnel events to router code (Ivan Vecera) [2140704]
- mlxsw: spectrum: Move handling of router events to router code (Ivan Vecera) [2140704]
- mlxsw: spectrum: Move handling of HW stats events to router code (Ivan Vecera) [2140704]
- mlxsw: spectrum: Move handling of VRF events to router code (Ivan Vecera) [2140704]
- mlxsw: spectrum_router: Add a dedicated notifier block (Ivan Vecera) [2140704]
- mlxsw: spectrum: Tolerate enslaving of various devices to VRF (Ivan Vecera) [2140704]
- mlxsw: spectrum_router: Only query neighbour activity when necessary (Ivan Vecera) [2140704]
- mlxsw: spectrum_switchdev: Only query FDB notifications when necessary (Ivan Vecera) [2140704]
- mlxsw: spectrum_acl: Do not report activity for multicast routes (Ivan Vecera) [2140704]
- mlxsw: Treat LLDP packets as control (Ivan Vecera) [2140704]
- mlxsw: spectrum_dcb: Do not warn about priority changes (Ivan Vecera) [2140704]
- selftests: router.sh: Add a diagram (Ivan Vecera) [2140704]
- selftests: router_vid_1: Add a diagram, fix coding style (Ivan Vecera) [2140704]
- selftests: mlxsw: bail_on_lldpad before installing the cleanup trap (Ivan Vecera) [2140704]
- selftests: mlxsw: Add a test for soaking up a burst of traffic (Ivan Vecera) [2140704]
- selftests: forwarding: lib: Add start_traffic_pktsize() helpers (Ivan Vecera) [2140704]
- mlxsw: Configure descriptor buffers (Ivan Vecera) [2140704]
- mlxsw: reg: Add "desc" field to SBPR (Ivan Vecera) [2140704]
- selftests: mirror_gre_bridge_1q: Avoid changing PVID while interface is operational (Ivan Vecera) [2140704]
- Revert "Merge branch 'mlxsw-line-card-model'" (Ivan Vecera) [2140704]
- selftests: mlxsw: Check device info on activated line card (Ivan Vecera) [2140704]
- mlxsw: core_linecards: Expose device FW version over device info (Ivan Vecera) [2140704]
- mlxsw: reg: Extend MDDQ device_info by FW version fields (Ivan Vecera) [2140704]
- selftests: mlxsw: Check line card info on provisioned line card (Ivan Vecera) [2140704]
- mlxsw: core_linecards: Expose HW revision and INI version (Ivan Vecera) [2140704]
- selftests: mlxsw: Check devices on provisioned line card (Ivan Vecera) [2140704]
- mlxsw: core_linecards: Probe provisioned line cards for devices and attach them (Ivan Vecera) [2140704]
- mlxsw: reg: Extend MDDQ by device_info (Ivan Vecera) [2140704]
- mlxsw: core_linecards: Fix size of array element during ini_files allocation (Ivan Vecera) [2140704]
- selftests: mlxsw: vxlan_flooding_ipv6: Prevent flooding of unwanted packets (Ivan Vecera) [2140704]
- selftests: mlxsw: vxlan_flooding: Prevent flooding of unwanted packets (Ivan Vecera) [2140704]
- mlxsw: core_hwmon: Add interfaces for line card initialization and de-initialization (Ivan Vecera) [2140704]
- mlxsw: core_thermal: Add interfaces for line card initialization and de-initialization (Ivan Vecera) [2140704]
- mlxsw: core_env: Add interfaces for line card initialization and de-initialization (Ivan Vecera) [2140704]
- mlxsw: core_env: Split module power mode setting to a separate function (Ivan Vecera) [2140704]
- mlxsw: core: Add bus argument to environment init API (Ivan Vecera) [2140704]
- mlxsw: core_linecards: Introduce ops for linecards status change tracking (Ivan Vecera) [2140704]
- selftests: mlxsw: Introduce devlink line card provision/unprovision/activation tests (Ivan Vecera) [2140704]
- mlxsw: spectrum: Add port to linecard mapping (Ivan Vecera) [2140704]
- mlxsw: core: Extend driver ops by remove selected ports op (Ivan Vecera) [2140704]
- mlxsw: core_linecards: Implement line card activation process (Ivan Vecera) [2140704]
- mlxsw: core_linecards: Add line card objects and implement provisioning (Ivan Vecera) [2140704]
- mlxsw: reg: Add Management Binary Code Transfer Register (Ivan Vecera) [2140704]
- mlxsw: reg: Add Management DownStream Device Control Register (Ivan Vecera) [2140704]
- mlxsw: reg: Add Management DownStream Device Query Register (Ivan Vecera) [2140704]
- mlxsw: spectrum: Introduce port mapping change event processing (Ivan Vecera) [2140704]
- mlxsw: Narrow the critical section of devl_lock during ports creation/removal (Ivan Vecera) [2140704]
- mlxsw: reg: Add Ports Mapping Event Configuration Register (Ivan Vecera) [2140704]
- mlxsw: spectrum: Allocate port mapping array of structs instead of pointers (Ivan Vecera) [2140704]
- mlxsw: spectrum: Allow lane to start from non-zero index (Ivan Vecera) [2140704]
- mlxsw: core_thermal: Use common define for thermal zone name length (Ivan Vecera) [2140704]
- mlxsw: core_thermal: Use exact name of cooling devices for binding (Ivan Vecera) [2140704]
- mlxsw: core_thermal: Add line card id prefix to line card thermal zone name (Ivan Vecera) [2140704]
- mlxsw: core_thermal: Extend internal structures to support multi thermal areas (Ivan Vecera) [2140704]
- mlxsw: core_hwmon: Introduce slot parameter in hwmon interfaces (Ivan Vecera) [2140704]
- mlxsw: core_hwmon: Extend internal structures to support multi hwmon objects (Ivan Vecera) [2140704]
- mlxsw: core: Move port module events enablement to a separate function (Ivan Vecera) [2140704]
- mlxsw: core: Extend port module data structures for line cards (Ivan Vecera) [2140704]
- mlxsw: core: Extend interfaces for cable info access with slot argument (Ivan Vecera) [2140704]
- mlxsw: reg: Add new field to Management General Peripheral Information Register (Ivan Vecera) [2140704]
- mlxsw: core_env: Pass slot index during PMAOS register write call (Ivan Vecera) [2140704]
- mlxsw: reg: Extend MGPIR register with new slot fields (Ivan Vecera) [2140704]
- mlxsw: reg: Extend PMMP register with new slot number field (Ivan Vecera) [2140704]
- mlxsw: reg: Extend MCION register with new slot number field (Ivan Vecera) [2140704]
- mlxsw: reg: Extend MCIA register with new slot number field (Ivan Vecera) [2140704]
- mlxsw: reg: Extend MTBR register with new slot number field (Ivan Vecera) [2140704]
- mlxsw: reg: Extend MTMP register with new slot number field (Ivan Vecera) [2140704]
- mlxsw: i2c: Fix initialization error flow (Ivan Vecera) [2140704]
- mlxsw: spectrum_router: simplify list unwinding (Ivan Vecera) [2140704]
- selftests: mlxsw: hw_stats_l3: Add a new test (Ivan Vecera) [2140704]
- selftests: mlxsw: resource_scale: Fix return value (Ivan Vecera) [2140704]
- selftests: mlxsw: tc_police_scale: Make test more robust (Ivan Vecera) [2140704]
- mlxsw: Add support for IFLA_OFFLOAD_XSTATS_L3_STATS (Ivan Vecera) [2140704]
- mlxsw: Extract classification of router-related events to a helper (Ivan Vecera) [2140704]
- mlxsw: spectrum_router: Drop mlxsw_sp arg from counter alloc/free functions (Ivan Vecera) [2140704]
- mlxsw: reg: Fix packing of router interface counters (Ivan Vecera) [2140704]
- mlxsw: core: Add support for OSFP transceiver modules (Ivan Vecera) [2140704]
- mlxsw: Remove resource query check (Ivan Vecera) [2140704]
- mlxsw: core: Unify method of trap support validation (Ivan Vecera) [2140704]
- mlxsw: spectrum: Remove SP{1,2,3} defines for FW minor and subminor (Ivan Vecera) [2140704]
- mlxsw: core: Remove unnecessary asserts (Ivan Vecera) [2140704]
- mlxsw: reg: Add "mgpir_" prefix to MGPIR fields comments (Ivan Vecera) [2140704]
- mlxsw: core_thermal: Remove obsolete API for query resource (Ivan Vecera) [2140704]
- mlxsw: core_thermal: Rename labels according to naming convention (Ivan Vecera) [2140704]
- mlxsw: core_hwmon: Fix variable names for hwmon attributes (Ivan Vecera) [2140704]
- mlxsw: core_thermal: Avoid creation of virtual hwmon objects by thermal module (Ivan Vecera) [2140704]
- mlxsw: spectrum_span: Ignore VLAN entries not used by the bridge in mirroring (Ivan Vecera) [2140704]
- mlxsw: core: Prevent trap group setting if driver does not support EMAD (Ivan Vecera) [2140704]
- mlxsw: spectrum: remove guards against !BRIDGE_VLAN_INFO_BRENTRY (Ivan Vecera) [2140704]
- mlxsw: Support FLOW_ACTION_MANGLE for SIP and DIP IPv6 addresses (Ivan Vecera) [2140704]
- mlxsw: Support FLOW_ACTION_MANGLE for SIP and DIP IPv4 addresses (Ivan Vecera) [2140704]
- mlxsw: core_acl_flex_actions: Add SIP_DIP_ACTION (Ivan Vecera) [2140704]
- mlxsw: spectrum_acl: Allocate default actions for internal TCAM regions (Ivan Vecera) [2140704]
- mlxsw: spectrum: Guard against invalid local ports (Ivan Vecera) [2140704]
- mlxsw: core: Consolidate trap groups to a single event group (Ivan Vecera) [2140704]
- mlxsw: core: Move functions to register/unregister array of traps to core.c (Ivan Vecera) [2140704]
- mlxsw: core: Move basic trap group initialization from spectrum.c (Ivan Vecera) [2140704]
- mlxsw: core: Move basic_trap_groups_set() call out of EMAD init code (Ivan Vecera) [2140704]
- mlxsw: spectrum: Set basic trap groups from an array (Ivan Vecera) [2140704]
- mlxsw: spectrum_kvdl: Use struct_size() helper in kzalloc() (Ivan Vecera) [2140704]
- mlxsw: core_env: Forbid module reset on RJ45 ports (Ivan Vecera) [2140704]
- mlxsw: core_env: Forbid power mode set and get on RJ45 ports (Ivan Vecera) [2140704]
- mlxsw: core_env: Forbid getting module EEPROM on RJ45 ports (Ivan Vecera) [2140704]
- mlxsw: core_env: Query and store port module's type during initialization (Ivan Vecera) [2140704]
- mlxsw: reg: Add Port Module Type Mapping register (Ivan Vecera) [2140704]
- mlxsw: spectrum_ethtool: Add support for two new link modes (Ivan Vecera) [2140704]
- mlxsw: Add netdev argument to mlxsw_env_get_module_info() (Ivan Vecera) [2140704]
- mlxsw: core_env: Do not pass number of modules as argument (Ivan Vecera) [2140704]
- mlxsw: spectrum_ethtool: Remove redundant variable (Ivan Vecera) [2140704]
- mlxsw: spectrum: Extend to support Spectrum-4 ASIC (Ivan Vecera) [2140704]
- mlxsw: spectrum_acl_bloom_filter: Add support for Spectrum-4 calculation (Ivan Vecera) [2140704]
- mlxsw: Add operations structure for bloom filter calculation (Ivan Vecera) [2140704]
- mlxsw: spectrum_acl_bloom_filter: Rename Spectrum-2 specific objects for future use (Ivan Vecera) [2140704]
- mlxsw: spectrum_acl_bloom_filter: Make mlxsw_sp_acl_bf_key_encode() more flexible (Ivan Vecera) [2140704]
- mlxsw: spectrum_acl_bloom_filter: Reorder functions to make the code more aesthetic (Ivan Vecera) [2140704]
- mlxsw: Introduce flex key elements for Spectrum-4 (Ivan Vecera) [2140704]
- mlxsw: Rename virtual router flex key element (Ivan Vecera) [2140704]
- mlxsw: pci: Avoid flow control for EMAD packets (Ivan Vecera) [2140704]
- selftests: mlxsw: devlink_trap_tunnel_vxlan: Fix 'decap_error' case (Ivan Vecera) [2140704]
- selftests: mlxsw: Add test for VxLAN related traps for IPv6 (Ivan Vecera) [2140704]
- selftests: mlxsw: spectrum-2: Add a test for VxLAN flooding with IPv6 (Ivan Vecera) [2140704]
- selftests: mlxsw: spectrum: Add a test for VxLAN flooding with IPv6 (Ivan Vecera) [2140704]
- selftests: mlxsw: Add VxLAN FDB veto test for IPv6 (Ivan Vecera) [2140704]
- selftests: mlxsw: vxlan_fdb_veto: Make the test more flexible for future use (Ivan Vecera) [2140704]
- selftests: mlxsw: Add VxLAN configuration test for IPv6 (Ivan Vecera) [2140704]
- selftests: mlxsw: vxlan: Make the test more flexible for future use (Ivan Vecera) [2140704]
- mlxsw: spectrum_flower: Make vlan_id limitation more specific (Ivan Vecera) [2140704]
- mlxsw: core: Extend devlink health reporter with new events and parameters (Ivan Vecera) [2140704]
- mlxsw: reg: Extend MFDE register with new events and parameters (Ivan Vecera) [2140704]
- mlxsw: core: Convert a series of if statements to switch case (Ivan Vecera) [2140704]
- mlxsw: Fix naming convention of MFDE fields (Ivan Vecera) [2140704]
- selftests: mlxsw: vxlan: Remove IPv6 test case (Ivan Vecera) [2140704]
- mlxsw: Add support for VxLAN with IPv6 underlay (Ivan Vecera) [2140704]
- mlxsw: spectrum_nve: Keep track of IPv6 addresses used by FDB entries (Ivan Vecera) [2140704]
- mlxsw: reg: Add a function to fill IPv6 unicast FDB entries (Ivan Vecera) [2140704]
- mlxsw: Split handling of FDB tunnel entries between address families (Ivan Vecera) [2140704]
- mlxsw: spectrum_nve_vxlan: Make VxLAN flags check per address family (Ivan Vecera) [2140704]
- mlxsw: spectrum_ipip: Use common hash table for IPv6 address mapping (Ivan Vecera) [2140704]
- mlxsw: spectrum: Add hash table for IPv6 address mapping (Ivan Vecera) [2140704]
- selftests: mlxsw: Add a test case for MAC profiles consolidation (Ivan Vecera) [2140704]
- mlxsw: spectrum_router: Consolidate MAC profiles when possible (Ivan Vecera) [2140704]
- mlxsw: Use Switch Multicast ID Register Version 2 (Ivan Vecera) [2140704]
- mlxsw: Use Switch Flooding Table Register Version 2 (Ivan Vecera) [2140704]
- mlxsw: Add support for more than 256 ports in SBSR register (Ivan Vecera) [2140704]
- mlxsw: Use u16 for local_port field instead of u8 (Ivan Vecera) [2140704]
- mlxsw: reg: Adjust PPCNT register to support local port 255 (Ivan Vecera) [2140704]
- mlxsw: reg: Increase 'port_num' field in PMTDB register (Ivan Vecera) [2140704]
- mlxsw: reg: Align existing registers to use extended local_port field (Ivan Vecera) [2140704]
- mlxsw: item: Add support for local_port field in a split form (Ivan Vecera) [2140704]
- mlxsw: reg: Remove unused functions (Ivan Vecera) [2140704]
- mlxsw: spectrum: Bump minimum FW version to xx.2010.1006 (Ivan Vecera) [2140704]
- mlxsw: spectrum: Protect driver from buggy firmware (Ivan Vecera) [2140704]
- mlxsw: spectrum: Allow driver to load with old firmware versions (Ivan Vecera) [2140704]
- mlxsw: pci: Add shutdown method in PCI driver (Ivan Vecera) [2140704]
- mlxsw: spectrum_router: Remove deadcode in mlxsw_sp_rif_mac_profile_find (Ivan Vecera) [2140704]
- mlxsw: constify address in mlxsw_sp_port_dev_addr_set (Ivan Vecera) [2140704]
- selftests: mlxsw: Test port shaper (Ivan Vecera) [2140704]
- selftests: mlxsw: Test offloadability of root TBF (Ivan Vecera) [2140704]
- mlxsw: spectrum_qdisc: Offload root TBF as port shaper (Ivan Vecera) [2140704]
- selftests: mlxsw: Remove deprecated test cases (Ivan Vecera) [2140704]
- selftests: Add an occupancy test for RIF MAC profiles (Ivan Vecera) [2140704]
- selftests: mlxsw: Add forwarding test for RIF MAC profiles (Ivan Vecera) [2140704]
- selftests: mlxsw: Add a scale test for RIF MAC profiles (Ivan Vecera) [2140704]
- mlxsw: spectrum_router: Expose RIF MAC profiles to devlink resource (Ivan Vecera) [2140704]
- mlxsw: spectrum_router: Add RIF MAC profiles support (Ivan Vecera) [2140704]
- mlxsw: spectrum_router: Propagate extack further (Ivan Vecera) [2140704]
- mlxsw: resources: Add resource identifier for RIF MAC profiles (Ivan Vecera) [2140704]
- mlxsw: reg: Add MAC profile ID field to RITR register (Ivan Vecera) [2140704]
- mlxsw: pci: Recycle received packet upon allocation failure (Ivan Vecera) [2140704]
- mlxsw: spectrum: Use 'bitmap_zalloc()' when applicable (Ivan Vecera) [2140704]
- selftests: mlxsw: Reduce test run time (Ivan Vecera) [2140704]
- selftests: mlxsw: Use permanent neighbours instead of reachable ones (Ivan Vecera) [2140704]
- selftests: mlxsw: Add helpers for skipping selftests (Ivan Vecera) [2140704]
- ethernet: mlxsw: use eth_hw_addr_gen() (Ivan Vecera) [2140704]
- selftests: mlxsw: Add a test for un/offloadable qdisc trees (Ivan Vecera) [2140704]
- mlxsw: spectrum_qdisc: Make RED, TBF offloads classful (Ivan Vecera) [2140704]
- mlxsw: spectrum_qdisc: Validate qdisc topology (Ivan Vecera) [2140704]
- mlxsw: spectrum_qdisc: Clean stats recursively when priomap changes (Ivan Vecera) [2140704]
- mlxsw: spectrum_qdisc: Unify graft validation (Ivan Vecera) [2140704]
- mlxsw: spectrum_qdisc: Destroy children in mlxsw_sp_qdisc_destroy() (Ivan Vecera) [2140704]
- mlxsw: spectrum_qdisc: Extract two helpers for handling future FIFOs (Ivan Vecera) [2140704]
- mlxsw: spectrum_qdisc: Query tclass / priomap instead of caching it (Ivan Vecera) [2140704]
- selftests: mlxsw: RED: Test per-TC ECN counters (Ivan Vecera) [2140704]
- mlxsw: spectrum_qdisc: Introduce per-TC ECN counters (Ivan Vecera) [2140704]
- mlxsw: reg: Add ecn_marked_tc to Per-TC Congestion Counters (Ivan Vecera) [2140704]
- mlxsw: reg: Rename MLXSW_REG_PPCNT_TC_CONG_TC to _CNT (Ivan Vecera) [2140704]
- mlxsw: reg: Fix a typo in a group heading (Ivan Vecera) [2140704]
- mlxsw: thermal: Fix out-of-bounds memory accesses (Ivan Vecera) [2140704]
- selftests: mlxsw: RED: Add selftests for the mark qevent (Ivan Vecera) [2140704]
- selftests: mlxsw: sch_red_core: Drop two unused variables (Ivan Vecera) [2140704]
- mlxsw: spectrum_qdisc: Offload RED qevent mark (Ivan Vecera) [2140704]
- mlxsw: spectrum_qdisc: Track permissible actions per binding (Ivan Vecera) [2140704]
- mlxsw: spectrum_qdisc: Distinguish between ingress and egress triggers (Ivan Vecera) [2140704]
- mlxsw: spectrum_qdisc: Pass extack to mlxsw_sp_qevent_entry_configure() (Ivan Vecera) [2140704]
- mlxsw: item: Annotate item helpers with '__maybe_unused' (Ivan Vecera) [2140704]
- selftests: mlxsw: devlink_trap_tunnel_ipip: Send a full-length key (Ivan Vecera) [2140704]
- selftests: mlxsw: devlink_trap_tunnel_ipip: Remove code duplication (Ivan Vecera) [2140704]
- selftests: mlxsw: devlink_trap_tunnel_ipip: Align topology drawing correctly (Ivan Vecera) [2140704]
- selftests: mlxsw: devlink_trap_tunnel_ipip6: Add test case for IPv6 decap_error (Ivan Vecera) [2140704]
- mlxsw: Add support for transceiver module extended state (Ivan Vecera) [2140704]
- mlxsw: Add ability to control transceiver modules' power mode (Ivan Vecera) [2140704]
- mlxsw: reg: Add Management Cable IO and Notifications register (Ivan Vecera) [2140704]
- mlxsw: reg: Add Port Module Memory Map Properties register (Ivan Vecera) [2140704]
- mlxsw: spectrum_buffers: silence uninitialized warning (Ivan Vecera) [2140704]
- ethernet: use eth_hw_addr_set() for dev->addr_len cases (Ivan Vecera) [2140704]
- mlxsw: Add support for IP-in-IP with IPv6 underlay for Spectrum-2 and above (Ivan Vecera) [2140704]
- mlxsw: spectrum_router: Increase parsing depth for IPv6 decapsulation (Ivan Vecera) [2140704]
- mlxsw: Add IPV6_ADDRESS kvdl entry type (Ivan Vecera) [2140704]
- mlxsw: spectrum_ipip: Add mlxsw_sp_ipip_gre6_ops (Ivan Vecera) [2140704]
- mlxsw: Create separate ipip_ops_arr for different ASICs (Ivan Vecera) [2140704]
- mlxsw: reg: Add support for ritr_loopback_ipip6_pack() (Ivan Vecera) [2140704]
- mlxsw: reg: Add support for ratr_ipip6_entry_pack() (Ivan Vecera) [2140704]
- mlxsw: reg: Add support for rtdp_ipip6_pack() (Ivan Vecera) [2140704]
- mlxsw: reg: Add Router IP version Six Register (Ivan Vecera) [2140704]
- mlxsw: Take tunnel's type into account when searching underlay device (Ivan Vecera) [2140704]
- mlxsw: spectrum_ipip: Create common function for mlxsw_sp_ipip_ol_netdev_change_gre() (Ivan Vecera) [2140704]
- mlxsw: spectrum_router: Fix arguments alignment (Ivan Vecera) [2140704]
- mlxsw: spectrum_ipip: Pass IP tunnel parameters by reference and as 'const' (Ivan Vecera) [2140704]
- mlxsw: spectrum_router: Create common function for fib_entry_type_unset() code (Ivan Vecera) [2140704]
- mlxsw: spectrum_router: Start using new trap adjacency entry (Ivan Vecera) [2140704]
- mlxsw: spectrum_router: Add trap adjacency entry upon first nexthop group (Ivan Vecera) [2140704]
- mlxsw: Add support for transceiver modules reset (Ivan Vecera) [2140704]
- mlxsw: Make PMAOS pack function more generic (Ivan Vecera) [2140704]
- mlxsw: reg: Add fields to PMAOS register (Ivan Vecera) [2140704]
- mlxsw: Track per-module port status (Ivan Vecera) [2140704]
- mlxsw: spectrum: Do not return an error in mlxsw_sp_port_module_unmap() (Ivan Vecera) [2140704]
- mlxsw: spectrum: Do not return an error in ndo_stop() (Ivan Vecera) [2140704]
- mlxsw: core_env: Convert 'module_info_lock' to a mutex (Ivan Vecera) [2140704]
- mlxsw: core_env: Defer handling of module temperature warning events (Ivan Vecera) [2140704]
- mlxsw: core: Remove mlxsw_core_is_initialized() (Ivan Vecera) [2140704]
- mlxsw: core: Initialize switch driver last (Ivan Vecera) [2140704]
- net: switchdev: Simplify 'mlxsw_sp_mc_write_mdb_entry()' (Ivan Vecera) [2140704]
- platform/mellanox: nvsw-sn2201: fix error code in nvsw_sn2201_create_static_devices() (Ivan Vecera) [2140704]
- platform/mellanox: Add static in struct declaration. (Ivan Vecera) [2140704]
- i2c: mlxcpld: Add callback to notify probing completion (Ivan Vecera) [2140704]
- i2c: mlxcpld: Fix register setting for 400KHz frequency (Ivan Vecera) [2140704]
- Documentation/ABI: Add new attributes for mlxreg-io sysfs interfaces (Ivan Vecera) [2140704]
- Documentation/ABI: mlxreg-io: Fix contact info (Ivan Vecera) [2140704]
- platform/mellanox: mlxreg-io: Add locking for io operations (Ivan Vecera) [2140704]
- platform/x86: mlx-platform: Add COME board revision register (Ivan Vecera) [2140704]
- platform/x86: mlx-platform: Add support for new system XH3000 (Ivan Vecera) [2140704]
- platform/x86: mlx-platform: Introduce support for COMe NVSwitch management module for Vulcan chassis (Ivan Vecera) [2140704]
- platform/x86: mlx-platform: Add support for systems equipped with two ASICs (Ivan Vecera) [2140704]
- platform/x86: mlx-platform: Add cosmetic changes for alignment (Ivan Vecera) [2140704]
- platform/x86: mlx-platform: Make activation of some drivers conditional (Ivan Vecera) [2140704]
- platform/mellanox: Spelling s/platfom/platform/ (Ivan Vecera) [2140704]
- Documentation/ABI: Add new attributes for mlxreg-io sysfs interfaces (Ivan Vecera) [2140704]
- redhat: Enable support for SN2201 system (Ivan Vecera) [2140704]
- platform/mellanox: Add support for new SN2201 system (Ivan Vecera) [2140704]
- platform_data/mlxreg: Add field for notification callback (Ivan Vecera) [2140704]
- hwmon: (mlxreg-fan) Use pwm attribute for setting fan speed low limit (Ivan Vecera) [2140704]
- platform/x86: mlx-platform: Add support for new system SGN2410 (Ivan Vecera) [2140704]
- platform/x86: mlx-platform: Add BIOS attributes for CoffeeLake COMEx based systems (Ivan Vecera) [2140704]
- platform/x86: mlx-platform: Extend FAN and LED configuration to support new MQM97xx systems (Ivan Vecera) [2140704]
- watchdog: mlx-wdt: Use regmap_write_bits() (Ivan Vecera) [2140704]
- docs: ABI: fix documentation warning in sysfs-driver-mlxreg-io (Ivan Vecera) [2140704]
- platform/x86: mlx-platform: Add support for multiply cooling devices (Ivan Vecera) [2140704]
- Documentation/ABI: Add new line card attributes for mlxreg-io sysfs interfaces (Ivan Vecera) [2140704]
- Documentation/ABI: Add new attributes for mlxreg-io sysfs interfaces (Ivan Vecera) [2140704]
- platform/x86: mlx-platform: Configure notifier callbacks for modular system (Ivan Vecera) [2140704]
- platform/x86: mlx-platform: Add initial support for new modular system (Ivan Vecera) [2140704]
- hwmon: (mlxreg-fan) Support distinctive names per different cooling devices (Ivan Vecera) [2140704]
- hwmon: (mlxreg-fan) Modify PWM connectivity validation (Ivan Vecera) [2140704]
- hwmon: (mlxreg-fan) Fix out of bounds read on array fan->pwm (Ivan Vecera) [2140704]
- hwmon: (mlxreg-fan) Extend driver to support multiply cooling devices (Ivan Vecera) [2140704]
- hwmon: (mlxreg-fan) Extend driver to support multiply PWM (Ivan Vecera) [2140704]
- hwmon: (mlxreg-fan) Extend the maximum number of tachometers (Ivan Vecera) [2140704]
- i2c: mlxcpld: Allow flexible polling time setting for I2C transactions (Ivan Vecera) [2140704]
- i2c: mlxcpld: Reduce polling time for performance improvement (Ivan Vecera) [2140704]
- i2c: mlxcpld: Modify register setting for 400KHz frequency (Ivan Vecera) [2140704]
- i2c: mlxcpld: Fix criteria for frequency setting (Ivan Vecera) [2140704]
- hwmon: (mlxreg-fan) Return non-zero value when fan current state is enforced from sysfs (Ivan Vecera) [2140704]
- percpu_ref_init(): clean ->percpu_count_ref on failure (Ian Kent) [2089125]
- ata: libata-core: Print timeout value when internal command times (Ewan D. Milne) [2132143]
- ata: libata-core: fix sloppy parameter type in ata_exec_internal[_sg]() (Ewan D. Milne) [2132143]
- ata: libata-core: make ata_exec_internal_sg() *static* (Ewan D. Milne) [2132143]
- ice: Fix crash by keep old cfg when update TCs more than queues (Petr Oros) [2131953]
- ice: Fix tunnel checksum offload with fragmented traffic (Petr Oros) [2131953]
- ice: handle E822 generic device ID in PLDM header (Petr Oros) [2131953]
- ice: ethtool: Prohibit improper channel config for DCB (Petr Oros) [2131953]
- ice: ethtool: advertise 1000M speeds properly (Petr Oros) [2131953]
- ice: Fix switchdev rules book keeping (Petr Oros) [2131953]
- ice: ignore protocol field in GTP offload (Petr Oros) [2131953]
- ice: fix access-beyond-end in the switch code (Petr Oros) [2131953]
- eth: ice: silence the GCC 12 array-bounds warning (Petr Oros) [2131953]
- ice: Expose RSS indirection tables for queue groups via ethtool (Petr Oros) [2131953]
- Revert "ice: Hide bus-info in ethtool for PRs in switchdev mode" (Petr Oros) [2131953]
- ice: remove period on argument description in ice_for_each_vf (Petr Oros) [2131953]
- ice: add a function comment for ice_cfg_mac_antispoof (Petr Oros) [2131953]
- ice: fix wording in comment for ice_reset_vf (Petr Oros) [2131953]
- ice: remove return value comment for ice_reset_all_vfs (Petr Oros) [2131953]
- ice: always check VF VSI pointer values (Petr Oros) [2131953]
- ice: add newline to dev_dbg in ice_vf_fdir_dump_info (Petr Oros) [2131953]
- ice: get switch id on switchdev devices (Petr Oros) [2131953]
- ice: return ENOSPC when exceeding ICE_MAX_CHAIN_WORDS (Petr Oros) [2131953]
- ice: introduce common helper for retrieving VSI by vsi_num (Petr Oros) [2131953]
- ice: use min_t() to make code cleaner in ice_gnss (Petr Oros) [2131953]
- ice, xsk: Avoid refilling single Rx descriptors (Petr Oros) [2131953]
- ice, xsk: Diversify return values from xsk_wakeup call paths (Petr Oros) [2131953]
- ice, xsk: Terminate Rx side of NAPI when XSK Rx queue gets full (Petr Oros) [2131953]
- ice, xsk: Decorate ICE_XDP_REDIR with likely() (Petr Oros) [2131953]
- ice: Add mpls+tso support (Petr Oros) [2131953]
- ice: switch: convert packet template match code to rodata (Petr Oros) [2131953]
- ice: switch: use convenience macros to declare dummy pkt templates (Petr Oros) [2131953]
- ice: switch: use a struct to pass packet template params (Petr Oros) [2131953]
- ice: switch: unobscurify bitops loop in ice_fill_adv_dummy_packet() (Petr Oros) [2131953]
- ice: switch: add and use u16[] aliases to ice_adv_lkup_elem::{h, m}_u (Petr Oros) [2131953]
- ice: Fix inconsistent indenting in ice_switch (Petr Oros) [2131953]
- ice: Support GTP-U and GTP-C offload in switchdev (Petr Oros) [2131953]
- kexec_file: Fix kexec_file.c build error for riscv platform (Baoquan He) [2119002]
- x86/setup: use IS_ENABLED(CONFIG_KEXEC_CORE) instead of #ifdef (Baoquan He) [2119002]
- kexec: make crashk_res, crashk_low_res and crash_notes symbols always visible (Baoquan He) [2119002]
- s390/crash: support multi-segment iterators (Baoquan He) [2119002]
- s390/crash: use static swap buffer for copy_to_user_real() (Baoquan He) [2119002]
- s390/crash: move copy_to_user_real() to crash_dump.c (Baoquan He) [2119002]
- s390/zcore: fix race when reading from hardware system area (Baoquan He) [2119002]
- s390/crash: fix incorrect number of bytes to copy to user space (Baoquan He) [2119002]
- s390/crash: remove redundant panic() on save area allocation failure (Baoquan He) [2119002]
- s390/mm: remove unused tprot() function (Baoquan He) [2119002]
- s390/crash: make copy_oldmem_page() return number of bytes copied (Baoquan He) [2119002]
- s390/crash: add missing iterator advance in copy_oldmem_page() (Baoquan He) [2119002]
- vmcoreinfo: add kallsyms_num_syms symbol (Baoquan He) [2119002]
- vmcoreinfo: include kallsyms symbols (Baoquan He) [2119002]
- kallsyms: move declarations to internal header (Baoquan He) [2119002]
- kexec_file: increase maximum file size to 4G (Baoquan He) [2119002]
- fs/kernel_read_file: allow to read files up-to ssize_t (Baoquan He) [2119002]
- ima: force signature verification when CONFIG_KEXEC_SIG is configured (Baoquan He) [2119002]
- kernel/crash_core.c: remove redundant check of ck_cmdline (Baoquan He) [2119002]
- kexec: remove redundant assignments (Baoquan He) [2119002]
- kernel/kexec_core: move kexec_core sysctls into its own file (Baoquan He) [2119002]
- ELF: Remove elf_core_copy_kernel_regs() (Baoquan He) [2119002]
- kexec: drop weak attribute from functions (Baoquan He) [2119002]
- vmcore: convert read_from_oldmem() to take an iov_iter (Baoquan He) [2119002]
- vmcore: convert __read_vmcore to use an iov_iter (Baoquan He) [2119002]
- vmcore: convert copy_oldmem_page() to take an iov_iter (Baoquan He) [2119002]
- fs/proc/kcore.c: remove check of list iterator against head past the loop body (Baoquan He) [2119002]
- ext4: Use folio_invalidate() (Lukas Czerner) [2138131]
- locking: Add __sched to semaphore functions (Waiman Long) [2141431]
- locking/rwsem: Disable preemption while trying for rwsem lock (Waiman Long) [2141431]
- locking: Detect includes rwlock.h outside of spinlock.h (Waiman Long) [2141431]
- locking/percpu-rwsem: Add percpu_is_write_locked() and percpu_is_read_locked() (Waiman Long) [2141431]
- locking/spinlocks: Mark spinlocks noinline when inline spinlocks are disabled (Waiman Long) [2141431]
- locking/lockdep: Fix lockdep_init_map_*() confusion (Waiman Long) [2141431]
- locking/lockdep: Use sched_clock() for random numbers (Waiman Long) [2141431]
- lockdep: Delete local_irq_enable_in_hardirq() (Waiman Long) [2141431]
- locking: Add lock contention tracepoints (Waiman Long) [2141431]
- locking/rwsem: Conditionally wake waiters in reader/writer slowpaths (Waiman Long) [2141431]
- lockdep: Fix -Wunused-parameter for _THIS_IP_ (Waiman Long) [2141431]
- locking/rwsem: Optimize down_read_trylock() under highly contended case (Waiman Long) [2141431]
- sunrpc: Set sk_allocation to GFP_NOFS to avoid using current->task_frag. (Guillaume Nault) [2143920]
- fbcon: Fix delayed takeover locking (Jocelyn Falempe) [2134793]
- fbcon: use min() to make code cleaner (Jocelyn Falempe) [2134793]
- fbcon: Maintain a private array of fb_info (Jocelyn Falempe) [2134793]
- fbcon: untangle fbcon_exit (Jocelyn Falempe) [2134793]
- fbcon: Move more code into fbcon_release (Jocelyn Falempe) [2134793]
- fbcon: Move console_lock for register/unlink/unregister (Jocelyn Falempe) [2134793]
- fbcon: Consistently protect deferred_takeover with console_lock() (Jocelyn Falempe) [2134793]
- fbcon: use lock_fb_info in fbcon_open/release (Jocelyn Falempe) [2134793]
- fbcon: move more common code into fb_open() (Jocelyn Falempe) [2134793]
- fbcon: Ditch error handling for con2fb_release_oldinfo (Jocelyn Falempe) [2134793]
- fbcon: Extract fbcon_open/release helpers (Jocelyn Falempe) [2134793]
- fb: Delete fb_info->queue (Jocelyn Falempe) [2134793]
- fbcon: Replace FBCON_FLAGS_INIT with a boolean (Jocelyn Falempe) [2134793]
- fbcon: Use delayed work for cursor (Jocelyn Falempe) [2134793]
- fbdev/sysfs: Fix locking (Jocelyn Falempe) [2134793]
- fbcon: delete delayed loading code (Jocelyn Falempe) [2134793]
- fbcon: Introduce wrapper for console->fb_info lookup (Jocelyn Falempe) [2134793]
- fbcon: Move fbcon_bmove(_rec) functions (Jocelyn Falempe) [2134793]
- fbcon: delete a few unneeded forward decl (Jocelyn Falempe) [2134793]
- nfsd: fix use-after-free in nfsd_file_do_acquire tracepoint (Jeffrey Layton) [2134882]
- nfsd: put the export reference in nfsd4_verify_deleg_dentry (Jeffrey Layton) [2138866]
- nfsd: fix net-namespace logic in __nfsd_file_cache_purge (Jeffrey Layton) [2134882]
- NFSD: Cap rsize_bop result based on send buffer size (Jeffrey Layton) [2134882]
- NFSD: Remove "inline" directives on op_rsize_bop helpers (Jeffrey Layton) [2134882]
- NFSD: Remove unused nfsd4_compoundargs::cachetype field (Jeffrey Layton) [2134882]
- NFSD: Fix handling of oversized NFSv4 COMPOUND requests (Jeffrey Layton) [2134882]
- NFSD: Increase NFSD_MAX_OPS_PER_COMPOUND (Jeffrey Layton) [2134882]
- nfsd: Fix a memory leak in an error handling path (Jeffrey Layton) [2134882]
- nfsd_splice_actor(): handle compound pages (Jeffrey Layton) [2134882]
- nfsd: rework hashtable handling in nfsd_do_file_acquire (Jeffrey Layton) [2134882]
- nfsd: fix nfsd_file_unhash_and_dispose (Jeffrey Layton) [2134882]
- NFS: unlink/rmdir shouldn't call d_delete() twice on ENOENT (Jeffrey Layton) [2134882]
- SUNRPC: Fix READ_PLUS crasher (Jeffrey Layton) [2134882]
- SUNRPC: Remove pointer type casts from xdr_get_next_encode_buffer() (Jeffrey Layton) [2134882]
- SUNRPC: Clean up xdr_get_next_encode_buffer() (Jeffrey Layton) [2134882]
- vfs: fix copy_file_range() regression in cross-fs copies (Jeffrey Layton) [2134882]
- nfp: bpf: Fix typo 'the the' in comment (Stefan Assmann) [2137471]
- ip: Fix data-races around sysctl_ip_default_ttl. (Stefan Assmann) [2137471]
- nfp: flower: configure tunnel neighbour on cmsg rx (Stefan Assmann) [2137471]
- nfp: fix issue of skb segments exceeds descriptor limitation (Stefan Assmann) [2137471]
- nfp: flower: restructure flow-key for gre+vlan combination (Stefan Assmann) [2137471]
- nfp: avoid unnecessary check warnings in nfp_app_get_vf_config (Stefan Assmann) [2137471]
- nfp: remove padding in nfp_nfdk_tx_desc (Stefan Assmann) [2137471]
- nfp: only report pause frame configuration for physical device (Stefan Assmann) [2137471]
- nfp: flower: fix typo in comment (Stefan Assmann) [2137471]
- nfp: flower: support ct merging when mangle action exists (Stefan Assmann) [2137471]
- nfp: VF rate limit support (Stefan Assmann) [2137471]
- nfp: flower: fix 'variable 'flow6' set but not used' (Stefan Assmann) [2137471]
- nfp: support Corigine PCIE vendor ID (Stefan Assmann) [2137471]
- nfp: vendor neutral strings for chip and Corigne in strings for driver (Stefan Assmann) [2137471]
- nfp: flower: enable decap_v2 bit (Stefan Assmann) [2137471]
- nfp: flower: remove unused neighbour cache (Stefan Assmann) [2137471]
- nfp: flower: link pre_tun flow rules with neigh entries (Stefan Assmann) [2137471]
- nfp: flower: rework tunnel neighbour configuration (Stefan Assmann) [2137471]
- nfp: flower: update nfp_tun_neigh structs (Stefan Assmann) [2137471]
- nfp: flower: fixup ipv6/ipv4 route lookup for neigh events (Stefan Assmann) [2137471]
- nfp: flower: enforce more strict pre_tun checks (Stefan Assmann) [2137471]
- nfp: flower: add/remove predt_list entries (Stefan Assmann) [2137471]
- nfp: flower: add infrastructure for pre_tun rework (Stefan Assmann) [2137471]
- nfp: support VxLAN inner TSO with GSO_PARTIAL offload (Stefan Assmann) [2137471]
- nfp: flower: utilize the tuple iifidx in offloading ct flows (Stefan Assmann) [2137471]
- ipv6: Use ipv6_only_sock() helper in condition. (Stefan Assmann) [2137471]
- nfp: support 802.1ad VLAN assingment to VF (Stefan Assmann) [2137471]
- nfp: update nfp_X logging definitions (Stefan Assmann) [2137471]
- Revert "Merge branch 'centos-stream-9-main'" (Frantisek Hrbata)
- Add rtla subpackage for kernel-tools (John Kacur)
Resolves: rhbz#2148429, rhbz#2140704, rhbz#2089125, rhbz#2132143, rhbz#2131953, rhbz#2119002, rhbz#2138131, rhbz#2141431, rhbz#2143920, rhbz#2134793, rhbz#2134882, rhbz#2138866, rhbz#2137471

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-28 05:06:28 -05:00
Frantisek Hrbata
0846d698d0 kernel-5.14.0-201.el9
* Wed Nov 23 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-201.el9]
- net: sched: Fix use after free in red_enqueue() (Ivan Vecera) [2139170]
- Revert "net/sched: taprio: make qdisc_leaf() see the per-netdev-queue pfifo child qdiscs" (Ivan Vecera) [2139170]
- netfilter: egress: Report interface as outgoing (Ivan Vecera) [2139170]
- netfilter: egress: avoid a lockdep splat (Ivan Vecera) [2139170]
- netfilter: core: Fix clang warnings about unused static inlines (Ivan Vecera) [2139170]
- net: sched: act_ct: fix possible refcount leak in tcf_ct_init() (Ivan Vecera) [2139170]
- net: sched: fix possible refcount leak in tc_new_tfilter() (Ivan Vecera) [2139170]
- net/sched: taprio: make qdisc_leaf() see the per-netdev-queue pfifo child qdiscs (Ivan Vecera) [2139170]
- net/sched: taprio: avoid disabling offload when it was never enabled (Ivan Vecera) [2139170]
- sch_sfb: Also store skb len before calling child enqueue (Ivan Vecera) [2139170]
- sch_sfb: Don't assume the skb is still around after enqueueing to child (Ivan Vecera) [2139170]
- net/sched: fix netdevice reference leaks in attach_default_qdiscs() (Ivan Vecera) [2139170]
- net: sched: tbf: don't call qdisc_put() while holding tree lock (Ivan Vecera) [2139170]
- net_sched: cls_route: disallow handle of 0 (Ivan Vecera) [2139170]
- net_sched: cls_route: remove from list when handle is 0 (Ivan Vecera) [2139170]
- net/sched: remove hacks added to dev_trans_start() for bonding to work (Ivan Vecera) [2139170]
- net: bonding: replace dev_trans_start() with the jiffies of the last ARP/NS (Ivan Vecera) [2139170]
- net/sched: sch_cbq: change the type of cbq_set_lss to void (Ivan Vecera) [2139170]
- net/sched: flower: Add PPPoE filter (Ivan Vecera) [2139170]
- net/sched: cls_api: Fix flow action initialization (Ivan Vecera) [2139170]
- net/sched: remove qdisc_root_lock() helper (Ivan Vecera) [2139170]
- net/sched: sch_cbq: Delete unused delay_timer (Ivan Vecera) [2139170]
- net/sched: remove return value of unregister_tcf_proto_ops (Ivan Vecera) [2139170]
- net: extract port range fields from fl_flow_key (Ivan Vecera) [2139170]
- netfilter: flowtable: fix incorrect Kconfig dependencies (Ivan Vecera) [2139170]
- config: set CONFIG_NF_FLOW_TABLE_PROCFS (Ivan Vecera) [2139170]
- netfilter: nf_flow_table: count pending offload workqueue tasks (Ivan Vecera) [2139170]
- net/sched: act_ct: set 'net' pointer when creating new nf_flow_table (Ivan Vecera) [2139170]
- net: sched: provide shim definitions for taprio_offload_{get,free} (Ivan Vecera) [2139170]
- time64.h: consolidate uses of PSEC_PER_NSEC (Ivan Vecera) [2139170]
- selftests: tc-testing: Add testcases to test new flush behaviour (Ivan Vecera) [2139170]
- net/sched: act_api: Notify user space if any actions were flushed before error (Ivan Vecera) [2139170]
- net: Print hashed skb addresses for all net and qdisc events (Ivan Vecera) [2139170]
- tc-testing: gitignore, delete plugins directory (Ivan Vecera) [2139170]
- net/sched: sch_netem: Fix arithmetic in netem_dump() for 32-bit platforms (Ivan Vecera) [2139170]
- net_sched: em_meta: add READ_ONCE() in var_sk_bound_if() (Ivan Vecera) [2139170]
- selftests: forwarding: tc_actions: allow mirred egress test to run on non-offloaded h2 (Ivan Vecera) [2139170]
- net/sched: flower: Consider the number of tags for vlan filters (Ivan Vecera) [2139170]
- net/sched: flower: Add number of vlan tags filter (Ivan Vecera) [2139170]
- net/sched: flower: Reduce identation after is_key_vlan refactoring (Ivan Vecera) [2139170]
- net/sched: flower: Helper function for vlan ethtype checks (Ivan Vecera) [2139170]
- net: sched: support hash selecting tx queue (Ivan Vecera) [2139170]
- net: sched: use queue_mapping to pick tx queue (Ivan Vecera) [2139170]
- config: set CONFIG_NETFILTER_EGRESS (Ivan Vecera) [2139170]
- netfilter: Introduce egress hook (Ivan Vecera) [2139170]
- netfilter: Generalize ingress hook include file (Ivan Vecera) [2139170]
- netfilter: Rename ingress hook include file (Ivan Vecera) [2139170]
- net_sched: make qdisc_reset() smaller (Ivan Vecera) [2139170]
- net/sched: flower: Avoid overwriting error messages (Ivan Vecera) [2139170]
- net/sched: matchall: Avoid overwriting error messages (Ivan Vecera) [2139170]
- net/sched: cls_api: Add extack message for unsupported action offload (Ivan Vecera) [2139170]
- net/sched: act_vlan: Add extack message for offload failure (Ivan Vecera) [2139170]
- net/sched: act_tunnel_key: Add extack message for offload failure (Ivan Vecera) [2139170]
- net/sched: act_skbedit: Add extack messages for offload failure (Ivan Vecera) [2139170]
- net/sched: act_police: Add extack messages for offload failure (Ivan Vecera) [2139170]
- net/sched: act_pedit: Add extack message for offload failure (Ivan Vecera) [2139170]
- net/sched: act_mpls: Add extack messages for offload failure (Ivan Vecera) [2139170]
- net/sched: act_mirred: Add extack message for offload failure (Ivan Vecera) [2139170]
- net/sched: act_gact: Add extack messages for offload failure (Ivan Vecera) [2139170]
- net/sched: act_api: Add extack to offload_act_setup() callback (Ivan Vecera) [2139170]
- net/sched: flower: Take verbose flag into account when logging error messages (Ivan Vecera) [2139170]
- net/sched: matchall: Take verbose flag into account when logging error messages (Ivan Vecera) [2139170]
- gtp: Fix inconsistent indenting (Ivan Vecera) [2139170]
- gtp: Add support for checking GTP device type (Ivan Vecera) [2139170]
- net/sched: Allow flower to match on GTP options (Ivan Vecera) [2139170]
- gtp: Implement GTP echo request (Ivan Vecera) [2139170]
- gtp: Implement GTP echo response (Ivan Vecera) [2139170]
- gtp: Allow to create GTP device without FDs (Ivan Vecera) [2139170]
- net/vdpa: Use readers/writers semaphore instead of cf_mutex (Ivan Vecera) [2143373]
- net/vdpa: Use readers/writers semaphore instead of vdpa_dev_mutex (Ivan Vecera) [2143373]
- vdpa: Add support for querying vendor statistics (Ivan Vecera) [2143373]
- vdpa: Fix error logic in vdpa_nl_cmd_dev_get_doit (Ivan Vecera) [2143373]
- vdpa: factor out vdpa_set_features_unlocked for vdpa internal use (Ivan Vecera) [2143373]
- sctp: handle the error returned from sctp_auth_asoc_init_active_key (Xin Long) [2134900]
- sctp: leave the err path free in sctp_stream_init to sctp_stream_free (Xin Long) [2134900]
- sctp: fix sleep in atomic context bug in timer handlers (Xin Long) [2134900]
- Documentation: fix sctp_wmem in ip-sysctl.rst (Xin Long) [2134900]
- Documentation: add description for net.sctp.ecn_enable (Xin Long) [2134900]
- Documentation: add description for net.sctp.intl_enable (Xin Long) [2134900]
- Documentation: add description for net.sctp.reconf_enable (Xin Long) [2134900]
- stcp: Use memset_after() to zero sctp_stream_out_ext (Xin Long) [2134900]
- sctp: read sk->sk_bound_dev_if once in sctp_rcv() (Xin Long) [2134900]
- vdpa_sim_blk: add support for discard and write-zeroes (Stefano Garzarella) [2136818]
- vdpa_sim_blk: add support for VIRTIO_BLK_T_FLUSH (Stefano Garzarella) [2136818]
- vdpa_sim_blk: make vdpasim_blk_check_range usable by other requests (Stefano Garzarella) [2136818]
- vdpa_sim_blk: check if sector is 0 for commands other than read or write (Stefano Garzarella) [2136818]
- vdpa_sim_blk: call vringh_complete_iotlb() also in the error path (Stefano Garzarella) [2136818]
- vdpa_sim_blk: limit the number of request handled per batch (Stefano Garzarella) [2136818]
- vdpa_sim_blk: use dev_dbg() to print errors (Stefano Garzarella) [2136818]
- vringh: iterate on iotlb_translate to handle large translations (Stefano Garzarella) [2136818]
- vringh: Fix loop descriptors check in the indirect cases (Stefano Garzarella) [2136818]
- rpminspect: disable kmidiff and abidiff (Frantisek Hrbata)
- Update automotive tree for CI (kpet-db) to autosd-rhivos-rt from c9s-rt (bgrech)
- xfs: remove all COW fork extents when remounting readonly (Carlos Maiolino) [2125724]
- xfs: remove incorrect ASSERT in xfs_rename (Carlos Maiolino) [2125724]
- xfs: sync xfs_btree_split macros with userspace libxfs (Carlos Maiolino) [2125724]
- xfs: #ifdef out perag code for userspace (Carlos Maiolino) [2125724]
- xfs: use swap() to make dabtree code cleaner (Carlos Maiolino) [2125724]
- xfs: use swap() to make code cleaner (Carlos Maiolino) [2125724]
- xfs: Remove duplicated include in xfs_super (Carlos Maiolino) [2125724]
- xfs: remove unused parameter from refcount code (Carlos Maiolino) [2125724]
- xfs: reduce the size of struct xfs_extent_free_item (Carlos Maiolino) [2125724]
- xfs: rename xfs_bmap_add_free to xfs_free_extent_later (Carlos Maiolino) [2125724]
- xfs: create slab caches for frequently-used deferred items (Carlos Maiolino) [2125724]
- xfs: compact deferred intent item structures (Carlos Maiolino) [2125724]
- xfs: rename _zone variables to _cache (Carlos Maiolino) [2125724]
- xfs: remove kmem_zone typedef (Carlos Maiolino) [2125724]
- xfs: use separate btree cursor cache for each btree type (Carlos Maiolino) [2125724]
- xfs: compute absolute maximum nlevels for each btree type (Carlos Maiolino) [2125724]
- xfs: kill XFS_BTREE_MAXLEVELS (Carlos Maiolino) [2125724]
- xfs: compute the maximum height of the rmap btree when reflink enabled (Carlos Maiolino) [2125724]
- xfs: clean up xfs_btree_{calc_size,compute_maxlevels} (Carlos Maiolino) [2125724]
- xfs: compute maximum AG btree height for critical reservation calculation (Carlos Maiolino) [2125724]
- xfs: rename m_ag_maxlevels to m_allocbt_maxlevels (Carlos Maiolino) [2125724]
- xfs: dynamically allocate cursors based on maxlevels (Carlos Maiolino) [2125724]
- xfs: encode the max btree height in the cursor (Carlos Maiolino) [2125724]
- xfs: refactor btree cursor allocation function (Carlos Maiolino) [2125724]
- xfs: rearrange xfs_btree_cur fields for better packing (Carlos Maiolino) [2125724]
- xfs: prepare xfs_btree_cur for dynamic cursor heights (Carlos Maiolino) [2125724]
- xfs: dynamically allocate btree scrub context structure (Carlos Maiolino) [2125724]
- xfs: don't track firstrec/firstkey separately in xchk_btree (Carlos Maiolino) [2125724]
- xfs: reduce the size of nr_ops for refcount btree cursors (Carlos Maiolino) [2125724]
- xfs: remove xfs_btree_cur.bc_blocklog (Carlos Maiolino) [2125724]
- xfs: fix incorrect decoding in xchk_btree_cur_fsbno (Carlos Maiolino) [2125724]
- xfs: fix perag reference leak on iteration race with growfs (Carlos Maiolino) [2125724]
- xfs: terminate perag iteration reliably on agcount (Carlos Maiolino) [2125724]
- xfs: rename the next_agno perag iteration variable (Carlos Maiolino) [2125724]
- xfs: fold perag loop iteration logic into helper function (Carlos Maiolino) [2125724]
- xfs: replace snprintf in show functions with sysfs_emit (Carlos Maiolino) [2125724]
- xfs: remove the xfs_dqblk_t typedef (Carlos Maiolino) [2125724]
- xfs: remove the xfs_dsb_t typedef (Carlos Maiolino) [2125724]
- xfs: remove the xfs_dinode_t typedef (Carlos Maiolino) [2125724]
- xfs: check that bc_nlevels never overflows (Carlos Maiolino) [2125724]
- xfs: stricter btree height checking when scanning for btree roots (Carlos Maiolino) [2125724]
- xfs: stricter btree height checking when looking for errors (Carlos Maiolino) [2125724]
- xfs: don't allocate scrub contexts on the stack (Carlos Maiolino) [2125724]
- xfs: remove xfs_btree_cur_t typedef (Carlos Maiolino) [2125724]
- xfs: port the defer ops capture and continue to resource capture (Carlos Maiolino) [2125724]
- xfs: formalize the process of holding onto resources across a defer roll (Carlos Maiolino) [2125724]
- xfs: Use kvcalloc() instead of kvzalloc() (Carlos Maiolino) [2125724]
- perf: Fix pmu_filter_match() (Michael Petlan) [2123229]
- perf: Fix lockdep_assert_event_ctx() (Michael Petlan) [2123229]
- perf: Add a few assertions (Michael Petlan) [2123229]
- perf test: Fix skipping branch stack sampling test (Michael Petlan) [2123229]
- tools: Fix math.h breakage (Michael Petlan) [2123229]
- perf stat: Fix cpu check to use id.cpu.cpu in aggr_printout() (Michael Petlan) [2123229]
- perf list: Fix PMU name pai_crypto in perf list on s390 (Michael Petlan) [2123229]
- perf tests stat+csv_output: Include sanity check for topology (Michael Petlan) [2123229]
- perf tests stat+json_output: Include sanity check for topology (Michael Petlan) [2123229]
- perf inject: Fix GEN_ELF_TEXT_OFFSET for jit (Michael Petlan) [2123229]
- perf lock contention: Fix a build error on 32-bit (Michael Petlan) [2123229]
- perf build: Fixup disabling of -Wdeprecated-declarations for the python scripting engine (Michael Petlan) [2123229]
- perf tests mmap-basic: Remove unused variable to address clang 15 warning (Michael Petlan) [2123229]
- perf parse-events: Ignore clang 15 warning about variable set but unused in bison produced code (Michael Petlan) [2123229]
- perf tests record: Fail the test if the 'errs' counter is not zero (Michael Petlan) [2123229]
- perf test: Fix test case 87 ("perf record tests") for hybrid systems (Michael Petlan) [2123229]
- perf arm-spe: augment the data source type with neoverse_spe list (Michael Petlan) [2123229]
- perf tests vmlinux-kallsyms: Update is_ignored_symbol function to match the kernel ignored list (Michael Petlan) [2123229]
- perf tests powerpc: Fix branch stack sampling test to include sanity check for branch filter (Michael Petlan) [2123229]
- perf parse-events: Remove "not supported" hybrid cache events (Michael Petlan) [2123229]
- perf print-events: Fix "perf list" can not display the PMU prefix for some hybrid cache events (Michael Petlan) [2123229]
- perf tools: Get a perf cgroup more portably in BPF (Michael Petlan) [2123229]
- perf tools: Honor namespace when synthesizing build-ids (Michael Petlan) [2123229]
- perf kcore_copy: Do not check /proc/modules is unchanged (Michael Petlan) [2123229]
- libperf evlist: Fix polling of system-wide events (Michael Petlan) [2123229]
- perf record: Fix cpu mask bit setting for mixed mmaps (Michael Petlan) [2123229]
- perf test: Skip wp modify test on old kernels (Michael Petlan) [2123229]
- perf jit: Include program header in ELF files (Michael Petlan) [2123229]
- perf test: Add a new test for perf stat cgroup BPF counter (Michael Petlan) [2123229]
- perf stat: Use evsel->core.cpus to iterate cpus in BPF cgroup counters (Michael Petlan) [2123229]
- perf stat: Fix cpu map index in bperf cgroup code (Michael Petlan) [2123229]
- perf stat: Fix BPF program section name (Michael Petlan) [2123229]
- perf record: Fix synthesis failure warnings (Michael Petlan) [2123229]
- perf tools: Don't install data files with x permissions (Michael Petlan) [2123229]
- perf script: Fix Cannot print 'iregs' field for hybrid systems (Michael Petlan) [2123229]
- perf lock: Remove redundant word 'contention' in help message (Michael Petlan) [2123229]
- perf dlfilter dlfilter-show-cycles: Fix types for print format (Michael Petlan) [2123229]
- perf c2c: Prevent potential memory leak in c2c_he_zalloc() (Michael Petlan) [2123229]
- perf genelf: Switch deprecated openssl MD5_* functions to new EVP API (Michael Petlan) [2123229]
- tools/perf: Fix out of bound access to cpu mask array (Michael Petlan) [2123229]
- perf affinity: Fix out of bound access to "sched_cpus" mask (Michael Petlan) [2123229]
- perf stat: Fix L2 Topdown metrics disappear for raw events (Michael Petlan) [2123229]
- perf script: Skip dummy event attr check (Michael Petlan) [2123229]
- perf metric: Return early if no CPU PMU table exists (Michael Petlan) [2123229]
- perf stat: Capitalize topdown metrics' names (Michael Petlan) [2123229]
- perf docs: Update the documentation for the save_type filter (Michael Petlan) [2123229]
- perf sched: Fix memory leaks in __cmd_record detected with -fsanitize=address (Michael Petlan) [2123229]
- perf record: Fix manpage formatting of description of support to hybrid systems (Michael Petlan) [2123229]
- perf test: Stat test for repeat with a weak group (Michael Petlan) [2123229]
- perf stat: Clear evsel->reset_group for each stat run (Michael Petlan) [2123229]
- perf tools: Fix compile error for x86 (Michael Petlan) [2123229]
- perf tools: Support reading PERF_FORMAT_LOST (Michael Petlan) [2123229]
- libperf: Add a test case for read formats (Michael Petlan) [2123229]
- libperf: Handle read format in perf_evsel__read() (Michael Petlan) [2123229]
- perf beauty: Update copy of linux/socket.h with the kernel sources (Michael Petlan) [2123229]
- perf cpumap: Fix alignment for masks in event encoding (Michael Petlan) [2123229]
- perf cpumap: Compute mask size in constant time (Michael Petlan) [2123229]
- perf cpumap: Synthetic events and const/static (Michael Petlan) [2123229]
- perf cpumap: Const map for max() (Michael Petlan) [2123229]
- perf test: Refactor shell tests allowing subdirs (Michael Petlan) [2123229]
- perf vendor events: Update events for snowridgex (Michael Petlan) [2123229]
- perf vendor events: Update events and metrics for skylakex (Michael Petlan) [2123229]
- perf vendor events: Update metrics for sapphirerapids (Michael Petlan) [2123229]
- perf vendor events: Update events for knightslanding (Michael Petlan) [2123229]
- perf vendor events: Update metrics for jaketown (Michael Petlan) [2123229]
- perf vendor events: Update metrics for ivytown (Michael Petlan) [2123229]
- perf vendor events: Update events and metrics for icelakex (Michael Petlan) [2123229]
- perf vendor events: Update events and metrics for haswellx (Michael Petlan) [2123229]
- perf vendor events: Update events and metrics for cascadelakex (Michael Petlan) [2123229]
- perf vendor events: Update events and metrics for broadwellx (Michael Petlan) [2123229]
- perf vendor events: Update metrics for broadwellde (Michael Petlan) [2123229]
- perf jevents: Fold strings optimization (Michael Petlan) [2123229]
- perf jevents: Compress the pmu_events_table (Michael Petlan) [2123229]
- perf metrics: Copy entire pmu_event in find metric (Michael Petlan) [2123229]
- perf pmu-events: Hide the pmu_events (Michael Petlan) [2123229]
- perf pmu-events: Don't assume pmu_event is an array (Michael Petlan) [2123229]
- perf pmu-events: Move test events/metrics to JSON (Michael Petlan) [2123229]
- perf test: Use full metric resolution (Michael Petlan) [2123229]
- perf pmu-events: Hide pmu_events_map (Michael Petlan) [2123229]
- perf pmu-events: Avoid passing pmu_events_map (Michael Petlan) [2123229]
- perf pmu-events: Hide pmu_sys_event_tables (Michael Petlan) [2123229]
- perf jevents: Sort JSON files entries (Michael Petlan) [2123229]
- perf jevents: Provide path to JSON file on error (Michael Petlan) [2123229]
- perf jevents: Remove the type/version variables (Michael Petlan) [2123229]
- perf jevent: Add an 'all' architecture argument (Michael Petlan) [2123229]
- perf stat: Remove duplicated include in builtin-stat.c (Michael Petlan) [2123229]
- perf scripting python: Delete repeated word in comments (Michael Petlan) [2123229]
- perf tools: Fix double word in comments (Michael Petlan) [2123229]
- perf trace: Fix double word in comments (Michael Petlan) [2123229]
- perf script: Delete repeated word "from" (Michael Petlan) [2123229]
- perf test: Fix double word in comments (Michael Petlan) [2123229]
- perf record: Improve error message of -p not_existing_pid (Michael Petlan) [2123229]
- perf build-id: Print debuginfod queries if -v option is used (Michael Petlan) [2123229]
- perf build-id: Fix coding style, replace 8 spaces by tabs (Michael Petlan) [2123229]
- perf c2c: Update documentation for new display option 'peer' (Michael Petlan) [2123229]
- perf c2c: Use 'peer' as default display for Arm64 (Michael Petlan) [2123229]
- perf c2c: Sort on peer snooping for load operations (Michael Petlan) [2123229]
- perf c2c: Refactor display string (Michael Petlan) [2123229]
- perf c2c: Refactor node header (Michael Petlan) [2123229]
- perf c2c: Rename dimension from 'percent_hitm' to 'percent_costly_snoop' (Michael Petlan) [2123229]
- perf c2c: Use explicit names for display macros (Michael Petlan) [2123229]
- perf c2c: Add mean dimensions for peer operations (Michael Petlan) [2123229]
- perf c2c: Add dimensions of peer metrics for cache line view (Michael Petlan) [2123229]
- perf c2c: Add dimensions for peer load operations (Michael Petlan) [2123229]
- perf c2c: Output statistics for peer snooping (Michael Petlan) [2123229]
- perf mem: Add statistics for peer snooping (Michael Petlan) [2123229]
- perf arm-spe: Use SPE data source for neoverse cores (Michael Petlan) [2123229]
- perf mem: Print snoop peer flag (Michael Petlan) [2123229]
- perf tools: Sync addition of PERF_MEM_SNOOPX_PEER (Michael Petlan) [2123229]
- perf arm64: Add missing -I for tools/arch/arm64/include/ to find asm/sysreg.h when building arm_spe.h (Michael Petlan) [2123229]
- perf tools: Tidy guest option documentation (Michael Petlan) [2123229]
- perf inject: Fix missing guestmount option documentation (Michael Petlan) [2123229]
- perf script: Fix missing guest option documentation (Michael Petlan) [2123229]
- perf offcpu: Update offcpu test for child process (Michael Petlan) [2123229]
- perf offcpu: Track child processes (Michael Petlan) [2123229]
- perf offcpu: Parse process id separately (Michael Petlan) [2123229]
- perf offcpu: Check process id for the given workload (Michael Petlan) [2123229]
- perf tools: Do not pass NULL to parse_events() (Michael Petlan) [2123229]
- perf tests: Fix Track with sched_switch test for hybrid case (Michael Petlan) [2123229]
- perf parse-events: Fix segfault when event parser gets an error (Michael Petlan) [2123229]
- perf machine: Fix missing free of machine->kallsyms_filename (Michael Petlan) [2123229]
- perf script: Fix reference to perf insert instead of perf inject (Michael Petlan) [2123229]
- perf sched latency: Fix subcommand matching error (Michael Petlan) [2123229]
- perf kvm: Fix subcommand matching error (Michael Petlan) [2123229]
- perf probe: Fix an error handling path in 'parse_perf_probe_command()' (Michael Petlan) [2123229]
- perf inject jit: Ignore memfd and anonymous mmap events if jitdump present (Michael Petlan) [2123229]
- perf list: Add PMU pai_crypto event description for IBM z16 (Michael Petlan) [2123229]
- perf vendor events: Remove bad jaketown uncore events (Michael Petlan) [2123229]
- perf vendor events: Remove bad ivytown uncore events (Michael Petlan) [2123229]
- perf vendor events: Remove bad broadwellde uncore events (Michael Petlan) [2123229]
- perf jevents: Add JEVENTS_ARCH make option (Michael Petlan) [2123229]
- perf jevents: Simplify generation of C-string (Michael Petlan) [2123229]
- perf jevents: Clean up pytype warnings (Michael Petlan) [2123229]
- tools build: Switch to new openssl API for test-libcrypto (Michael Petlan) [2123229]
- Revert "perf build: Suppress openssl v3 deprecation warnings in libcrypto feature test" (Michael Petlan) [2123229]
- perf test: JSON format checking (Michael Petlan) [2123229]
- perf stat: Add JSON output option (Michael Petlan) [2123229]
- perf stat: Refactor __run_perf_stat() common code (Michael Petlan) [2123229]
- perf lock: Print the number of lost entries for BPF (Michael Petlan) [2123229]
- perf lock: Add --map-nr-entries option (Michael Petlan) [2123229]
- perf lock: Introduce struct lock_contention (Michael Petlan) [2123229]
- perf scripting python: Do not build fail on deprecation warnings (Michael Petlan) [2123229]
- genelf: Use HAVE_LIBCRYPTO_SUPPORT, not the never defined HAVE_LIBCRYPTO (Michael Petlan) [2123229]
- perf build: Suppress openssl v3 deprecation warnings in libcrypto feature test (Michael Petlan) [2123229]
- perf parse-events: Break out tracepoint and printing (Michael Petlan) [2123229]
- perf parse-events: Don't #define YY_EXTRA_TYPE (Michael Petlan) [2123229]
- perf test: Add ARM SPE system wide test (Michael Petlan) [2123229]
- perf bpf: Convert legacy map definition to BTF-defined (Michael Petlan) [2123229]
- perf lock: Implement cpu and task filters for BPF (Michael Petlan) [2123229]
- perf lock: Use BPF for lock contention analysis (Michael Petlan) [2123229]
- perf lock: Pass machine pointer to is_lock_function() (Michael Petlan) [2123229]
- perf test: Add user space counter reading tests (Michael Petlan) [2123229]
- perf test: Remove x86 rdpmc test (Michael Petlan) [2123229]
- perf stat: Add topdown metrics in the default perf stat on the hybrid machine (Michael Petlan) [2123229]
- perf x86 evlist: Add default hybrid events for perf stat (Michael Petlan) [2123229]
- perf evlist: Always use arch_evlist__add_default_attrs() (Michael Petlan) [2123229]
- perf evsel: Add arch_evsel__hw_name() (Michael Petlan) [2123229]
- perf stat: Revert "perf stat: Add default hybrid events" (Michael Petlan) [2123229]
- perf test: Fix test case 95 ("Check branch stack sampling") on s390 and use same event (Michael Petlan) [2123229]
- perf vendor events arm64: Arm Cortex-A78C and X1C (Michael Petlan) [2123229]
- perf vendor events: Update Intel snowridgex (Michael Petlan) [2123229]
- perf vendor events: Update Intel westmereex (Michael Petlan) [2123229]
- perf vendor events: Update Intel westmereep-sp (Michael Petlan) [2123229]
- perf vendor events: Update Intel westmereep-dp (Michael Petlan) [2123229]
- perf vendor events: Update Intel tigerlake (Michael Petlan) [2123229]
- perf vendor events: Update Intel skylakex (Michael Petlan) [2123229]
- perf vendor events: Update Intel skylake (Michael Petlan) [2123229]
- perf vendor events: Update Intel silvermont (Michael Petlan) [2123229]
- perf vendor events: Update Intel sapphirerapids (Michael Petlan) [2123229]
- perf vendor events: Update Intel sandybridge (Michael Petlan) [2123229]
- perf vendor events: Update Intel nehalemex (Michael Petlan) [2123229]
- perf vendor events: Update Intel nehalemep (Michael Petlan) [2123229]
- perf vendor events: Add Intel meteorlake (Michael Petlan) [2123229]
- perf vendor events: Update Intel knightslanding (Michael Petlan) [2123229]
- perf vendor events: Update Intel jaketown (Michael Petlan) [2123229]
- perf vendor events: Update Intel ivytown (Michael Petlan) [2123229]
- perf vendor events: Update Intel ivybridge (Michael Petlan) [2123229]
- perf vendor events: Update Intel icelakex (Michael Petlan) [2123229]
- perf vendor events: Update Intel icelake (Michael Petlan) [2123229]
- perf vendor events: Update Intel haswellx (Michael Petlan) [2123229]
- perf vendor events: Update Intel haswell (Michael Petlan) [2123229]
- perf vendor events: Update goldmontplus mapfile.csv (Michael Petlan) [2123229]
- perf vendor events: Update goldmont mapfile.csv (Michael Petlan) [2123229]
- perf vendor events: Update Intel elkhartlake (Michael Petlan) [2123229]
- perf vendor events: Update Intel cascadelakex (Michael Petlan) [2123229]
- perf vendor events: Update bonnell mapfile.csv (Michael Petlan) [2123229]
- perf vendor events: Update Intel alderlake (Michael Petlan) [2123229]
- perf vendor events: Update Intel broadwellde (Michael Petlan) [2123229]
- perf vendor events: Update Intel broadwell (Michael Petlan) [2123229]
- perf vendor events: Update Intel broadwellx (Michael Petlan) [2123229]
- perf test: Avoid sysfs state affecting fake events (Michael Petlan) [2123229]
- perf vendor events intel: Update event list for haswellx (Michael Petlan) [2123229]
- perf vendor events intel: Update event list for broadwellx (Michael Petlan) [2123229]
- perf vendor events intel: Update event list for Snowridgex (Michael Petlan) [2123229]
- perf vendor events intel: Rename tremontx to snowridgex (Michael Petlan) [2123229]
- perf vendor events intel: Update event list for Sapphirerapids (Michael Petlan) [2123229]
- perf vendor events intel: Update event list for Alderlake (Michael Petlan) [2123229]
- perf inject: Fix spelling mistake "theads" -> "threads" (Michael Petlan) [2123229]
- perf kwork: Add workqueue trace BPF support (Michael Petlan) [2123229]
- perf kwork: Add softirq trace BPF support (Michael Petlan) [2123229]
- perf kwork: Add IRQ trace BPF support (Michael Petlan) [2123229]
- perf kwork: Implement BPF trace (Michael Petlan) [2123229]
- perf kwork: Implement perf kwork timehist (Michael Petlan) [2123229]
- perf kwork: Add workqueue latency support (Michael Petlan) [2123229]
- perf kwork: Add softirq latency support (Michael Petlan) [2123229]
- perf kwork: Implement perf kwork latency (Michael Petlan) [2123229]
- perf kwork: Add workqueue report support (Michael Petlan) [2123229]
- perf kwork: Add softirq report support (Michael Petlan) [2123229]
- perf kwork: Add irq report support (Michael Petlan) [2123229]
- perf kwork: Implement 'report' subcommand (Michael Petlan) [2123229]
- tools lib: Add list_last_entry_or_null() (Michael Petlan) [2123229]
- perf kwork: Add workqueue kwork record support (Michael Petlan) [2123229]
- perf kwork: Add softirq kwork record support (Michael Petlan) [2123229]
- perf kwork: Add irq kwork record support (Michael Petlan) [2123229]
- perf kwork: New tool to trace time properties of kernel work (such as softirq, and workqueue) (Michael Petlan) [2123229]
- perf data: Add missing unistd.h header needed for pid_t (Michael Petlan) [2123229]
- perf lock: Support -t option for 'contention' subcommand (Michael Petlan) [2123229]
- perf lock: Add -k and -F options to 'contention' subcommand (Michael Petlan) [2123229]
- perf lock: Add 'contention' subcommand (Michael Petlan) [2123229]
- perf lock: Add lock aggregation enum (Michael Petlan) [2123229]
- perf lock: Add flags field in the lock_stat (Michael Petlan) [2123229]
- perf test: Add test for #system_tsc_freq in metrics (Michael Petlan) [2123229]
- perf tsc: Add cpuinfo fall back for arch_get_tsc_freq() (Michael Petlan) [2123229]
- perf tsc: Add arch TSC frequency information (Michael Petlan) [2123229]
- perf lock: Fix a copy-n-paste bug (Michael Petlan) [2123229]
- perf python: Ignore unused command line arguments when building with clang (Michael Petlan) [2123229]
- perf build: Avoid defining _FORTIFY_SOURCE multiple times (Michael Petlan) [2123229]
- perf cs-etm: Fix duplicated 'the' in comment (Michael Petlan) [2123229]
- perf probe: Fix duplicated 'the' in comment (Michael Petlan) [2123229]
- perf scripting perl: Ignore some warnings to keep building with perl headers (Michael Petlan) [2123229]
- perf python: Avoid deprecation warning on distutils (Michael Petlan) [2123229]
- perf gtk: Only support --gtk if compiled in (Michael Petlan) [2123229]
- perf intel-pt: Add documentation for tracing guest machine user space (Michael Petlan) [2123229]
- perf intel-pt: Use guest pid/tid etc in guest samples (Michael Petlan) [2123229]
- perf intel-pt: Add machine_pid and vcpu to auxtrace_error (Michael Petlan) [2123229]
- perf intel-pt: Determine guest thread from guest sideband (Michael Petlan) [2123229]
- perf intel-pt: Disable sync switch with guest sideband (Michael Petlan) [2123229]
- perf intel-pt: Track guest context switches (Michael Petlan) [2123229]
- perf intel-pt: Add some more logging to intel_pt_walk_next_insn() (Michael Petlan) [2123229]
- perf intel-pt: Remove guest_machine_pid (Michael Petlan) [2123229]
- perf tools: Add perf_event__is_guest() (Michael Petlan) [2123229]
- perf tools: Handle injected guest kernel mmap event (Michael Petlan) [2123229]
- perf machine: Use realloc_array_as_needed() in machine__set_current_tid() (Michael Petlan) [2123229]
- perf inject: Add support for injecting guest sideband events (Michael Petlan) [2123229]
- perf tools: Add reallocarray_as_needed() (Michael Petlan) [2123229]
- perf tools: Automatically use guest kcore_dir if present (Michael Petlan) [2123229]
- perf tools: Make has_kcore_dir() work also for guest kcore_dir (Michael Petlan) [2123229]
- perf tools: Remove also guest kcore_dir with host kcore_dir (Michael Petlan) [2123229]
- perf script python: intel-pt-events: Add machine_pid and vcpu (Michael Petlan) [2123229]
- perf script python: Add machine_pid and vcpu (Michael Petlan) [2123229]
- perf auxtrace: Add machine_pid and vcpu to auxtrace_error (Michael Petlan) [2123229]
- perf dlfilter: Add machine_pid and vcpu (Michael Petlan) [2123229]
- perf script: Add machine_pid and vcpu (Michael Petlan) [2123229]
- perf session: Use sample->machine_pid to find guest machine (Michael Petlan) [2123229]
- perf tools: Add machine_pid and vcpu to perf_sample (Michael Petlan) [2123229]
- perf tools: Add guest_cpu to hypervisor threads (Michael Petlan) [2123229]
- perf session: Create guest machines from id_index (Michael Petlan) [2123229]
- perf tools: Add machine_pid and vcpu to id_index (Michael Petlan) [2123229]
- perf buildid-cache: Do not require purge files to also be in the file system (Michael Petlan) [2123229]
- perf buildid-cache: Add guestmount'd files to the build ID cache (Michael Petlan) [2123229]
- perf script: Add --dump-unsorted-raw-trace option (Michael Petlan) [2123229]
- perf tools: Add perf_event__synthesize_id_sample() (Michael Petlan) [2123229]
- perf tools: Factor out evsel__id_hdr_size() (Michael Petlan) [2123229]
- perf tools: Export perf_event__process_finished_round() (Michael Petlan) [2123229]
- perf ordered_events: Add ordered_events__last_flush_time() (Michael Petlan) [2123229]
- perf tools: Export dsos__for_each_with_build_id() (Michael Petlan) [2123229]
- perf tools: Fix dso_id inode generation comparison (Michael Petlan) [2123229]
- perf buildid-list: Add a "-m" option to show kernel and modules build-ids (Michael Petlan) [2123229]
- perf lock: Look up callchain for the contended locks (Michael Petlan) [2123229]
- perf record: Allow to specify max stack depth of fp callchain (Michael Petlan) [2123229]
- perf lock: Handle lock contention tracepoints (Michael Petlan) [2123229]
- perf lock: Add lock contention tracepoints record support (Michael Petlan) [2123229]
- perf lock: Skip print_bad_events() if nothing bad (Michael Petlan) [2123229]
- perf lock: Allow to use different kernel symbols (Michael Petlan) [2123229]
- perf lock: Print wait times with unit (Michael Petlan) [2123229]
- perf test: Add test for branch stack sampling (Michael Petlan) [2123229]
- perf test: Make all metrics test more tolerant (Michael Petlan) [2123229]
- perf test: Add debug line to diagnose broken metrics (Michael Petlan) [2123229]
- perf jevents: Remove jevents.c (Michael Petlan) [2123229]
- perf jevents: Switch build to use jevents.py (Michael Petlan) [2123229]
- perf jevents: Add python converter script (Michael Petlan) [2123229]
- perf python: Prefer python3 (Michael Petlan) [2123229]
- perf script ibs: Support new IBS bits in raw trace dump (Michael Petlan) [2123229]
- perf tool ibs: Sync AMD IBS header file (Michael Petlan) [2123229]
- perf header: Record non-CPU PMU capabilities (Michael Petlan) [2123229]
- perf header: Store PMU caps in an array of strings (Michael Petlan) [2123229]
- perf header: Pass "cpu" pmu name while printing caps (Michael Petlan) [2123229]
- perf pmu: Parse pmu caps sysfs only once (Michael Petlan) [2123229]
- perf record ibs: Warn about sampling period skew (Michael Petlan) [2123229]
- perf script: Add some missing event dumps (Michael Petlan) [2123229]
- perf record: Add finished init event (Michael Petlan) [2123229]
- perf record: Add new option to sample identifier (Michael Petlan) [2123229]
- perf record: Always record id index (Michael Petlan) [2123229]
- perf record: Always get text_poke events with --kcore option (Michael Petlan) [2123229]
- perf data convert: Prefer sampled CPU when exporting JSON (Michael Petlan) [2123229]
- uapi: asm-generic: fcntl: Fix typo 'the the' in comment (Michael Petlan) [2123229]
- perf/core: Fix reentry problem in perf_output_read_group() (Michael Petlan) [2123229]
- perf/x86/intel: Fix unchecked MSR access error for Alder Lake N (Michael Petlan) [2123229]
- perf/x86/intel/uncore: Fix broken read_counter() for SNB IMC PMU (Michael Petlan) [2123229]
- perf/x86/intel: Fix pebs event constraints for ADL (Michael Petlan) [2123229]
- perf/x86/intel/ds: Fix precise store latency handling (Michael Petlan) [2123229]
- perf/x86/core: Set pebs_capable and PMU_FL_PEBS_ALL for the Baseline (Michael Petlan) [2123229]
- perf/x86/lbr: Enable the branch type for the Arch LBR by default (Michael Petlan) [2123229]
- perf/core: Fix ';;' typo (Michael Petlan) [2123229]
- powerpc/perf: Include caps feature for power10 DD1 version (Michael Petlan) [2123229]
- powerpc/perf: Use PVR rather than oprofile field to determine CPU version (Michael Petlan) [2123229]
- docs: ABI: sysfs-bus-event_source-devices: Document sysfs caps entry for PMU (Michael Petlan) [2123229]
- powerpc/perf: Add support for caps under sysfs in powerpc (Michael Petlan) [2123229]
- powerpc/perf: Add __init attribute to eligible functions (Michael Petlan) [2123229]
- powerpc/perf: Give generic PMU a nice name (Michael Petlan) [2123229]
- powerpc/perf: Update MMCR2 to support event exclude_idle (Michael Petlan) [2123229]
- x86: events: Do not return bogus capabilities if PMU is broken (Michael Petlan) [2123229]
- perf/x86/intel: Fix the comment about guest LBR support on KVM (Michael Petlan) [2123229]
- perf/x86/intel: Fix PEBS data source encoding for ADL (Michael Petlan) [2123229]
- perf/x86/intel: Fix PEBS memory access info encoding for ADL (Michael Petlan) [2123229]
- perf/x86/amd/uncore: Add PerfMonV2 RDPMC assignments (Michael Petlan) [2123229]
- perf/x86/amd/uncore: Add PerfMonV2 DF event format (Michael Petlan) [2123229]
- perf/x86/amd/uncore: Detect available DF counters (Michael Petlan) [2123229]
- perf/x86/amd/uncore: Use attr_update for format attributes (Michael Petlan) [2123229]
- perf/x86/amd/uncore: Use dynamic events array (Michael Petlan) [2123229]
- x86/events/intel/ds: Enable large PEBS for PERF_SAMPLE_WEIGHT_TYPE (Michael Petlan) [2123229]
- fuse: fix readdir cache race (Miklos Szeredi) [2142657]
- gen_compile_commands: fix missing 'sys' package (Íñigo Huguet) [2136526]
- gen_compile_commands: extract compiler command from a series of commands (Íñigo Huguet) [2136526]
Resolves: rhbz#2139170, rhbz#2143373, rhbz#2134900, rhbz#2136818, rhbz#2125724, rhbz#2123229, rhbz#2142657, rhbz#2136526

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-23 02:51:21 -05:00
Frantisek Hrbata
ade04764c2 kernel-5.14.0-200.el9
* Mon Nov 21 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-200.el9]
- macvlan: enforce a consistent minimal mtu (Davide Caratti) [2133755]
- ipvlan: Fix out-of-bound bugs caused by unset skb->mac_header (Davide Caratti) [2133755]
- net: ipvtap - add __init/__exit annotations to module init/exit funcs (Davide Caratti) [2133755]
- perf: Stop using bpf_map__def() API (Jerome Marchand) [2120966]
- perf tools: Remove bpf_map__set_priv()/bpf_map__priv() usage (Jerome Marchand) [2120966]
- perf tools: Remove bpf_program__set_priv/bpf_program__priv usage (Jerome Marchand) [2120966]
- perf bpf: Stop using deprecated bpf_object__next() API (Jerome Marchand) [2120966]
- perf bpf: Stop using deprecated bpf_load_program() API (Jerome Marchand) [2120966]
- perf: Stop using bpf_object__open_buffer() API (Jerome Marchand) [2120966]
- bpf/selftests: fix bpf selftests install (Jerome Marchand) [2120966]
- bpf: Force cookies array to follow symbols sorting (Jerome Marchand) [2120966]
- ftrace: Keep address offset in ftrace_lookup_symbols (Jerome Marchand) [2120966]
- selftests/bpf: Shuffle cookies symbols in kprobe multi test (Jerome Marchand) [2120966]
- bpf: Fix bpf_xdp_pointer return pointer (Jiri Benc) [2120966]
- selftests/bpf: Fix tc_redirect_dtime (Jiri Benc) [2120966]
- net: fix wrong network header length (Jiri Benc) [2120966]
- skbuff: clean up inconsistent indenting (Jiri Benc) [2120966]
- bpf: Disallow bpf programs call prog_run command. (Jerome Marchand) [2120966]
- bpf, x86: fix freeing of not-finalized bpf_prog_pack (Jerome Marchand) [2120966]
- selftests/bpf: Fix rare segfault in sock_fields prog test (Jerome Marchand) [2120966]
- rethook: Reject getting a rethook if RCU is not watching (Jerome Marchand) [2120966]
- selftests/bpf: Avoid skipping certain subtests (Jerome Marchand) [2120966]
- bpf: Use safer kvmalloc_array() where possible (Jerome Marchand) [2120966]
- bpf: Resolve symbols with ftrace_lookup_symbols for kprobe multi link (Jerome Marchand) [2120966]
- ftrace: Add ftrace_lookup_symbols function (Jerome Marchand) [2120966]
- kallsyms: Make kallsyms_on_each_symbol generally available (Jerome Marchand) [2120966]
- bpf: Introduce bpf_arch_text_invalidate for bpf_prog_pack (Jerome Marchand) [2120966]
- x86/alternative: Introduce text_poke_set (Jerome Marchand) [2120966]
- bpf: Fill new bpf_prog_pack with illegal instructions (Jerome Marchand) [2120966]
- scripts: dummy-tools, add pahole (Jerome Marchand) [2120966]
- kprobes: Fix KRETPROBES when CONFIG_KRETPROBE_ON_RETHOOK is set (Jerome Marchand) [2120966]
- bpf: Fix release of page_pool in BPF_PROG_RUN in test runner (Jerome Marchand) [2120966]
- x86,bpf: Avoid IBT objtool warning (Jerome Marchand) [2120966]
- bpf: Do write access check for kfunc and global func (Jerome Marchand) [2120966]
- bpf: Adjust bpf_tcp_check_syncookie selftest to test dual-stack sockets (Jerome Marchand) [2120966]
- bpf: selftests: Test fentry tracing a struct_ops program (Jerome Marchand) [2120966]
- bpf: Resolve to prog->aux->dst_prog->type only for BPF_PROG_TYPE_EXT (Jerome Marchand) [2120966]
- rethook: Fix to use WRITE_ONCE() for rethook:: Handler (Jerome Marchand) [2120966]
- selftests/bpf: Fix warning comparing pointer to 0 (Jerome Marchand) [2120966]
- bpf: Fix sparse warnings in kprobe_multi_resolve_syms (Jerome Marchand) [2120966]
- bpftool: Explicit errno handling in skeletons (Jerome Marchand) [2120966]
- selftests/bpf: Fix clang compilation errors (Jerome Marchand) [2120966]
- x86,kprobes: Fix optprobe trampoline to generate complete pt_regs (Jerome Marchand) [2120966]
- x86,rethook: Fix arch_rethook_trampoline() to generate a complete pt_regs (Jerome Marchand) [2120966]
- x86,rethook,kprobes: Replace kretprobe with rethook on x86 (Jerome Marchand) [2120966]
- kprobes: Use rethook for kretprobe if possible (Jerome Marchand) [2120966]
- kprobes: Limit max data_size of the kretprobe instances (Jerome Marchand) [2120966]
- bpftool: Fix generated code in codegen_asserts (Jerome Marchand) [2120966]
- bpf: Fix maximum permitted number of arguments check (Jerome Marchand) [2120966]
- bpf: Sync comments for bpf_get_stack (Jerome Marchand) [2120966]
- fprobe: Fix sparse warning for acccessing __rcu ftrace_hash (Jerome Marchand) [2120966]
- fprobe: Fix smatch type mismatch warning (Jerome Marchand) [2120966]
- bpf/bpftool: Add unprivileged_bpf_disabled check against value of 2 (Jerome Marchand) [2120966]
- selftests/bpf: Fix kprobe_multi test. (Jerome Marchand) [2120966]
- Revert "rethook: x86: Add rethook x86 implementation" (Jerome Marchand) [2120966]
- Revert "arm64: rethook: Add arm64 rethook implementation" (Jerome Marchand) [2120966]
- Revert "powerpc: Add rethook support" (Jerome Marchand) [2120966]
- Revert "ARM: rethook: Add rethook arm implementation" (Jerome Marchand) [2120966]
- bpftool: Fix a bug in subskeleton code generation (Jerome Marchand) [2120966]
- bpf: Fix bpf_prog_pack when PMU_SIZE is not defined (Jerome Marchand) [2120966]
- bpf: Fix bpf_prog_pack for multi-node setup (Jerome Marchand) [2120966]
- bpf: Fix warning for cast from restricted gfp_t in verifier (Jerome Marchand) [2120966]
- libbpf: Close fd in bpf_object__reuse_map (Jerome Marchand) [2120966]
- bpf: Fix kprobe_multi return probe backtrace (Jerome Marchand) [2120966]
- Revert "bpf: Add support to inline bpf_get_func_ip helper on x86" (Jerome Marchand) [2120966]
- bpf: Simplify check in btf_parse_hdr() (Jerome Marchand) [2120966]
- selftests/bpf/test_lirc_mode2.sh: Exit with proper code (Jerome Marchand) [2120966]
- bpf: Check for NULL return from bpf_get_btf_vmlinux (Jerome Marchand) [2120966]
- selftests/bpf: Test skipping stacktrace (Jerome Marchand) [2120966]
- bpf: Adjust BPF stack helper functions to accommodate skip > 0 (Jerome Marchand) [2120966]
- bpf: Select proper size for bpf_prog_pack (Jerome Marchand) [2120966]
- selftests/bpf: Fix test for 4-byte load from remote_port on big-endian (Jerome Marchand) [2120966]
- selftests/bpf: Fix u8 narrow load checks for bpf_sk_lookup remote_port (Jerome Marchand) [2120966]
- bpf: Treat bpf_sk_lookup remote_port as a 2-byte field (Jerome Marchand) [2120966]
- selftests/bpf: Test for associating multiple elements with the local storage (Jerome Marchand) [2120966]
- bpf: Enable non-atomic allocations in local storage (Jerome Marchand) [2120966]
- libbpf: Avoid NULL deref when initializing map BTF info (Jerome Marchand) [2120966]
- bpf: Always raise reference in btf_get_module_btf (Jerome Marchand) [2120966]
- bpf: Factor out fd returning from bpf_btf_find_by_name_kind (Jerome Marchand) [2120966]
- bpftool: Add BPF_TRACE_KPROBE_MULTI to attach type names table (Jerome Marchand) [2120966]
- selftests/bpf: Fix test for 4-byte load from dst_port on big-endian (Jerome Marchand) [2120966]
- selftests/bpf: Use constants for socket states in sock_fields test (Jerome Marchand) [2120966]
- selftests/bpf: Check dst_port only on the client socket (Jerome Marchand) [2120966]
- selftests/bpf: Fix error reporting from sock_fields programs (Jerome Marchand) [2120966]
- selftests/bpf: Test subskeleton functionality (Jerome Marchand) [2120966]
- bpftool: Add support for subskeletons (Jerome Marchand) [2120966]
- libbpf: Add subskeleton scaffolding (Jerome Marchand) [2120966]
- libbpf: Init btf_{key,value}_type_id on internal map open (Jerome Marchand) [2120966]
- libbpf: .text routines are subprograms in strict mode (Jerome Marchand) [2120966]
- selftests/bpf: Add cookie test for bpf_program__attach_kprobe_multi_opts (Jerome Marchand) [2120966]
- selftests/bpf: Add attach test for bpf_program__attach_kprobe_multi_opts (Jerome Marchand) [2120966]
- selftests/bpf: Add kprobe_multi bpf_cookie test (Jerome Marchand) [2120966]
- selftests/bpf: Add kprobe_multi attach test (Jerome Marchand) [2120966]
- libbpf: Add bpf_program__attach_kprobe_multi_opts function (Jerome Marchand) [2120966]
- libbpf: Add bpf_link_create support for multi kprobes (Jerome Marchand) [2120966]
- libbpf: Add libbpf_kallsyms_parse function (Jerome Marchand) [2120966]
- bpf: Add cookie support to programs attached with kprobe multi link (Jerome Marchand) [2120966]
- bpf: Add support to inline bpf_get_func_ip helper on x86 (Jerome Marchand) [2120966]
- bpf: Add bpf_get_func_ip kprobe helper for multi kprobe link (Jerome Marchand) [2120966]
- bpf: Add multi kprobe link (Jerome Marchand) [2120966]
- kallsyms: Skip the name search for empty string (Jerome Marchand) [2120966]
- lib/sort: Add priv pointer to swap function (Jerome Marchand) [2120966]
- Enable CONFIG_FPROBE (Jerome Marchand) [2120966]
- fprobe: Add a selftest for fprobe (Jerome Marchand) [2120966]
- docs: fprobe: Add fprobe description to ftrace-use.rst (Jerome Marchand) [2120966]
- fprobe: Introduce FPROBE_FL_KPROBE_SHARED flag for fprobe (Jerome Marchand) [2120966]
- fprobe: Add sample program for fprobe (Jerome Marchand) [2120966]
- fprobe: Add exit_handler support (Jerome Marchand) [2120966]
- ARM: rethook: Add rethook arm implementation (Jerome Marchand) [2120966]
- powerpc: Add rethook support (Jerome Marchand) [2120966]
- arm64: rethook: Add arm64 rethook implementation (Jerome Marchand) [2120966]
- rethook: x86: Add rethook x86 implementation (Jerome Marchand) [2120966]
- rethook: Add a generic return hook (Jerome Marchand) [2120966]
- fprobe: Add ftrace based probe APIs (Jerome Marchand) [2120966]
- ftrace: Add ftrace_set_filter_ips function (Jerome Marchand) [2120966]
- selftests/bpf: Test subprog jit when toggle bpf_jit_harden repeatedly (Jerome Marchand) [2120966]
- bpf: Fix net.core.bpf_jit_harden race (Jerome Marchand) [2120966]
- bpf, x86: Fall back to interpreter mode when extra pass fails (Jerome Marchand) [2120966]
- bpf: selftests: Remove libcap usage from test_progs (Jerome Marchand) [2120966]
- bpf: selftests: Remove libcap usage from test_verifier (Jerome Marchand) [2120966]
- bpf: selftests: Add helpers to directly use the capget and capset syscall (Jerome Marchand) [2120966]
- bpftool: man: Add missing top level docs (Jerome Marchand) [2120966]
- bpftool: Add bpf_cookie to link output (Jerome Marchand) [2120966]
- selftests/bpf: Clean up array_size.cocci warnings (Jerome Marchand) [2120966]
- selftests/bpf: Fix a clang compilation error for send_signal.c (Jerome Marchand) [2120966]
- selftests/bpf: Add a test for maximum packet size in xdp_do_redirect (Jerome Marchand) [2120966]
- bpf, test_run: Fix packet size check for live packet mode (Jerome Marchand) [2120966]
- compiler_types: Refactor the use of btf_type_tag attribute. (Jerome Marchand) [2120966]
- selftests/bpf: Check that bpf_kernel_read_file() denies reading IMA policy (Jerome Marchand) [2120966]
- selftests/bpf: Add test for bpf_lsm_kernel_read_file() (Jerome Marchand) [2120966]
- bpf-lsm: Make bpf_lsm_kernel_read_file() as sleepable (Jerome Marchand) [2120966]
- selftests/bpf: Check if the digest is refreshed after a file write (Jerome Marchand) [2120966]
- selftests/bpf: Add test for bpf_ima_file_hash() (Jerome Marchand) [2120966]
- selftests/bpf: Move sample generation code to ima_test_common() (Jerome Marchand) [2120966]
- bpf-lsm: Introduce new helper bpf_ima_file_hash() (Jerome Marchand) [2120966]
- ima: Always return a file measurement in ima_file_hash() (Jerome Marchand) [2120966]
- ima: Fix documentation-related warnings in ima_main.c (Jerome Marchand) [2120966]
- bpftool: Ensure bytes_memlock json output is correct (Jerome Marchand) [2120966]
- bpf: Use offsetofend() to simplify macro definition (Jerome Marchand) [2120966]
- bpf: Fix comment for helper bpf_current_task_under_cgroup() (Jerome Marchand) [2120966]
- bpf, lwt: Fix crash when using bpf_skb_set_tunnel_key() from bpf_xmit lwt hook (Jiri Benc) [2120966]
- selftests/bpf: Fix tunnel remote IP comments (Jiri Benc) [2120966]
- veth: Allow jumbo frames in xdp mode (Jiri Benc) [2120966]
- veth: Rework veth_xdp_rcv_skb in order to accept non-linear skb (Jiri Benc) [2120966]
- net: veth: Account total xdp_frame len running ndo_xdp_xmit (Jiri Benc) [2120966]
- samples/bpf, xdpsock: Fix race when running for fix duration of time (Jiri Benc) [2120966]
- bpf, sockmap: Fix double uncharge the mem of sk_msg (Jiri Benc) [2120966]
- bpf, sockmap: Fix more uncharged while msg has more_data (Jiri Benc) [2120966]
- bpf, sockmap: Fix memleak in tcp_bpf_sendmsg while sk msg is full (Jiri Benc) [2120966]
- bpf, sockmap: Fix memleak in sk_psock_queue_msg (Jiri Benc) [2120966]
- bpf: selftests: Update tests after s/delivery_time/tstamp/ change in bpf.h (Jiri Benc) [2120966]
- bpf: Remove BPF_SKB_DELIVERY_TIME_NONE and rename s/delivery_time_/tstamp_/ (Jiri Benc) [2120966]
- bpf: Simplify insn rewrite on BPF_WRITE __sk_buff->tstamp (Jiri Benc) [2120966]
- bpf: Simplify insn rewrite on BPF_READ __sk_buff->tstamp (Jiri Benc) [2120966]
- bpf: net: Remove TC_AT_INGRESS_OFFSET and SKB_MONO_DELIVERY_TIME_OFFSET macro (Jiri Benc) [2120966]
- bpf: Add __sk_buff->delivery_time_type and bpf_skb_set_skb_delivery_time() (Jiri Benc) [2120966]
- bpf: Keep the (rcv) timestamp behavior for the existing tc-bpf@ingress (Jiri Benc) [2120966]
- net: Postpone skb_clear_delivery_time() until knowing the skb is delivered locally (Jiri Benc) [2120966]
- net: Get rcv tstamp if needed in nfnetlink_{log, queue}.c (Jiri Benc) [2120966]
- net: ipv6: Get rcv timestamp if needed when handling hop-by-hop IOAM option (Jiri Benc) [2120966]
- net: ipv6: Handle delivery_time in ipv6 defrag (Jiri Benc) [2120966]
- net: ip: Handle delivery_time in ip defrag (Jiri Benc) [2120966]
- net: Set skb->mono_delivery_time and clear it after sch_handle_ingress() (Jiri Benc) [2120966]
- net: Clear mono_delivery_time bit in __skb_tstamp_tx() (Jiri Benc) [2120966]
- net: Handle delivery_time in skb->tstamp during network tapping with af_packet (Jiri Benc) [2120966]
- net: Add skb_clear_tstamp() to keep the mono delivery_time (Jiri Benc) [2120966]
- net: Add skb->mono_delivery_time to distinguish mono delivery_time from (rcv) timestamp (Jiri Benc) [2120966]
- net: remove net_invalid_timestamp() (Jiri Benc) [2120966]
- Documentation: update networking/page_pool.rst (Jiri Benc) [2120966]
- page_pool: Add function to batch and return stats (Jiri Benc) [2120966]
- page_pool: Add recycle stats (Jiri Benc) [2120966]
- configs: disable CONFIG_PAGE_POOL_STATS (Jiri Benc) [2120966]
- page_pool: Add allocation stats (Jiri Benc) [2120966]
- selftest/bpf: Check invalid length in test_xdp_update_frags (Jiri Benc) [2120966]
- skmsg: convert struct sk_msg_sg::copy to a bitmap (Jiri Benc) [2120966]
- bpf, test_run: Use kvfree() for memory allocated with kvmalloc() (Jerome Marchand) [2120966]
- bpf: Initialise retval in bpf_prog_test_run_xdp() (Jerome Marchand) [2120966]
- bpftool: Restore support for BPF offload-enabled feature probing (Jerome Marchand) [2120966]
- selftests/bpf: Add selftest for XDP_REDIRECT in BPF_PROG_RUN (Jiri Benc) [2120966]
- selftests/bpf: Move open_netns() and close_netns() into network_helpers.c (Jiri Benc) [2120966]
- libbpf: Support batch_size option to bpf_prog_test_run (Jerome Marchand) [2120966]
- Documentation/bpf: Add documentation for BPF_PROG_RUN (Jerome Marchand) [2120966]
- bpf: Add "live packet" mode for XDP in BPF_PROG_RUN (Jerome Marchand) [2120966]
- bpf: selftests: test skb->tstamp in redirect_neigh (Jiri Benc) [2120966]
- Improve stability of find_vma BPF test (Jerome Marchand) [2120966]
- Improve send_signal BPF test stability (Jerome Marchand) [2120966]
- Improve perf related BPF tests (sample_freq issue) (Jerome Marchand) [2120966]
- bpf/docs: Update list of architectures supported. (Jerome Marchand) [2120966]
- bpf/docs: Update vmtest docs for static linking (Jerome Marchand) [2120966]
- bpf: Remove redundant slash (Jerome Marchand) [2120966]
- libbpf: Fix array_size.cocci warning (Jerome Marchand) [2120966]
- bpf: Replace strncpy() with strscpy() (Jerome Marchand) [2120966]
- libbpf: Unmap rings when umem deleted (Jerome Marchand) [2120966]
- bpf: Determine buf_info inside check_buffer_access() (Jerome Marchand) [2120966]
- powerpc/bpf: Simplify bpf_to_ppc() and adopt it for powerpc64 (Jerome Marchand) [2120966]
- powerpc64/bpf: Store temp registers' bpf to ppc mapping (Jerome Marchand) [2120966]
- powerpc/bpf: Use _Rn macros for GPRs (Jerome Marchand) [2120966]
- powerpc/bpf: Move bpf_jit64.h into bpf_jit_comp64.c (Jerome Marchand) [2120966]
- powerpc/bpf: Cleanup bpf_jit.h (Jerome Marchand) [2120966]
- powerpc64/bpf: Get rid of PPC_BPF_[LL|STL|STLU] macros (Jerome Marchand) [2120966]
- powerpc64/bpf: Convert some of the uses of PPC_BPF_[LL|STL] to PPC_BPF_[LD|STD] (Jerome Marchand) [2120966]
- powerpc/bpf: Rename PPC_BL_ABS() to PPC_BL() (Jerome Marchand) [2120966]
- powerpc64/bpf: Optimize instruction sequence used for function calls (Jerome Marchand) [2120966]
- powerpc64/bpf elfv1: Do not load TOC before calling functions (Jerome Marchand) [2120966]
- powerpc64/bpf elfv2: Setup kernel TOC in r2 on entry (Jerome Marchand) [2120966]
- powerpc64/bpf: Use r12 for constant blinding (Jerome Marchand) [2120966]
- powerpc64/bpf: Do not save/restore LR on each call to bpf_stf_barrier() (Jerome Marchand) [2120966]
- powerpc/bpf: Handle large branch ranges with BPF_EXIT (Jerome Marchand) [2120966]
- powerpc/bpf: Emit a single branch instruction for known short branch ranges (Jerome Marchand) [2120966]
- powerpc/bpf: Skip branch range validation during first pass (Jerome Marchand) [2120966]
- bpf ppc32: Access only if addr is kernel address (Jerome Marchand) [2120966]
- powerpc32/bpf: Fix codegen for bpf-to-bpf calls (Jerome Marchand) [2120966]
- bpf ppc32: Add BPF_PROBE_MEM support for JIT (Jerome Marchand) [2120966]
- selftests/bpf: Add a test for btf_type_tag "percpu" (Jerome Marchand) [2120966]
- bpf: Reject programs that try to load __percpu memory. (Jerome Marchand) [2120966]
- compiler_types: Define __percpu as __attribute__((btf_type_tag("percpu"))) (Jerome Marchand) [2120966]
- bpf: Fix checking PTR_TO_BTF_ID in check_mem_access (Jerome Marchand) [2120966]
- selftests/bpf: Add tests for kfunc register offset checks (Jerome Marchand) [2120966]
- bpf: Replace __diag_ignore with unified __diag_ignore_all (Jerome Marchand) [2120966]
- compiler_types.h: Add unified __diag_ignore_all for GCC/LLVM (Jerome Marchand) [2120966]
- compiler-clang.h: Add __diag infrastructure for clang (Jerome Marchand) [2120966]
- bpf: Harden register offset checks for release helpers and kfuncs (Jerome Marchand) [2120966]
- bpf: Disallow negative offset in check_ptr_off_reg (Jerome Marchand) [2120966]
- bpf: Fix PTR_TO_BTF_ID var_off check (Jerome Marchand) [2120966]
- bpf: Add check_func_arg_reg_off function (Jerome Marchand) [2120966]
- selftests/bpf: Add custom SEC() handling selftest (Jerome Marchand) [2120966]
- libbpf: Support custom SEC() handlers (Jerome Marchand) [2120966]
- libbpf: Allow BPF program auto-attach handlers to bail out (Jerome Marchand) [2120966]
- bpf: Small BPF verifier log improvements (Jerome Marchand) [2120966]
- libbpf: Add a check to ensure that page_cnt is non-zero (Jerome Marchand) [2120966]
- bpf, test_run: Fix overflow in XDP frags bpf_test_finish (Jerome Marchand) [2120966]
- bpf, selftests: Use raw_tp program for atomic test (Jerome Marchand) [2120966]
- selftests/bpf: Do not export subtest as standalone test (Jerome Marchand) [2120966]
- bpf: test_run: Fix overflow in bpf_test_finish frags parsing (Jerome Marchand) [2120966]
- bpf: test_run: Fix overflow in xdp frags parsing (Jerome Marchand) [2120966]
- bpf: test_run: Fix OOB access in bpf_prog_test_run_xdp (Jerome Marchand) [2120966]
- libbpf: Deprecate bpf_prog_test_run_xattr and bpf_prog_test_run (Jerome Marchand) [2120966]
- bpftool: Migrate from bpf_prog_test_run_xattr (Jerome Marchand) [2120966]
- selftests/bpf: Migrate from bpf_prog_test_run_xattr (Jerome Marchand) [2120966]
- selftests/bpf: Migrate from bpf_prog_test_run (Jerome Marchand) [2120966]
- samples/bpf: Update cpumap/devmap sec_name (Jerome Marchand) [2120966]
- selftests/bpf: Update cpumap/devmap sec_name (Jerome Marchand) [2120966]
- libbpf: Deprecate xdp_cpumap, xdp_devmap and classifier sec definitions (Jerome Marchand) [2120966]
- page_pool: Refactor page_pool to enable fragmenting after allocation (Jiri Benc) [2120966]
- socket: Don't use u8 type in uapi socket.h (Jiri Benc) [2120966]
- tcp: Change SYN ACK retransmit behaviour to account for rehash (Jiri Benc) [2120966]
- bpf: Add SO_TXREHASH setsockopt (Jiri Benc) [2120966]
- txhash: Add txrehash sysctl description (Jiri Benc) [2120966]
- txhash: Add socket option to control TX hash rethink behavior (Jiri Benc) [2120966]
- txhash: Make rethinking txhash behavior configurable via sysctl (Jiri Benc) [2120966]
- selftests/bpf/test_xdp_redirect: use temp netns for testing (Jiri Benc) [2120966]
- selftests/bpf/test_xdp_meta: use temp netns for testing (Jiri Benc) [2120966]
- selftests/bpf/test_tcp_check_syncookie: use temp netns for testing (Jiri Benc) [2120966]
- selftests/bpf/test_lwt_seg6local: use temp netns for testing (Jiri Benc) [2120966]
- selftests/bpf/test_xdp_vlan: use temp netns for testing (Jiri Benc) [2120966]
- selftests/bpf/test_xdp_veth: use temp netns for testing (Jiri Benc) [2120966]
- selftests/bpf/test_xdp_redirect_multi: use temp netns for testing (Jiri Benc) [2120966]
- selftest/bpf: Fix a stale comment. (Jiri Benc) [2120966]
- selftest/bpf: Test batching and bpf_(get|set)sockopt in bpf unix iter. (Jiri Benc) [2120966]
- bpf: Support bpf_(get|set)sockopt() in bpf unix iter. (Jiri Benc) [2120966]
- bpf: af_unix: Use batching algorithm in bpf unix iter. (Jiri Benc) [2120966]
- af_unix: Refactor unix_next_socket(). (Jiri Benc) [2120966]
- af_unix: Relax race in unix_autobind(). (Jiri Benc) [2120966]
- af_unix: Replace the big lock with small locks. (Jiri Benc) [2120966]
- af_unix: Save hash in sk_hash. (Jiri Benc) [2120966]
- af_unix: Add helpers to calculate hashes. (Jiri Benc) [2120966]
- af_unix: Remove UNIX_ABSTRACT() macro and test sun_path[0] instead. (Jiri Benc) [2120966]
- af_unix: Allocate unix_address in unix_bind_(bsd|abstract)(). (Jiri Benc) [2120966]
- af_unix: Remove unix_mkname(). (Jiri Benc) [2120966]
- af_unix: Copy unix_mkname() into unix_find_(bsd|abstract)(). (Jiri Benc) [2120966]
- af_unix: Cut unix_validate_addr() out of unix_mkname(). (Jiri Benc) [2120966]
- af_unix: Return an error as a pointer in unix_find_other(). (Jiri Benc) [2120966]
- af_unix: Factorise unix_find_other() based on address types. (Jiri Benc) [2120966]
- af_unix: Pass struct sock to unix_autobind(). (Jiri Benc) [2120966]
- af_unix: Use offsetof() instead of sizeof(). (Jiri Benc) [2120966]
- selftests/bpf: Do not fail build if CONFIG_NF_CONNTRACK=m/n (Jerome Marchand) [2120966]
- bpf, x86: Set header->size properly before freeing it (Jerome Marchand) [2120966]
- x86: Disable HAVE_ARCH_HUGE_VMALLOC on 32-bit x86 (Jerome Marchand) [2120966]
- selftests/bpf: Update btf_dump case for conflicting names (Jerome Marchand) [2120966]
- libbpf: Skip forward declaration when counting duplicated type names (Jerome Marchand) [2120966]
- bpf: Add some description about BPF_JIT_ALWAYS_ON in Kconfig (Jerome Marchand) [2120966]
- bpf: Cache the last valid build_id (Jerome Marchand) [2120966]
- libbpf: Fix BPF_MAP_TYPE_PERF_EVENT_ARRAY auto-pinning (Jerome Marchand) [2120966]
- bpf, arm64: Support more atomic operations (Jerome Marchand) [2120966]
- bpftool: Remove redundant slashes (Jerome Marchand) [2120966]
- Disable CONFIG_MODULE_ALLOW_BTF_MISMATCH (Jerome Marchand) [2120966]
- bpf: Add config to allow loading modules with BTF mismatches (Jerome Marchand) [2120966]
- bpf: Remove Lorenz Bauer from L7 BPF maintainers (Jerome Marchand) [2120966]
- bpf, arm64: Feed byte-offset into bpf line info (Jerome Marchand) [2120966]
- bpf, arm64: Call build_prologue() first in first JIT pass (Jerome Marchand) [2120966]
- bpf: Fix issue with bpf preload module taking over stdout/stdin of kernel. (Jerome Marchand) [2120966]
- bpftool: Bpf skeletons assert type sizes (Jerome Marchand) [2120966]
- bpf: Cleanup comments (Jerome Marchand) [2120966]
- libbpf: Simplify the find_elf_sec_sz() function (Jerome Marchand) [2120966]
- bpftool: Remove usage of reallocarray() (Jerome Marchand) [2120966]
- scripts/pahole-flags.sh: Parse DWARF and generate BTF with multithreading. (Jerome Marchand) [2120966]
- libbpf: Remove redundant check in btf_fixup_datasec() (Jerome Marchand) [2120966]
- selftests/bpf: Add test for reg2btf_ids out of bounds access (Jerome Marchand) [2120966]
- selftests/bpf: Fix btfgen tests (Jerome Marchand) [2120966]
- bpf: Initialize ret to 0 inside btf_populate_kfunc_set() (Jerome Marchand) [2120966]
- selftests/bpf: Fix a clang deprecated-declarations compilation error (Jerome Marchand) [2120966]
- bpf: Call maybe_wait_bpf_programs() only once from generic_map_delete_batch() (Jerome Marchand) [2120966]
- bpf: bpf_prog_pack: Set proper size before freeing ro_header (Jerome Marchand) [2120966]
- selftests/bpf: Fix crash in core_reloc when bpftool btfgen fails (Jerome Marchand) [2120966]
- selftests/bpf: Fix vmtest.sh to launch smp vm. (Jerome Marchand) [2120966]
- bpftool: Fix C++ additions to skeleton (Jerome Marchand) [2120966]
- selftests/bpf: Test "bpftool gen min_core_btf" (Jerome Marchand) [2120966]
- bpftool: Gen min_core_btf explanation and examples (Jerome Marchand) [2120966]
- bpftool: Implement btfgen_get_btf() (Jerome Marchand) [2120966]
- bpftool: Implement "gen min_core_btf" logic (Jerome Marchand) [2120966]
- bpftool: Add gen min_core_btf command (Jerome Marchand) [2120966]
- libbpf: Expose bpf_core_{add,free}_cands() to bpftool (Jerome Marchand) [2120966]
- libbpf: Split bpf_core_apply_relo() (Jerome Marchand) [2120966]
- bpf: Reject kfunc calls that overflow insn->imm (Jerome Marchand) [2120966]
- selftests/bpf: Add Skeleton templated wrapper as an example (Jerome Marchand) [2120966]
- bpftool: Add C++-specific open/load/etc skeleton wrappers (Jerome Marchand) [2120966]
- selftests/bpf: Fix GCC11 compiler warnings in -O2 mode (Jerome Marchand) [2120966]
- powerpc/bpf: Always reallocate BPF_REG_5, BPF_REG_AX and TMP_REG when possible (Jerome Marchand) [2120966]
- bpftool: Update versioning scheme, align on libbpf's version number (Jerome Marchand) [2120966]
- bpftool: Add libbpf's version number to "bpftool version" output (Jerome Marchand) [2120966]
- bpf: Fix bpf_prog_pack build for ppc64_defconfig (Jerome Marchand) [2120966]
- bpf: Convert bpf_preload.ko to use light skeleton. (Jerome Marchand) [2120966]
- bpf: Update iterators.lskel.h. (Jerome Marchand) [2120966]
- bpftool: Generalize light skeleton generation. (Jerome Marchand) [2120966]
- libbpf: Prepare light skeleton for the kernel. (Jerome Marchand) [2120966]
- bpf: Extend sys_bpf commands for bpf_syscall programs. (Jerome Marchand) [2120966]
- selftests/bpf: Cover 4-byte load from remote_port in bpf_sk_lookup (Jerome Marchand) [2120966]
- bpf: Make remote_port field in struct bpf_sk_lookup 16-bit wide (Jerome Marchand) [2120966]
- selftests/bpf: Test BPF_KPROBE_SYSCALL macro (Jerome Marchand) [2120966]
- libbpf: Add BPF_KPROBE_SYSCALL macro (Jerome Marchand) [2120966]
- libbpf: Fix accessing the first syscall argument on s390 (Jerome Marchand) [2120966]
- libbpf: Fix accessing the first syscall argument on arm64 (Jerome Marchand) [2120966]
- libbpf: Allow overriding PT_REGS_PARM1{_CORE}_SYSCALL (Jerome Marchand) [2120966]
- selftests/bpf: Skip test_bpf_syscall_macro's syscall_arg1 on arm64 and s390 (Jerome Marchand) [2120966]
- libbpf: Fix accessing syscall arguments on powerpc (Jerome Marchand) [2120966]
- selftests/bpf: Use PT_REGS_SYSCALL_REGS in bpf_syscall_macro (Jerome Marchand) [2120966]
- libbpf: Add PT_REGS_SYSCALL_REGS macro (Jerome Marchand) [2120966]
- selftests/bpf: Fix an endianness issue in bpf_syscall_macro test (Jerome Marchand) [2120966]
- bpf: Fix bpf_prog_pack build HPAGE_PMD_SIZE (Jerome Marchand) [2120966]
- bpf: Fix leftover header->pages in sparc and powerpc code. (Jerome Marchand) [2120966]
- bpf, x86_64: Fail gracefully on bpf_jit_binary_pack_finalize failures (Jerome Marchand) [2120966]
- bpf, x86_64: Use bpf_jit_binary_pack_alloc (Jerome Marchand) [2120966]
- bpf: Introduce bpf_jit_binary_pack_[alloc|finalize|free] (Jerome Marchand) [2120966]
- bpf: Introduce bpf_prog_pack allocator (Jerome Marchand) [2120966]
- bpf: Introduce bpf_arch_text_copy (Jerome Marchand) [2120966]
- x86/alternative: Introduce text_poke_copy (Jerome Marchand) [2120966]
- bpf: Use prog->jited_len in bpf_prog_ksym_set_addr() (Jerome Marchand) [2120966]
- bpf: Use size instead of pages in bpf_binary_header (Jerome Marchand) [2120966]
- bpf: Use bytes instead of pages for bpf_jit_[charge|uncharge]_modmem (Jerome Marchand) [2120966]
- x86/Kconfig: Select HAVE_ARCH_HUGE_VMALLOC with HAVE_ARCH_HUGE_VMAP (Jerome Marchand) [2120966]
- selftests/bpf: Fix strict mode calculation (Jerome Marchand) [2120966]
- bpftool: Fix strict mode calculation (Jerome Marchand) [2120966]
- libbpf: Remove mode check in libbpf_set_strict_mode() (Jerome Marchand) [2120966]
- selftests/bpf: Fix tests to use arch-dependent syscall entry points (Jerome Marchand) [2120966]
- libbpf: Fix build issue with llvm-readelf (Jerome Marchand) [2120966]
- selftests/bpf: Test bpf_core_types_are_compat() functionality. (Jerome Marchand) [2120966]
- bpf: Implement bpf_core_types_are_compat(). (Jerome Marchand) [2120966]
- bpf, arm64: Enable kfunc call (Jerome Marchand) [2120966]
- selftests/bpf: Add a selftest for invalid func btf with btf decl_tag (Jerome Marchand) [2120966]
- libbpf: Deprecate priv/set_priv storage (Jerome Marchand) [2120966]
- bpf, docs: Better document the atomic instructions (Jerome Marchand) [2120966]
- bpf, docs: Better document the extended instruction format (Jerome Marchand) [2120966]
- bpf, docs: Better document the legacy packet access instruction (Jerome Marchand) [2120966]
- bpf, docs: Better document the regular load and store instructions (Jerome Marchand) [2120966]
- bpf, docs: Document the byte swapping instructions (Jerome Marchand) [2120966]
- libbpf: Deprecate forgotten btf__get_map_kv_tids() (Jerome Marchand) [2120966]
- samples/bpf: Get rid of bpf_prog_load_xattr() use (Jerome Marchand) [2120966]
- selftests/bpf: Redo the switch to new libbpf XDP APIs (Jerome Marchand) [2120966]
- selftests/bpf: Remove usage of deprecated feature probing APIs (Jerome Marchand) [2120966]
- bpftool: Fix uninit variable compilation warning (Jerome Marchand) [2120966]
- bpftool: Stop supporting BPF offload-enabled feature probing (Jerome Marchand) [2120966]
- libbpf: Stop using deprecated bpf_map__is_offload_neutral() (Jerome Marchand) [2120966]
- Disable CONFIG_DEBUG_INFO_DWARF5 (Jerome Marchand) [2120966]
- lib/Kconfig.debug: Allow BTF + DWARF5 with pahole 1.21+ (Jerome Marchand) [2120966]
- lib/Kconfig.debug: Use CONFIG_PAHOLE_VERSION (Jerome Marchand) [2120966]
- scripts/pahole-flags.sh: Use pahole-version.sh (Jerome Marchand) [2120966]
- kbuild: Add CONFIG_PAHOLE_VERSION (Jerome Marchand) [2120966]
- MAINTAINERS: Add scripts/pahole-flags.sh to BPF section (Jerome Marchand) [2120966]
- bpf: Drop libbpf, libelf, libz dependency from bpf preload. (Jerome Marchand) [2120966]
- bpf: Open code obj_get_info_by_fd in bpf preload. (Jerome Marchand) [2120966]
- bpf: Convert bpf preload to light skeleton. (Jerome Marchand) [2120966]
- bpf: Remove unnecessary setrlimit from bpf preload. (Jerome Marchand) [2120966]
- libbpf: Open code raw_tp_open and link_create commands. (Jerome Marchand) [2120966]
- libbpf: Open code low level bpf commands. (Jerome Marchand) [2120966]
- libbpf: Add support for bpf iter in light skeleton. (Jerome Marchand) [2120966]
- libbpf: Deprecate btf_ext rec_size APIs (Jerome Marchand) [2120966]
- bpf: make bpf_copy_from_user_task() gpl only (Jerome Marchand) [2120966]
- selftests/bpf: Extend verifier and bpf_sock tests for dst_port loads (Jerome Marchand) [2120966]
- bpf: Make dst_port field in struct bpf_sock 16-bit wide (Jerome Marchand) [2120966]
- docs/bpf: clarify how btf_type_tag gets encoded in the type chain (Jerome Marchand) [2120966]
- selftests/bpf: specify pahole version requirement for btf_tag test (Jerome Marchand) [2120966]
- selftests/bpf: add a selftest with __user tag (Jerome Marchand) [2120966]
- selftests/bpf: rename btf_decl_tag.c to test_btf_decl_tag.c (Jerome Marchand) [2120966]
- bpf: reject program if a __user tagged memory accessed in kernel way (Jerome Marchand) [2120966]
- compiler_types: define __user as __attribute__((btf_type_tag("user"))) (Jerome Marchand) [2120966]
- cgroup/bpf: fast path skb BPF filtering (Jerome Marchand) [2120966]
- selftests/bpf: fix a clang compilation error (Jerome Marchand) [2120966]
- bpf, x86: Remove unnecessary handling of BPF_SUB atomic op (Jerome Marchand) [2120966]
- bpf: remove unused static inlines (Jerome Marchand) [2120966]
- selftests/bpf: fix uprobe offset calculation in selftests (Jerome Marchand) [2120966]
- selftests/bpf: Fix a clang compilation error (Jerome Marchand) [2120966]
- bpf: fix register_btf_kfunc_id_set for !CONFIG_DEBUG_INFO_BTF (Jerome Marchand) [2120966]
- selftests: bpf: Less strict size check in sockopt_sk (Jerome Marchand) [2120966]
- samples/bpf: use preferred getters/setters instead of deprecated ones (Jerome Marchand) [2120966]
- selftests/bpf: use preferred setter/getter APIs instead of deprecated ones (Jerome Marchand) [2120966]
- bpftool: use preferred setters/getters instead of deprecated ones (Jerome Marchand) [2120966]
- libbpf: deprecate bpf_program__is_<type>() and bpf_program__set_<type>() APIs (Jerome Marchand) [2120966]
- libbpf: deprecate bpf_map__resize() (Jerome Marchand) [2120966]
- libbpf: hide and discourage inconsistently named getters (Jerome Marchand) [2120966]
- selftests/bpf: Add a test to confirm PT_REGS_PARM4_SYSCALL (Jerome Marchand) [2120966]
- libbpf: Fix the incorrect register read for syscalls on x86_64 (Jerome Marchand) [2120966]
- libbpf: Mark bpf_object__open_xattr() deprecated (Jerome Marchand) [2120966]
- libbpf: Mark bpf_object__open_buffer() API deprecated (Jerome Marchand) [2120966]
- selftests/bpf: Add test for sleepable bpf iterator programs (Jerome Marchand) [2120966]
- libbpf: Add "iter.s" section for sleepable bpf iterator programs (Jerome Marchand) [2120966]
- bpf: Add bpf_copy_from_user_task() helper (Jerome Marchand) [2120966]
- bpf: Add support for bpf iterator programs to use sleepable helpers (Jerome Marchand) [2120966]
- xdp: disable XDP_REDIRECT for xdp frags (Jiri Benc) [2120966]
- bpf: selftests: add CPUMAP/DEVMAP selftests for xdp frags (Jiri Benc) [2120966]
- bpf: selftests: introduce bpf_xdp_{load,store}_bytes selftest (Jiri Benc) [2120966]
- net: xdp: introduce bpf_xdp_pointer utility routine (Jiri Benc) [2120966]
- bpf: generalise tail call map compatibility check (Jiri Benc) [2120966]
- libbpf: Add SEC name for xdp frags programs (Jiri Benc) [2120966]
- bpf: selftests: update xdp_adjust_tail selftest to include xdp frags (Jiri Benc) [2120966]
- bpf: test_run: add xdp_shared_info pointer in bpf_test_finish signature (Jiri Benc) [2120966]
- bpf: introduce frags support to bpf_prog_test_run_xdp() (Jiri Benc) [2120966]
- bpf: move user_size out of bpf_test_init (Jiri Benc) [2120966]
- bpf: add frags support to xdp copy helpers (Jiri Benc) [2120966]
- bpf: add frags support to the bpf_xdp_adjust_tail() API (Jiri Benc) [2120966]
- bpf: introduce bpf_xdp_get_buff_len helper (Jiri Benc) [2120966]
- xdp: add frags support to xdp_return_{buff/frame} (Jiri Benc) [2120966]
- net: xdp: add xdp_update_skb_shared_info utility routine (Jiri Benc) [2120966]
- xdp: introduce flags field in xdp_buff/xdp_frame (Jiri Benc) [2120966]
- net: skbuff: add size metadata to skb_shared_info for xdp (Jiri Benc) [2120966]
- bpf: selftests: Get rid of CHECK macro in xdp_bpf2bpf.c (Jiri Benc) [2120966]
- bpf: selftests: Get rid of CHECK macro in xdp_adjust_tail.c (Jiri Benc) [2120966]
- bpf: Fix flexible_array.cocci warnings (Jerome Marchand) [2120966]
- bpf: introduce BPF_F_XDP_HAS_FRAGS flag in prog_flags loading the ebpf program (Jerome Marchand) [2120966]
- selftests: bpf: test BPF_PROG_QUERY for progs attached to sockmap (Jerome Marchand) [2120966]
- bpf: support BPF_PROG_QUERY for progs attached to sockmap (Jerome Marchand) [2120966]
- samples/bpf: adapt samples/bpf to bpf_xdp_xxx() APIs (Jerome Marchand) [2120966]
- bpftool: use new API for attaching XDP program (Jerome Marchand) [2120966]
- libbpf: streamline low-level XDP APIs (Jerome Marchand) [2120966]
- docs/bpf: update BPF map definition example (Jerome Marchand) [2120966]
- libbpf: deprecate legacy BPF map definitions (Jerome Marchand) [2120966]
- selftests/bpf: convert remaining legacy map definitions (Jerome Marchand) [2120966]
- selftests/bpf: fail build on compilation warning (Jerome Marchand) [2120966]
- selftests/bpf: Update sockopt_sk test to the use bpf_set_retval (Jerome Marchand) [2120966]
- selftests/bpf: Test bpf_{get,set}_retval behavior with cgroup/sockopt (Jerome Marchand) [2120966]
- bpf: Add cgroup helpers bpf_{get,set}_retval to get/set syscall return value (Jerome Marchand) [2120966]
- bpf: Move getsockopt retval to struct bpf_cg_run_ctx (Jerome Marchand) [2120966]
- bpf: Make BPF_PROG_RUN_ARRAY return -err instead of allow boolean (Jerome Marchand) [2120966]
- libbpf: Improve btf__add_btf() with an additional hashmap for strings. (Jerome Marchand) [2120966]
- bpf/scripts: Raise an exception if the correct number of sycalls are not generated (Jerome Marchand) [2120966]
- bpf/scripts: Make description and returns section for helpers/syscalls mandatory (Jerome Marchand) [2120966]
- uapi/bpf: Add missing description and returns for helper documentation (Jerome Marchand) [2120966]
- bpftool: Adding support for BTF program names (Jerome Marchand) [2120966]
- selftests/bpf: Add test for race in btf_try_get_module (Jerome Marchand) [2120966]
- selftests/bpf: Extend kfunc selftests (Jerome Marchand) [2120966]
- selftests/bpf: Add test_verifier support to fixup kfunc call insns (Jerome Marchand) [2120966]
- selftests/bpf: Add test for unstable CT lookup API (Jerome Marchand) [2120966]
- net/netfilter: Add unstable CT lookup helpers for XDP and TC-BPF (Jiri Benc) [2120966]
- bpf: Add reference tracking support to kfunc (Jerome Marchand) [2120966]
- bpf: Introduce mem, size argument pair support for kfunc (Jerome Marchand) [2120966]
- bpf: Remove check_kfunc_call callback and old kfunc BTF ID API (Jerome Marchand) [2120966]
- bpf: Populate kfunc BTF ID sets in struct btf (Jerome Marchand) [2120966]
- bpf: Fix UAF due to race between btf_try_get_module and load_module (Jerome Marchand) [2120966]
- test: selftests: Remove unused various in sockmap_verdict_prog.c (Jerome Marchand) [2120966]
- tools/resolve_btfids: Build with host flags (Jerome Marchand) [2120966]
- bpf/scripts: Raise an exception if the correct number of helpers are not generated (Jerome Marchand) [2120966]
- libbpf: Deprecate bpf_map__def() API (Jerome Marchand) [2120966]
- selftests/bpf: Stop using bpf_map__def() API (Jerome Marchand) [2120966]
- bpftool: Stop using bpf_map__def() API (Jerome Marchand) [2120966]
- samples/bpf: Stop using bpf_map__def() API (Jerome Marchand) [2120966]
- libbpf: Fix possible NULL pointer dereference when destroying skeleton (Jerome Marchand) [2120966]
- bpftool: Only set obj->skeleton on complete success (Jerome Marchand) [2120966]
- selftests/bpf: Change bpf_prog_attach_xattr() to bpf_prog_attach_opts() (Jerome Marchand) [2120966]
- libbpf: Rename bpf_prog_attach_xattr() to bpf_prog_attach_opts() (Jerome Marchand) [2120966]
- libbpf: Use IS_ERR_OR_NULL() in hashmap__free() (Jerome Marchand) [2120966]
Resolves: rhbz#2133755, rhbz#2120966

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-21 08:48:51 -05:00
Frantisek Hrbata
0f2276f380 kernel-5.14.0-199.el9
* Sat Nov 19 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-199.el9]
- redhat/configs: turn off NXP i.MX9 family (Steve Best) [2138010]
- soc: imx: imx93-pd: Fix the error handling path of imx93_pd_probe() (Steve Best) [2138010]
- soc: imx: add i.MX93 media blk ctrl driver (Steve Best) [2138010]
- dt-bindings: soc: add i.MX93 mediamix blk ctrl (Steve Best) [2138010]
- soc: imx: add i.MX93 SRC power domain driver (Steve Best) [2138010]
- ipv6: Fix crash when IPv6 is administratively disabled (Guillaume Nault) [2138802]
- raw: fix a typo in raw_icmp_error() (Guillaume Nault) [2138802]
- raw: remove unused variables from raw6_icmp_error() (Guillaume Nault) [2138802]
- raw: complete rcu conversion (Guillaume Nault) [2138802]
- raw: Use helpers for the hlist_nulls variant. (Guillaume Nault) [2138802]
- raw: Fix mixed declarations error in raw_icmp_error(). (Guillaume Nault) [2138802]
- raw: convert raw sockets to RCU (Guillaume Nault) [2138802]
- raw: use more conventional iterators (Guillaume Nault) [2138802]
- arm64: kdump: Reimplement crashkernel=X fixup (Eric Chanudet) [2136853]
- bnx2x: Fix comment typo (Manish Chopra) [2112159]
- bnx2x: Fix spelling mistake "regiser" -> "register" (Manish Chopra) [2112159]
- bnx2x: Fix undefined behavior due to shift overflowing the constant (Manish Chopra) [2112159]
- RDMA/qedr: Fix potential memory leak in __qedr_alloc_mr() (Manish Chopra) [2112168]
- qlogic: qed: fix clang -Wformat warnings (Manish Chopra) [2112168]
- qed: Use bitmap_empty() (Manish Chopra) [2112168]
- qed: Use the bitmap API to allocate bitmaps (Manish Chopra) [2112168]
- qlogic/qed: fix repeated words in comments (Manish Chopra) [2112168]
- RDMA/qedr: Fix reporting QP timeout attribute (Manish Chopra) [2112168]
- qed: fix typos in comments (Manish Chopra) [2112168]
- net: qed: fix typos in comments (Manish Chopra) [2112168]
- RDMA/qedr: Remove unnecessary synchronize_irq() before free_irq() (Manish Chopra) [2112168]
- net: qede: Remove unnecessary synchronize_irq() before free_irq() (Manish Chopra) [2112168]
- qed: Remove unnecessary synchronize_irq() before free_irq() (Manish Chopra) [2112168]
- qed: replace bitmap_weight with bitmap_empty in qed_roce_stop() (Manish Chopra) [2112168]
- qed: rework qed_rdma_bmap_free() (Manish Chopra) [2112168]
- qed: Remove IP services API. (Manish Chopra) [2112168]
- qed: remove an unneed NULL check on list iterator (Manish Chopra) [2112168]
Resolves: rhbz#2138010, rhbz#2138802, rhbz#2136853, rhbz#2112159, rhbz#2112168

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-19 04:18:12 -05:00
Frantisek Hrbata
0f559ed00b kernel-5.14.0-197.el9
* Tue Nov 15 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-197.el9]
- rhel: Provide default powercap and DTPM config settings for Arm (Al Stone) [2126952]
- powercap: DTPM: Check for Energy Model type (Al Stone) [2126952]
- sched, drivers: Remove max param from effective_cpu_util()/sched_cpu_util() (Al Stone) [2126952]
- PM: EM: Change the order of arguments in the .active_power() callback (Al Stone) [2126952]
- PM: EM: Add artificial EM flag (Al Stone) [2126952]
- PM: EM: Add .get_cost() callback (Al Stone) [2126952]
- powercap: DTPM: Fix spelling mistake "initialze" -> "initialize" (Al Stone) [2126952]
- powercap: DTPM: dtpm_node_callback[] can be static (Al Stone) [2126952]
- powercap/dtpm_cpu: Add exit function (Al Stone) [2126952]
- powercap/dtpm: Move the 'root' reset place (Al Stone) [2126952]
- powercap/dtpm: Destroy hierarchy function (Al Stone) [2126952]
- powercap/dtpm: Fixup kfree for virtual node (Al Stone) [2126952]
- powercap/dtpm_cpu: Reset per_cpu variable in the release function (Al Stone) [2126952]
- powercap/dtpm: Change locking scheme (Al Stone) [2126952]
- powercap/drivers/dtpm: Add dtpm devfreq with energy model support (Al Stone) [2126952]
- powercap/drivers/dtpm: Add CPU DT initialization support (Al Stone) [2126952]
- powercap/drivers/dtpm: Add hierarchy creation (Al Stone) [2126952]
- powercap/drivers/dtpm: Remove unused function definition (Al Stone) [2126952]
- powercap/drivers/dtpm: Convert the init table section to a simple array (Al Stone) [2126952]
- powercap/drivers/dtpm: Reduce trace verbosity (Al Stone) [2126952]
- powercap: fix typo in a comment in idle_inject.c (Al Stone) [2126952]
- powercap: DTPM: Drop unused local variable from init_dtpm() (Al Stone) [2126952]
- powercap/drivers/dtpm: Disable DTPM at boot time (Al Stone) [2126952]
- powercap: DTPM: Fix suspend failure and kernel warning (Al Stone) [2126952]
- powercap/drivers/dtpm: Fix power limit initialization (Al Stone) [2126952]
- powercap/drivers/dtpm: Scale the power with the load (Al Stone) [2126952]
- powercap/drivers/dtpm: Use container_of instead of a private data field (Al Stone) [2126952]
- powercap/drivers/dtpm: Simplify the dtpm table (Al Stone) [2126952]
- powercap/drivers/dtpm: Encapsulate even more the code (Al Stone) [2126952]
- scsi: megaraid_sas: Clean up some inconsistent indenting (Tomas Henzl) [2120068]
- scsi: megaraid_sas: Move megasas_dbg_lvl init to megasas_init() (Tomas Henzl) [2120068]
- scsi: megaraid_sas: Remove unnecessary memset() (Tomas Henzl) [2120068]
- scsi: megaraid_sas: Simplify megasas_update_device_list (Tomas Henzl) [2120068]
- scsi: megaraid_sas: Correct an error message (Tomas Henzl) [2120068]
- scsi: megaraid_sas: Correct value passed to scsi_device_lookup() (Tomas Henzl) [2120068]
- scsi: megaraid_sas: Use struct_size() in code related to struct MR_PD_CFG_SEQ_NUM_SYNC (Tomas Henzl) [2120068]
- scsi: megaraid_sas: Use struct_size() in code related to struct MR_FW_RAID_MAP (Tomas Henzl) [2120068]
- scsi: megaraid_sas: Replace one-element array with flexible-array member in MR_PD_CFG_SEQ_NUM_SYNC (Tomas Henzl) [2120068]
- scsi: megaraid_sas: Replace one-element array with flexible-array member in MR_DRV_RAID_MAP (Tomas Henzl) [2120068]
- scsi: megaraid_sas: Replace one-element array with flexible-array member in MR_FW_RAID_MAP_DYNAMIC (Tomas Henzl) [2120068]
- scsi: megaraid_sas: Replace one-element array with flexible-array member in MR_FW_RAID_MAP (Tomas Henzl) [2120068]
- scsi: megaraid: Remove redundant assignment to variable mfiStatus (Tomas Henzl) [2120068]
- scsi: megaraid_sas: Remove redundant variable cmd_type (Tomas Henzl) [2120068]
- scsi: megaraid: Remove the static variable initialisation (Tomas Henzl) [2120068]
- scsi: megaraid_sas: Call scsi_done() directly (Tomas Henzl) [2120068]
- netfilter: nf_ct_ftp: fix deadlock when nat rewrite is needed (Ivan Vecera) [2139501]
- netfilter: nf_ct_irc: cap packet search space to 4k (Ivan Vecera) [2139501]
- netfilter: nf_ct_ftp: prefer skb_linearize (Ivan Vecera) [2139501]
- netfilter: nf_ct_h323: cap packet size at 64k (Ivan Vecera) [2139501]
- netfilter: nf_ct_sane: remove pseudo skb linearization (Ivan Vecera) [2139501]
- veth: enable BIG TCP packets (Ivan Vecera) [2139501]
- net: loopback: enable BIG TCP packets (Ivan Vecera) [2139501]
- ipv6: Add hop-by-hop header to jumbograms in ip6_output (Ivan Vecera) [2139501]
- net: allow gro_max_size to exceed 65536 (Ivan Vecera) [2139501]
- ipv6/gro: insert temporary HBH/jumbo header (Ivan Vecera) [2139501]
- ipv6/gso: remove temporary HBH/jumbo header (Ivan Vecera) [2139501]
- ipv6: add struct hop_jumbo_hdr definition (Ivan Vecera) [2139501]
- tcp_cubic: make hystart_ack_delay() aware of BIG TCP (Ivan Vecera) [2139501]
- net: limit GSO_MAX_SIZE to 524280 bytes (Ivan Vecera) [2139501]
- net: allow gso_max_size to exceed 65536 (Ivan Vecera) [2139501]
- net: Adjust sk_gso_max_size once when set (Ivan Vecera) [2139501]
- net: add IFLA_TSO_{MAX_SIZE|SEGS} attributes (Ivan Vecera) [2139501]
- gro: add ability to control gro max packet size (Ivan Vecera) [2139501]
- net: add extack arg for link ops (Ivan Vecera) [2139501]
- net/mlx5e: Fix wrong use of skb_tcp_all_headers() with encapsulation (Petr Oros) [2128182]
- net: add skb_[inner_]tcp_all_headers helpers (Petr Oros) [2128182]
- net: marvell: clean up trigraph warning on ??! string (Petr Oros) [2128182]
- sched: Always clear user_cpus_ptr in do_set_cpus_allowed() (Waiman Long) [2107354]
- sched: Enforce user requested affinity (Waiman Long) [2107354]
- sched: Always preserve the user requested cpumask (Waiman Long) [2107354]
- sched: Introduce affinity_context (Waiman Long) [2107354]
- sched: Add __releases annotations to affine_move_task() (Waiman Long) [2107354]
- net: ethernet: move from strlcpy with unused retval to strscpy (Ken Cox) [2112183]
- tg3: Disable tg3 device on system reboot to avoid triggering AER (Ken Cox) [2112183]
- scsi: mpt3sas: Revert "scsi: mpt3sas: Fix ioc->base_readl() use" (Tomas Henzl) [2115764]
- scsi: mpt3sas: Revert "scsi: mpt3sas: Fix writel() use" (Tomas Henzl) [2115764]
- scsi: mpt3sas: Fix return value check of dma_get_required_mask() (Tomas Henzl) [2115764]
- scsi: mpt3sas: Fix use-after-free warning (Tomas Henzl) [2115764]
- scsi: mpt3sas: Update driver version to 43.100.00.00 (Tomas Henzl) [2115764]
- scsi: mpt3sas: Increase cmd_per_lun to 128 (Tomas Henzl) [2115764]
- scsi: mpt3sas: Fix trace buffer registration failed (Tomas Henzl) [2115764]
- scsi: mpt3sas: Don't change DMA mask while reallocating pools (Tomas Henzl) [2115764]
- scsi: mpt3sas: Prevent error handler escalation when device removed (Tomas Henzl) [2115764]
- scsi: mpt3sas: Disable MPI2_FUNCTION_FW_DOWNLOAD for ATTO devices (Tomas Henzl) [2115764]
- scsi: mpt3sas: Add support for ATTO ExpressSAS H12xx GT devices (Tomas Henzl) [2115764]
- scsi: mpt3sas: Remove flush_scheduled_work() call (Tomas Henzl) [2115764]
- scsi: mpt3sas: Fix whitespace and spelling mistake (Tomas Henzl) [2115764]
- scsi: mpt3sas: Fix typo in comment (Tomas Henzl) [2115764]
- scsi: mpt3sas: Fix out-of-bounds compiler warning (Tomas Henzl) [2115764]
- scsi: mpt3sas: Update driver version to 42.100.00.00 (Tomas Henzl) [2115764]
- scsi: mpt3sas: Call scsi_done() directly (Tomas Henzl) [2115764]
- scsi: mpi3mr: Select CONFIG_SCSI_SAS_ATTRS (Tomas Henzl) [2115750]
- scsi: mpi3mr: Remove unnecessary cast (Tomas Henzl) [2115750]
- scsi: mpi3mr: Update driver version to 8.2.0.3.0 (Tomas Henzl) [2115750]
- scsi: mpi3mr: Fix scheduling while atomic type bug (Tomas Henzl) [2115750]
- scsi: mpi3mr: Scan the devices during resume time (Tomas Henzl) [2115750]
- scsi: mpi3mr: Free enclosure objects during driver unload (Tomas Henzl) [2115750]
- scsi: mpi3mr: Handle 0xF003 Fault Code (Tomas Henzl) [2115750]
- scsi: mpi3mr: Graceful handling of surprise removal of PCIe HBA (Tomas Henzl) [2115750]
- scsi: mpi3mr: Support new power management framework (Tomas Henzl) [2115750]
- scsi: mpi3mr: Update mpi3 header files (Tomas Henzl) [2115750]
- scsi: mpi3mr: Fix error code in mpi3mr_transport_smp_handler() (Tomas Henzl) [2115750]
- scsi: mpi3mr: Fix error codes in mpi3mr_report_manufacture() (Tomas Henzl) [2115750]
- scsi: mpi3mr: Block I/Os while refreshing target dev objects (Tomas Henzl) [2115750]
- scsi: mpi3mr: Refresh SAS ports during soft reset (Tomas Henzl) [2115750]
- scsi: mpi3mr: Support SAS transport class callbacks (Tomas Henzl) [2115750]
- scsi: mpi3mr: Add framework to issue MPT transport cmds (Tomas Henzl) [2115750]
- scsi: mpi3mr: Add SAS SATA end devices to STL (Tomas Henzl) [2115750]
- scsi: mpi3mr: Get target object based on rphy (Tomas Henzl) [2115750]
- scsi: mpi3mr: Add expander devices to STL (Tomas Henzl) [2115750]
- scsi: mpi3mr: Enable STL on HBAs where multipath is disabled (Tomas Henzl) [2115750]
- scsi: mpi3mr: Add helper functions to manage device's port (Tomas Henzl) [2115750]
- scsi: mpi3mr: Add helper functions to retrieve device objects (Tomas Henzl) [2115750]
- scsi: mpi3mr: Add framework to add phys to STL (Tomas Henzl) [2115750]
- scsi: mpi3mr: Enable Enclosure device add event (Tomas Henzl) [2115750]
- scsi: mpi3mr: Add helper functions to retrieve config pages (Tomas Henzl) [2115750]
- scsi: mpi3mr: Add framework to issue config requests (Tomas Henzl) [2115750]
- scsi: mpi3mr: Add config and transport related debug flags (Tomas Henzl) [2115750]
- scsi: mpi3mr: Delete a stray tab (Tomas Henzl) [2115750]
- scsi: mpi3mr: Unlock on error path (Tomas Henzl) [2115750]
- scsi: mpi3mr: Reduce VD queue depth on detecting throttling (Tomas Henzl) [2115750]
- scsi: mpi3mr: Resource Based Metering (Tomas Henzl) [2115750]
- scsi: mpi3mr: Increase cmd_per_lun to 128 (Tomas Henzl) [2115750]
- scsi: mpi3mr: Enable shared host tagset (Tomas Henzl) [2115750]
- scsi: mpi3mr: Use scsi_cmd_to_rq() instead of scsi_cmnd.request (Tomas Henzl) [2115750]
- scsi: mpi3mr: Call scsi_done() directly (Tomas Henzl) [2115750]
Resolves: rhbz#2126952, rhbz#2120068, rhbz#2139501, rhbz#2128182, rhbz#2107354, rhbz#2112183, rhbz#2115764, rhbz#2115750

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-15 07:36:16 -05:00
Frantisek Hrbata
90e968bdfc kernel-5.14.0-196.el9
* Mon Nov 14 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-196.el9]
- redhat/configs: add configs for new Qualcomm options (Adrien Thierry) [2122365]
- soc: qcom: Make QCOM_RPMPD depend on OF (Adrien Thierry) [2122365]
- soc: qcom: spm: Add CPU data for MSM8909 (Adrien Thierry) [2122365]
- soc: qcom: rpmpd: Add compatible for MSM8909 (Adrien Thierry) [2122365]
- soc: qcom: smd-rpm: Add compatible for MSM8909 (Adrien Thierry) [2122365]
- soc: qcom: icc-bwmon: Remove unnecessary print function dev_err() (Adrien Thierry) [2122365]
- soc: qcom: socinfo: Fix the id of SA8540P SoC (Adrien Thierry) [2122365]
- soc: qcom: Make QCOM_RPMPD depend on PM (Adrien Thierry) [2122365]
- soc: qcom: icc-bwmon: Add bandwidth monitoring driver (Adrien Thierry) [2122365]
- soc/qcom: Make QCOM_RPMPD select PM_GENERIC_DOMAINS/_OF (Adrien Thierry) [2122365]
- soc: qcom: aoss: Fix refcount leak in qmp_cooling_devices_register (Adrien Thierry) [2122365]
- soc: qcom: llcc: Fix syntax errors in comments (Adrien Thierry) [2122365]
- soc: qcom: ocmem: Fix refcount leak in of_get_ocmem (Adrien Thierry) [2122365]
- soc: qcom: cmd-db: replace strscpy_pad() with strncpy() (Adrien Thierry) [2122365]
- soc: qcom: rpmhpd: fix typos in comment (Adrien Thierry) [2122365]
- soc: qcom: correct kerneldoc (Adrien Thierry) [2122365]
- soc: qcom: cmd-db: replace strncpy() with strscpy_pad() (Adrien Thierry) [2122365]
- soc: qcom: apr: Drop redundant check in .remove() (Adrien Thierry) [2122365]
- soc: qcom: socinfo: Add an ID for sc7180P (Adrien Thierry) [2122365]
- soc: qcom: geni: Disable MMIO tracing for GENI SE (Adrien Thierry) [2122365]
- soc: qcom: pdr: use static for servreg_* variables (Adrien Thierry) [2122365]
- soc: qcom: socinfo: Sort out 8974PRO names (Adrien Thierry) [2122365]
- soc: qcom: pdr: replace usage of found with dedicated list iterator variable (Adrien Thierry) [2122365]
- soc: qcom: smsm: Fix missing of_node_put() in smsm_parse_ipc (Adrien Thierry) [2122365]
- soc: qcom: smp2p: Fix missing of_node_put() in smp2p_parse_ipc (Adrien Thierry) [2122365]
- soc: qcom: socinfo: Add another ID for SM8450 (Adrien Thierry) [2122365]
- soc: qcom: socinfo: add SC7280 entry to soc_id array (Adrien Thierry) [2122365]
- soc: qcom: mdt_loader: Fix split-firmware condition (Adrien Thierry) [2122365]
- soc: qcom: socinfo: Add some more PMICs and SoCs (Adrien Thierry) [2122365]
- soc: qcom: mdt_loader: Extract PAS operations (Adrien Thierry) [2122365]
- cpuidle: qcom-spm: Check if any CPU is managed by SPM (Adrien Thierry) [2122365]
- soc: qcom: mdt_loader: Always invoke PAS mem_setup (Adrien Thierry) [2122365]
- soc: qcom: mdt_loader: Reorder parts of __qcom_mdt_load() (Adrien Thierry) [2122365]
- soc: qcom: mdt_loader: Extend check for split firmware (Adrien Thierry) [2122365]
- soc: qcom: mdt_loader: Allow hash to reside in any segment (Adrien Thierry) [2122365]
- firmware: qcom: scm: Introduce pas_metadata context (Adrien Thierry) [2122365]
- soc: qcom: aoss: remove spurious IRQF_ONESHOT flags (Adrien Thierry) [2122365]
- soc: qcom: apr: Remove redundant 'flush_workqueue()' calls (Adrien Thierry) [2122365]
- soc: qcom: ocmem: Fix missing put_device() call in of_get_ocmem (Adrien Thierry) [2122365]
- soc: qcom: rpmh-rsc: Fix typo in a comment (Adrien Thierry) [2122365]
- soc: qcom: socinfo: Add SM6350 and SM7225 (Adrien Thierry) [2122365]
- soc: qcom: socinfo: add SM8450 ID (Adrien Thierry) [2122365]
- soc: qcom: aoss: constify static struct thermal_cooling_device_ops (Adrien Thierry) [2122365]
- PM: AVS: qcom-cpr: Use div64_ul instead of do_div (Adrien Thierry) [2122365]
- soc: qcom: stats: Add fixed sleep stats offset for older RPM firmwares (Adrien Thierry) [2122365]
- soc: qcom: qmi: Fix a typo in a comment (Adrien Thierry) [2122365]
- qcom: spm: allow compile-testing (Adrien Thierry) [2122365]
- soc: qcom: smp2p: Add of_node_put() before goto (Adrien Thierry) [2122365]
- soc: qcom: apr: Add of_node_put() before return (Adrien Thierry) [2122365]
- soc: qcom: qcom_stats: Fix client votes offset (Adrien Thierry) [2122365]
- soc: qcom: spm: Add 8916 SPM register data (Adrien Thierry) [2122365]
- soc: qcom: socinfo: Add PM8150C and SMB2351 models (Adrien Thierry) [2122365]
- soc: qcom: smp2p: add feature negotiation and ssr ack feature support (Adrien Thierry) [2122365]
- soc: qcom: Add Sleep stats driver (Adrien Thierry) [2122365]
- soc: qcom: socinfo: add two missing PMIC IDs (Adrien Thierry) [2122365]
- soc: qcom: apr: Add GPR support (Adrien Thierry) [2122365]
- soc: qcom: apr: make code more reuseable (Adrien Thierry) [2122365]
- soc: qcom: aoss: Drop power domain support (Adrien Thierry) [2122365]
- soc: qcom: smp2p: Add wakeup capability to SMP2P IRQ (Adrien Thierry) [2122365]
- soc: qcom: smd-rpm: Add QCM2290 compatible (Adrien Thierry) [2122365]
- soc: qcom: pdr: Prefer strscpy over strcpy (Adrien Thierry) [2122365]
- soc: qcom: rpmh-rsc: Make use of the helper function devm_platform_ioremap_resource_byname() (Adrien Thierry) [2122365]
- soc: qcom: gsbi: Make use of the helper function devm_platform_ioremap_resource() (Adrien Thierry) [2122365]
- soc: qcom: aoss: Make use of the helper function devm_platform_ioremap_resource() (Adrien Thierry) [2122365]
- soc: qcom: ocmem: Make use of the helper function devm_platform_ioremap_resource_byname() (Adrien Thierry) [2122365]
- PM: AVS: qcom-cpr: Make use of the helper function devm_platform_ioremap_resource() (Adrien Thierry) [2122365]
- soc: qcom: socinfo: Add IPQ8074 family ID-s (Adrien Thierry) [2122365]
- soc: qcom: smd-rpm: Add compatible for MSM8953 SoC (Adrien Thierry) [2122365]
- soc: qcom: spm: Add compatible for MSM8998 SAWv4.1 L2 (Adrien Thierry) [2122365]
- soc: qcom: spm: Implement support for SAWv4.1, SDM630/660 L2 AVS (Adrien Thierry) [2122365]
- cpuidle: qcom_spm: Detach state machine from main SPM handling (Adrien Thierry) [2122365]
- soc: qcom: mdt_loader: Drop PT_LOAD check on hash segment (Adrien Thierry) [2122365]
- nvmem: core: Add stubs for nvmem_cell_read_variable_le_u32/64 if !CONFIG_NVMEM (Adrien Thierry) [2122365]
- soc: qcom: socinfo: Fixed argument passed to platform_set_data() (Adrien Thierry) [2122365]
- soc: qcom: smsm: Fix missed interrupts if state changes while masked (Adrien Thierry) [2122365]
- soc: qcom: smsm: Implement support for get_irqchip_state (Adrien Thierry) [2122365]
- soc: qcom: smd-rpm: Add SM6115 compatible (Adrien Thierry) [2122365]
- PM: AVS: qcom-cpr: Use nvmem_cell_read_variable_le_u32() (Adrien Thierry) [2122365]
- soc: qcom: aoss: Fix the out of bound usage of cooling_devs (Adrien Thierry) [2122365]
- soc: qcom: socinfo: Don't print anything if nothing found (Adrien Thierry) [2122365]
- soc: qcom: aoss: Add generic compatible (Adrien Thierry) [2122365]
- net: drop the weight argument from netif_napi_add (Íñigo Huguet) [2139498]
- eth: octeon: fix build after netif_napi_add() changes (Íñigo Huguet) [2139498]
- net: remove netif_tx_napi_add() (Íñigo Huguet) [2139498]
- atl1c: use netif_napi_add_tx() for Tx NAPI (Íñigo Huguet) [2139498]
- ipoib: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498]
- IB/hfi1: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498]
- IB/hfi1: switch to netif_napi_add_tx() (Íñigo Huguet) [2139498]
- crypto: caam/qi2 - switch to netif_napi_add_tx_weight() (Íñigo Huguet) [2139498]
- can: can-dev: move to netif_napi_add_weight() (Íñigo Huguet) [2139498]
- net: wan: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498]
- net: virtio: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498]
- r8152: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498]
- eth: switch to netif_napi_add_weight() (Íñigo Huguet) [2139498]
- mt76: switch to netif_napi_add_tx() (Íñigo Huguet) [2139498]
- net: move snowflake callers to netif_napi_add_tx_weight() (Íñigo Huguet) [2139498]
- net: switch to netif_napi_add_tx() (Íñigo Huguet) [2139498]
- netdev: reshuffle netif_napi_add() APIs to allow dropping weight (Íñigo Huguet) [2139498]
- ath10k: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498]
- rtw88: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498]
- eth: smsc: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498]
- eth: benet: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498]
- eth: remove remaining copies of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498]
- eth: remove copies of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498]
- eth: atlantic: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498]
- can: m_can: remove a copy of the NAPI_POLL_WEIGHT define (Íñigo Huguet) [2139498]
- scsi: lpfc: Update lpfc version to 14.2.0.8 (Dick Kennedy) [2114053]
- scsi: lpfc: Create a sysfs entry called lpfc_xcvr_data for transceiver info (Dick Kennedy) [2114053]
- scsi: lpfc: Log when congestion management limits are in effect (Dick Kennedy) [2114053]
- scsi: lpfc: Fix hard lockup when reading the rx_monitor from debugfs (Dick Kennedy) [2114053]
- scsi: lpfc: Set sli4_param's cmf option to zero when CMF is turned off (Dick Kennedy) [2114053]
- scsi: lpfc: Update lpfc version to 14.2.0.7 (Dick Kennedy) [2114053]
- scsi: lpfc: Fix various issues reported by tools (Dick Kennedy) [2114053]
- scsi: lpfc: Add reporting capability for Link Degrade Signaling (Dick Kennedy) [2114053]
- scsi: lpfc: Rework FDMI attribute registration for unintential padding (Dick Kennedy) [2114053]
- scsi: lpfc: Rework lpfc_fdmi_cmd() routine for cleanup and consistency (Dick Kennedy) [2114053]
- scsi: lpfc: Rename mp/bmp dma buffers to rq/rsp in lpfc_fdmi_cmd (Dick Kennedy) [2114053]
- scsi: lpfc: Update congestion mode logging for Emulex SAN Manager application (Dick Kennedy) [2114053]
- scsi: lpfc: Move scsi_host_template outside dynamically allocated/freed phba (Dick Kennedy) [2114053]
- scsi: lpfc: Fix multiple NVMe remoteport registration calls for the same NPort ID (Dick Kennedy) [2114053]
- scsi: lpfc: Add missing free iocb and nlp kref put for early return VMID cases (Dick Kennedy) [2114053]
- scsi: lpfc: Fix mbuf pool resource detected as busy at driver unload (Dick Kennedy) [2114053]
- scsi: lpfc: Fix FLOGI ACC with wrong SID in PT2PT topology (Dick Kennedy) [2114053]
- scsi: lpfc: Fix prli_fc4_req checks in PRLI handling (Dick Kennedy) [2114053]
- scsi: lpfc: Remove unneeded result variable (Dick Kennedy) [2114053]
- scsi: lpfc: Remove the unneeded result variable (Dick Kennedy) [2114053]
- scsi: lpfc: Copyright updates for 14.2.0.6 patches (Dick Kennedy) [2114053]
- scsi: lpfc: Update lpfc version to 14.2.0.6 (Dick Kennedy) [2114053]
- scsi: lpfc: Remove SANDiags related code (Dick Kennedy) [2114053]
- scsi: lpfc: Add warning notification period to CMF_SYNC_WQE (Dick Kennedy) [2114053]
- scsi: lpfc: Rework MIB Rx Monitor debug info logic (Dick Kennedy) [2114053]
- scsi: lpfc: Fix null ndlp ptr dereference in abnormal exit path for GFT_ID (Dick Kennedy) [2114053]
- scsi: lpfc: Fix unsolicited FLOGI receive handling during PT2PT discovery (Dick Kennedy) [2114053]
- scsi: lpfc: Check the return value of alloc_workqueue() (Dick Kennedy) [2114053]
Resolves: rhbz#2122365, rhbz#2139498, rhbz#2114053

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-14 10:33:31 -05:00
Frantisek Hrbata
89ddf0b325 kernel-5.14.0-189.el9
* Tue Nov 08 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-189.el9]
- KVM: VMX: fully disable SGX if SECONDARY_EXEC_ENCLS_EXITING unavailable (Emanuele Giuseppe Esposito) [2139362]
- selftests/vm: enable running select groups of tests (Nico Pache) [2089501]
- mm: add merging after mremap resize (Nico Pache) [2089501]
- selftest: vm: remove deleted local_config.* from .gitignore (Nico Pache) [2089501]
- Kselftests: remove support of libhugetlbfs from kselftests (Nico Pache) [2089501]
- selftests: vm: add hugetlb_shared userfaultfd test to run_vmtests.sh (Nico Pache) [2089501]
- selftests/vm: add selftest to verify multi THP collapse (Nico Pache) [2089501]
- selftests/vm: add selftest to verify recollapse of THPs (Nico Pache) [2089501]
- selftests/vm: add MADV_COLLAPSE collapse context to selftests (Nico Pache) [2089501]
- selftests/vm: dedup hugepage allocation logic (Nico Pache) [2089501]
- selftests/vm: modularize collapse selftests (Nico Pache) [2089501]
- tools/testing/selftests/vm/hugetlb-madvise.c: silence uninitialized variable warning (Nico Pache) [2089501]
- selftests/vm: skip 128TBswitch on unsupported arch (Nico Pache) [2089501]
- selftests/vm: fix va_128TBswitch.sh permissions (Nico Pache) [2089501]
- selftests/vm: add protection_keys tests to run_vmtests (Nico Pache) [2089501]
- selftests/vm: only run 128TBswitch with 5-level paging (Nico Pache) [2089501]
- userfaultfd: selftests: infinite loop in faulting_process (Nico Pache) [2089501]
- userfaultfd/selftests: fix typo in comment (Nico Pache) [2089501]
- selftests: make use of GUP_TEST_FILE macro (Nico Pache) [2089501]
- selftests: vm: Fix resource leak when return error (Nico Pache) [2089501]
- selftests: vm: add the "settings" file with timeout variable (Nico Pache) [2089501]
- selftests: vm: add "test_hmm.sh" to TEST_FILES (Nico Pache) [2089501]
- selftests: vm: check numa_available() before operating "merge_across_nodes" in ksm_tests (Nico Pache) [2089501]
- selftests: vm: add migration to the .gitignore (Nico Pache) [2089501]
- selftests/vm/pkeys: fix typo in comment (Nico Pache) [2089501]
- userfaultfd/selftests: use swap() instead of open coding it (Nico Pache) [2089501]
- selftests/uffd: enable uffd-wp for shmem/hugetlbfs (Nico Pache) [2089501]
- selftest/vm: test that mremap fails on non-existent vma (Nico Pache) [2089501]
- selftests: clarify common error when running gup_test (Nico Pache) [2089501]
- selftests: vm: fix shellcheck warnings in run_vmtests.sh (Nico Pache) [2089501]
- selftests: vm: refactor run_vmtests.sh to reduce boilerplate (Nico Pache) [2089501]
- tools/testing/selftests/vm/gup_test.c: clarify error statement (Nico Pache) [2089501]
- mm: add selftests for migration entries (Nico Pache) [2089501]
- selftests/x86/corrupt_xstate_header: Use provided __cpuid_count() macro (Nico Pache) [2089501]
- selftests/x86/amx: Use provided __cpuid_count() macro (Nico Pache) [2089501]
- selftests/vm/pkeys: Use provided __cpuid_count() macro (Nico Pache) [2089501]
- selftests: Provide local define of __cpuid_count() (Nico Pache) [2089501]
- selftests: kselftest framework: provide "finished" helper (Nico Pache) [2089501]
- userfaultfd/selftests: enable hugetlb remap and remove event testing (Nico Pache) [2089501]
- selftests/vm: add hugetlb madvise MADV_DONTNEED MADV_REMOVE test (Nico Pache) [2089501]
- userfaultfd/selftests: fix uninitialized_var.cocci warning (Nico Pache) [2089501]
- selftests: vm: add a hugetlb test case (Nico Pache) [2089501]
- selftests, x86: fix how check_cc.sh is being invoked (Nico Pache) [2089501]
- selftests: vm: fix clang build error multiple output files (Nico Pache) [2089501]
- kselftest/vm: fix tests build with old libc (Nico Pache) [2089501]
- selftest/vm: fix map_fixed_noreplace test failure (Nico Pache) [2089501]
- selftests: vm: remove dependecy from internal kernel macros (Nico Pache) [2089501]
- selftests: vm: Add the uapi headers include variable (Nico Pache) [2089501]
- kselftest/vm: revert "tools/testing/selftests/vm/userfaultfd.c: use swap() to make code cleaner" (Nico Pache) [2089501]
- userfaultfd/selftests: clean up hugetlb allocation code (Nico Pache) [2089501]
- selftests/uffd: allow EINTR/EAGAIN (Nico Pache) [2089501]
- selftests/vm: make charge_reserved_hugetlb.sh work with existing cgroup setting (Nico Pache) [2089501]
- tools/testing/selftests/vm/userfaultfd.c: use swap() to make code cleaner (Nico Pache) [2089501]
- userfaultfd/selftests: fix hugetlb area allocations (Nico Pache) [2089501]
- selftests/vm: remove ARRAY_SIZE define from individual tests (Nico Pache) [2089501]
- selftests/vm: make MADV_POPULATE_(READ|WRITE) use in-tree headers (Nico Pache) [2089501]
- selftests: vm: add KSM huge pages merging time test (Nico Pache) [2089501]
- selftests/vm/transhuge-stress: fix ram size thinko (Nico Pache) [2089501]
- remoteproc: imx_rproc: Simplify some error message (Steve Best) [2137983]
- remoteproc: imx_rproc: Fix refcount leak in imx_rproc_addr_init (Steve Best) [2137983]
- remoteproc: imx_rproc: Support i.MX93 (Steve Best) [2137983]
- remoteproc: imx_rproc: Ignore create mem entry for resource table (Steve Best) [2137983]
- dt-bindings: remoteproc: imx_rproc: Support i.MX93 (Steve Best) [2137983]
- usb: dwc3: st: Rely on child's compatible instead of name (Torez Smith) [2113003]
- usb: typec: anx7411: Use of_get_child_by_name() instead of of_find_node_by_name() (Torez Smith) [2113003]
- media: airspy: fix memory leak in airspy probe (Torez Smith) [2113003]
- uas: ignore UAS for Thinkplus chips (Torez Smith) [2113003]
- usb-storage: Add Hiksemi USB3-FW to IGNORE_UAS (Torez Smith) [2113003]
- uas: add no-uas quirk for Hiksemi usb_disk (Torez Smith) [2113003]
- usb: dwc3: st: Fix node's child name (Torez Smith) [2113003]
- usb: typec: ucsi: Remove incorrect warning (Torez Smith) [2113003]
- usb: typec: anx7411: Fix build error without CONFIG_POWER_SUPPLY (Torez Smith) [2113003]
- thunderbolt: Explicitly reset plug events delay back to USB4 spec value (Torez Smith) [2113003]
- media: flexcop-usb: fix endpoint type check (Torez Smith) [2113003]
- USB: serial: option: add Quectel RM520N (Torez Smith) [2113003]
- USB: serial: option: add Quectel BG95 0x0203 composition (Torez Smith) [2113003]
- thunderbolt: Add support for Intel Maple Ridge single port controller (Torez Smith) [2113003]
- usb: dwc3: core: leave default DMA if the controller does not support 64-bit DMA (Torez Smith) [2113003]
- USB: core: Fix RST error in hub.c (Torez Smith) [2113003]
- Revert "usb: gadget: udc-xilinx: replace memcpy with memcpy_toio" (Torez Smith) [2113003]
- kunit: fix Kconfig for build-in tests USB4 and Nitro Enclaves (Torez Smith) [2113003]
- usb: storage: Add ASUS <0x0b05:0x1932> to IGNORE_UAS (Torez Smith) [2113003]
- USB: serial: ch341: fix disabled rx timer on older devices (Torez Smith) [2113003]
- USB: serial: ch341: fix lost character on LCR updates (Torez Smith) [2113003]
- USB: serial: cp210x: add Decagon UCA device id (Torez Smith) [2113003]
- Revert "usb: add quirks for Lenovo OneLink+ Dock" (Torez Smith) [2113003]
- media: uvcvideo: Fix InterfaceProtocol for Quanta camera (Torez Smith) [2113003]
- usb: cdns3: fix issue with rearming ISO OUT endpoint (Torez Smith) [2113003]
- usb: cdns3: fix incorrect handling TRB_SMM flag for ISOC transfer (Torez Smith) [2113003]
- usb: gadget: mass_storage: Fix cdrom data transfers on MAC-OS (Torez Smith) [2113003]
- USB: core: Prevent nested device-reset calls (Torez Smith) [2113003]
- USB: gadget: Fix obscure lockdep violation for udc_mutex (Torez Smith) [2113003]
- usb: gadget: udc-xilinx: replace memcpy with memcpy_toio (Torez Smith) [2113003]
- usb: typec: Remove retimers properly (Torez Smith) [2113003]
- usb: dwc3: disable USB core PHY management (Torez Smith) [2113003]
- usb: add quirks for Lenovo OneLink+ Dock (Torez Smith) [2113003]
- USB: serial: option: add support for Cinterion MV32-WA/WB RmNet mode (Torez Smith) [2113003]
- USB: serial: ftdi_sio: add Omron CS1W-CIF31 device id (Torez Smith) [2113003]
- USB: serial: option: add Quectel EM060K modem (Torez Smith) [2113003]
- USB: serial: option: add support for OPPO R11 diag port (Torez Smith) [2113003]
- Revert "xhci: turn off port power in shutdown" (Torez Smith) [2113003]
- xhci: Add grace period after xHC start to prevent premature runtime suspend. (Torez Smith) [2113003]
- xhci: Fix null pointer dereference in remove if xHC has only one roothub (Torez Smith) [2113003]
- Revert "usb: typec: ucsi: add a common function ucsi_unregister_connectors()" (Torez Smith) [2113003]
- usb: dwc3: qcom: suppress unused-variable warning (Torez Smith) [2113003]
- dt-bindings: usb: mtu3: add compatible for mt8188 (Torez Smith) [2113003]
- USB: cdc-acm: Add Icom PMR F3400 support (0c26:0020) (Torez Smith) [2113003]
- usb: gadget: f_uac2: fix superspeed transfer (Torez Smith) [2113003]
- usb: xhci-mtk: fix bandwidth release issue (Torez Smith) [2113003]
- usb: xhci-mtk: relax TT periodic bandwidth allocation (Torez Smith) [2113003]
- usb: typec: altmodes/displayport: correct pin assignment for UFP receptacles (Torez Smith) [2113003]
- usb: misc: onboard_usb_hub: Drop reset delay in onboard_hub_power_off() (Torez Smith) [2113003]
- usb: dwc3: gadget: Avoid duplicate requests to enable Run/Stop (Torez Smith) [2113003]
- usb: dwc3: pci: Add support for Intel Raptor Lake (Torez Smith) [2113003]
- usb: typec: intel_pmc_mux: Add new ACPI ID for Meteor Lake IOM device (Torez Smith) [2113003]
- dt-bindings: usb: qcom,dwc3: Add SM6375 compatible (Torez Smith) [2113003]
- usb: dwc3: qcom: clean up suspend callbacks (Torez Smith) [2113003]
- usb: dwc3: qcom: fix wakeup implementation (Torez Smith) [2113003]
- dt-bindings: usb: qcom,dwc3: add wakeup-source property (Torez Smith) [2113003]
- usb: dwc3: qcom: fix peripheral and OTG suspend (Torez Smith) [2113003]
- usb: dwc3: qcom: fix runtime PM wakeup (Torez Smith) [2113003]
- usb: dwc3: qcom: fix use-after-free on runtime-PM wakeup (Torez Smith) [2113003]
- usb: dwc3: qcom: fix gadget-only builds (Torez Smith) [2113003]
- Revert "usb: dwc3: qcom: Keep power domain on to retain controller status" (Torez Smith) [2113003]
- usb: dwc3: fix PHY disable sequence (Torez Smith) [2113003]
- usb-storage: Add ignore-residue quirk for NXP PN7462AU (Torez Smith) [2113003]
- usb: typec: tcpm: Return ENOTSUPP for power supply prop writes (Torez Smith) [2113003]
- musb: fix USB_MUSB_TUSB6010 dependency (Torez Smith) [2113003]
- thunderbolt: Check router generation before connecting xHCI (Torez Smith) [2113003]
- thunderbolt: Use the actual buffer in tb_async_error() (Torez Smith) [2113003]
- usb: cdns3: Don't use priv_dev uninitialized in cdns3_gadget_ep_enable() (Torez Smith) [2113003]
- usb:udc: remove reference to AVR32 architecture in Atmel USBA Kconfig (Torez Smith) [2113003]
- usb: misc: onboard_usb_hub: Remove duplicated power_on delay (Torez Smith) [2113003]
- media: uvcvideo: Fix invalid pointer in uvc_ctrl_init_ctrl() (Torez Smith) [2113003]
- usb: misc: onboard_usb_hub: Add TI USB8041 hub support (Torez Smith) [2113003]
- usb: misc: onboard_usb_hub: Add reset-gpio support (Torez Smith) [2113003]
- USB: usbsevseg: convert sysfs snprintf to sysfs_emit (Torez Smith) [2113003]
- dt-bindings: usb: Add binding for TI USB8041 hub controller (Torez Smith) [2113003]
- usb: misc: onboard-hub: add support for Microchip USB2514B USB 2.0 hub (Torez Smith) [2113003]
- dt-bindings: usb: generic-ehci: allow usb-hcd schema properties (Torez Smith) [2113003]
- usb: typec: ucsi: stm32g0: add bootloader support (Torez Smith) [2113003]
- usb: typec: ucsi: stm32g0: define config (Torez Smith) [2113003]
- usb: typec: ucsi: stm32g0: add support for stm32g0 controller (Torez Smith) [2113003]
- dt-bindings: usb: typec: add bindings for stm32g0 controller (Torez Smith) [2113003]
- usb: typec: ucsi: Acknowledge the GET_ERROR_STATUS command completion (Torez Smith) [2113003]
- usb: cdns3: change place of 'priv_ep' assignment in cdns3_gadget_ep_dequeue(), cdns3_gadget_ep_enable() (Torez Smith) [2113003]
- usb/chipidea: fix repeated words in comments (Torez Smith) [2113003]
- usb: renesas-xhci: Do not print any log while fw verif success (Torez Smith) [2113003]
- usb: typec: retimer: Add missing id check in match callback (Torez Smith) [2113003]
- USB: xhci: Fix comment typo (Torez Smith) [2113003]
- usb/typec/tcpm: fix repeated words in comments (Torez Smith) [2113003]
- usb/musb: fix repeated words in comments (Torez Smith) [2113003]
- usb/misc: fix repeated words in comments (Torez Smith) [2113003]
- usb/image: fix repeated words in comments (Torez Smith) [2113003]
- usb/host: fix repeated words in comments (Torez Smith) [2113003]
- usb/core: fix repeated words in comments (Torez Smith) [2113003]
- usb/atm: fix repeated words in comments (Torez Smith) [2113003]
- USB: gadget: Fix use-after-free Read in usb_udc_uevent() (Torez Smith) [2113003]
- USB: HCD: Fix URB giveback issue in tasklet function (Torez Smith) [2113003]
- usb: xhci_plat_remove: avoid NULL dereference (Torez Smith) [2113003]
- usb: typec: anx7411: fix passing zero to 'PTR_ERR' (Torez Smith) [2113003]
- usb: typec: anx7411: Fix error return code in anx7411_i2c_probe() (Torez Smith) [2113003]
- usb: typec: anx7411: Fix return value check in anx7411_register_i2c_dummy_clients() (Torez Smith) [2113003]
- usb: typec: anx7411: Fix wrong pointer passed to PTR_ERR() (Torez Smith) [2113003]
- usb: typec: anx7411: use semi-colons instead of commas (Torez Smith) [2113003]
- usb: typec: anx7411: fix error checking in anx7411_get_gpio_irq() (Torez Smith) [2113003]
- usb: typec: anx7411: Fix an array out of bounds (Torez Smith) [2113003]
- usb: phy: remove redundant store to variable var after & operation (Torez Smith) [2113003]
- USB: serial: fix tty-port initialized comments (Torez Smith) [2113003]
- USB: serial: usb_wwan: replace DTR/RTS magic numbers with macros (Torez Smith) [2113003]
- USB: gadget: f_acm: use CDC defines (Torez Smith) [2113003]
- USB: cdc-acm: use CDC serial-state defines (Torez Smith) [2113003]
- USB: cdc-acm: use CDC control-line defines (Torez Smith) [2113003]
- USB: cdc: add serial-state defines (Torez Smith) [2113003]
- USB: cdc: add control-signal defines (Torez Smith) [2113003]
- net/cdc_ncm: Increase NTB max RX/TX values to 64kb (Torez Smith) [2113003]
- media: uvcvideo: Remove unneeded goto (Torez Smith) [2113003]
- media: uvcvideo: Limit power line control for Acer EasyCamera (Torez Smith) [2113003]
- media: uvcvideo: Limit power line control for Quanta cameras (Torez Smith) [2113003]
- media: uvcvideo: Limit power line control for Chicony Easycamera (Torez Smith) [2113003]
- media: uvcvideo: Limit power line control for Chicony Easycamera (Torez Smith) [2113003]
- media: uvcvideo: Limit power line control for Quanta UVC Webcam (Torez Smith) [2113003]
- media: uvcvideo: Add support for per-device control mapping overrides (Torez Smith) [2113003]
- media: uvcvideo: Add missing value for power_line_frequency (Torez Smith) [2113003]
- media: uvcvideo: Replace broken URL (Torez Smith) [2113003]
- media: uvcvideo: Fix comment blocks style (Torez Smith) [2113003]
- usb: typec: Add retimer handle to port (Torez Smith) [2113003]
- usb: typec: Add support for retimers (Torez Smith) [2113003]
- usb: dwc3: qcom: fix missing optional irq warnings (Torez Smith) [2113003]
- dt-bindings: usb: qcom,dwc3: refine interrupt requirements (Torez Smith) [2113003]
- dt-bindings: usb: qcom,dwc3: add SC8280XP binding (Torez Smith) [2113003]
- usb: typec: anx7411: define config (Torez Smith) [2113003]
- usb: typec: anx7411: Add Analogix PD ANX7411 support (Torez Smith) [2113003]
- dt-bindings: usb: Add analogix anx7411 PD binding (Torez Smith) [2113003]
- usb: dwc3: core: Do not perform GCTL_CORE_SOFTRESET during bootup (Torez Smith) [2113003]
- usb: aspeed-vhub: Fix refcount leak bug in ast_vhub_init_desc() (Torez Smith) [2113003]
- usb: ldusb: replace ternary operator with max_t() (Torez Smith) [2113003]
- usb: dwc3: ep0: Properly handle setup_packet_pending scenario in data stage (Torez Smith) [2113003]
- usb: misc: onboard_hub: Fix 'missing prototype' warning (Torez Smith) [2113003]
- Revert "dt-bindings: usb: mtk-xhci: Make all clocks required" (Torez Smith) [2113003]
- usb: gadget: f_mass_storage: forced_eject attribute (Torez Smith) [2113003]
- usb: gadget: udc: amd5536 depends on HAS_DMA (Torez Smith) [2113003]
- USB: serial: fix repeated word "the" in comments (Torez Smith) [2113003]
- USB: serial: io_edgeport: fix spelling mistakes (Torez Smith) [2113003]
- USB: serial: ftdi_sio: add Belimo device ids (Torez Smith) [2113003]
- thunderbolt: test: Use kunit_test_suite() macro (Torez Smith) [2113003]
- media: pvrusb2: drop unexpected word 'a' in comments (Torez Smith) [2113003]
- media: gspca: drop unexpected word 'is' in the comments (Torez Smith) [2113003]
- usb: dwc3: gadget: fix high speed multiplier setting (Torez Smith) [2113003]
- usb: dwc3: gadget: refactor dwc3_repare_one_trb (Torez Smith) [2113003]
- drivers/usb/host/ehci-fsl: Fix interrupt setup in host mode. (Torez Smith) [2113003]
- usb: gadget: uvc: fix changing interface name via configfs (Torez Smith) [2113003]
- usb: typec: add missing uevent when partner support PD (Torez Smith) [2113003]
- tools: usb: testusb: Add super-plus speed reporting (Torez Smith) [2113003]
- tools: usb: testusb: Add super speed reporting (Torez Smith) [2113003]
- tools: usb: testusb: Add wireless speed reporting (Torez Smith) [2113003]
- usb: core: hub: Create platform devices for onboard hubs in hub_probe() (Torez Smith) [2113003]
- usb: misc: Add config for onboard_usb_hub driver (Torez Smith) [2113003]
- usb: misc: Add onboard_usb_hub driver (Torez Smith) [2113003]
- dt-bindings: usb: rts5411: Rename property 'companion-hub' to 'peer-hub' (Torez Smith) [2113003]
- usb: mtu3: support function remote wakeup (Torez Smith) [2113003]
- usb: mtu3: check capability of usb3 dual role (Torez Smith) [2113003]
- usb: typec: tcpci: move tcpci.h to include/linux/usb/ (Torez Smith) [2113003]
- gadgetfs: ep_io - wait until IRQ finishes (Torez Smith) [2113003]
- dt-bindings: usb: mtk-xhci: add compatible for mt8188 (Torez Smith) [2113003]
- usb: gadget: udc: atmel: convert to platform driver (Torez Smith) [2113003]
- usb: gadget: udc: atmel: check rc of devm_gpiod_get_optional() (Torez Smith) [2113003]
- USB: gadget: udc: tracing: Do not open code __string() with __dynamic_array() (Torez Smith) [2113003]
- ARM: sa1100/assabet: move dmabounce hack to ohci driver (Torez Smith) [2113003]
- dt-bindings: usb: generic-ohci: Add Allwinner D1 compatible (Torez Smith) [2113003]
- dt-bindings: usb: generic-ehci: Add Allwinner D1 compatible (Torez Smith) [2113003]
- dt-bindings: usb: sunxi-musb: Add Allwinner D1 compatible (Torez Smith) [2113003]
- dt-bindings: usb: atmel: Add Microchip LAN9662 compatible string (Torez Smith) [2113003]
- usb: host: xhci: use snprintf() in xhci_decode_trb() (Torez Smith) [2113003]
- usb: dwc3-am62: remove unnecesary clk_put() (Torez Smith) [2113003]
- usb: dwc3: gadget: Fix event pending check (Torez Smith) [2113003]
- usb: gadget: f_mass_storage: Make CD-ROM emulation works with Windows OS (Torez Smith) [2113003]
- USB: cdc-acm: use define for timeout (Torez Smith) [2113003]
- usb: musb: mpfs: add missing clk_disable_unprepare() in mpfs_remove() (Torez Smith) [2113003]
- usb: dwc3: gadget: fix a kernel-doc warning (Torez Smith) [2113003]
- usb: dwc3: document async_callbacks field (Torez Smith) [2113003]
- usb: typec_altmode: add a missing "@" at a kernel-doc parameter (Torez Smith) [2113003]
- dt-bindings: usb: mtk-xhci: Make all clocks required (Torez Smith) [2113003]
- dt-bindings: usb: mtk-xhci: Allow wakeup interrupt-names to be optional (Torez Smith) [2113003]
- usb: core: sysfs: convert sysfs snprintf to sysfs_emit (Torez Smith) [2113003]
- media: usb: gspca: aligned '*' each line (Torez Smith) [2113003]
- media: hdpvr: fix error value returns in hdpvr_read (Torez Smith) [2113003]
- media: em28xx: Remove useless license text when SPDX-License-Identifier is already used (Torez Smith) [2113003]
- usb: gadget: u_ether: Remove duplicated include in u_ether.c (Torez Smith) [2113003]
- usb: max-3421: drop unexpected word "the" in the comments (Torez Smith) [2113003]
- usb: dwc2: drop unexpected word "the" in the comments (Torez Smith) [2113003]
- usb: dwc2: gadget: remove D+ pull-up while no vbus with usb-role-switch (Torez Smith) [2113003]
- usb: musb: mpfs: Fix error codes in probe() (Torez Smith) [2113003]
- USB: serial: use kmemdup instead of kmalloc + memcpy (Torez Smith) [2113003]
- usb: dwc2: host: add TPL support (Torez Smith) [2113003]
- dt-bindings: usb: dwc2: document TPL support (Torez Smith) [2113003]
- usb: host: ehci-platform: add TPL support (Torez Smith) [2113003]
- usb: host: ohci-platform: add TPL support (Torez Smith) [2113003]
- usb: chipidea: ci_hdrc_imx: use dev_err_probe() (Torez Smith) [2113003]
- usb: chipidea: udc: implement get_frame (Torez Smith) [2113003]
- usb: host: ehci-q: Fix ehci_submit_single_step_set_feature annotation typo (Torez Smith) [2113003]
- USB: storage: Fix typo in comment (Torez Smith) [2113003]
- USB: ohci-sm501: Fix typo in comment (Torez Smith) [2113003]
- usb: musb: core: drop redundant checks (Torez Smith) [2113003]
- usb: renesas: Fix refcount leak bug (Torez Smith) [2113003]
- usb: host: ohci-ppc-of: Fix refcount leak bug (Torez Smith) [2113003]
- usb: typec: mux: Add CONFIG guards for functions (Torez Smith) [2113003]
- usb: typec: mux: Allow muxes to specify mode-switch (Torez Smith) [2113003]
- usb: dwc3: qcom: Keep power domain on to retain controller status (Torez Smith) [2113003]
- usb: dwc3: qcom: Configure wakeup interrupts during suspend (Torez Smith) [2113003]
- usb: dwc3: qcom: Add helper functions to enable,disable wake irqs (Torez Smith) [2113003]
- usb: dwc3: core: Host wake up support from system suspend (Torez Smith) [2113003]
- dt-bindings: usb: dwc3: Add wakeup-source property support (Torez Smith) [2113003]
- usb: dwc3: core: Deprecate GCTL.CORESOFTRESET (Torez Smith) [2113003]
- usb: musb: Add support for PolarFire SoC's musb controller (Torez Smith) [2113003]
- Revert "usb: dwc3: Remove the checks of -ENOSYS" (Torez Smith) [2113003]
- media: pvrusb2: fix memory leak in pvr_probe (Torez Smith) [2113003]
- media: airspy: respect the DMA coherency rules (Torez Smith) [2113003]
- media: usbtv: Add PAL-Nc standard (Torez Smith) [2113003]
- thunderbolt: Fix typo in comment (Torez Smith) [2113003]
- dt-bindings: usb: add documentation for aspeed udc (Torez Smith) [2113003]
- usb: gadget: config Aspeed ast2600 udc driver (Torez Smith) [2113003]
- usb: gadget: add Aspeed ast2600 udc driver (Torez Smith) [2113003]
- usb: dwc3: Fix typos in Kconfig (Torez Smith) [2113003]
- usb: dwc3: Fix a repeated word checkpatch warning (Torez Smith) [2113003]
- usb: dwc3: Fix bare use of unsigned checkpatch warning (Torez Smith) [2113003]
- usb: Avoid extra usb SET_SEL requests when enabling link power management (Torez Smith) [2113003]
- usb: typec: tcpm: Register USB Power Delivery Capabilities (Torez Smith) [2113003]
- usb: typec: USB Power Delivery helpers for ports and partners (Torez Smith) [2113003]
- usb: typec: Separate USB Power Delivery from USB Type-C (Torez Smith) [2113003]
- usb: hub: port: add sysfs entry to switch port power (Torez Smith) [2113003]
- ucsi_ccg: ACPI based I2c client enumeration for AMD ASICs (Torez Smith) [2113003]
- ucsi_ccg: Do not hardcode interrupt polarity and type (Torez Smith) [2113003]
- usb: mtu3: register mtu3_irq by threaded irq (Torez Smith) [2113003]
- usb: mtu3: implement udc_async_callbacks of gadget operation (Torez Smith) [2113003]
- usb: mtu3: sync interrupt before unbind the udc (Torez Smith) [2113003]
- usb: musb: remove schedule work called after flush (Torez Smith) [2113003]
- usb: gadget: uvc: call uvc uvcg_warn on completed status instead of uvcg_info (Torez Smith) [2113003]
- usb: gadget: uvc: calculate the number of request depending on framesize (Torez Smith) [2113003]
- usb: mtu3: add support controller reset (Torez Smith) [2113003]
- usb: xhci-mtk: add support optional controller reset (Torez Smith) [2113003]
- dt-bindings: usb: mtu3: add support 'resets' property (Torez Smith) [2113003]
- dt-bindings: usb: mtk-xhci: add support 'resets' property (Torez Smith) [2113003]
- USB: chipidea: fix typo in comment (Torez Smith) [2113003]
- usb: gadget: bdc: fix typo in comment (Torez Smith) [2113003]
- usb: typec: tcpm: fix typo in comment (Torez Smith) [2113003]
- usb: xhci: tegra: Fix error check (Torez Smith) [2113003]
- usb: gadget: tegra-xudc: Fix error check in tegra_xudc_powerdomain_init() (Torez Smith) [2113003]
- usb: common: usb-conn-gpio: Allow wakeup from system suspend (Torez Smith) [2113003]
- usbip: vudc: Don't enable IRQs prematurely (Torez Smith) [2113003]
- USB: usbip: clean up mixed use of _irq() and _irqsave() (Torez Smith) [2113003]
- USB: Follow-up to SPDX GPL-2.0+ identifiers addition - remove now useless comments (Torez Smith) [2113003]
- USB: Follow-up to SPDX identifiers addition - remove now useless comments (Torez Smith) [2113003]
- usb: dwc3: Remove the checks of -ENOSYS (Torez Smith) [2113003]
- usb: host: ohci-at91: add support to enter suspend using SMC (Torez Smith) [2113003]
- usb: ohci-nxp: Fix refcount leak in ohci_hcd_nxp_probe (Torez Smith) [2113003]
- usb: host: Fix refcount leak in ehci_hcd_ppc_of_probe (Torez Smith) [2113003]
- usb: dwc3: add power down scale setting (Torez Smith) [2113003]
- usb: cdns3: fix random warning message when driver load (Torez Smith) [2113003]
- usb: cdns3 fix use-after-free at workaround 2 (Torez Smith) [2113003]
- usb: gadget: uvc: Fix comment blocks style (Torez Smith) [2113003]
- thunderbolt: Fix some kernel-doc comments (Torez Smith) [2113003]
- thunderbolt: Change TMU mode to HiFi uni-directional once DisplayPort tunneled (Torez Smith) [2113003]
- thunderbolt: Add CL1 support for USB4 and Titan Ridge routers (Torez Smith) [2113003]
- thunderbolt: Change downstream router's TMU rate in both TMU uni/bidir mode (Torez Smith) [2113003]
- thunderbolt: Fix typos in CLx enabling (Torez Smith) [2113003]
- thunderbolt: CLx disable before system suspend only if previously enabled (Torez Smith) [2113003]
- thunderbolt: Silently ignore CLx enabling in case CLx is not supported (Torez Smith) [2113003]
- audit: unify audit_filter_{uring(), inode_name(), syscall()} (Richard Guy Briggs) [2123857]
- audit: cache ctx->major in audit_filter_syscall() (Richard Guy Briggs) [2123857]
- audit_init_parent(): constify path (Richard Guy Briggs) [2123857]
- audit: remove selinux_audit_rule_update() declaration (Richard Guy Briggs) [2123857]
- audit: use time_after to compare time (Richard Guy Briggs) [2123857]
- audit: free audit_proctitle only on task exit (Richard Guy Briggs) [2123857]
- audit: explicitly check audit_context->context enum value (Richard Guy Briggs) [2123857]
- audit: audit_context pid unused, context enum comment fix (Richard Guy Briggs) [2123857]
- audit: fix repeated words in comments (Richard Guy Briggs) [2123857]
- lsm: clean up redundant NULL pointer check (Richard Guy Briggs) [2123857]
- audit: move audit_return_fixup before the filters (Richard Guy Briggs) [2123857]
- audit: fix potential double free on error path from fsnotify_add_inode_mark (Richard Guy Briggs) [2123857]
- audit: make is_audit_feature_set() static (Richard Guy Briggs) [2123857]
- audit: remove redundant data_len check (Richard Guy Briggs) [2123857]
- redhat: fix the branch we pull from the documentation tree (Herton R. Krzesinski)
- x86/fpu: Do not leak fpstate pointer on fork (Rafael Aquini) [2120448]
- tools headers kvm s390: Sync headers with the kernel sources (Thomas Huth) [2111086]
- KVM: s390: resetting the Topology-Change-Report (Thomas Huth) [2111086]
- KVM: s390: guest support for topology function (Thomas Huth) [2111086]
- KVM: s390: Cleanup ipte lock access and SIIF facility checks (Thomas Huth) [2111086]
- KVM: s390: Pass initialized arg even if unused (Thomas Huth) [2130487]
- KVM: s390: pv: destroy the configuration before its memory (Thomas Huth) [2130487]
- KVM: s390: pv: refactoring of kvm_s390_pv_deinit_vm (Thomas Huth) [2130487]
- KVM: s390: pv: add mmu_notifier (Thomas Huth) [2130487]
- KVM: s390: pv: Add kvm_s390_cpus_from_pv to kvm-s390.h and add documentation (Thomas Huth) [2130487]
- KVM: s390: pv: clear the state without memset (Thomas Huth) [2130487]
- KVM: s390: pv: add export before import (Thomas Huth) [2130487]
- KVM: s390: pv: usage counter instead of flag (Thomas Huth) [2130487]
- KVM: s390: pv: refactor s390_reset_acc (Thomas Huth) [2130487]
- KVM: s390: pv: handle secure storage violations for protected guests (Thomas Huth) [2130487]
- KVM: s390: pv: leak the topmost page table when destroy fails (Thomas Huth) [2130487]
- s390: Add attestation query information (Thomas Huth) [2130487]
- KVM: s390: drop unexpected word 'and' in the comments (Thomas Huth) [2130487]
- redhat: Enable CONFIG_VFIO_PCI_ZDEV_KVM for downstream builds (Thomas Huth) [1871143]
- KVM: s390: pci: fix GAIT physical vs virtual pointers usage (Thomas Huth) [1871143]
- KVM: s390: pci: fix plain integer as NULL pointer warnings (Thomas Huth) [1871143]
- KVM: s390/pci: fix include duplicates (Thomas Huth) [1871143]
- KVM: s390: pci: register pci hooks without interpretation (Thomas Huth) [1871143]
- KVM: s390: pci: Hook to access KVM lowlevel from VFIO (Thomas Huth) [1871143]
- Documentation: kvm: extend KVM_S390_ZPCI_OP subheading underline (Thomas Huth) [1871143]
- MAINTAINERS: additional files related kvm s390 pci passthrough (Thomas Huth) [1871143]
- KVM: s390: add KVM_S390_ZPCI_OP to manage guest zPCI devices (Thomas Huth) [1871143]
- vfio-pci/zdev: different maxstbl for interpreted devices (Thomas Huth) [1871143]
- vfio-pci/zdev: add function handle to clp base capability (Thomas Huth) [1871143]
- vfio-pci/zdev: add open/close device hooks (Thomas Huth) [1871143]
- KVM: s390: pci: add routines to start/stop interpretive execution (Thomas Huth) [1871143]
- KVM: s390: pci: provide routines for enabling/disabling interrupt forwarding (Thomas Huth) [1871143]
- KVM: s390: mechanism to enable guest zPCI Interpretation (Thomas Huth) [1871143]
- KVM: s390: pci: enable host forwarding of Adapter Event Notifications (Thomas Huth) [1871143]
- KVM: s390: pci: do initial setup for AEN interpretation (Thomas Huth) [1871143]
- KVM: s390: pci: add basic kvm_zdev structure (Thomas Huth) [1871143]
- vfio/pci: introduce CONFIG_VFIO_PCI_ZDEV_KVM (Thomas Huth) [1871143]
- s390/pci: stash dtsm and maxstbl (Thomas Huth) [1871143]
- s390/pci: stash associated GISA designation (Thomas Huth) [1871143]
- s390/pci: externalize the SIC operation controls and routine (Thomas Huth) [1871143]
- s390/airq: allow for airq structure that uses an input vector (Thomas Huth) [1871143]
- s390/airq: pass more TPI info to airq handlers (Thomas Huth) [1871143]
- s390/ap: fix kernel doc comments (Thomas Huth) [1871143]
- s390/sclp: detect the AISI facility (Thomas Huth) [1871143]
- s390/sclp: detect the AENI facility (Thomas Huth) [1871143]
- s390/sclp: detect the AISII facility (Thomas Huth) [1871143]
- s390/sclp: detect the zPCI load/store interpretation facility (Thomas Huth) [1871143]
- s390/sclp: add detection of IPL-complete-control facility (Thomas Huth) [1871143]
- s390/pci: add error record for CC 2 retries (Thomas Huth) [1871143]
- s390/pci: add PCI access type and length to error records (Thomas Huth) [1871143]
- s390/pci: don't log availability events as errors (Thomas Huth) [1871143]
- s390/pci: make better use of zpci_dbg() levels (Thomas Huth) [1871143]
- s390/pci: rename get_zdev_by_bus() to zdev_from_bus() (Thomas Huth) [1871143]
- s390/pci: improve zpci_dev reference counting (Thomas Huth) [1871143]
- s390/pci: make zpci_set_irq()/zpci_clear_irq() static (Thomas Huth) [1871143]
- s390/pci: simplify __pciwb_mio() inline asm (Thomas Huth) [1871143]
- s390/pci: use physical addresses in DMA tables (Thomas Huth) [1871143]
- s390/pci: use phys_to_virt() for AIBVs/DIBVs (Thomas Huth) [1871143]
- s390/pci: implement minimal PCI error recovery (Thomas Huth) [1871143]
- s390/pci: implement reset_slot for hotplug slot (Thomas Huth) [1871143]
- s390/pci: refresh function handle in iomap (Thomas Huth) [1871143]
- s390/pci: add simpler s390dbf traces for events (Thomas Huth) [1871143]
- s390/pci: tolerate inconsistent handle in recover (Thomas Huth) [1871143]
- s390/pci_mmio: fully validate the VMA before calling follow_pte() (Thomas Huth) [1871143]
- s390/pci: read clp_list_pci_req only once (Thomas Huth) [1871143]
- s390/pci: fix clp_get_state() handling of -ENODEV (Thomas Huth) [1871143]
- s390/pci: improve DMA translation init and exit (Thomas Huth) [1871143]
- s390/pci: simplify CLP List PCI handling (Thomas Huth) [1871143]
- s390/pci: handle FH state mismatch only on disable (Thomas Huth) [1871143]
- s390/pci: fix misleading rc in clp_set_pci_fn() (Thomas Huth) [1871143]
- Documentation: KVM: update s390-diag.rst reference (Thomas Huth) [2130487]
- Documentation: KVM: update s390-pv.rst reference (Thomas Huth) [2130487]
- Documentation/virt/kvm/api.rst: Explain rc/rrc delivery (Thomas Huth) [2044301]
- Documentation/virt/kvm/api.rst: Add protvirt dump/info api descriptions (Thomas Huth) [2044301]
- Documentation: virt: Protected virtual machine dumps (Thomas Huth) [2044301]
- KVM: s390: Add KVM_CAP_S390_PROTECTED_DUMP (Thomas Huth) [2044301]
- KVM: s390: Add CPU dump functionality (Thomas Huth) [2044301]
- KVM: s390: Add configuration dump functionality (Thomas Huth) [2044301]
- KVM: s390: pv: Add query dump information (Thomas Huth) [2044301]
- KVM: s390: pv: Add dump support definitions (Thomas Huth) [2044301]
- KVM: s390: pv: Add query interface (Thomas Huth) [2044301]
- s390/uv: Add dump fields to query (Thomas Huth) [2044301]
- s390/uv: Add SE hdr query information (Thomas Huth) [2044301]
- s390: uv: Add offset comments to UV query struct and fix naming (Thomas Huth) [2130487]
- s390/uv: fix memblock virtual vs physical address confusion (Thomas Huth) [2130487]
- KVM: s390: Use Makefile.kvm for common files (Thomas Huth) [2130487]
- KVM: s390: Skip gfn/size sanity checks on memslot DELETE or FLAGS_ONLY (Thomas Huth) [2130487]
- netfilter: nft_fib: Fix for rpath check with VRF devices (Guillaume Nault) [2134815]
- ipv6: ping: fix wrong checksum for large frames (Guillaume Nault) [2134815]
- ipv4: Handle attempt to delete multipath route when fib_info contains an nh reference (Guillaume Nault) [2134815]
- once: rename _SLOW to _SLEEPABLE (Guillaume Nault) [2134815]
- once: add DO_ONCE_SLOW() for sleepable contexts (Guillaume Nault) [2134815]
- net, lib/once: remove {net_}get_random_once_wait macro (Guillaume Nault) [2134815]
- tcp: use alloc_large_system_hash() to allocate table_perturb (Guillaume Nault) [2134815]
- ipv4: fix ip option filtering for locally generated fragments (Guillaume Nault) [2134815]
- net: ipv4: Fix the warning for dereference (Guillaume Nault) [2134815]
- net: ipv4: Move ip_options_fragment() out of loop (Guillaume Nault) [2134815]
- x86/fpu: Prevent FPU state corruption (Oleksandr Natalenko) [2131667]
- iommu/amd: Globally detect SNP support (Jerry Snitselaar) [2117776]
- iommu/amd: Fix compile warning in init code (Jerry Snitselaar) [2117776]
- iommu/amd: Simplify and Consolidate Virtual APIC (AVIC) Enablement (Jerry Snitselaar) [2106596]
- iommu/amd: Process all IVHDs before enabling IOMMU features (Jerry Snitselaar) [2117776]
- iommu/amd: Introduce global variable for storing common EFR and EFR2 (Jerry Snitselaar) [2117776]
- iommu/amd: Introduce Support for Extended Feature 2 Register (Jerry Snitselaar) [2117776]
- iommu/amd: Change macro for IOMMU control register bit shift to decimal value (Jerry Snitselaar) [2117776]
- iommu/amd: Update amd_iommu_fault structure to include PCI seg ID (Jerry Snitselaar) [2117776]
- iommu/amd: Update device_state structure to include PCI seg ID (Jerry Snitselaar) [2117776]
- iommu/amd: Print PCI segment ID in error log messages (Jerry Snitselaar) [2117776]
- iommu/amd: Add PCI segment support for ivrs_[ioapic/hpet/acpihid] commands (Jerry Snitselaar) [2117776]
- iommu/amd: Specify PCI segment ID when getting pci device (Jerry Snitselaar) [2117776]
- iommu/amd: Include PCI segment ID when initialize IOMMU (Jerry Snitselaar) [2117776]
- iommu/amd: Introduce get_device_sbdf_id() helper function (Jerry Snitselaar) [2117776]
- iommu/amd: Flush upto last_bdf only (Jerry Snitselaar) [2117776]
- iommu/amd: Remove global amd_iommu_[dev_table/alias_table/last_bdf] (Jerry Snitselaar) [2117776]
- iommu/amd: Update set_dev_entry_bit() and get_dev_entry_bit() (Jerry Snitselaar) [2117776]
- iommu/amd: Update (un)init_device_table_dma() (Jerry Snitselaar) [2117776]
- iommu/amd: Update set_dte_irq_entry (Jerry Snitselaar) [2117776]
- iommu/amd: Update dump_dte_entry (Jerry Snitselaar) [2117776]
- iommu/amd: Update iommu_ignore_device (Jerry Snitselaar) [2117776]
- iommu/amd: Update set_dte_entry and clear_dte_entry (Jerry Snitselaar) [2117776]
- iommu/amd: Convert to use per PCI segment rlookup_table (Jerry Snitselaar) [2117776]
- iommu/amd: Update alloc_irq_table and alloc_irq_index (Jerry Snitselaar) [2117776]
- iommu/amd: Update amd_irte_ops functions (Jerry Snitselaar) [2117776]
- iommu/amd: Introduce struct amd_ir_data.iommu (Jerry Snitselaar) [2117776]
- iommu/amd: Update irq_remapping_alloc to use IOMMU lookup helper function (Jerry Snitselaar) [2117776]
- iommu/amd: Convert to use rlookup_amd_iommu helper function (Jerry Snitselaar) [2117776]
- iommu/amd: Convert to use per PCI segment irq_lookup_table (Jerry Snitselaar) [2117776]
- iommu/amd: Introduce per PCI segment rlookup table size (Jerry Snitselaar) [2117776]
- iommu/amd: Introduce per PCI segment alias table size (Jerry Snitselaar) [2117776]
- iommu/amd: Introduce per PCI segment device table size (Jerry Snitselaar) [2117776]
- iommu/amd: Introduce per PCI segment last_bdf (Jerry Snitselaar) [2117776]
- iommu/amd: Introduce per PCI segment unity map list (Jerry Snitselaar) [2117776]
- iommu/amd: Introduce per PCI segment alias_table (Jerry Snitselaar) [2117776]
- iommu/amd: Introduce per PCI segment old_dev_tbl_cpy (Jerry Snitselaar) [2117776]
- iommu/amd: Introduce per PCI segment dev_data_list (Jerry Snitselaar) [2117776]
- iommu/amd: Introduce per PCI segment irq_lookup_table (Jerry Snitselaar) [2117776]
- iommu/amd: Introduce per PCI segment rlookup table (Jerry Snitselaar) [2117776]
- iommu/amd: Introduce per PCI segment device table (Jerry Snitselaar) [2117776]
- iommu/amd: Introduce pci segment structure (Jerry Snitselaar) [2117776]
- iommu/amd: Update struct iommu_dev_data definition (Jerry Snitselaar) [2117776]
- iommu/amd: Indicate whether DMA remap support is enabled (Jerry Snitselaar) [2097878]
- iomap: iomap_read_inline_data cleanup (Carlos Maiolino) [2130933]
- iomap: Fix inline extent handling in iomap_readpage (Carlos Maiolino) [2130933]
- iomap: don't try to poll multi-bio I/Os in __iomap_dio_rw (Carlos Maiolino) [2130933]
- iomap: standardize tracepoint formatting and storage (Carlos Maiolino) [2130933]
- iomap: move loop control code to iter.c (Carlos Maiolino) [2130933]
- iomap: constify iomap_iter_srcmap (Carlos Maiolino) [2130933]
- fsdax: switch the fault handlers to use iomap_iter (Carlos Maiolino) [2130933]
- fsdax: factor out a dax_fault_actor() helper (Carlos Maiolino) [2130933]
- fsdax: factor out helpers to simplify the dax fault code (Carlos Maiolino) [2130933]
- iomap: rework unshare flag (Carlos Maiolino) [2130933]
- iomap: pass an iomap_iter to various buffered I/O helpers (Carlos Maiolino) [2130933]
- iomap: remove iomap_apply (Carlos Maiolino) [2130933]
- fsdax: Fix infinite loop in dax_iomap_rw() (Carlos Maiolino) [2130933]
- fsdax: switch dax_iomap_rw to use iomap_iter (Carlos Maiolino) [2130933]
- iomap: switch iomap_swapfile_activate to use iomap_iter (Carlos Maiolino) [2130933]
- iomap: switch iomap_seek_data to use iomap_iter (Carlos Maiolino) [2130933]
- iomap: switch iomap_seek_hole to use iomap_iter (Carlos Maiolino) [2130933]
- iomap: switch iomap_bmap to use iomap_iter (Carlos Maiolino) [2130933]
- iomap: switch iomap_fiemap to use iomap_iter (Carlos Maiolino) [2130933]
- iomap: switch __iomap_dio_rw to use iomap_iter (Carlos Maiolino) [2130933]
- iomap: switch iomap_page_mkwrite to use iomap_iter (Carlos Maiolino) [2130933]
- iomap: switch iomap_zero_range to use iomap_iter (Carlos Maiolino) [2130933]
- iomap: switch iomap_file_unshare to use iomap_iter (Carlos Maiolino) [2130933]
- iomap: switch iomap_file_buffered_write to use iomap_iter (Carlos Maiolino) [2130933]
- iomap: switch readahead and readpage to use iomap_iter (Carlos Maiolino) [2130933]
- iomap: add the new iomap_iter model (Carlos Maiolino) [2130933]
- iomap: fix the iomap_readpage_actor return value for inline data (Carlos Maiolino) [2130933]
- iomap: mark the iomap argument to iomap_read_page_sync const (Carlos Maiolino) [2130933]
- iomap: mark the iomap argument to iomap_read_inline_data const (Carlos Maiolino) [2130933]
- iomap: mark the iomap argument to iomap_inline_data_valid const (Carlos Maiolino) [2130933]
- iomap: mark the iomap argument to iomap_inline_data const (Carlos Maiolino) [2130933]
- iomap: mark the iomap argument to iomap_sector const (Carlos Maiolino) [2130933]
- fsdax: mark the iomap argument to dax_iomap_sector as const (Carlos Maiolino) [2130933]
- fs: mark the iomap argument to __block_write_begin_int const (Carlos Maiolino) [2130933]
- iomap: fix a trivial comment typo in trace.h (Carlos Maiolino) [2130933]
- iomap: pass writeback errors to the mapping (Carlos Maiolino) [2130933]
- iomap: Add another assertion to inline data handling (Carlos Maiolino) [2130933]
- iomap: Use kmap_local_page instead of kmap_atomic (Carlos Maiolino) [2130933]
- iomap: Fix some typos and bad grammar (Carlos Maiolino) [2130933]
- iomap: Support inline data with block size < page size (Carlos Maiolino) [2130933]
Resolves: rhbz#2139362, rhbz#2089501, rhbz#2137983, rhbz#2113003, rhbz#2123857, rhbz#2120448, rhbz#2111086, rhbz#2130487, rhbz#1871143, rhbz#2044301, rhbz#2134815, rhbz#2131667, rhbz#2117776, rhbz#2106596, rhbz#2097878, rhbz#2130933

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-08 02:50:05 -05:00
Frantisek Hrbata
eec6977df7 kernel-5.14.0-186.el9
* Thu Nov 03 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-186.el9]
- perf/arm-cmn: Decode CAL devices properly in debugfs (Jeremy Linton) [2118804]
- perf/arm-cmn: Fix filter_sel lookup (Jeremy Linton) [2118804]
- perf/arm-cmn: Add CMN-700 support (Jeremy Linton) [2118804]
- perf/arm-cmn: Refactor occupancy filter selector (Jeremy Linton) [2118804]
- perf/arm-cmn: Add CMN-650 support (Jeremy Linton) [2118804]
- dt-bindings: perf: arm-cmn: Add CMN-650 and CMN-700 (Jeremy Linton) [2118804]
- perf/arm-cmn: Update watchpoint format (Jeremy Linton) [2118804]
- perf/arm-cmn: Hide XP PUB events for CMN-600 (Jeremy Linton) [2118804]
- perf/arm-cmn: Make arm_cmn_debugfs static (Jeremy Linton) [2118804]
- perf/arm-cmn: Add debugfs topology info (Jeremy Linton) [2118804]
- perf/arm-cmn: Add CI-700 Support (Jeremy Linton) [2118804]
- dt-bindings: perf: arm-cmn: Add CI-700 (Jeremy Linton) [2118804]
- perf/arm-cmn: Support new IP features (Jeremy Linton) [2118804]
- perf/arm-cmn: Demarcate CMN-600 specifics (Jeremy Linton) [2118804]
- perf/arm-cmn: Move group validation data off-stack (Jeremy Linton) [2118804]
- perf/arm-cmn: Optimise DTC counter accesses (Jeremy Linton) [2118804]
- perf/arm-cmn: Optimise DTM counter reads (Jeremy Linton) [2118804]
- perf/arm-cmn: Refactor DTM handling (Jeremy Linton) [2118804]
- perf/arm-cmn: Streamline node iteration (Jeremy Linton) [2118804]
- perf/arm-cmn: Refactor node ID handling (Jeremy Linton) [2118804]
- perf/arm-cmn: Drop compile-test restriction (Jeremy Linton) [2118804]
- perf/arm-cmn: Account for NUMA affinity (Jeremy Linton) [2118804]
- perf/arm-cmn: Fix CPU hotplug unregistration (Jeremy Linton) [2118804]
- selftests: cgroup: add a selftest for memory.reclaim (Waiman Long) [1880574]
- selftests: cgroup: fix alloc_anon_noexit() instantly freeing memory (Waiman Long) [1880574]
- selftests: cgroup: return -errno from cg_read()/cg_write() on failure (Waiman Long) [1880574]
- selftests: memcg: test high limit for single entry allocation (Waiman Long) [1880574]
- memcg: introduce per-memcg reclaim interface (Waiman Long) [1880574]
- spec: fix path to `installing_core` stamp file for subpackages (Jonathan Lebon) [2134215]
- redhat/configs: enable RTC_DRV_RV8803 for x86_64 (David Arcari) [2136121]
- rtc: rv8803: fix missing unlock on error in rv8803_set_time() (David Arcari) [2136121]
- rtc: rv8803: re-initialize all Epson RX8803 registers on voltage loss (David Arcari) [2136121]
- rtc: rv8803: initialize registers on post-probe voltage loss (David Arcari) [2136121]
- rtc: rv8803: factor out existing register initialization to function (David Arcari) [2136121]
- rtc: rv8803: Add support for the Epson RX8804 RTC (David Arcari) [2136121]
- rtc: rv8803: fix writing back ctrl in flag register (David Arcari) [2136121]
- mm/rmap: Fix anon_vma->degree ambiguity leading to double-reuse (Waiman Long) [2137587] {CVE-2022-42703}
- mm/rmap: Fix typos in comments (Waiman Long) [2137587] {CVE-2022-42703}
- blk-mq: don't add non-pt request with ->end_io to batch (Ming Lei) [2131144]
- blktrace: remove unnessary stop block trace in 'blk_trace_shutdown' (Ming Lei) [2131144]
- blktrace: fix possible memleak in '__blk_trace_remove' (Ming Lei) [2131144]
- blktrace: introduce 'blk_trace_{start,stop}' helper (Ming Lei) [2131144]
- bio: safeguard REQ_ALLOC_CACHE bio put (Ming Lei) [2131144]
- block, bfq: remove unused variable for bfq_queue (Ming Lei) [2131144]
- drbd: only clone bio if we have a backing device (Ming Lei) [2131144]
- blk-mq: fix null pointer dereference in blk_mq_clear_rq_mapping() (Ming Lei) [2131144]
- block: fix leaking minors of hidden disks (Ming Lei) [2131144]
- block: avoid sign extend problem with default queue flags mask (Ming Lei) [2131144]
- blk-wbt: fix that 'rwb->wc' is always set to 1 in wbt_init() (Ming Lei) [2131144]
- block: Remove the repeat word 'can' (Ming Lei) [2131144]
- sbitmap: fix lockup while swapping (Ming Lei) [2131144]
- block: add rationale for not using blk_mq_plug() when applicable (Ming Lei) [2131144]
- block: adapt blk_mq_plug() to not plug for writes that require a zone lock (Ming Lei) [2131144]
- blk-cgroup: don't update the blkg lookup hint in blkg_conf_prep (Ming Lei) [2131144]
- blk-mq: use quiesced elevator switch when reinitializing queues (Ming Lei) [2131144]
- block: replace blk_queue_nowait with bdev_nowait (Ming Lei) [2131144]
- blk-cgroup: pass a gendisk to the blkg allocation helpers (Ming Lei) [2131144]
- blk-cgroup: pass a gendisk to blkcg_schedule_throttle (Ming Lei) [2131144]
- blk-cgroup: pass a gendisk to blkg_destroy_all (Ming Lei) [2131144]
- blk-throttle: pass a gendisk to blk_throtl_cancel_bios (Ming Lei) [2131144]
- blk-throttle: pass a gendisk to blk_throtl_register_queue (Ming Lei) [2131144]
- blk-throttle: pass a gendisk to blk_throtl_init and blk_throtl_exit (Ming Lei) [2131144]
- blk-iocost: cleanup ioc_qos_write (Ming Lei) [2131144]
- blk-iocost: pass a gendisk to blk_iocost_init (Ming Lei) [2131144]
- blk-iocost: simplify ioc_name (Ming Lei) [2131144]
- blk-iolatency: pass a gendisk to blk_iolatency_init (Ming Lei) [2131144]
- blk-ioprio: pass a gendisk to blk_ioprio_init and blk_ioprio_exit (Ming Lei) [2131144]
- blk-cgroup: pass a gendisk to blkcg_init_queue and blkcg_exit_queue (Ming Lei) [2131144]
- blk-cgroup: remove blkg_lookup_check (Ming Lei) [2131144]
- blk-cgroup: cleanup the blkg_lookup family of functions (Ming Lei) [2131144]
- blk-cgroup: remove open coded blkg_lookup instances (Ming Lei) [2131144]
- blk-cgroup: remove blk_queue_root_blkg (Ming Lei) [2131144]
- blk-cgroup: fix error unwinding in blkcg_init_queue (Ming Lei) [2131144]
- blk-mq: don't redirect completion for hctx withs only one ctx mapping (Ming Lei) [2131144]
- blk-throttle: improve bypassing bios checkings (Ming Lei) [2131144]
- blk-throttle: remove THROTL_TG_HAS_IOPS_LIMIT (Ming Lei) [2131144]
- block/blk-rq-qos: delete useless enmu RQ_QOS_IOPRIO (Ming Lei) [2131144]
- block: aoe: use DEFINE_SHOW_ATTRIBUTE to simplify aoe_debugfs (Ming Lei) [2131144]
- block: move from strlcpy with unused retval to strscpy (Ming Lei) [2131144]
- block/drbd: remove useless comments in receive_DataReply() (Ming Lei) [2131144]
- drbd: remove orphan _req_may_be_done() declaration (Ming Lei) [2131144]
- blk-wbt: call rq_qos_add() after wb_normal is initialized (Ming Lei) [2131144]
- rnbd-srv: remove struct rnbd_dev (Ming Lei) [2131144]
- rnbd-srv: remove rnbd_dev_{open,close} (Ming Lei) [2131144]
- rnbd-srv: remove rnbd_endio (Ming Lei) [2131144]
- rnbd-srv: simplify rnbd_srv_fill_msg_open_rsp (Ming Lei) [2131144]
- block: Fix the enum blk_eh_timer_return documentation (Ming Lei) [2131144]
- blk-iocost: Remove unnecessary (void*) conversions (Ming Lei) [2131144]
- block: remove PSI accounting from the bio layer (Ming Lei) [2131144]
- sched/psi: export psi_memstall_{enter,leave} (Ming Lei) [2131144]
- mm: add PSI accounting around ->read_folio and ->readahead calls (Ming Lei) [2131144]
- block: fix comment typo in submit_bio of block-core.c. (Ming Lei) [2131144]
- block/drbd: remove unused w_start_resync declaration (Ming Lei) [2131144]
- blk-throttle: cleanup tg_update_disptime() (Ming Lei) [2131144]
- blk-throttle: calling throtl_dequeue/enqueue_tg in pairs (Ming Lei) [2131144]
- blk-throttle: use 'READ/WRITE' instead of '0/1' (Ming Lei) [2131144]
- blk-throttle: fix io hung due to configuration updates (Ming Lei) [2131144]
- blk-throttle: factor out code to calculate ios/bytes_allowed (Ming Lei) [2131144]
- blk-throttle: prevent overflow while calculating wait time (Ming Lei) [2131144]
- blk-throttle: fix that io throttle can only work for single bio (Ming Lei) [2131144]
- sbitmap: fix batched wait_cnt accounting (Ming Lei) [2131144]
- sbitmap: Use atomic_long_try_cmpxchg in __sbitmap_queue_get_batch (Ming Lei) [2131144]
- nbd: Fix hung when signal interrupts nbd_start_device_ioctl() (Ming Lei) [2131144]
- sbitmap: Avoid leaving waitqueue in invalid state in __sbq_wake_up() (Ming Lei) [2131144]
- rnbd-srv: remove redundant setting of blk_open_flags (Ming Lei) [2131144]
- rnbd-srv: make process_msg_close returns void (Ming Lei) [2131144]
- rnbd-srv: add comment in rnbd_srv_rdma_ev (Ming Lei) [2131144]
- block: remove unneeded return value of bio_check_ro() (Ming Lei) [2131144]
- blk-mq: remove unneeded needs_restart check (Ming Lei) [2131144]
- blk-throttle: clean up codes that can't be reached (Ming Lei) [2131144]
- Revert "sbitmap: fix batched wait_cnt accounting" (Ming Lei) [2131144]
- block: enable per-cpu bio caching for the fs bio set (Ming Lei) [2131144]
- sbitmap: fix batched wait_cnt accounting (Ming Lei) [2131144]
- sbitmap: remove unnecessary code in __sbitmap_queue_get_batch (Ming Lei) [2131144]
- block/rnbd-clt: Remove the unneeded result variable (Ming Lei) [2131144]
- sbitmap: fix possible io hung due to lost wakeup (Ming Lei) [2131144]
- block: shrink rq_map_data a bit (Ming Lei) [2131144]
- block, bfq: remove useless parameter for bfq_add/del_bfqq_busy() (Ming Lei) [2131144]
- block, bfq: remove useless checking in bfq_put_queue() (Ming Lei) [2131144]
- block, bfq: remove unused functions (Ming Lei) [2131144]
- block: Change the return type of blk_mq_map_queues() into void (Ming Lei) [2131144]
- null_blk: Modify the behavior of null_map_queues() (Ming Lei) [2131144]
- block/rnbd-srv: Add event tracing support (Ming Lei) [2131144]
- block: sed-opal: Add ioctl to return device status (Ming Lei) [2131144]
- block: extend functionality to map bvec iterator (Ming Lei) [2131144]
- block: factor out blk_rq_map_bio_alloc helper (Ming Lei) [2131144]
- block: rename bio_map_put to blk_mq_map_bio_put (Ming Lei) [2131144]
- block: enable bio caching use for passthru IO (Ming Lei) [2131144]
- block: add blk_rq_map_user_io (Ming Lei) [2131144]
- block: allow end_io based requests in the completion batch handling (Ming Lei) [2131144]
- block: change request end_io handler to pass back a return value (Ming Lei) [2131144]
- block: enable batched allocation for blk_mq_alloc_request() (Ming Lei) [2131144]
- block: kill deprecated BUG_ON() in the flush handling (Ming Lei) [2131144]
- virtio-blk: validate num_queues during probe (Ming Lei) [2131144]
- selftests/bpf: Limit unroll_count for pyperf600 test (Frantisek Hrbata) [2139836]
- devdax: Fix soft-reservation memory description (Mark Langsdorf) [2122317]
- ACPI: CPPC: Fix enabling CPPC on AMD systems with shared memory (Mark Langsdorf) [2122317]
- arm64: cacheinfo: Fix incorrect assignment of signed error value to unsigned fw_level (Mark Langsdorf) [2122317]
- ACPI: property: Remove default association from integer maximum values (Mark Langsdorf) [2122317]
- ACPI: property: Ignore already existing data node tags (Mark Langsdorf) [2122317]
- ACPI: property: Fix type detection of unified integer reading functions (Mark Langsdorf) [2122317]
- ACPI: processor: Remove freq Qos request for all CPUs (Mark Langsdorf) [2122317]
- ACPI: CPPC: Do not prevent CPPC from working in the future (Mark Langsdorf) [2122317]
- ACPI: CPPC: Don't require flexible address space if X86_FEATURE_CPPC is supported (Mark Langsdorf) [2122317]
- ACPI: CPPC: Don't require _OSC if X86_FEATURE_CPPC is supported (Mark Langsdorf) [2122317]
- ACPI: CPPC: fix typo in comment (Mark Langsdorf) [2122317]
- cpufreq: CPPC: Enable fast_switch (Mark Langsdorf) [2122317]
- ACPI: CPPC: Assume no transition latency if no PCCT (Mark Langsdorf) [2122317]
- ACPI: bus: Set CPPC _OSC bits for all and when CPPC_LIB is supported (Mark Langsdorf) [2122317]
- ACPI: CPPC: Check _OSC for flexible address space (Mark Langsdorf) [2122317]
- ACPI: property: Fix error handling in acpi_init_properties() (Mark Langsdorf) [2122317]
- ACPI: VIOT: Do not dereference fwnode in struct device (Mark Langsdorf) [2122317]
- ACPI/PCI: Remove useless NULL pointer checks (Mark Langsdorf) [2122317]
- ACPI: property: Read buffer properties as integers (Mark Langsdorf) [2122317]
- ACPI: property: Add support for parsing buffer property UUID (Mark Langsdorf) [2122317]
- ACPI: property: Unify integer value reading functions (Mark Langsdorf) [2122317]
- ACPI: property: Switch node property referencing from ifs to a switch (Mark Langsdorf) [2122317]
- ACPI: property: Move property ref argument parsing into a new function (Mark Langsdorf) [2122317]
- ACPI: property: Use acpi_object_type consistently in property ref parsing (Mark Langsdorf) [2122317]
- ACPI: property: Tie data nodes to acpi handles (Mark Langsdorf) [2122317]
- ACPI: property: Return type of acpi_add_nondev_subnodes() should be bool (Mark Langsdorf) [2122317]
- ACPI: PM: x86: Print messages regarding LPS0 idle support (Mark Langsdorf) [2122317]
- ACPI: PPTT: Leave the table mapped for the runtime usage (Mark Langsdorf) [2122317]
- ACPI: resource: skip IRQ override on AMD Zen platforms (Mark Langsdorf) [2122317]
- ACPI: irq: Allow acpi_gsi_to_irq() to have an arch-specific fallback (Mark Langsdorf) [2122317]
- APCI: irq: Add support for multiple GSI domains (Mark Langsdorf) [2122317]
- ACPI: video: Use native backlight on Dell Inspiron N4010 (Mark Langsdorf) [2122317]
- ACPI: PM: s2idle: Use LPS0 idle if ACPI_FADT_LOW_POWER_S0 is unset (Mark Langsdorf) [2122317]
- Revert "ACPI / PM: LPIT: Register sysfs attributes based on FADT" (Mark Langsdorf) [2122317]
- ACPI: utils: Add api to read _SUB from ACPI (Mark Langsdorf) [2122317]
- PCI: loongson: Add ACPI init support (Mark Langsdorf) [2122317]
- ACPI: video: Shortening quirk list by identifying Clevo by board_name only (Mark Langsdorf) [2122317]
- ACPI: video: Force backlight native for some TongFang devices (Mark Langsdorf) [2122317]
- PCI/ACPI: Guard ARM64-specific mcfg_quirks (Mark Langsdorf) [2122317]
- ACPI: PM: s2idle: Add support for upcoming AMD uPEP HID AMDI008 (Mark Langsdorf) [2122317]
- ACPI: PPTT: Use table offset as fw_token instead of virtual address (Mark Langsdorf) [2122317]
- ACPI: Move PRM config option under the main ACPI config (Mark Langsdorf) [2122317]
- ACPI: Enable Platform Runtime Mechanism(PRM) support on ARM64 (Mark Langsdorf) [2122317]
- efi: Simplify arch_efi_call_virt() macro (Mark Langsdorf) [2122317]
- ACPI: PRM: Change handler_addr type to void pointer (Mark Langsdorf) [2122317]
- ACPI: bus: Drop driver member of struct acpi_device (Mark Langsdorf) [2122317]
- ACPI: bus: Drop redundant check in acpi_device_remove() (Mark Langsdorf) [2122317]
- ACPI: APEI: Fix _EINJ vs EFI_MEMORY_SP (Mark Langsdorf) [2122317]
- ACPI: LPSS: Fix missing check in register_device_clock() (Mark Langsdorf) [2122317]
- ACPI: APEI: Better fix to avoid spamming the console with old error logs (Mark Langsdorf) [2122317]
- ACPI: PM: save NVS memory for Lenovo G40-45 (Mark Langsdorf) [2122317]
- ACPI: EC: Drop unused ident initializers from dmi_system_id tables (Mark Langsdorf) [2122317]
- ACPI: EC: Re-use boot_ec when possible even when EC_FLAGS_TRUST_DSDT_GPE is set (Mark Langsdorf) [2122317]
- ACPI: EC: Drop the EC_FLAGS_IGNORE_DSDT_GPE quirk (Mark Langsdorf) [2122317]
- ACPI: EC: Remove duplicate ThinkPad X1 Carbon 6th entry from DMI quirks (Mark Langsdorf) [2122317]
- ACPI: processor: Drop leftover acpi_processor_get_limit_info() declaration (Mark Langsdorf) [2122317]
- ACPI: processor: Split out thermal initialization from ACPI PSS (Mark Langsdorf) [2122317]
- redhat/configs: move CONFIG_ACPI_VIDEO to common/generic (Mark Langsdorf) [2122317]
- ACPI: video: Drop X86 dependency from Kconfig (Mark Langsdorf) [2122317]
- ACPI: video: Fix acpi_video_handles_brightness_key_presses() (Mark Langsdorf) [2122317]
- ACPI: video: Change how we determine if brightness key-presses are handled (Mark Langsdorf) [2122317]
- ACPI / MMC: PM: Unify fixing up device power (Mark Langsdorf) [2122317]
- mmc: sdhci-acpi: Use the new soc_intel_is_byt() helper (Mark Langsdorf) [2122317]
- mmc: sdhci-acpi: Remove special handling for GPD win/pocket devices (Mark Langsdorf) [2122317]
- ACPI: scan: Walk ACPI device's children using driver core (Mark Langsdorf) [2122317]
- ACPI: bus: Introduce acpi_dev_for_each_child_reverse() (Mark Langsdorf) [2122317]
- ACPI: video: Use acpi_dev_for_each_child() (Mark Langsdorf) [2122317]
- ACPI: bus: Export acpi_dev_for_each_child() to modules (Mark Langsdorf) [2122317]
- ACPI: property: Use acpi_dev_for_each_child() for child lookup (Mark Langsdorf) [2122317]
- ACPI: container: Use acpi_dev_for_each_child() (Mark Langsdorf) [2122317]
- ACPI: glue: Introduce acpi_find_child_by_adr() (Mark Langsdorf) [2122317]
- ACPI: glue: Introduce acpi_dev_has_children() (Mark Langsdorf) [2122317]
- ACPI: glue: Use acpi_dev_for_each_child() (Mark Langsdorf) [2122317]
- ACPI: glue: Use acpi_device_adr() in acpi_find_child_device() (Mark Langsdorf) [2122317]
- ACPI: APEI: Fix double word in a comment (Mark Langsdorf) [2122317]
- ACPI: processor/idle: Annotate more functions to live in cpuidle section (Mark Langsdorf) [2122317]
- ACPI: video: improve PM notifer callback (Mark Langsdorf) [2122317]
- ACPI: utils: include UUID in _DSM evaluation warning (Mark Langsdorf) [2122317]
- acpi/nfit: rely on mce->misc to determine poison granularity (Mark Langsdorf) [2122317]
- ACPI: PM: Block ASUS B1400CEAE from suspend to idle by default (Mark Langsdorf) [2122317]
- ACPI: clean up white space in a few places for consistency (Mark Langsdorf) [2122317]
- ACPI: glue: Rearrange find_child_checks() (Mark Langsdorf) [2122317]
- ACPI: DPTF: Add support for high frequency impedance notification (Mark Langsdorf) [2122317]
- ACPI: processor: idle: Expose max_cstate/nocst/bm_check_disable read-only in sysfs (Mark Langsdorf) [2122317]
- ACPI: battery: Make "not-charging" the default on no charging or full info (Mark Langsdorf) [2122317]
- PCI/ACPI: negotiate CXL _OSC (Mark Langsdorf) [2122317]
- PCI/ACPI: Prefer CXL _OSC instead of PCIe _OSC for CXL host bridges (Mark Langsdorf) [2122317]
- PCI/ACPI: add a helper for retrieving _OSC Control DWORDs (Mark Langsdorf) [2122317]
- ACPI: OSL: Remove the helper for deactivating memory region (Mark Langsdorf) [2122317]
- ACPI: bus: Avoid non-ACPI device objects in walks over children (Mark Langsdorf) [2122317]
- ACPI: DPTF: Correct description of INT3407 / INT3532 attributes (Mark Langsdorf) [2122317]
- ACPI: BGRT: use static for BGRT_SHOW kobj_attribute defines (Mark Langsdorf) [2122317]
- ACPI, APEI, EINJ: Refuse to inject into the zero page (Mark Langsdorf) [2122317]
- ACPI: PM: Always print final debug message in acpi_device_set_power() (Mark Langsdorf) [2122317]
- ACPI: SPCR: Add support for NVIDIA 16550-compatible port subtype (Mark Langsdorf) [2122317]
- ACPI: APEI: Fix missing ERST record id (Mark Langsdorf) [2122317]
- ACPICA: iASL/MADT: Add OEM-defined subtable (Mark Langsdorf) [2122317]
- ACPICA: Add support for ARM Performance Monitoring Unit Table. (Mark Langsdorf) [2122317]
- ACPICA: Headers: Replace zero-length array with flexible-array member (Mark Langsdorf) [2122317]
- ACPICA: Removed some tabs and // comments (Mark Langsdorf) [2122317]
- ACPICA: Update copyright notices to the year 2022 (Mark Langsdorf) [2122317]
- ACPICA: Clean up double word in comment (Mark Langsdorf) [2122317]
- ACPICA: Add new ACPI 6.4 semantics for LoadTable() operator (Mark Langsdorf) [2122317]
- ACPICA: Add new ACPI 6.4 semantics to the Load() operator (Mark Langsdorf) [2122317]
- ACPICA: iASL: NHLT: Rename linux specific strucures to device_info (Mark Langsdorf) [2122317]
- ACPICA: iASL: NHLT: Fix parsing undocumented bytes at the end of Endpoint Descriptor (Mark Langsdorf) [2122317]
- ACPICA: iASL: NHLT: Treat Terminator as specific_config (Mark Langsdorf) [2122317]
- ACPICA: Add the subtable CFMWS to the CEDT table (Mark Langsdorf) [2122317]
- ACPICA: Add support for the Windows 11 _OSI string (Mark Langsdorf) [2122317]
- ACPI: property: Release subnode properties with data nodes (Mark Langsdorf) [2122317]
- PCI: ACPI: PM: Power up devices in D3cold before scanning them (Mark Langsdorf) [2122317]
- ACPI: PM: Introduce acpi_dev_power_up_children_with_adr() (Mark Langsdorf) [2122317]
- ACPI: bus: Introduce acpi_dev_for_each_child() (Mark Langsdorf) [2122317]
- ACPI: PM: Unify debug messages in acpi_device_set_power() (Mark Langsdorf) [2122317]
- ACPI: PM: Change pr_fmt() in device_pm.c (Mark Langsdorf) [2122317]
- ACPI: PM: Convert debug message in acpi_device_get_power() (Mark Langsdorf) [2122317]
- ACPI: property: Move acpi_fwnode_device_get_match_data() up (Mark Langsdorf) [2122317]
- ACPI: Add perf low power callback (Mark Langsdorf) [2122317]
Resolves: rhbz#2118804, rhbz#1880574, rhbz#2134215, rhbz#2136121, rhbz#2137587, rhbz#2131144, rhbz#2139836, rhbz#2122317

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-03 13:44:31 -04:00
Frantisek Hrbata
bf71f956df kernel-5.14.0-184.el9
* Tue Nov 01 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-184.el9]
- platform/x86/intel: pmc/core: Add Raptor Lake support to pmc core driver (David Arcari) [2076733]
- powercap: intel_rapl: Add support for RAPTORLAKE_P (David Arcari) [2076727]
- iommu/vt-d: Check correct capability for sagaw determination (Jerry Snitselaar) [2112024]
- Revert "iommu/vt-d: Fix possible recursive locking in intel_iommu_init()" (Jerry Snitselaar) [2112024]
- dma-mapping: mark dma_supported static (Jerry Snitselaar) [2112024]
- swiotlb: fix a typo (Jerry Snitselaar) [2112024]
- swiotlb: avoid potential left shift overflow (Jerry Snitselaar) [2112024]
- dma-debug: improve search for partial syncs (Jerry Snitselaar) [2112024]
- iommu/vt-d: Fix possible recursive locking in intel_iommu_init() (Jerry Snitselaar) [2112024]
- iommu/vt-d: Correctly calculate sagaw value of IOMMU (Jerry Snitselaar) [2112024]
- iommu/vt-d: Fix kdump kernels boot failure with scalable mode (Jerry Snitselaar) [2121155]
- iommu/amd: use full 64-bit value in build_completion_wait() (Jerry Snitselaar) [2112024]
- iommu/vt-d: Fix recursive lock issue in iommu_flush_dev_iotlb() (Jerry Snitselaar) [2112024]
- iommu/vt-d: Fix lockdep splat due to klist iteration in atomic context (Jerry Snitselaar) [2112024]
- PCI/P2PDMA: Remove pci_p2pdma_[un]map_sg() (Jerry Snitselaar) [2112024]
- nvme-pci: convert to using dma_map_sgtable() (Jerry Snitselaar) [2112024]
- nvme-pci: check DMA ops when indicating support for PCI P2PDMA (Jerry Snitselaar) [2112024]
- RDMA/rw: drop pci_p2pdma_[un]map_sg() (Jerry Snitselaar) [2112024]
- RDMA/core: introduce ib_dma_pci_p2p_dma_supported() (Jerry Snitselaar) [2112024]
- dma-mapping: reformat comment to suppress htmldoc warning (Jerry Snitselaar) [2112024]
- iommu/dma: support PCI P2PDMA pages in dma-iommu map_sg (Jerry Snitselaar) [2112024]
- iommu: Explicitly skip bus address marked segments in __iommu_map_sg() (Jerry Snitselaar) [2112024]
- dma-mapping: add flags to dma_map_ops to indicate PCI P2PDMA support (Jerry Snitselaar) [2112024]
- dma-direct: support PCI P2PDMA pages in dma-direct map_sg (Jerry Snitselaar) [2112024]
- dma-mapping: allow EREMOTEIO return code for P2PDMA transfers (Jerry Snitselaar) [2112024]
- PCI/P2PDMA: Introduce helpers for dma_map_sg implementations (Jerry Snitselaar) [2112024]
- PCI/P2PDMA: Attempt to set map_type if it has not been set (Jerry Snitselaar) [2112024]
- lib/scatterlist: add flag for indicating P2PDMA segments in an SGL (Jerry Snitselaar) [2112024]
- x86/swiotlb: fix param usage in boot-options.rst (Jerry Snitselaar) [2112024]
- swiotlb: fix passing local variable to debugfs_create_ulong() (Jerry Snitselaar) [2112024]
- swiotlb: clean up some coding style and minor issues (Jerry Snitselaar) [2112024]
- dma-iommu: add iommu_dma_opt_mapping_size() (Jerry Snitselaar) [2112024]
- dma-mapping: add dma_opt_mapping_size() (Jerry Snitselaar) [2112024]
- swiotlb: move struct io_tlb_slot to swiotlb.c (Jerry Snitselaar) [2112024]
- swiotlb: ensure a segment doesn't cross the area boundary (Jerry Snitselaar) [2112024]
- swiotlb: consolidate rounding up default_nslabs (Jerry Snitselaar) [2112024]
- swiotlb: remove unused fields in io_tlb_mem (Jerry Snitselaar) [2112024]
- swiotlb: fix use after free on error handling path (Jerry Snitselaar) [2112024]
- swiotlb: split up the global swiotlb lock (Jerry Snitselaar) [2112024]
- swiotlb: fail map correctly with failed io_tlb_default_mem (Jerry Snitselaar) [2112024]
- swiotlb: remove a useless return in swiotlb_init (Jerry Snitselaar) [2112024]
- swiotlb: remove the unused swiotlb_force declaration (Jerry Snitselaar) [2112024]
- rhel/configs: Add ARM_SMMU_QCOM_DEBUG (Jerry Snitselaar) [2112024]
- dma-mapping: Fix build error unused-value (Jerry Snitselaar) [2112024]
- dma-mapping: Add dma_release_coherent_memory to DMA API (Jerry Snitselaar) [2112024]
- iommu/vt-d: avoid invalid memory access via node_online(NUMA_NO_NODE) (Jerry Snitselaar) [2112024]
- MAINTAINERS: Add Robin Murphy as IOMMU SUBSYTEM reviewer (Jerry Snitselaar) [2112024]
- iommu/arm-smmu-v3: cleanup arm_smmu_dev_{enable,disable}_feature (Jerry Snitselaar) [2112024]
- iommu: remove the put_resv_regions method (Jerry Snitselaar) [2112024]
- iommu: remove iommu_dev_feature_enabled (Jerry Snitselaar) [2112024]
- iommu: remove the unused dev_has_feat method (Jerry Snitselaar) [2112024]
- iommu/vt-d: Remove global g_iommus array (Jerry Snitselaar) [2112024]
- iommu/vt-d: Remove unnecessary check in intel_iommu_add() (Jerry Snitselaar) [2112024]
- iommu/vt-d: Refactor iommu information of each domain (Jerry Snitselaar) [2112024]
- iommu/vt-d: Use IDA interface to manage iommu sequence id (Jerry Snitselaar) [2112024]
- iommu/vt-d: Remove unused domain_get_iommu() (Jerry Snitselaar) [2112024]
- iommu/vt-d: Convert global spinlock into per domain lock (Jerry Snitselaar) [2112024]
- iommu/vt-d: Use device_domain_lock accurately (Jerry Snitselaar) [2112024]
- iommu/vt-d: Fold __dmar_remove_one_dev_info() into its caller (Jerry Snitselaar) [2112024]
- iommu/vt-d: Check device list of domain in domain free path (Jerry Snitselaar) [2112024]
- iommu/vt-d: Acquiring lock in pasid manipulation helpers (Jerry Snitselaar) [2112024]
- iommu/vt-d: Acquiring lock in domain ID allocation helpers (Jerry Snitselaar) [2112024]
- iommu/vt-d: Replace spin_lock_irqsave() with spin_lock() (Jerry Snitselaar) [2112024]
- iommu/vt-d: Unnecessary spinlock for root table alloc and free (Jerry Snitselaar) [2112024]
- iommu/vt-d: Use pci_get_domain_bus_and_slot() in pgtable_walk() (Jerry Snitselaar) [2112024]
- iommu/vt-d: Remove clearing translation data in disable_dmar_iommu() (Jerry Snitselaar) [2112024]
- iommu/vt-d: debugfs: Remove device_domain_lock usage (Jerry Snitselaar) [2112024]
- iommu/vt-d: Remove unused iovad from dmar_domain (Jerry Snitselaar) [2112024]
- iommu/vt-d: Move include/linux/intel-iommu.h under iommu (Jerry Snitselaar) [2112024]
- x86/boot/tboot: Move tboot_force_iommu() to Intel IOMMU (Jerry Snitselaar) [2112024]
- drm/i915: Remove unnecessary include (Jerry Snitselaar) [2112024]
- iommu/vt-d: Remove unnecessary exported symbol (Jerry Snitselaar) [2112024]
- agp/intel: Use per device iommu check (Jerry Snitselaar) [2112024]
- iommu/vt-d: Move trace/events/intel_iommu.h under iommu (Jerry Snitselaar) [2112024]
- iommu/virtio: Add map/unmap_pages() callbacks implementation (Jerry Snitselaar) [2112024]
- iommu/arm-smmu-qcom: Add SM6375 SMMU compatible (Jerry Snitselaar) [2112024]
- iommu/arm-smmu-qcom: Add debug support for TLB sync timeouts (Jerry Snitselaar) [2112024]
- ACPI/IORT: Fix build error implicit-function-declaration (Jerry Snitselaar) [2112024]
- iommu/amd: Handle return of iommu_device_sysfs_add (Jerry Snitselaar) [2112024]
- iommu: arm-smmu-impl: Add 8250 display compatible to the client list. (Jerry Snitselaar) [2112024]
- iommu/iova: change IOVA_MAG_SIZE to 127 to save memory (Jerry Snitselaar) [2112024]
- iommu: Clean up release_device checks (Jerry Snitselaar) [2112024]
- iommu: Make .release_device optional (Jerry Snitselaar) [2112024]
- iommu: Use dev_iommu_ops() for probe_finalize (Jerry Snitselaar) [2112024]
- iommu/arm-smmu: Get associated RMR info and install bypass SMR (Jerry Snitselaar) [2112024]
- iommu/arm-smmu-v3: Get associated RMR info and install bypass STE (Jerry Snitselaar) [2112024]
- iommu/arm-smmu-v3: Refactor arm_smmu_init_bypass_stes() to force bypass (Jerry Snitselaar) [2112024]
- iommu/arm-smmu-v3: Introduce strtab init helper (Jerry Snitselaar) [2112024]
- ACPI/IORT: Add a helper to retrieve RMR info directly (Jerry Snitselaar) [2112024]
- ACPI/IORT: Add support to retrieve IORT RMR reserved regions (Jerry Snitselaar) [2112024]
- ACPI/IORT: Provide a generic helper to retrieve reserve regions (Jerry Snitselaar) [2112024]
- ACPI/IORT: Make iort_iommu_msi_get_resv_regions() return void (Jerry Snitselaar) [2112024]
- ACPICA: IORT: Updates for revision E.d (Jerry Snitselaar) [2112024]
- iommu: Introduce a callback to struct iommu_resv_region (Jerry Snitselaar) [2112024]
- iommu/amd: Use try_cmpxchg64 in alloc_pte and free_clear_pte (Jerry Snitselaar) [2112024]
- iommu/dma: Fix race condition during iova_domain initialization (Jerry Snitselaar) [2112024]
- iommu: Directly use ida_alloc()/free() (Jerry Snitselaar) [2112024]
- selftests dma: fix compile error for dma_map_benchmark (Jerry Snitselaar) [2112024]
- MAINTAINERS: Remove iommu@lists.linux-foundation.org (Jerry Snitselaar) [2112024]
- MAINTAINERS: Add new IOMMU development mailing list (Jerry Snitselaar) [2112024]
- MAINTAINERS: Update SWIOTLB maintainership (Jerry Snitselaar) [2112024]
- MAINTAINERS: update maintainer list of DMA MAPPING BENCHMARK (Jerry Snitselaar) [2112024]
- perf/smmuv3: Don't cast parameter in bit operations (Jerry Snitselaar) [2112024]
- ARM: ixp4xx: Drop custom DMA coherency and bouncing (Jerry Snitselaar) [2112024]
- swiotlb: fix setting ->force_bounce (Jerry Snitselaar) [2112024]
- iommu: Log iova range in map/unmap trace events (Jerry Snitselaar) [2112024]
- iommu/vt-d: Remove hard coding PGSNP bit in PASID entries (Jerry Snitselaar) [2112024]
- iommu/vt-d: Remove domain_update_iommu_snooping() (Jerry Snitselaar) [2112024]
- iommu/vt-d: Check domain force_snooping against attached devices (Jerry Snitselaar) [2112024]
- iommu/vt-d: Block force-snoop domain attaching if no SC support (Jerry Snitselaar) [2112024]
- iommu/vt-d: Size Page Request Queue to avoid overflow condition (Jerry Snitselaar) [2112024]
- iommu/vt-d: Fold dmar_insert_one_dev_info() into its caller (Jerry Snitselaar) [2112024]
- iommu/vt-d: Change return type of dmar_insert_one_dev_info() (Jerry Snitselaar) [2112024]
- iommu/vt-d: Remove unneeded validity check on dev (Jerry Snitselaar) [2112024]
- iommu/dma: Explicitly sort PCI DMA windows (Jerry Snitselaar) [2112024]
- iommu/arm-smmu: Support Tegra234 SMMU (Jerry Snitselaar) [2112024]
- iommu/arm-smmu-v3: check return value after calling platform_get_resource() (Jerry Snitselaar) [2112024]
- iommu/arm-smmu: fix possible null-ptr-deref in arm_smmu_device_probe() (Jerry Snitselaar) [2112024]
- swiotlb: use the right nslabs-derived sizes in swiotlb_init_late (Jerry Snitselaar) [2112024]
- swiotlb: use the right nslabs value in swiotlb_init_remap (Jerry Snitselaar) [2112024]
- swiotlb: don't panic when the swiotlb buffer can't be allocated (Jerry Snitselaar) [2112024]
- swiotlb-xen: this is PV-only on x86 (Jerry Snitselaar) [2112024]
- x86: remove cruft from <asm/dma-mapping.h> (Jerry Snitselaar) [2112024]
- swiotlb: remove swiotlb_init_with_tbl and swiotlb_init_late_with_tbl (Jerry Snitselaar) [2112024]
- swiotlb: merge swiotlb-xen initialization into swiotlb (Jerry Snitselaar) [2112024]
- swiotlb: provide swiotlb_init variants that remap the buffer (Jerry Snitselaar) [2112024]
- swiotlb: pass a gfp_mask argument to swiotlb_init_late (Jerry Snitselaar) [2112024]
- swiotlb: add a SWIOTLB_ANY flag to lift the low memory restriction (Jerry Snitselaar) [2112024]
- swiotlb: make the swiotlb_init interface more useful (Jerry Snitselaar) [2112024]
- x86: centralize setting SWIOTLB_FORCE when guest memory encryption is enabled (Jerry Snitselaar) [2112024]
- x86: remove the IOMMU table infrastructure (Jerry Snitselaar) [2112024]
- xen/pci-swiotlb: reduce visibility of symbols (Jerry Snitselaar) [2112024]
- swiotlb: rename swiotlb_late_init_with_default_size (Jerry Snitselaar) [2112024]
- swiotlb: simplify swiotlb_max_segment (Jerry Snitselaar) [2112024]
- iommu/vt-d: Add RPLS to quirk list to skip TE disabling (Jerry Snitselaar) [2112024]
- iommu: Remove trivial ops->capable implementations (Jerry Snitselaar) [2112024]
- iommu/vtd: Replace acpi_bus_get_device() (Jerry Snitselaar) [2112024]
- iommu/tegra-smmu: Fix missing put_device() call in tegra_smmu_find (Jerry Snitselaar) [2112024]
- iommu/tegra-smmu: Use devm_bitmap_zalloc when applicable (Jerry Snitselaar) [2112024]
- lib/scatterlist: cleanup macros into static inline functions (Jerry Snitselaar) [2112024]
- ASoC: hdmi-codec: Update to modern DAI terminology (Karol Herbst) [2115866]
- PCI: Move PCI_VENDOR_ID_MICROSOFT/PCI_DEVICE_ID_HYPERV_VIDEO definitions to pci_ids.h (Karol Herbst) [2115866]
- Revert "workqueue: remove unused cancel_work()" (Karol Herbst) [2115866]
- x86/gpu: include drm/i915_pciids.h directly in early quirks (Karol Herbst) [2115866]
- fbdev: Put mmap for deferred I/O into drivers (Karol Herbst) [2115866]
- fbdev: Track deferred-I/O pages in pageref struct (Karol Herbst) [2115866]
- fbdev: defio: fix the pagelist corruption (Karol Herbst) [2115866]
- fbdev: Don't sort deferred-I/O pages by default (Karol Herbst) [2115866]
- fbdev/defio: Early-out if page is already enlisted (Karol Herbst) [2115866]
- md: Fix spelling mistake in comments of r5l_log (Nigel Croxon) [2113822]
- md/raid5: Wait for MD_SB_CHANGE_PENDING in raid5d (Nigel Croxon) [2113822]
- md/raid10: convert resync_lock to use seqlock (Nigel Croxon) [2113822]
- md/raid10: fix improper BUG_ON() in raise_barrier() (Nigel Croxon) [2113822]
- md/raid10: prevent unnecessary calls to wake_up() in fast path (Nigel Croxon) [2113822]
- md/raid10: don't modify 'nr_waitng' in wait_barrier() for the case nowait (Nigel Croxon) [2113822]
- md/raid10: factor out code from wait_barrier() to stop_waiting_barrier() (Nigel Croxon) [2113822]
- md: Remove extra mddev_get() in md_seq_start() (Nigel Croxon) [2113822]
- md/raid5: Remove unnecessary bio_put() in raid5_read_one_chunk() (Nigel Croxon) [2113822]
- md/raid5: Ensure stripe_fill happens on non-read IO with journal (Nigel Croxon) [2113822]
- md/raid5: Don't read ->active_stripes if it's not needed (Nigel Croxon) [2113822]
- md/raid5: Cleanup prototype of raid5_get_active_stripe() (Nigel Croxon) [2113822]
- md/raid5: Drop extern on function declarations in raid5.h (Nigel Croxon) [2113822]
- md/raid5: Refactor raid5_get_active_stripe() (Nigel Croxon) [2113822]
- md: Replace snprintf with scnprintf (Nigel Croxon) [2113822]
- md/raid10: fix compile warning (Nigel Croxon) [2113822]
- md/raid5: Fix spelling mistakes in comments (Nigel Croxon) [2113822]
- md: call __md_stop_writes in md_stop (Nigel Croxon) [2113822]
- md: Flush workqueue md_rdev_misc_wq in md_alloc() (Nigel Croxon) [2113822]
- drivers:md:fix a potential use-after-free bug (Nigel Croxon) [2113822]
- md/raid5: Ensure batch_last is released before sleeping for quiesce (Nigel Croxon) [2113822]
- md/raid5: Move stripe_request_ctx up (Nigel Croxon) [2113822]
- md/raid5: Drop unnecessary call to r5c_check_stripe_cache_usage() (Nigel Croxon) [2113822]
- md/raid5: Make is_inactive_blocked() helper (Nigel Croxon) [2113822]
- md/raid5: Refactor raid5_get_active_stripe() (Nigel Croxon) [2113822]
- md-raid10: fix KASAN warning (Nigel Croxon) [2113822]
- md: return the allocated devices from md_alloc (Nigel Croxon) [2113822]
- md: open code md_probe in autorun_devices (Nigel Croxon) [2113822]
- md: remove unneeded semicolon (Nigel Croxon) [2113822]
- md: fix build failure for !MODULE (Nigel Croxon) [2113822]
- raid5: fix duplicate checks for rdev->saved_raid_disk (Nigel Croxon) [2113822]
- md: simplify md_open (Nigel Croxon) [2113822]
- md: only delete entries from all_mddevs when the disk is freed (Nigel Croxon) [2113822]
- md: stop using for_each_mddev in md_exit (Nigel Croxon) [2113822]
- md: stop using for_each_mddev in md_notify_reboot (Nigel Croxon) [2113822]
- md: stop using for_each_mddev in md_do_sync (Nigel Croxon) [2113822]
- md: factor out the rdev overlaps check from rdev_size_store (Nigel Croxon) [2113822]
- md: rename md_free to md_kobj_release (Nigel Croxon) [2113822]
- md: implement ->free_disk (Nigel Croxon) [2113822]
- md: fix error handling in md_alloc (Nigel Croxon) [2113822]
- md: fix mddev->kobj lifetime (Nigel Croxon) [2113822]
- md/raid5: Convert prepare_to_wait() to wait_woken() api (Nigel Croxon) [2113822]
- md/raid5: Fix sectors_to_do bitmap overflow in raid5_make_request() (Nigel Croxon) [2113822]
- md: Fix spelling mistake in comments (Nigel Croxon) [2113822]
- md/raid5: Increase restriction on max segments per request (Nigel Croxon) [2113822]
- md/raid5: Improve debug prints (Nigel Croxon) [2113822]
- md/raid5: Pivot raid5_make_request() (Nigel Croxon) [2113822]
- md/raid5: Check all disks in a stripe_head for reshape progress (Nigel Croxon) [2113822]
- md/raid5: Refactor add_stripe_bio() (Nigel Croxon) [2113822]
- md/raid5: Keep a reference to last stripe_head for batch (Nigel Croxon) [2113822]
- md/raid5: Refactor for loop in raid5_make_request() into while loop (Nigel Croxon) [2113822]
- md/raid5: Move read_seqcount_begin() into make_stripe_request() (Nigel Croxon) [2113822]
- md/raid5: Drop the do_prepare flag in raid5_make_request() (Nigel Croxon) [2113822]
- md/raid5: Factor out helper from raid5_make_request() loop (Nigel Croxon) [2113822]
- md/raid5: Move common stripe get code into new find_get_stripe() helper (Nigel Croxon) [2113822]
- md/raid5: Move stripe_add_to_batch_list() call out of add_stripe_bio() (Nigel Croxon) [2113822]
- md/raid5: Refactor raid5_make_request loop (Nigel Croxon) [2113822]
- md/raid5: Factor out ahead_of_reshape() function (Nigel Croxon) [2113822]
- md/raid5: Make logic blocking check consistent with logic that blocks (Nigel Croxon) [2113822]
- md: unlock mddev before reap sync_thread in action_store (Nigel Croxon) [2113822]
- md: Explicitly create command-line configured devices (Nigel Croxon) [2113822]
- md: Notify sysfs sync_completed in md_reap_sync_thread() (Nigel Croxon) [2113822]
- md: Ensure resync is reported after it starts (Nigel Croxon) [2113822]
- md: Use enum for overloaded magic numbers used by mddev->curr_resync (Nigel Croxon) [2113822]
- md/raid5-cache: Annotate pslot with __rcu notation (Nigel Croxon) [2113822]
- md/raid5-cache: Clear conf->log after finishing work (Nigel Croxon) [2113822]
- md/raid5-cache: Drop RCU usage of conf->log (Nigel Croxon) [2113822]
- md/raid5-cache: Take mddev_lock in r5c_journal_mode_show() (Nigel Croxon) [2113822]
- md/raid5: suspend the array for calls to log_exit() (Nigel Croxon) [2113822]
- md/raid5-ppl: Drop unused argument from ppl_handle_flush_request() (Nigel Croxon) [2113822]
- md/raid5-log: Drop extern decorators for function prototypes (Nigel Croxon) [2113822]
- md/raid5: missing error code in setup_conf() (Nigel Croxon) [2113822]
- Revert "md: don't unregister sync_thread with reconfig_mutex held" (Nigel Croxon) [2113822]
Resolves: rhbz#2076733, rhbz#2076727, rhbz#2112024, rhbz#2121155, rhbz#2115866, rhbz#2113822

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-11-01 03:33:32 -04:00
Frantisek Hrbata
8e9b0e5164 kernel-5.14.0-181.el9
* Wed Oct 26 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-181.el9]
- perf test: Record only user callchains on the "Check Arm64 callgraphs are complete in fp mode" test (Michael Petlan) [2049125]
- scsi: core: Allow the ALUA transitioning state enough time (Ewan D. Milne) [2132461]
- scsi: ch: Do not initialise statics to 0 (Ewan D. Milne) [2132461]
- scsi: core: Fix warning in scsi_alloc_sgtables() (Ewan D. Milne) [2132461]
- scsi: sg: Allow waiting for commands to complete on removed device (Ewan D. Milne) [2132461]
- scsi: core: Move the definition of SCSI_QUEUE_DELAY (Ewan D. Milne) [2132461]
- scsi: core: Shorten long warning messages (Ewan D. Milne) [2132461]
- scsi: scsi_debug: Fix zone transition to full condition (Ewan D. Milne) [2132461]
- scsi: sd: Fix interpretation of VPD B9h length (Ewan D. Milne) [2132461]
- scsi: core: Return BLK_STS_TRANSPORT for ALUA transitioning (Ewan D. Milne) [2132461]
- scsi: sd_zbc: Prevent zone information memory leak (Ewan D. Milne) [2132461]
- scsi: sd: Fix potential NULL pointer dereference (Ewan D. Milne) [2132461]
- scsi: sd: Don't call blk_cleanup_disk() in sd_probe() (Ewan D. Milne) [2132461]
- scsi: sr: Add memory allocation failure handling for get_capabilities() (Ewan D. Milne) [2132461]
- scsi: sd: Reorganize DIF/DIX code to avoid calling revalidate twice (Ewan D. Milne) [2132461]
- scsi: sd: Optimal I/O size should be a multiple of reported granularity (Ewan D. Milne) [2132461]
- scsi: sd: Switch to using scsi_device VPD pages (Ewan D. Milne) [2132461]
- scsi: sd: Use cached ATA Information VPD page (Ewan D. Milne) [2132461]
- scsi: core: Do not truncate INQUIRY data on modern devices (Ewan D. Milne) [2132461]
- scsi: core: Cache VPD pages b0, b1, b2 (Ewan D. Milne) [2132461]
- scsi: core: Pick suitable allocation length in scsi_report_opcode() (Ewan D. Milne) [2132461]
- scsi: core: Query VPD size before getting full page (Ewan D. Milne) [2132461]
- scsi: fcoe: Fix Wstringop-overflow warnings in fcoe_wwn_from_mac() (Ewan D. Milne) [2132461]
- scsi: core: Refine how we set tag_set NUMA node (Ewan D. Milne) [2132461]
- scsi: scsi_debug: Add gap zone support (Ewan D. Milne) [2132461]
- scsi: scsi_debug: Rename zone type constants (Ewan D. Milne) [2132461]
- scsi: scsi_debug: Fix a typo (Ewan D. Milne) [2132461]
- scsi: sd: sd_zbc: Hide gap zones (Ewan D. Milne) [2132461]
- scsi: sd: sd_zbc: Return early in sd_zbc_check_zoned_characteristics() (Ewan D. Milne) [2132461]
- scsi: sd: sd_zbc: Introduce struct zoned_disk_info (Ewan D. Milne) [2132461]
- scsi: sd: sd_zbc: Use logical blocks as unit when querying zones (Ewan D. Milne) [2132461]
- scsi: sd: sd_zbc: Verify that the zone size is a power of two (Ewan D. Milne) [2132461]
- scsi: sd: sd_zbc: Improve source code documentation (Ewan D. Milne) [2132461]
- scsi: core: Increase max device queue_depth to 4096 (Ewan D. Milne) [2132461]
- scsi: sr: Do not leak information in ioctl (Ewan D. Milne) [2132461]
- scsi: sd: Clean up gendisk if device_add_disk() failed (Ewan D. Milne) [2132461]
- scsi: sd: sd_read_cpr() requires VPD pages (Ewan D. Milne) [2132461]
- scsi: scsi_debug: Fix sdebug_blk_mq_poll() in_use_bm bitmap use (Ewan D. Milne) [2132461]
- scsi: core: sysfs: Remove comments that conflict with the actual logic (Ewan D. Milne) [2132461]
- scsi: core: Remove unreachable code warning (Ewan D. Milne) [2132461]
- scsi: libfc: Fix use after free in fc_exch_abts_resp() (Ewan D. Milne) [2132461]
- scsi: scsi_debug: Fix qc_lock use in sdebug_blk_mq_poll() (Ewan D. Milne) [2132461]
- scsi: scsi_debug: Silence unexpected unlock warnings (Ewan D. Milne) [2132461]
- scsi: Remove unused member cmd_pool for structure scsi_host_template (Ewan D. Milne) [2132461]
- scsi: core: Make "access_state" sysfs attribute always visible (Ewan D. Milne) [2132461]
- scsi: scsi_debug: Add environmental reporting log subpage (Ewan D. Milne) [2132461]
- scsi: scsi_debug: Add no_rwlock parameter (Ewan D. Milne) [2132461]
- scsi: scsi_debug: Divide power on reset UNIT ATTENTION (Ewan D. Milne) [2132461]
- scsi: scsi_debug: Refine sdebug_blk_mq_poll() (Ewan D. Milne) [2132461]
- scsi: scsi_debug: Call scsi_done() directly (Ewan D. Milne) [2132461]
- scsi: scsi_debug: Use TASK SET FULL more (Ewan D. Milne) [2132461]
- scsi: scsi_debug: Strengthen defer_t accesses (Ewan D. Milne) [2132461]
- scsi: ch: Don't use GFP_DMA (Ewan D. Milne) [2132461]
- wireguard: netlink: avoid variable-sized memcpy on sockaddr (Hangbin Liu) [2135317]
- rhel: Enable imx8 I2C configs properly (Al Stone) [2071838]
- i2c: imx: fix typo in comment (Al Stone) [2071838]
- i2c: dev: Force case user pointers in compat_i2cdev_ioctl() (Al Stone) [2071838]
- i2c: dev: check return value when calling dev_set_name() (Al Stone) [2071838]
- i2c: imx: Implement errata ERR007805 or e7805 bus frequency limit (Al Stone) [2071838]
- i2c: mux: demux-pinctrl: do not deactivate a master that is not active (Al Stone) [2071838]
- i2c: add tracepoints for I2C slave events (Al Stone) [2071838]
- i2c: tegra: Add SMBus block read function (Al Stone) [2071838]
- i2c: core: Use generic_handle_irq_safe() in i2c_handle_smbus_host_notify(). (Al Stone) [2071838]
- i2c: smbus: Check for parent device before dereference (Al Stone) [2071838]
- i2c: imx: allow COMPILE_TEST (Al Stone) [2071838]
- i2c: don't expose function which is only used internally (Al Stone) [2071838]
- i2c: ACPI: Replace acpi_bus_get_device() (Al Stone) [2071838]
- i2c: smbus: Use device_*() functions instead of of_*() (Al Stone) [2071838]
- Revert "i2c: core: support bus regulator controlling in adapter" (Al Stone) [2071838]
- i2c: validate user data in compat ioctl (Al Stone) [2071838]
- i2c: acpi: Do not instantiate I2C-clients on boards with known bogus DSDT entries (Al Stone) [2071838]
- i2c: acpi: Add i2c_acpi_new_device_by_fwnode() function (Al Stone) [2071838]
- i2c: acpi: Use acpi_dev_ready_for_enumeration() helper (Al Stone) [2071838]
- i2c: tegra: use i2c_timings for bus clock freq (Al Stone) [2071838]
- i2c: virtio: fix completion handling (Al Stone) [2071838]
- i2c: enable async suspend/resume on i2c client devices (Al Stone) [2071838]
- i2c: enable async suspend/resume for i2c adapters (Al Stone) [2071838]
- i2c: tegra: Add the ACPI support (Al Stone) [2071838]
- i2c: mux: gpio: Use array_size() helper (Al Stone) [2071838]
- i2c: mux: gpio: Don't dereference fwnode from struct device (Al Stone) [2071838]
- i2c: mux: gpio: Replace custom acpi_get_local_address() (Al Stone) [2071838]
- i2c: imx: Add timer for handling the stop condition (Al Stone) [2071838]
- i2c: virtio: disable timeout handling (Al Stone) [2071838]
- i2c: Allow an ACPI driver to manage the device's power state during probe (Al Stone) [2071838]
- i2c: virtio: Add support for zero-length requests (Al Stone) [2071838]
- i2c: tegra: Ensure that device is suspended before driver is removed (Al Stone) [2071838]
- i2c: acpi: Replace custom function with device_match_acpi_handle() (Al Stone) [2071838]
- i2c: switch from 'pci_' to 'dma_' API (Al Stone) [2071838]
- i2c: acpi: fix resource leak in reconfiguration device addition (Al Stone) [2071838]
- i2c: virtio: add a virtio i2c frontend driver (Al Stone) [2071838]
- i2c: remove dead PMC MSP TWI/SMBus/I2C driver (Al Stone) [2071838]
- i2c: dev: Use sysfs_emit() in "show" functions (Al Stone) [2071838]
- i2c: dev: Define pr_fmt() and drop duplication substrings (Al Stone) [2071838]
- i2c: imx: : use proper DMAENGINE API for termination (Al Stone) [2071838]
- remove CONFIG_PTE_MARKER and add CONFIG_PTE_MARKER_UFFD_WP (Chris von Recklinghausen) [2136480]
- mm/uffd: move USERFAULTFD configs into mm/ (Chris von Recklinghausen) [2136480]
- mm: enable PTE markers by default (Chris von Recklinghausen) [2136480]
- mm/uffd: PTE_MARKER_UFFD_WP (Chris von Recklinghausen) [2136480]
- scsi: qedf: Populate sysfs attributes for vport (Nilesh Javali) [2125169]
- scsi: qedf: Fix typo in comment (Nilesh Javali) [2111468]
- scsi: qedf: Remove redundant variable op (Nilesh Javali) [2111468]
- scsi: qedf: Remove an unneeded NULL check on list iterator (Nilesh Javali) [2111468]
- scsi: qedf: Remove unnecessary code (Nilesh Javali) [2111468]
- scsi: bnx2fc: Avoid using get_cpu() in bnx2fc_cmd_alloc() (Nilesh Javali) [2119312]
- scsi: bnx2fc: Fix spelling mistake "mis-match" -> "mismatch" (Nilesh Javali) [2119312]
- scsi: bnx2fc: Make use of the helper macro kthread_run() (Nilesh Javali) [2119312]
- scsi: bnx2fc: Fix typo in comments (Nilesh Javali) [2119312]
Resolves: rhbz#2049125, rhbz#2132461, rhbz#2135317, rhbz#2071838, rhbz#2136480, rhbz#2125169, rhbz#2111468, rhbz#2119312

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-26 03:40:28 -04:00
Frantisek Hrbata
d4e684b1f0 kernel-5.14.0-180.el9
* Tue Oct 25 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-180.el9]
- s390/qeth: cache link_info for ethtool (Tobias Huschle) [2110436]
- s390/qeth: Fix typo 'the the' in comment (Tobias Huschle) [2110436]
- s390/qdio: Fix spelling mistake (Tobias Huschle) [2110436]
- s390/lcs: fix variable dereferenced before check (Tobias Huschle) [2110436]
- s390/ctcm: fix potential memory leak (Tobias Huschle) [2110436]
- s390/ctcm: fix variable dereferenced before check (Tobias Huschle) [2110436]
- qeth: remove a copy of the NAPI_POLL_WEIGHT define (Tobias Huschle) [2110436]
- nfp: nfdk: implement xdp tx path for NFDK (Stefan Assmann) [2124279]
- nfp: add support for NFDK data path (Stefan Assmann) [2124279]
- nfp: choose data path based on version (Stefan Assmann) [2124279]
- nfp: add per-data path feature mask (Stefan Assmann) [2124279]
- nfp: use TX ring pointer write back (Stefan Assmann) [2124279]
- nfp: move tx_ring->qcidx into cold data (Stefan Assmann) [2124279]
- nfp: prepare for multi-part descriptors (Stefan Assmann) [2124279]
- nfp: use callbacks for slow path ring related functions (Stefan Assmann) [2124279]
- nfp: move the fast path code to separate files (Stefan Assmann) [2124279]
- nfp: calculate ring masks without conditionals (Stefan Assmann) [2124279]
- nfp: flower: avoid newline at the end of message in NL_SET_ERR_MSG_MOD (Stefan Assmann) [2124279]
- nfp: add support for NFP3800/NFP3803 PCIe devices (Stefan Assmann) [2124279]
- nfp: take chip version into account for ring sizes (Stefan Assmann) [2124279]
- nfp: parametrize QCP offset/size using dev_info (Stefan Assmann) [2124279]
- nfp: use dev_info for the DMA mask (Stefan Assmann) [2124279]
- nfp: use dev_info for PCIe config space BAR offsets (Stefan Assmann) [2124279]
- nfp: introduce dev_info static chip data (Stefan Assmann) [2124279]
- nfp: sort the device ID tables (Stefan Assmann) [2124279]
- nfp: use PluDevice register for model for non-NFP6000 chips (Stefan Assmann) [2124279]
- nfp: use PCI_DEVICE_ID_NETRONOME_NFP6000_VF for VFs instead (Stefan Assmann) [2124279]
- nfp: remove pessimistic NFP_QCP_MAX_ADD limits (Stefan Assmann) [2124279]
- nfp: remove define for an unused control bit (Stefan Assmann) [2124279]
- nfp: xsk: fix a warning when allocating rx rings (Stefan Assmann) [2124279]
- nfp: xsk: avoid newline at the end of message in NL_SET_ERR_MSG_MOD (Stefan Assmann) [2124279]
- nfp: xsk: add AF_XDP zero-copy Rx and Tx support (Stefan Assmann) [2124279]
- nfp: xsk: add configuration check for XSK socket chunk size (Stefan Assmann) [2124279]
- nfp: xsk: add an array of xsk buffer pools to each data path (Stefan Assmann) [2124279]
- nfp: wrap napi add/del logic (Stefan Assmann) [2124279]
- nfp: expose common functions to be used for AF_XDP (Stefan Assmann) [2124279]
- nfp: flower: Remove usage of the deprecated ida_simple_xxx API (Stefan Assmann) [2124279]
- nfp: avoid newline at end of message in NL_SET_ERR_MSG_MOD (Stefan Assmann) [2124279]
- nfp: add NFP_FL_FEATS_QOS_METER to host features to enable meter offload (Stefan Assmann) [2124279]
- nfp: add support to offload police action from flower table (Stefan Assmann) [2124279]
- nfp: add process to get action stats from hardware (Stefan Assmann) [2124279]
- nfp: add hash table to store meter table (Stefan Assmann) [2124279]
- nfp: add support to offload tc action to hardware (Stefan Assmann) [2124279]
- nfp: refactor policer config to support ingress/egress meter (Stefan Assmann) [2124279]
- nfp: flower: Fix a potential leak in nfp_tunnel_add_shared_mac() (Stefan Assmann) [2124279]
- nfp: flower: netdev offload check for ip6gretap (Stefan Assmann) [2124279]
- nfp: flower: fix ida_idx not being released (Stefan Assmann) [2124279]
- nfp: Simplify array allocation (Stefan Assmann) [2124279]
- nfp: only use kdoc style comments for kdoc (Stefan Assmann) [2124279]
- nfp: flower: Use struct_size() helper in kmalloc() (Stefan Assmann) [2124279]
- nfp: flower: refine the use of circular buffer (Stefan Assmann) [2124279]
- nfp: Fix memory leak in nfp_cpp_area_cache_add() (Stefan Assmann) [2124279]
- nfp: checking parameter process for rx-usecs/tx-usecs is invalid (Stefan Assmann) [2124279]
- nfp: flower: correction of error handling (Stefan Assmann) [2124279]
- nfp: fix potential deadlock when canceling dim work (Stefan Assmann) [2124279]
- nfp: fix NULL pointer access when scheduling dim work (Stefan Assmann) [2124279]
- nfp: flower: Allow ipv6gretap interface for offloading (Stefan Assmann) [2124279]
- ethernet: constify references to netdev->dev_addr in drivers (Stefan Assmann) [2124279]
- nfp: Prefer struct_size over open coded arithmetic (Stefan Assmann) [2124279]
- nfp: bpf: silence bitwise vs. logical OR warning (Stefan Assmann) [2124279]
- net/{mlx5|nfp|bnxt}: Remove unnecessary RTNL lock assert (Stefan Assmann) [2124279]
- nfp: flow_offload: move flow_indr_dev_register from app init to app start (Stefan Assmann) [2124279]
- nfp: use netif_set_real_num_queues() (Stefan Assmann) [2124279]
- nfp: flower-ct: fix error return code in nfp_fl_ct_add_offload() (Stefan Assmann) [2124279]
- nfp: add support for coalesce adaptive feature (Stefan Assmann) [2124279]
- nfp: fix return statement in nfp_net_parse_meta() (Stefan Assmann) [2124279]
- nfp: flower-tc: add flow stats updates for ct (Stefan Assmann) [2124279]
- nfp: flower-ct: add offload calls to the nfp (Stefan Assmann) [2124279]
- nfp: flower-ct: add flow_pay to the offload table (Stefan Assmann) [2124279]
- nfp: flower-ct: add actions into flow_pay for offload (Stefan Assmann) [2124279]
- nfp: flower-ct: compile match sections of flow_payload (Stefan Assmann) [2124279]
- nfp: flower-ct: calculate required key_layers (Stefan Assmann) [2124279]
- nfp: flower: refactor action offload code slightly (Stefan Assmann) [2124279]
- nfp: flower: refactor match functions to take flow_rule as input (Stefan Assmann) [2124279]
- nfp: flower: make the match compilation functions reusable (Stefan Assmann) [2124279]
- mmu_gather: fix the CONFIG_MMU_GATHER_NO_RANGE case (Waiman Long) [2130164] {CVE-2022-39188}
- mmu_gather: Force tlb-flush VM_PFNMAP vmas (Waiman Long) [2130164] {CVE-2022-39188}
- mmu_gather: Let there be one tlb_{start,end}_vma() implementation (Waiman Long) [2130164] {CVE-2022-39188}
- csky/tlb: Remove tlb_flush() define (Waiman Long) [2130164] {CVE-2022-39188}
- mmu_gather: Remove per arch tlb_{start,end}_vma() (Waiman Long) [2130164] {CVE-2022-39188}
- s390/dasd: add device ping attribute (Tobias Huschle) [2044384]
- s390/dasd: suppress generic error messages for PPRC secondary devices (Tobias Huschle) [2044384]
- s390/dasd: add ioctl to perform a swap of the drivers copy pair (Tobias Huschle) [2044384]
- s390/dasd: add copy pair swap capability (Tobias Huschle) [2044384]
- s390/dasd: add copy pair setup (Tobias Huschle) [2044384]
- s390/dasd: add query PPRC function (Tobias Huschle) [2044384]
- s390/dasd: put block allocation in separate function (Tobias Huschle) [2044384]
- s390/dasd: fix Oops in dasd_alias_get_start_dev due to missing pavgroup (Tobias Huschle) [2044384]
- net: stmmac: Fix unset max_speed difference between DT and non-DT platforms (Al Stone) [2071844]
- usb: dwc2: fix wrong order of phy_power_on and phy_init (Al Stone) [2071844]
- net: stmmac: remove phylink_config.pcs_poll usage (Al Stone) [2071844]
- net: stmmac: use .mac_select_pcs() interface (Al Stone) [2071844]
- net: stmmac: convert to phylink_generic_validate() (Al Stone) [2071844]
- net: stmmac: convert to phylink_get_linkmodes() (Al Stone) [2071844]
- lan743x: fix deadlock in lan743x_phy_link_status_change() (Al Stone) [2071844]
- net: phy: c45 baset1: do not skip aneg configuration if clock role is not specified (Al Stone) [2071844]
- rhel: Enable configs for imx8m PHYs (Al Stone) [2071844]
- phy: freescale: Add i.MX8qm Mixel LVDS PHY support (Al Stone) [2071844]
- units: Add SI metric prefix definitions (Al Stone) [2071844]
- phy: tegra: Add PCIe PIPE2UPHY support for Tegra234 (Al Stone) [2071844]
- net: phy: Don't trigger state machine while in suspend (Al Stone) [2071844]
- net: phy: Fix race condition on link status change (Al Stone) [2071844]
- net: phy: Convert to mdiobus_c45_{read|write} (Al Stone) [2071844]
- net: phy: fix duplex out of sync problem while changing settings (Al Stone) [2071844]
- phy: phy_ethtool_ksettings_set: Lock the PHY while changing settings (Al Stone) [2071844]
- phy: phy_start_aneg: Add an unlocked version (Al Stone) [2071844]
- phy: phy_ethtool_ksettings_set: Move after phy_start_aneg (Al Stone) [2071844]
- phy: phy_ethtool_ksettings_get: Lock the phy for consistency (Al Stone) [2071844]
- linux/phy.h: add phydev_err_probe() wrapper for dev_err_probe() (Al Stone) [2071844]
- net: phy: export genphy_c45_baset1_read_status() (Al Stone) [2071844]
- net: phy: genphy_c45_pma_baset1_read_master_slave: read actual configuration (Al Stone) [2071844]
- net: phy: introduce genphy_c45_pma_baset1_read_master_slave() (Al Stone) [2071844]
- net: phy: genphy_c45_pma_baset1_setup_master_slave: do no set unknown configuration (Al Stone) [2071844]
- net: phy: introduce genphy_c45_pma_baset1_setup_master_slave() (Al Stone) [2071844]
- net: phy: genphy_c45_baset1_an_config_aneg: do no set unknown configuration (Al Stone) [2071844]
- net: phy: Add 10BASE-T1L support in phy-c45 (Al Stone) [2071844]
- net: mdio: add helpers to extract clause 45 regad and devad fields (Al Stone) [2071844]
- net: mdio: Add helper functions for accessing MDIO devices (Al Stone) [2071844]
- net: mdio: add mdiobus_modify_changed() (Al Stone) [2071844]
- net: mdio: introduce a shutdown method to mdio device drivers (Al Stone) [2071844]
- net: phy: Add BaseT1 auto-negotiation registers (Al Stone) [2071844]
- net: phy: Add 10-BaseT1L registers (Al Stone) [2071844]
- ethtool: Add 10base-T1L link mode entry (Al Stone) [2071844]
- phy: freescale: imx8m-pcie: Handle IMX8_PCIE_REFCLK_PAD_UNUSED (Al Stone) [2071844]
- phy: core: Warn when phy_power_on is called before phy_init (Al Stone) [2071844]
- phy: core: Update documentation syntax (Al Stone) [2071844]
- phy: core: Add documentation of phy operation order (Al Stone) [2071844]
- phy: freescale: phy-fsl-imx8-mipi-dphy: Add i.MX8qxp LVDS PHY mode support (Al Stone) [2071844]
- phy: Add LVDS configuration options (Al Stone) [2071844]
- net: phylink: remove phylink_helper_basex_speed() (Al Stone) [2071844]
- phy: phy-can-transceiver: Add support for setting mux (Al Stone) [2071844]
- phy: PHY_FSL_LYNX_28G should depend on ARCH_LAYERSCAPE (Al Stone) [2071844]
- phy: Remove duplicated include in phy-fsl-lynx-28g.c (Al Stone) [2071844]
- phy: add support for the Layerscape SerDes 28G (Al Stone) [2071844]
- net: phy: correct spelling error of media in documentation (Al Stone) [2071844]
- net: phy: exported the genphy_read_master_slave function (Al Stone) [2071844]
- net: phylink: remove phylink_set_pcs() (Al Stone) [2071844]
- phy: dphy: Correct lpx parameter and its derivatives(ta_{get,go,sure}) (Al Stone) [2071844]
- net: phylink: remove phylink_config's pcs_poll (Al Stone) [2071844]
- net: phylink: remove phylink_set_10g_modes() (Al Stone) [2071844]
- phy: make phy_set_max_speed() *void* (Al Stone) [2071844]
- phy: freescale: i.MX8 PHYs should depend on ARCH_MXC && ARM64 (Al Stone) [2071844]
- phy: freescale: pcie: cosmetic clean-up (Al Stone) [2071844]
- net: phylink: add pcs_validate() method (Al Stone) [2071844]
- net: phylink: add mac_select_pcs() method to phylink_mac_ops (Al Stone) [2071844]
- phy: freescale: pcie: explicitly add bitfield.h (Al Stone) [2071844]
- phy: freescale: pcie: Initialize the imx8 pcie standalone phy driver (Al Stone) [2071844]
- phy: tegra: xusb: Fix return value of tegra_xusb_find_port_node function (Al Stone) [2071844]
- net: phylink: use legacy_pre_march2020 (Al Stone) [2071844]
- net: phylink: add legacy_pre_march2020 indicator (Al Stone) [2071844]
- net: phy: Remove unnecessary indentation in the comments of phy_device (Al Stone) [2071844]
- phy: phy-can-transceiver: Make devm_gpiod_get optional (Al Stone) [2071844]
- net: phylink: Add helpers for c22 registers without MDIO (Al Stone) [2071844]
- net: phylink: add 1000base-KX to phylink_caps_to_linkmodes() (Al Stone) [2071844]
- net: document SMII and correct phylink's new validation mechanism (Al Stone) [2071844]
- net: phylink: add generic validate implementation (Al Stone) [2071844]
- net: phylink: avoid mvneta warning when setting pause parameters (Al Stone) [2071844]
- net: phylink: use supported_interfaces for phylink validation (Al Stone) [2071844]
- net: phylink: Convert some users of mdiobus_* to mdiodev_* (Al Stone) [2071844]
- net: phylink: Support disabling autonegotiation for PCS (Al Stone) [2071844]
- net: phylink: rejig SFP interface selection in ksettings_set() (Al Stone) [2071844]
- net: phylink: use mdiobus_modify_changed() helper (Al Stone) [2071844]
- kernel-doc: support DECLARE_PHY_INTERFACE_MASK() (Al Stone) [2071844]
- net: phylink: add MAC phy_interface_t bitmap (Al Stone) [2071844]
- net: phy: add phy_interface_t bitmap support (Al Stone) [2071844]
- net: phy: add genphy_c45_fast_retrain (Al Stone) [2071844]
- net: phy: add constants for fast retrain related register (Al Stone) [2071844]
- net: phylink: add phylink_set_10g_modes() helper (Al Stone) [2071844]
- net: phylink: don't call netif_carrier_off() with NULL netdev (Al Stone) [2071844]
- net: phylink: Update SFP selected interface on advertising changes (Al Stone) [2071844]
- net: phylink: add suspend/resume support (Al Stone) [2071844]
- net: phylink: cleanup ksettings_set (Al Stone) [2071844]
- net: phylink: add phy change pause mode debug (Al Stone) [2071844]
- phy: tegra: xusb: mark PM functions as __maybe_unused (Al Stone) [2071844]
- s390/pai: Add support for PAI Extension 1 NNPA counters (Tobias Huschle) [2127436]
Resolves: rhbz#2110436, rhbz#2124279, rhbz#2130164, rhbz#2044384, rhbz#2071844, rhbz#2127436

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-25 08:37:28 -04:00
Frantisek Hrbata
610c2f9176 kernel-5.14.0-179.el9
* Mon Oct 24 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-179.el9]
- dmaengine: imx-sdma: Add FIFO stride support for multi FIFO script (Jerry Snitselaar) [2112028]
- dmaengine: idxd: Correct IAX operation code names (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: Add missing struct documentation (Jerry Snitselaar) [2112028]
- dmaengine: dmatest: use strscpy to replace strlcpy (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: Improve the SDMA irq name (Jerry Snitselaar) [2112028]
- MAINTAINERS: idxd driver maintainer update (Jerry Snitselaar) [2112028]
- dmaengine: dmatest: Replace symbolic permissions by octal permissions (Jerry Snitselaar) [2112028]
- dmaengine: dmatest: Remove spaces before tabs (Jerry Snitselaar) [2112028]
- dma:dw: remove reference to AVR32 architecture in core.c (Jerry Snitselaar) [2112028]
- dmaengine: qcom_hidma: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: only restart cyclic channel when enabled (Jerry Snitselaar) [2112028]
- dmaengine: idxd: force wq context cleanup on device disable path (Jerry Snitselaar) [2112028]
- dmaengine: idxd: Only call idxd_enable_system_pasid() if succeeded in enabling SVA feature (Jerry Snitselaar) [2112028]
- dmaengine: idxd: Separate user and kernel pasid enabling (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: Allow imx8m for imx7 FW revs (Jerry Snitselaar) [2112028]
- dmaengine: Revert "dmaengine: add verification of DMA_INTERRUPT capability for dmatest" (Jerry Snitselaar) [2112028]
- dmaengine: ptdma: statify pt_tx_status (Jerry Snitselaar) [2112028]
- dmaengine: Document dmaengine_prep_dma_memset (Jerry Snitselaar) [2112028]
- dmaengine: PTDMA: support polled mode (Jerry Snitselaar) [2112028]
- dmaengine: Remove a useless mutex (Jerry Snitselaar) [2112028]
- dmaengine: idxd: update IAA definitions for user header (Jerry Snitselaar) [2112028]
- MAINTAINERS: update my email address (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: Add multi fifo support (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: error out on unsupported transfer types (Jerry Snitselaar) [2112028]
- dmaengine: imx: Move header to include/dma/ (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: Fix error checking in sdma_event_remap (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: fix init of uart scripts (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: clean up some inconsistent indenting (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: fix cyclic buffer race condition (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: restart cyclic channel if needed (Jerry Snitselaar) [2112028]
- dmaengine: iot: Remove useless DMA-32 fallback configuration (Jerry Snitselaar) [2112028]
- dmaengine: ioatdma: use default_groups in kobj_type (Jerry Snitselaar) [2112028]
- Documentation: dmaengine: Correctly describe dmatest with channel unset (Jerry Snitselaar) [2112028]
- Documentation: dmaengine: Add a description of what dmatest does (Jerry Snitselaar) [2112028]
- dmaengine: Add documentation for new memcpy scatter-gather function (Jerry Snitselaar) [2112028]
- dmaengine: remove slave_id config field (Jerry Snitselaar) [2112028]
- dmaengine: ioat: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028]
- dmaengine: hsu: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028]
- dmaengine: dw: switch from 'pci_' to 'dma_' API (Jerry Snitselaar) [2112028]
- dmaengine: dmaengine_desc_callback_valid(): Check for `callback_result` (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: remove space after sizeof (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: align statement to open parenthesis (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: add missed braces (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: remove useless braces (Jerry Snitselaar) [2112028]
- dmaengine: Remove redundant initialization of variable err (Jerry Snitselaar) [2112028]
- dmaengine: Extend the dma_slave_width for 128 bytes (Jerry Snitselaar) [2112028]
- dmaengine: ioat: depends on !UML (Jerry Snitselaar) [2112028]
- dmaengine: idxd: depends on !UML (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: add terminated list for freed descriptor in worker (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: add uart rom script (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: remove ERR009165 on i.mx6ul (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: add mcu_2_ecspi script (Jerry Snitselaar) [2112028]
- dmaengine: dma: imx-sdma: add fw_loaded and is_ram_script (Jerry Snitselaar) [2112028]
- dmaengine: imx-sdma: remove duplicated sdma_load_context (Jerry Snitselaar) [2112028]
- Revert "dmaengine: imx-sdma: refine to load context only once" (Jerry Snitselaar) [2112028]
- pinctrl: bcm2835: implement hook for missing gpio-ranges (Adrien Thierry) [2124693]
- gpiolib: of: Introduce hook for missing gpio-ranges (Adrien Thierry) [2124693]
- pinctrl: bcm2835: Fix a few error paths (Adrien Thierry) [2124693]
- ARM: dts: gpio-ranges property is now required (Adrien Thierry) [2124693]
- pinctrl: bcm2835: Change init order for gpio hogs (Adrien Thierry) [2124693]
- nvmet-auth: don't try to cancel a non-initialized work_struct (Gopal Tiwari) [2124526]
- Enabling configs for AUTH support. (Gopal Tiwari) [2124526]
- nvme: requeue aen after firmware activation (Gopal Tiwari) [2124526]
- nvmet: fix mar and mor off-by-one errors (Gopal Tiwari) [2124526]
- nvme-tcp: fix regression that causes sporadic requests to time out (Gopal Tiwari) [2124526]
- nvme-tcp: fix UAF when detecting digest errors (Gopal Tiwari) [2124526]
- nvmet: fix a use-after-free (Gopal Tiwari) [2124526]
- nvmet-tcp: fix unhandled tcp states in nvmet_tcp_state_change() (Gopal Tiwari) [2124526]
- nvmet-auth: add missing goto in nvmet_setup_auth() (Gopal Tiwari) [2124526]
- nvme-pci: add NVME_QUIRK_BOGUS_NID for Lexar NM610 (Gopal Tiwari) [2124526]
- nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG GAMMIX S70 (Gopal Tiwari) [2124526]
- nvme-fabrics: Fix a typo in an error message (Gopal Tiwari) [2124526]
- nvme-tcp: check if the queue is allocated before stopping it (Gopal Tiwari) [2124526]
- nvme-fabrics: parse nvme connect Linux error codes (Gopal Tiwari) [2124526]
- nvmet-auth: use kmemdup instead of kmalloc + memcpy (Gopal Tiwari) [2124526]
- nvme: enable generic interface (/dev/ngXnY) for unknown command sets (Gopal Tiwari) [2124526]
- nvmet-tcp: fix lockdep complaint on nvmet_tcp_wq flush during queue teardown (Gopal Tiwari) [2124526]
- nvme: factor out a nvme_ns_is_readonly helper (Gopal Tiwari) [2124526]
- nvme: refactor namespace probing (Gopal Tiwari) [2124526]
- nvmet: don't check for NULL pointer before kfree in nvmet_host_release (Gopal Tiwari) [2124526]
- nvme: catch -ENODEV from nvme_revalidate_zones again (Gopal Tiwari) [2124526]
- nvmet-auth: fix return value check in auth receive (Gopal Tiwari) [2124526]
- nvmet-auth: fix a couple of spelling mistakes (Gopal Tiwari) [2124526]
- nvmet: fix a format specifier in nvmet_auth_ctrl_exponential (Gopal Tiwari) [2124526]
- nvme: generalize the nvme_multi_css check in nvme_scan_ns (Gopal Tiwari) [2124526]
- nvmet-auth: select the intended CRYPTO_DH_RFC7919_GROUPS (Gopal Tiwari) [2124526]
- nvme-apple: stop casting function pointer signatures (Gopal Tiwari) [2124526]
- nvmet-auth: fix return value check in auth send (Gopal Tiwari) [2124526]
- nvme: rename nvme_validate_or_alloc_ns to nvme_scan_ns (Gopal Tiwari) [2124526]
- nvme-pci: split nvme_alloc_admin_tags (Gopal Tiwari) [2124526]
- nvme: don't always build constants.o (Gopal Tiwari) [2124526]
- nvme-rdma: split nvme_rdma_alloc_tagset (Gopal Tiwari) [2124526]
- nvme: define compat_ioctl again to unbreak 32-bit userspace. (Gopal Tiwari) [2124526]
- nvme-pci: print the command name of aborted commands (Gopal Tiwari) [2124526]
- nvme-auth: uninitialized variable in nvme_auth_transform_key() (Gopal Tiwari) [2124526]
- nvme-auth: fix off by one checks (Gopal Tiwari) [2124526]
- nvme-pci: remove useless assignment in nvme_pci_setup_prps (Gopal Tiwari) [2124526]
- nvme-tcp: split nvme_tcp_alloc_tagset (Gopal Tiwari) [2124526]
- nvme-pci: split nvme_dev_add (Gopal Tiwari) [2124526]
- nvme: use command_id instead of req->tag in trace_nvme_complete_rq() (Gopal Tiwari) [2124526]
- nvme-multipath: refactor nvme_mpath_add_disk (Gopal Tiwari) [2124526]
- nvme-tcp: use in-capsule data for I/O connect (Gopal Tiwari) [2124526]
- nvme-pci: use nvme core helper to cancel requests in tagset (Gopal Tiwari) [2124526]
- nvme-apple: use nvme core helper to cancel requests in tagset (Gopal Tiwari) [2124526]
- nvme-rdma: remove timeout for getting RDMA-CM established event (Gopal Tiwari) [2124526]
- nvmet-auth: Diffie-Hellman key exchange support (Gopal Tiwari) [2124526]
- crypto: add crypto_has_kpp() (Gopal Tiwari) [2124526]
- nvmet-auth: expire authentication sessions (Gopal Tiwari) [2124526]
- nvmet: implement basic In-Band Authentication (Gopal Tiwari) [2124526]
- nvme-auth: Diffie-Hellman key exchange support (Gopal Tiwari) [2124526]
- crypto: add crypto_has_shash() (Gopal Tiwari) [2124526]
- lib/base64: RFC4648-compliant base64 encoding (Gopal Tiwari) [2124526]
- nvme: add definitions for NVMe In-Band authentication (Gopal Tiwari) [2124526]
- nvme: implement In-Band authentication (Gopal Tiwari) [2124526]
- nvmet: parse fabrics commands on io queues (Gopal Tiwari) [2124526]
- nvme-loop: use nvme core helpers to cancel all requests in a tagset (Gopal Tiwari) [2124526]
- nvme: remove a double word in a comment (Gopal Tiwari) [2124526]
- nvme: fix qid param blk_mq_alloc_request_hctx (Gopal Tiwari) [2124526]
- nvme: remove unused timeout parameter (Gopal Tiwari) [2124526]
- nvme-fabrics: decode 'authentication required' connect error (Gopal Tiwari) [2124526]
- nvme: handle the persistent internal error AER (Gopal Tiwari) [2124526]
- nvme-pci: Crucial P2 has bogus namespace ids (Gopal Tiwari) [2124526]
- nvme: fix block device naming collision (Gopal Tiwari) [2124526]
- nvme-pci: fix freeze accounting for error handling (Gopal Tiwari) [2124526]
- nvme: use struct group for generic command dwords (Gopal Tiwari) [2124526]
- nvme-pci: phison e16 has bogus namespace ids (Gopal Tiwari) [2124526]
- nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA IM2P33F8ABR1 (Gopal Tiwari) [2124526]
- nvmet: add a clear_ids attribute for passthru targets (Gopal Tiwari) [2124526]
- nvme: fix regression when disconnect a recovering ctrl (Gopal Tiwari) [2124526]
- nvme-tcp: always fail a request when sending it failed (Gopal Tiwari) [2124526]
- nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG SX6000LNP (AKA SPECTRIX S40G) (Gopal Tiwari) [2124526]
- nvmet-tcp: fix regression in data_digest calculation (Gopal Tiwari) [2124526]
- block: simplify disk shutdown (Gopal Tiwari) [2124526]
- nvme: move the Samsung X5 quirk entry to the core quirks (Gopal Tiwari) [2124526]
- nvme: add a bogus subsystem NQN quirk for Micron MTFDKBA2T0TFH (Gopal Tiwari) [2124526]
- nvme-pci: disable write zeros support on UMIC and Samsung SSDs (Gopal Tiwari) [2124526]
- nvme-pci: smi has bogus namespace ids (Gopal Tiwari) [2124526]
- nvme-pci: sk hynix p31 has bogus namespace ids (Gopal Tiwari) [2124526]
- nvme-pci: avoid the deepest sleep state on ZHITAI TiPro7000 SSDs (Gopal Tiwari) [2124526]
- nvme-pci: add trouble shooting steps for timeouts (Gopal Tiwari) [2124526]
- nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG GAMMIX S50 (Gopal Tiwari) [2124526]
- nvme-pci: phison e12 has bogus namespace ids (Gopal Tiwari) [2124526]
- nvme: add bug report info for global duplicate id (Gopal Tiwari) [2124526]
- nvme: add device name to warning in uuid_show() (Gopal Tiwari) [2124526]
- nvmet: fix typo in comment (Gopal Tiwari) [2124526]
- nvme: set controller enable bit in a separate write (Gopal Tiwari) [2124526]
- nvme-pci: disable namespace identifiers for the MAXIO MAP1001 (Gopal Tiwari) [2124526]
- nvme: set non-mdts limits in nvme_scan_work (Gopal Tiwari) [2124526]
- nvme: add support for TP4084 - Time-to-Ready Enhancements (Gopal Tiwari) [2124526]
- nvme-fabrics: add a request timeout helper (Gopal Tiwari) [2124526]
- nvme-pci: harden drive presence detect in nvme_dev_disable() (Gopal Tiwari) [2124526]
- nvme-pci: fix a NULL pointer dereference in nvme_alloc_admin_tags (Gopal Tiwari) [2124526]
- nvme: remove unneeded include from constants file (Gopal Tiwari) [2124526]
- nvme: set dma alignment to dword (Gopal Tiwari) [2124526]
- nvme: fix interpretation of DMRSL (Gopal Tiwari) [2124526]
- nvme-apple: fix sparse endianess warnings (Gopal Tiwari) [2124526]
- nvme-apple: Add initial Apple SoC NVMe driver (Gopal Tiwari) [2124526]
- nvmet: remove redundant assignment after left shift (Gopal Tiwari) [2124526]
- nvme: allow duplicate NSIDs for private namespaces (Gopal Tiwari) [2124526]
- nvmet: use a private workqueue instead of the system workqueue (Gopal Tiwari) [2124526]
- nvme: fix the read-only state for zoned namespaces with unsupposed features (Gopal Tiwari) [2124526]
- nvme: warn about shared namespaces without CONFIG_NVME_MULTIPATH (Gopal Tiwari) [2124526]
- nvme: remove nvme_alloc_request and nvme_alloc_request_qid (Gopal Tiwari) [2124526]
- nvme: cleanup how disk->disk_name is assigned (Gopal Tiwari) [2124526]
- nvmet: move the call to nvmet_ns_changed out of nvmet_ns_revalidate (Gopal Tiwari) [2124526]
- nvmet-fc: fix kernel-doc warning for nvmet_fc_register_targetport (Gopal Tiwari) [2124526]
- nvmet-rdma: fix kernel-doc warning for nvmet_rdma_device_removal (Gopal Tiwari) [2124526]
- nvmet: use snprintf() with PAGE_SIZE in configfs (Gopal Tiwari) [2124526]
- nvme-tcp: lockdep: annotate in-kernel sockets (Gopal Tiwari) [2124526]
- nvmet: don't fold lines (Gopal Tiwari) [2124526]
- nvmet-fc: fix kernel-doc warning for nvmet_fc_unregister_targetport (Gopal Tiwari) [2124526]
- nvme-tcp: don't fold the line (Gopal Tiwari) [2124526]
- nvme-multipath: call bio_io_error in nvme_ns_head_submit_bio (Gopal Tiwari) [2124526]
- nvme-multipath: use vmalloc for ANA log buffer (Gopal Tiwari) [2124526]
- nvme-tcp: don't initialize ret variable (Gopal Tiwari) [2124526]
- nvme: fix the check for duplicate unique identifiers (Gopal Tiwari) [2124526]
- nvme: check that EUI/GUID/UUID are globally unique (Gopal Tiwari) [2124526]
- nvme: check for duplicate identifiers earlier (Gopal Tiwari) [2124526]
- nvme: cleanup __nvme_check_ids (Gopal Tiwari) [2124526]
- nvme: add a helper to initialize connect_q (Gopal Tiwari) [2124526]
- nvme: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526]
- nvmet-rdma: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526]
- nvmet: allow bdev in buffered_io mode (Gopal Tiwari) [2124526]
- nvmet-fc: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526]
- nvme-rdma: add helpers for mapping/unmapping request (Gopal Tiwari) [2124526]
- nvmet-tcp: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526]
- nvme-fc: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526]
- nvmet: use i_size_read() to set size for file-ns (Gopal Tiwari) [2124526]
- nvmet: replace ida_simple[get|remove] with the simler ida_[alloc|free] (Gopal Tiwari) [2124526]
- nvme-core: remove unnecessary function parameter (Gopal Tiwari) [2124526]
- nvme-fabrics: use consistent zeroout pattern (Gopal Tiwari) [2124526]
- nvme-fabrics: remove unnecessary braces for case (Gopal Tiwari) [2124526]
- nvme-fabrics: use unsigned int type (Gopal Tiwari) [2124526]
- nvme-core: remove unnecessary semicolon (Gopal Tiwari) [2124526]
- nvme-fabrics: use unsigned int type (Gopal Tiwari) [2124526]
- watch_queue: Fix missing locking in add_watch_to_object() (Carlos Maiolino) [2090382] {CVE-2022-1882}
- watch_queue: Fix missing rcu annotation (Carlos Maiolino) [2090382] {CVE-2022-1882}
- watchqueue: make sure to serialize 'wqueue->defunct' properly (Carlos Maiolino) [2090382] {CVE-2022-1882}
- cgroup: cgroup_get_from_id() must check the looked-up kn is a directory (Ming Lei) [2122632]
- Add skb drop reasons to IPv6 UDP receive path (Antoine Tenart) [2059161]
- net: skb: export skb drop reaons to user by TRACE_DEFINE_ENUM (Antoine Tenart) [2059161]
- docs/conf.py: add function attribute '__fix_address' to conf.py (Antoine Tenart) [2059161]
- net: skb: prevent the split of kfree_skb_reason() by gcc (Antoine Tenart) [2059161]
- tcp: md5: fix IPv4-mapped support (Antoine Tenart) [2059161]
- net: dropreason: reformat the comment fo skb drop reasons (Antoine Tenart) [2059161]
- net: skb: use auto-generation to convert skb drop reason to string (Antoine Tenart) [2059161]
- net: skb: move enum skb_drop_reason to standalone header file (Antoine Tenart) [2059161]
- tcp_ipv6: set the drop_reason in the right place (Antoine Tenart) [2059161]
- net: tcp: reset 'drop_reason' to NOT_SPCIFIED in tcp_v{4,6}_rcv() (Antoine Tenart) [2059161]
- net: skb: change the definition SKB_DR_SET() (Antoine Tenart) [2059161]
- net: skb: check the boundrary of drop reason in kfree_skb_reason() (Antoine Tenart) [2059161]
- net: dm: check the boundary of skb drop reasons (Antoine Tenart) [2059161]
- tcp: fix signed/unsigned comparison (Antoine Tenart) [2059161]
- tcp: add drop reason support to tcp_ofo_queue() (Antoine Tenart) [2059161]
- tcp: add drop reasons to tcp_rcv_synsent_state_process() (Antoine Tenart) [2059161]
- tcp: make tcp_rcv_synsent_state_process() drop monitor friend (Antoine Tenart) [2059161]
- tcp: add drop reason support to tcp_prune_ofo_queue() (Antoine Tenart) [2059161]
- tcp: add two drop reasons for tcp_ack() (Antoine Tenart) [2059161]
- tcp: add drop reasons to tcp_rcv_state_process() (Antoine Tenart) [2059161]
- tcp: make tcp_rcv_state_process() drop monitor friendly (Antoine Tenart) [2059161]
- tcp: add drop reason support to tcp_validate_incoming() (Antoine Tenart) [2059161]
- tcp: get rid of rst_seq_match (Antoine Tenart) [2059161]
- tcp: consume incoming skb leading to a reset (Antoine Tenart) [2059161]
- tcp: tcp_send_challenge_ack delete useless param `skb` (Antoine Tenart) [2059161]
- ipv6: fix NULL deref in ip6_rcv_core() (Antoine Tenart) [2059161]
- net: ipv6: add skb drop reasons to ip6_protocol_deliver_rcu() (Antoine Tenart) [2059161]
- net: ipv6: add skb drop reasons to ip6_rcv_core() (Antoine Tenart) [2059161]
- net: ipv6: add skb drop reasons to TLV parse (Antoine Tenart) [2059161]
- net: ipv6: remove redundant statistics in ipv6_hop_jumbo() (Antoine Tenart) [2059161]
- net: icmp: introduce function icmpv6_param_prob_reason() (Antoine Tenart) [2059161]
- net: ip: add skb drop reasons to ip forwarding (Antoine Tenart) [2059161]
- net: ipv6: add skb drop reasons to ip6_pkt_drop() (Antoine Tenart) [2059161]
- net: ipv4: add skb drop reasons to ip_error() (Antoine Tenart) [2059161]
- skb: add some helpers for skb drop reasons (Antoine Tenart) [2059161]
- net: icmp: add skb drop reasons to icmp protocol (Antoine Tenart) [2059161]
- net: icmp: introduce __ping_queue_rcv_skb() to report drop reasons (Antoine Tenart) [2059161]
- net: skb: rename SKB_DROP_REASON_PTYPE_ABSENT (Antoine Tenart) [2059161]
- net: sock: introduce sock_queue_rcv_skb_reason() (Antoine Tenart) [2059161]
- net: tcp: fix shim definition of tcp_inbound_md5_hash (Antoine Tenart) [2059161]
- skb: make drop reason booleanable (Antoine Tenart) [2059161]
- net/tcp: Merge TCP-MD5 inbound callbacks (Antoine Tenart) [2059161]
- net: tun: track dropped skb via kfree_skb_reason() (Antoine Tenart) [2059161]
- net: tun: split run_ebpf_filter() and pskb_trim() into different "if statement" (Antoine Tenart) [2059161]
- net: tap: track dropped skb via kfree_skb_reason() (Antoine Tenart) [2059161]
- net: dev: use kfree_skb_reason() for __netif_receive_skb_core() (Antoine Tenart) [2059161]
- net: dev: use kfree_skb_reason() for sch_handle_ingress() (Antoine Tenart) [2059161]
- net: dev: use kfree_skb_reason() for do_xdp_generic() (Antoine Tenart) [2059161]
- net: dev: use kfree_skb_reason() for enqueue_to_backlog() (Antoine Tenart) [2059161]
- net: dev: add skb drop reasons to __dev_xmit_skb() (Antoine Tenart) [2059161]
- net: skb: introduce the function kfree_skb_list_reason() (Antoine Tenart) [2059161]
- net: dev: use kfree_skb_reason() for sch_handle_egress() (Antoine Tenart) [2059161]
- net: neigh: add skb drop reasons to arp_error_report() (Antoine Tenart) [2059161]
- net: neigh: use kfree_skb_reason() for __neigh_event_send() (Antoine Tenart) [2059161]
- net: ip: add skb drop reasons for ip egress path (Antoine Tenart) [2059161]
- net: tcp: use tcp_drop_reason() for tcp_data_queue_ofo() (Antoine Tenart) [2059161]
- net: tcp: use tcp_drop_reason() for tcp_data_queue() (Antoine Tenart) [2059161]
- net: tcp: use tcp_drop_reason() for tcp_rcv_established() (Antoine Tenart) [2059161]
- net: tcp: use kfree_skb_reason() for tcp_v{4,6}_do_rcv() (Antoine Tenart) [2059161]
- net: tcp: add skb drop reasons to tcp_add_backlog() (Antoine Tenart) [2059161]
- net: tcp: add skb drop reasons to tcp_v{4,6}_inbound_md5_hash() (Antoine Tenart) [2059161]
- net: tcp: use kfree_skb_reason() for tcp_v6_rcv() (Antoine Tenart) [2059161]
- net: tcp: add skb drop reasons to tcp_v4_rcv() (Antoine Tenart) [2059161]
- net: tcp: introduce tcp_drop_reason() (Antoine Tenart) [2059161]
- ipv6: Add reasons for skb drops to __udp6_lib_rcv (Antoine Tenart) [2059161]
- net: udp: use kfree_skb_reason() in __udp_queue_rcv_skb() (Antoine Tenart) [2059161]
- net: udp: use kfree_skb_reason() in udp_queue_rcv_one_skb() (Antoine Tenart) [2059161]
- net: ipv4: use kfree_skb_reason() in ip_protocol_deliver_rcu() (Antoine Tenart) [2059161]
- net: ipv4: use kfree_skb_reason() in ip_rcv_finish_core() (Antoine Tenart) [2059161]
- net: ipv4: use kfree_skb_reason() in ip_rcv_core() (Antoine Tenart) [2059161]
- net: netfilter: use kfree_drop_reason() for NF_DROP (Antoine Tenart) [2059161]
- net: skb_drop_reason: add document for drop reasons (Antoine Tenart) [2059161]
- net: socket: rename SKB_DROP_REASON_SOCKET_FILTER (Antoine Tenart) [2059161]
- arm64/kexec: Fix missing extra range for crashkres_low (Pingfan Liu) [2130054]
- irqdomain: Export irq_domain_disconnect_hierarchy() (Eric Chanudet) [2132140]
- add config var for CONFIG_PTE_MARKER (Chris von Recklinghausen) [2120352]
- mm/mprotect: only reference swap pfn page if type match (Chris von Recklinghausen) [2120352]
- mm: fix NULL pointer dereference in wp_page_reuse() (Chris von Recklinghausen) [2120352]
- mm/hugetlb: separate path for hwpoison entry in copy_hugetlb_page_range() (Chris von Recklinghausen) [2120352]
- mm/rmap: fix dereferencing invalid subpage pointer in try_to_migrate_one() (Chris von Recklinghausen) [2120352]
- mm/hugetlb: handle uffd-wp during fork() (Chris von Recklinghausen) [2120352]
- mm/hugetlb: introduce huge pte version of uffd-wp helpers (Chris von Recklinghausen) [2120352]
- mm/shmem: handle uffd-wp during fork() (Chris von Recklinghausen) [2120352]
- mm: introduce PTE_MARKER swap entry (Chris von Recklinghausen) [2120352]
- powerpc/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE for book3s (Chris von Recklinghausen) [2120352]
- powerpc/pgtable: remove _PAGE_BIT_SWAP_TYPE for book3s (Chris von Recklinghausen) [2120352]
- s390/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352]
- s390/pgtable: cleanup description of swp pte layout (Chris von Recklinghausen) [2120352]
- arm64/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352]
- x86/pgtable: support __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352]
- mm/debug_vm_pgtable: add tests for __HAVE_ARCH_PTE_SWP_EXCLUSIVE (Chris von Recklinghausen) [2120352]
- mm/swap: remember PG_anon_exclusive via a swp pte bit (Chris von Recklinghausen) [2120352]
- mm/gup: sanity-check with CONFIG_DEBUG_VM that anonymous pages are exclusive when (un)pinning (Chris von Recklinghausen) [2120352]
- mm/gup: trigger FAULT_FLAG_UNSHARE when R/O-pinning a possibly shared anonymous page (Chris von Recklinghausen) [2120352]
- mm: support GUP-triggered unsharing of anonymous pages (Chris von Recklinghausen) [2120352]
- mm/gup: disallow follow_page(FOLL_PIN) (Chris von Recklinghausen) [2120352]
- mm/rmap: fail try_to_migrate() early when setting a PMD migration entry fails (Chris von Recklinghausen) [2120352]
- mm: remember exclusively mapped anonymous pages with PG_anon_exclusive (Chris von Recklinghausen) [2120352]
- mm/huge_memory: remove outdated VM_WARN_ON_ONCE_PAGE from unmap_page() (Chris von Recklinghausen) [2120352]
- mm/rmap: use page_move_anon_rmap() when reusing a mapped PageAnon() page exclusively (Chris von Recklinghausen) [2120352]
- mm/rmap: drop "compound" parameter from page_add_new_anon_rmap() (Chris von Recklinghausen) [2120352]
- mm/rmap: pass rmap flags to hugepage_add_anon_rmap() (Chris von Recklinghausen) [2120352]
- mm/rmap: remove do_page_add_anon_rmap() (Chris von Recklinghausen) [2120352]
- mm/rmap: convert RMAP flags to a proper distinct rmap_t type (Chris von Recklinghausen) [2120352]
- mm/rmap: split page_dup_rmap() into page_dup_file_rmap() and page_try_dup_anon_rmap() (Chris von Recklinghausen) [2120352]
- mm/memory: slightly simplify copy_present_pte() (Chris von Recklinghausen) [2120352]
- mm/hugetlb: take src_mm->write_protect_seq in copy_hugetlb_page_range() (Chris von Recklinghausen) [2120352]
- mm/rmap: fix missing swap_free() in try_to_unmap() after arch_unmap_one() failed (Chris von Recklinghausen) [2120352]
- s390/smp: fix memblock_phys_free() vs memblock_free() confusion (Chris von Recklinghausen) [2120352]
- compiler_types: mark __compiletime_assert failure as __noreturn (Chris von Recklinghausen) [2120352]
- config: set CONFIG_KFENCE_DEFERRABLE (Chris von Recklinghausen) [2120352]
- config: set CONFIG_CACHEFILES_ERROR_INJECTION (Chris von Recklinghausen) [2120352]
- config: set CONFIG_ANON_VMA_NAME (Chris von Recklinghausen) [2120352]
- config: set CONFIG_DAMON_SYSFS (Chris von Recklinghausen) [2120352]
- mm/gup: fix FOLL_FORCE COW security issue and remove FOLL_COW (Chris von Recklinghausen) [2120352]
- mm, hwpoison: set PG_hwpoison for busy hugetlb pages (Chris von Recklinghausen) [2120352]
- mm/mprotect: fix soft-dirty check in can_change_pte_writable() (Chris von Recklinghausen) [2120352]
- hugetlb_cgroup: fix wrong hugetlb cgroup numa stat (Chris von Recklinghausen) [2120352]
- mm/damon/reclaim: fix potential memory leak in damon_reclaim_init() (Chris von Recklinghausen) [2120352]
- userfaultfd: provide properly masked address for huge-pages (Chris von Recklinghausen) [2120352]
- mm: handling Non-LRU pages returned by vm_normal_pages (Chris von Recklinghausen) [2120352]
- mm/mprotect: try avoiding write faults for exclusive anonymous pages when changing protection (Chris von Recklinghausen) [2120352]
- kasan: fix zeroing vmalloc memory with HW_TAGS (Chris von Recklinghausen) [2120352]
- mm/memory-failure: disable unpoison once hw error happens (Chris von Recklinghausen) [2120352]
- mm/slub: Move the stackdepot related allocation out of IRQ-off section. (Chris von Recklinghausen) [2120352]
- include/linux/find: Fix documentation (Chris von Recklinghausen) [2120352]
- mm: hugetlb_vmemmap: fix CONFIG_HUGETLB_PAGE_FREE_VMEMMAP_DEFAULT_ON (Chris von Recklinghausen) [2120352]
- video: fbdev: vesafb: Fix a use-after-free due early fb_info cleanup (Chris von Recklinghausen) [2120352]
- mm: kasan: fix input of vmalloc_to_page() (Chris von Recklinghausen) [2120352]
- ceph: no need to invalidate the fscache twice (Chris von Recklinghausen) [2120352]
- mm/swap: clean up the comment of find_next_to_unuse (Chris von Recklinghausen) [2120352]
- mm: hugetlb_vmemmap: add hugetlb_optimize_vmemmap sysctl (Chris von Recklinghausen) [2120352]
- mm: hugetlb_vmemmap: use kstrtobool for hugetlb_vmemmap param parsing (Chris von Recklinghausen) [2120352]
- mm: memory_hotplug: override memmap_on_memory when hugetlb_free_vmemmap=on (Chris von Recklinghausen) [2120352]
- mm: hugetlb_vmemmap: disable hugetlb_optimize_vmemmap when struct page crosses page boundaries (Chris von Recklinghausen) [2120352]
- arm64/mm: enable ARCH_SUPPORTS_PAGE_TABLE_CHECK (Chris von Recklinghausen) [2120352]
- mm: hugetlb: considering PMD sharing when flushing cache/TLBs (Chris von Recklinghausen) [2120352]
- mm/mprotect: use mmu_gather (Chris von Recklinghausen) [2120352]
- mm/page-flags: reuse PG_mappedtodisk as PG_anon_exclusive for PageAnon() pages (Chris von Recklinghausen) [2120352]
- mm/kfence: reset PG_slab and memcg_data before freeing __kfence_pool (Chris von Recklinghausen) [2120352]
- mm: mremap: fix sign for EFAULT error return value (Chris von Recklinghausen) [2120352]
- fbdev: efifb: Fix a use-after-free due early fb_info cleanup (Chris von Recklinghausen) [2120352]
- kthread: Don't allocate kthread_struct for init and umh (Chris von Recklinghausen) [2120352]
- fbdev: vesafb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352]
- fbdev: efifb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352]
- fbdev: simplefb: Cleanup fb_info in .fb_destroy rather than .remove (Chris von Recklinghausen) [2120352]
- Revert "fbdev: Make fb_release() return -ENODEV if fbdev was unregistered" (Chris von Recklinghausen) [2120352]
- fbdev: Make fb_release() return -ENODEV if fbdev was unregistered (Chris von Recklinghausen) [2120352]
- mm/migration: fix possible do_pages_stat_array racing with memory offline (Chris von Recklinghausen) [2120352]
- mm/migration: fix potential invalid node access for reclaim-based migration (Chris von Recklinghausen) [2120352]
- mm/migration: fix potential page refcounts leak in migrate_pages (Chris von Recklinghausen) [2120352]
- Revert "mm/memory-failure.c: fix race with changing page compound again" (Chris von Recklinghausen) [2120352]
- mm/huge_memory: do not overkill when splitting huge_zero_page (Chris von Recklinghausen) [2120352]
- Revert "mm/memory-failure.c: skip huge_zero_page in memory_failure()" (Chris von Recklinghausen) [2120352]
- kasan: prevent cpu_quarantine corruption when CPU offline and cache shrink occur at same time (Chris von Recklinghausen) [2120352]
- no-MMU: expose vmalloc_huge() for alloc_large_system_hash() (Chris von Recklinghausen) [2120352]
- kvmalloc: use vmalloc_huge for vmalloc allocations (Chris von Recklinghausen) [2120352]
- page_alloc: use vmalloc_huge for large system hash (Chris von Recklinghausen) [2120352]
- mm/vmalloc: huge vmalloc backing pages should be split rather than compound (Chris von Recklinghausen) [2120352]
- mm/mmu_notifier.c: fix race in mmu_interval_notifier_remove() (Chris von Recklinghausen) [2120352]
- memcg: sync flush only if periodic flush is delayed (Chris von Recklinghausen) [2120352]
- mm/memory-failure.c: skip huge_zero_page in memory_failure() (Chris von Recklinghausen) [2120352]
- mm/hwpoison: fix race between hugetlb free/demotion and memory_failure_hugetlb() (Chris von Recklinghausen) [2120352]
- vmalloc: replace VM_NO_HUGE_VMAP with VM_ALLOW_HUGE_VMAP (Chris von Recklinghausen) [2120352]
- mm/vmalloc: fix spinning drain_vmap_work after reading from /proc/vmcore (Chris von Recklinghausen) [2120352]
- mm: compaction: fix compiler warning when CONFIG_COMPACTION=n (Chris von Recklinghausen) [2120352]
- mm: fix unexpected zeroed page mapping with zram swap (Chris von Recklinghausen) [2120352]
- mm, page_alloc: fix build_zonerefs_node() (Chris von Recklinghausen) [2120352]
- mm, kfence: support kmem_dump_obj() for KFENCE objects (Chris von Recklinghausen) [2120352]
- kasan: fix hw tags enablement when KUNIT tests are disabled (Chris von Recklinghausen) [2120352]
- mm/secretmem: fix panic when growing a memfd_secret (Chris von Recklinghausen) [2120352]
- mm/slub: remove unused parameter in setup_object*() (Chris von Recklinghausen) [2120352]
- s390: current_stack_pointer shouldn't be a function (Chris von Recklinghausen) [2120352]
- mm/list_lru.c: revert "mm/list_lru: optimize memcg_reparent_list_lru_node()" (Chris von Recklinghausen) [2120352]
- mm/mempolicy: fix mpol_new leak in shared_policy_replace (Chris von Recklinghausen) [2120352]
- mmmremap.c: avoid pointless invalidate_range_start/end on mremap(old_size=0) (Chris von Recklinghausen) [2120352]
- mm/sparsemem: fix 'mem_section' will never be NULL gcc 12 warning (Chris von Recklinghausen) [2120352]
- highmem: fix checks in __kmap_local_sched_{in,out} (Chris von Recklinghausen) [2120352]
- mmc: core: improve API to make clear mmc_hw_reset is for cards (Chris von Recklinghausen) [2120352]
- mm/huge_memory: Avoid calling pmd_page() on a non-leaf PMD (Chris von Recklinghausen) [2120352]
- fbdev: Fix unregistering of framebuffers without device (Chris von Recklinghausen) [2120352]
- mm/slub: use stackdepot to save stack trace in objects (Chris von Recklinghausen) [2120352]
- mm/slub: move struct track init out of set_track() (Chris von Recklinghausen) [2120352]
- lib/stackdepot: allow requesting early initialization dynamically (Chris von Recklinghausen) [2120352]
- Revert "mm/page_alloc: mark pagesets as __maybe_unused" (Chris von Recklinghausen) [2120352]
- mm/damon: prevent activated scheme from sleeping by deactivated schemes (Chris von Recklinghausen) [2120352]
- mm/kmemleak: reset tag when compare object pointer (Chris von Recklinghausen) [2120352]
- mm, kasan: fix __GFP_BITS_SHIFT definition breaking LOCKDEP (Chris von Recklinghausen) [2120352]
- mm,hwpoison: unmap poisoned page before invalidation (Chris von Recklinghausen) [2120352]
- mm: kfence: fix objcgs vector allocation (Chris von Recklinghausen) [2120352]
- Revert "mm: madvise: skip unmapped vma holes passed to process_madvise" (Chris von Recklinghausen) [2120352]
- ntfs: Correct mark_ntfs_record_dirty() folio conversion (Chris von Recklinghausen) [2120352]
- fs: Pass an iocb to generic_perform_write() (Chris von Recklinghausen) [2120352]
- readahead: Update comments (Chris von Recklinghausen) [2120352]
- mm: remove the skip_page argument to read_pages (Chris von Recklinghausen) [2120352]
- mm: remove the pages argument to read_pages (Chris von Recklinghausen) [2120352]
- fs: Remove ->readpages address space operation (Chris von Recklinghausen) [2120352]
- readahead: Remove read_cache_pages() (Chris von Recklinghausen) [2120352]
- mm: page_alloc: validate buddy before check its migratetype. (Chris von Recklinghausen) [2120352]
- mm/balloon_compaction: make balloon page compaction callbacks static (Chris von Recklinghausen) [2120352]
- mm: kfence: fix missing objcg housekeeping for SLAB (Chris von Recklinghausen) [2120352]
- s390/test_unwind: extend kretprobe test (Chris von Recklinghausen) [2120352]
- mm: madvise: MADV_DONTNEED_LOCKED (Chris von Recklinghausen) [2120352]
- mm: fix race between MADV_FREE reclaim and blkdev direct IO read (Chris von Recklinghausen) [2120352]
- mm/huge_memory: remove stale locking logic from __split_huge_pmd() (Chris von Recklinghausen) [2120352]
- mm/huge_memory: remove stale page_trans_huge_mapcount() (Chris von Recklinghausen) [2120352]
- mm/swapfile: remove stale reuse_swap_page() (Chris von Recklinghausen) [2120352]
- mm/khugepaged: remove reuse_swap_page() usage (Chris von Recklinghausen) [2120352]
- mm/huge_memory: streamline COW logic in do_huge_pmd_wp_page() (Chris von Recklinghausen) [2120352]
- mm: streamline COW logic in do_swap_page() (Chris von Recklinghausen) [2120352]
- mm: slightly clarify KSM logic in do_swap_page() (Chris von Recklinghausen) [2120352]
- mm: optimize do_wp_page() for fresh pages in local LRU pagevecs (Chris von Recklinghausen) [2120352]
- mm: optimize do_wp_page() for exclusive pages in the swapcache (Chris von Recklinghausen) [2120352]
- mm/huge_memory: make is_transparent_hugepage() static (Chris von Recklinghausen) [2120352]
- mm: enable MADV_DONTNEED for hugetlb mappings (Chris von Recklinghausen) [2120352]
- kasan: disable LOCKDEP when printing reports (Chris von Recklinghausen) [2120352]
- kasan: move and hide kasan_save_enable/restore_multi_shot (Chris von Recklinghausen) [2120352]
- kasan: reorder reporting functions (Chris von Recklinghausen) [2120352]
- kasan: respect KASAN_BIT_REPORTED in all reporting routines (Chris von Recklinghausen) [2120352]
- kasan: add comment about UACCESS regions to kasan_report (Chris von Recklinghausen) [2120352]
- kasan: rename kasan_access_info to kasan_report_info (Chris von Recklinghausen) [2120352]
- kasan: move and simplify kasan_report_async (Chris von Recklinghausen) [2120352]
- kasan: call print_report from kasan_report_invalid_free (Chris von Recklinghausen) [2120352]
- kasan: merge __kasan_report into kasan_report (Chris von Recklinghausen) [2120352]
- kasan: restructure kasan_report (Chris von Recklinghausen) [2120352]
- kasan: simplify kasan_find_first_bad_addr call sites (Chris von Recklinghausen) [2120352]
- kasan: split out print_report from __kasan_report (Chris von Recklinghausen) [2120352]
- kasan: move disable_trace_on_warning to start_report (Chris von Recklinghausen) [2120352]
- kasan: move update_kunit_status to start_report (Chris von Recklinghausen) [2120352]
- kasan: check CONFIG_KASAN_KUNIT_TEST instead of CONFIG_KUNIT (Chris von Recklinghausen) [2120352]
- kasan: simplify kasan_update_kunit_status() and call sites (Chris von Recklinghausen) [2120352]
- kasan: simplify async check in end_report() (Chris von Recklinghausen) [2120352]
- kasan: print basic stack frame info for SW_TAGS (Chris von Recklinghausen) [2120352]
- kasan: improve stack frame info in reports (Chris von Recklinghausen) [2120352]
- kasan: rearrange stack frame info in reports (Chris von Recklinghausen) [2120352]
- kasan: more line breaks in reports (Chris von Recklinghausen) [2120352]
- kasan: drop addr check from describe_object_addr (Chris von Recklinghausen) [2120352]
- kasan: print virtual mapping info in reports (Chris von Recklinghausen) [2120352]
- kasan: update function name in comments (Chris von Recklinghausen) [2120352]
- mm/kasan: remove unnecessary CONFIG_KASAN option (Chris von Recklinghausen) [2120352]
- kasan: test: support async (again) and asymm modes for HW_TAGS (Chris von Recklinghausen) [2120352]
- kasan: improve vmalloc tests (Chris von Recklinghausen) [2120352]
- kasan: documentation updates (Chris von Recklinghausen) [2120352]
- arm64: select KASAN_VMALLOC for SW/HW_TAGS modes (Chris von Recklinghausen) [2120352]
- kasan: allow enabling KASAN_VMALLOC and SW/HW_TAGS (Chris von Recklinghausen) [2120352]
- kasan: add kasan.vmalloc command line flag (Chris von Recklinghausen) [2120352]
- kasan: clean up feature flags for HW_TAGS mode (Chris von Recklinghausen) [2120352]
- kasan: mark kasan_arg_stacktrace as __initdata (Chris von Recklinghausen) [2120352]
- kasan, arm64: don't tag executable vmalloc allocations (Chris von Recklinghausen) [2120352]
- kasan, vmalloc: only tag normal vmalloc allocations (Chris von Recklinghausen) [2120352]
- kasan, vmalloc: add vmalloc tagging for HW_TAGS (Chris von Recklinghausen) [2120352]
- kasan, page_alloc: allow skipping memory init for HW_TAGS (Chris von Recklinghausen) [2120352]
- kasan, page_alloc: allow skipping unpoisoning for HW_TAGS (Chris von Recklinghausen) [2120352]
- kasan, mm: only define ___GFP_SKIP_KASAN_POISON with HW_TAGS (Chris von Recklinghausen) [2120352]
- kasan, vmalloc: unpoison VM_ALLOC pages after mapping (Chris von Recklinghausen) [2120352]
- kasan, vmalloc, arm64: mark vmalloc mappings as pgprot_tagged (Chris von Recklinghausen) [2120352]
- kasan, vmalloc: add vmalloc tagging for SW_TAGS (Chris von Recklinghausen) [2120352]
- kasan, arm64: reset pointer tags of vmapped stacks (Chris von Recklinghausen) [2120352]
- kasan, fork: reset pointer tags of vmapped stacks (Chris von Recklinghausen) [2120352]
- kasan, vmalloc: reset tags in vmalloc functions (Chris von Recklinghausen) [2120352]
- kasan: add wrappers for vmalloc hooks (Chris von Recklinghausen) [2120352]
- kasan: reorder vmalloc hooks (Chris von Recklinghausen) [2120352]
- kasan, vmalloc: drop outdated VM_KASAN comment (Chris von Recklinghausen) [2120352]
- kasan, x86, arm64, s390: rename functions for modules shadow (Chris von Recklinghausen) [2120352]
- kasan: define KASAN_VMALLOC_INVALID for SW_TAGS (Chris von Recklinghausen) [2120352]
- kasan: clean up metadata byte definitions (Chris von Recklinghausen) [2120352]
- kasan, page_alloc: rework kasan_unpoison_pages call site (Chris von Recklinghausen) [2120352]
- kasan, page_alloc: move kernel_init_free_pages in post_alloc_hook (Chris von Recklinghausen) [2120352]
- kasan, page_alloc: move SetPageSkipKASanPoison in post_alloc_hook (Chris von Recklinghausen) [2120352]
- kasan, page_alloc: combine tag_clear_highpage calls in post_alloc_hook (Chris von Recklinghausen) [2120352]
- kasan, page_alloc: merge kasan_alloc_pages into post_alloc_hook (Chris von Recklinghausen) [2120352]
- kasan, page_alloc: refactor init checks in post_alloc_hook (Chris von Recklinghausen) [2120352]
- kasan: only apply __GFP_ZEROTAGS when memory is zeroed (Chris von Recklinghausen) [2120352]
- mm: clarify __GFP_ZEROTAGS comment (Chris von Recklinghausen) [2120352]
- kasan: drop skip_kasan_poison variable in free_pages_prepare (Chris von Recklinghausen) [2120352]
- kasan, page_alloc: init memory of skipped pages on free (Chris von Recklinghausen) [2120352]
- kasan, page_alloc: simplify kasan_poison_pages call site (Chris von Recklinghausen) [2120352]
- kasan, page_alloc: merge kasan_free_pages into free_pages_prepare (Chris von Recklinghausen) [2120352]
- kasan, page_alloc: move tag_clear_highpage out of kernel_init_free_pages (Chris von Recklinghausen) [2120352]
- kasan, page_alloc: deduplicate should_skip_kasan_poison (Chris von Recklinghausen) [2120352]
- mm: unexport page_init_poison (Chris von Recklinghausen) [2120352]
- kasan: no need to unset panic_on_warn in end_report() (Chris von Recklinghausen) [2120352]
- mm/mlock: fix two bugs in user_shm_lock() (Chris von Recklinghausen) [2120352]
- mm/damon/sysfs: remove repeat container_of() in damon_sysfs_kdamond_release() (Chris von Recklinghausen) [2120352]
- Docs/ABI/testing: add DAMON sysfs interface ABI document (Chris von Recklinghausen) [2120352]
- Docs/admin-guide/mm/damon/usage: document DAMON sysfs interface (Chris von Recklinghausen) [2120352]
- selftests/damon: add a test for DAMON sysfs interface (Chris von Recklinghausen) [2120352]
- mm/damon/sysfs: support DAMOS stats (Chris von Recklinghausen) [2120352]
- mm/damon/sysfs: support DAMOS watermarks (Chris von Recklinghausen) [2120352]
- mm/damon/sysfs: support schemes prioritization (Chris von Recklinghausen) [2120352]
- mm/damon/sysfs: support DAMOS quotas (Chris von Recklinghausen) [2120352]
- mm/damon/sysfs: support DAMON-based Operation Schemes (Chris von Recklinghausen) [2120352]
- mm/damon/sysfs: support the physical address space monitoring (Chris von Recklinghausen) [2120352]
- mm/damon/sysfs: link DAMON for virtual address spaces monitoring (Chris von Recklinghausen) [2120352]
- mm/damon: implement a minimal stub for sysfs-based DAMON interface (Chris von Recklinghausen) [2120352]
- mm/damon/core: add number of each enum type values (Chris von Recklinghausen) [2120352]
- mm/damon/core: allow non-exclusive DAMON start/stop (Chris von Recklinghausen) [2120352]
- Docs/damon: update outdated term 'regions update interval' (Chris von Recklinghausen) [2120352]
- Docs/vm/damon/design: update DAMON-Idle Page Tracking interference handling (Chris von Recklinghausen) [2120352]
- Docs/vm/damon: call low level monitoring primitives the operations (Chris von Recklinghausen) [2120352]
- mm/damon: remove unnecessary CONFIG_DAMON option (Chris von Recklinghausen) [2120352]
- mm/damon/paddr,vaddr: remove damon_{p,v}a_{target_valid,set_operations}() (Chris von Recklinghausen) [2120352]
- mm/damon/dbgfs-test: fix is_target_id() change (Chris von Recklinghausen) [2120352]
- mm/damon/dbgfs: use operations id for knowing if the target has pid (Chris von Recklinghausen) [2120352]
- mm/damon/dbgfs: use damon_select_ops() instead of damon_{v,p}a_set_operations() (Chris von Recklinghausen) [2120352]
- mm/damon/reclaim: use damon_select_ops() instead of damon_{v,p}a_set_operations() (Chris von Recklinghausen) [2120352]
- mm/damon/paddr,vaddr: register themselves to DAMON in subsys_initcall (Chris von Recklinghausen) [2120352]
- mm/damon: let monitoring operations can be registered and selected (Chris von Recklinghausen) [2120352]
- mm/damon: rename damon_primitives to damon_operations (Chris von Recklinghausen) [2120352]
- mm/damon: remove redundant page validation (Chris von Recklinghausen) [2120352]
- mm/damon: remove the target id concept (Chris von Recklinghausen) [2120352]
- mm/damon/core: move damon_set_targets() into dbgfs (Chris von Recklinghausen) [2120352]
- Docs/admin-guide/mm/damon/usage: update for changed initail_regions file input (Chris von Recklinghausen) [2120352]
- mm/damon/dbgfs/init_regions: use target index instead of target id (Chris von Recklinghausen) [2120352]
- mm/hmm.c: remove unneeded local variable ret (Chris von Recklinghausen) [2120352]
- kfence: allow use of a deferrable timer (Chris von Recklinghausen) [2120352]
- mm/page_table_check.c: use strtobool for param parsing (Chris von Recklinghausen) [2120352]
- mm/highmem: remove unnecessary done label (Chris von Recklinghausen) [2120352]
- mm/early_ioremap: declare early_memremap_pgprot_adjust() (Chris von Recklinghausen) [2120352]
- mm: uninline copy_overflow() (Chris von Recklinghausen) [2120352]
- mm: remove usercopy_warn() (Chris von Recklinghausen) [2120352]
- mm/zswap.c: allow handling just same-value filled pages (Chris von Recklinghausen) [2120352]
- mm/thp: ClearPageDoubleMap in first page_add_file_rmap() (Chris von Recklinghausen) [2120352]
- mm: only re-generate demotion targets when a numa node changes its N_CPU state (Chris von Recklinghausen) [2120352]
- mm/memory_hotplug: fix misplaced comment in offline_pages (Chris von Recklinghausen) [2120352]
- mm/memory_hotplug: clean up try_offline_node (Chris von Recklinghausen) [2120352]
- mm/memory_hotplug: avoid calling zone_intersects() for ZONE_NORMAL (Chris von Recklinghausen) [2120352]
- mm/memory_hotplug: remove obsolete comment of __add_pages (Chris von Recklinghausen) [2120352]
- mm: madvise: skip unmapped vma holes passed to process_madvise (Chris von Recklinghausen) [2120352]
- mm/madvise: use vma_lookup() instead of find_vma() (Chris von Recklinghausen) [2120352]
- mm/ksm: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352]
- mm/vmstat: add event for ksm swapping in copy (Chris von Recklinghausen) [2120352]
- mm: page_io: fix psi memory pressure error on cold swapins (Chris von Recklinghausen) [2120352]
- memory tiering: skip to scan fast memory (Chris von Recklinghausen) [2120352]
- NUMA balancing: optimize page placement for memory tiering system (Chris von Recklinghausen) [2120352]
- NUMA Balancing: add page promotion counter (Chris von Recklinghausen) [2120352]
- mm/migrate: fix race between lock page and clear PG_Isolated (Chris von Recklinghausen) [2120352]
- mm,migrate: fix establishing demotion target (Chris von Recklinghausen) [2120352]
- mm/oom_kill: remove unneeded is_memcg_oom check (Chris von Recklinghausen) [2120352]
- mm: compaction: cleanup the compaction trace events (Chris von Recklinghausen) [2120352]
- mm: vmscan: fix documentation for page_check_references() (Chris von Recklinghausen) [2120352]
- mm/list_lru: optimize memcg_reparent_list_lru_node() (Chris von Recklinghausen) [2120352]
- mm: __isolate_lru_page_prepare() in isolate_migratepages_block() (Chris von Recklinghausen) [2120352]
- mm/fs: delete PF_SWAPWRITE (Chris von Recklinghausen) [2120352]
- userfaultfd: provide unmasked address on page-fault (Chris von Recklinghausen) [2120352]
- mm: remove unneeded local variable follflags (Chris von Recklinghausen) [2120352]
- mm/hugetlb: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352]
- mm/hugetlb: generalize ARCH_WANT_GENERAL_HUGETLB (Chris von Recklinghausen) [2120352]
- mm/memory-failure.c: make non-LRU movable pages unhandlable (Chris von Recklinghausen) [2120352]
- mm/memory-failure.c: avoid calling invalidate_inode_page() with unexpected pages (Chris von Recklinghausen) [2120352]
- mm/memory-failure.c: fix race with changing page compound again (Chris von Recklinghausen) [2120352]
- mm/hwpoison: add in-use hugepage hwpoison filter judgement (Chris von Recklinghausen) [2120352]
- mm/hwpoison: avoid the impact of hwpoison_filter() return value on mce handler (Chris von Recklinghausen) [2120352]
- mm/hwpoison-inject: support injecting hwpoison to free page (Chris von Recklinghausen) [2120352]
- mm/memory-failure.c: remove unnecessary PageTransTail check (Chris von Recklinghausen) [2120352]
- mm/memory-failure.c: remove obsolete comment in __soft_offline_page (Chris von Recklinghausen) [2120352]
- mm/memory-failure.c: rework the try_to_unmap logic in hwpoison_user_map (Chris von Recklinghausen) [2120352]
- mm/memory-failure.c: remove PageSlab check in hwpoison_filter_dev (Chris von Recklinghausen) [2120352]
- mm/memory-failure.c: fix race with changing page more robustly (Chris von Recklinghausen) [2120352]
- mm/memory-failure.c: rework the signaling logic in kill_proc (Chris von Recklinghausen) [2120352]
- mm/memory-failure.c: catch unexpected -EFAULT from vma_address() (Chris von Recklinghausen) [2120352]
- mm/memory-failure.c: minor clean up for memory_failure_dev_pagemap (Chris von Recklinghausen) [2120352]
- mm: invalidate hwpoison page cache page in fault path (Chris von Recklinghausen) [2120352]
- mm/memory-failure.c: remove obsolete comment (Chris von Recklinghausen) [2120352]
- mm/page_alloc: call check_new_pages() while zone spinlock is not held (Chris von Recklinghausen) [2120352]
- mm: count time in drain_all_pages during direct reclaim as memory pressure (Chris von Recklinghausen) [2120352]
- mm: enforce pageblock_order < MAX_ORDER (Chris von Recklinghausen) [2120352]
- mm/page_alloc: don't pass pfn to free_unref_page_commit() (Chris von Recklinghausen) [2120352]
- mm/mmzone.h: remove unused macros (Chris von Recklinghausen) [2120352]
- mm/mmzone.c: use try_cmpxchg() in page_cpupid_xchg_last() (Chris von Recklinghausen) [2120352]
- mm: page_alloc: avoid merging non-fallbackable pageblocks with others (Chris von Recklinghausen) [2120352]
- mm/vmalloc.c: fix "unused function" warning (Chris von Recklinghausen) [2120352]
- mm/vmalloc: eliminate an extra orig_gfp_mask (Chris von Recklinghausen) [2120352]
- mm/vmalloc: add adjust_search_size parameter (Chris von Recklinghausen) [2120352]
- mm/vmalloc: Move draining areas out of caller context (Chris von Recklinghausen) [2120352]
- mm/vmalloc: remove unneeded function forward declaration (Chris von Recklinghausen) [2120352]
- mm/sparse: make mminit_validate_memmodel_limits() static (Chris von Recklinghausen) [2120352]
- mm/mremap:: use vma_lookup() instead of find_vma() (Chris von Recklinghausen) [2120352]
- mm/mmap: remove obsolete comment in ksys_mmap_pgoff (Chris von Recklinghausen) [2120352]
- mm: _install_special_mapping() apply VM_LOCKED_CLEAR_MASK (Chris von Recklinghausen) [2120352]
- mm/memory.c: use helper macro min and max in unmap_mapping_range_tree() (Chris von Recklinghausen) [2120352]
- mm/memory.c: use helper function range_in_vma() (Chris von Recklinghausen) [2120352]
- mm/mmap: return 1 from stack_guard_gap __setup() handler (Chris von Recklinghausen) [2120352]
- mm/memcontrol: return 1 from cgroup.memory __setup() handler (Chris von Recklinghausen) [2120352]
- memcg: synchronously enforce memory.high for large overcharges (Chris von Recklinghausen) [2120352]
- memcg: unify force charging conditions (Chris von Recklinghausen) [2120352]
- memcg: refactor mem_cgroup_oom (Chris von Recklinghausen) [2120352]
- mm/memcg: mem_cgroup_per_node is already set to 0 on allocation (Chris von Recklinghausen) [2120352]
- memcg: replace in_interrupt() with !in_task() (Chris von Recklinghausen) [2120352]
- mm: shmem: use helper macro __ATTR_RW (Chris von Recklinghausen) [2120352]
- tmpfs: support for file creation time (Chris von Recklinghausen) [2120352]
- mm/gup: remove unused get_user_pages_locked() (Chris von Recklinghausen) [2120352]
- mm: change lookup_node() to use get_user_pages_fast() (Chris von Recklinghausen) [2120352]
- mm/gup: remove unused pin_user_pages_locked() (Chris von Recklinghausen) [2120352]
- mm/gup: follow_pfn_pte(): -EEXIST cleanup (Chris von Recklinghausen) [2120352]
- mm: fs: fix lru_cache_disabled race in bh_lru (Chris von Recklinghausen) [2120352]
- mm/writeback: minor clean up for highmem_dirtyable_memory (Chris von Recklinghausen) [2120352]
- filemap: remove find_get_pages() (Chris von Recklinghausen) [2120352]
- mm/memremap: avoid calling kasan_remove_zero_shadow() for device private memory (Chris von Recklinghausen) [2120352]
- remove bdi_congested() and wb_congested() and related functions (Chris von Recklinghausen) [2120352]
- remove inode_congested() (Chris von Recklinghausen) [2120352]
- mm: improve cleanup when ->readpages doesn't process all pages (Chris von Recklinghausen) [2120352]
- mm: document and polish read-ahead code (Chris von Recklinghausen) [2120352]
- mm/damon: minor cleanup for damon_pa_young (Chris von Recklinghausen) [2120352]
- mm/readahead: Align file mappings for non-DAX (Chris von Recklinghausen) [2120352]
- mm/huge_memory: Convert __split_huge_pmd() to take a folio (Chris von Recklinghausen) [2120352]
- mm: swap: get rid of livelock in swapin readahead (Chris von Recklinghausen) [2120352]
- fs: Remove aops ->set_page_dirty (Chris von Recklinghausen) [2120352]
- fb_defio: Use noop_dirty_folio() (Chris von Recklinghausen) [2120352]
- fs: Convert __set_page_dirty_no_writeback to noop_dirty_folio (Chris von Recklinghausen) [2120352]
- fs: Convert __set_page_dirty_buffers to block_dirty_folio (Chris von Recklinghausen) [2120352]
- afs: Convert afs_dir_set_page_dirty() to afs_dir_dirty_folio() (Chris von Recklinghausen) [2120352]
- fs: Convert trivial uses of __set_page_dirty_nobuffers to filemap_dirty_folio (Chris von Recklinghausen) [2120352]
- fscache: Convert fscache_set_page_dirty() to fscache_dirty_folio() (Chris von Recklinghausen) [2120352]
- fuse: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2120352]
- afs: Convert from launder_page to launder_folio (Chris von Recklinghausen) [2120352]
- ceph: Convert from invalidatepage to invalidate_folio (Chris von Recklinghausen) [2120352]
- fs: Remove noop_invalidatepage() (Chris von Recklinghausen) [2120352]
- fs: Turn block_invalidatepage into block_invalidate_folio (Chris von Recklinghausen) [2120352]
- fs: Convert is_partially_uptodate to folios (Chris von Recklinghausen) [2120352]
- resume_user_mode: Move to resume_user_mode.h (Chris von Recklinghausen) [2120352]
- resume_user_mode: Remove #ifdef TIF_NOTIFY_RESUME in set_notify_resume (Chris von Recklinghausen) [2120352]
- signal: Move set_notify_signal and clear_notify_signal into sched/signal.h (Chris von Recklinghausen) [2120352]
- task_work: Decouple TIF_NOTIFY_SIGNAL and task_work (Chris von Recklinghausen) [2120352]
- task_work: Call tracehook_notify_signal from get_signal on all architectures (Chris von Recklinghausen) [2120352]
- task_work: Introduce task_work_pending (Chris von Recklinghausen) [2120352]
- task_work: Remove unnecessary include from posix_timers.h (Chris von Recklinghausen) [2120352]
- ptrace: Remove tracehook_signal_handler (Chris von Recklinghausen) [2120352]
- ptrace: Remove arch_syscall_{enter,exit}_tracehook (Chris von Recklinghausen) [2120352]
- ptrace: Create ptrace_report_syscall_{entry,exit} in ptrace.h (Chris von Recklinghausen) [2120352]
- ptrace: Move ptrace_report_syscall into ptrace.h (Chris von Recklinghausen) [2120352]
- mm: slub: Delete useless parameter of alloc_slab_page() (Chris von Recklinghausen) [2120352]
- s390/test_unwind: add kretprobe tests (Chris von Recklinghausen) [2120352]
- mm/slub: remove forced_order parameter in calculate_sizes (Chris von Recklinghausen) [2120352]
- mm/slub: refactor deactivate_slab() (Chris von Recklinghausen) [2120352]
- mm/slub: limit number of node partial slabs only in cache creation (Chris von Recklinghausen) [2120352]
- mm/slub: use helper macro __ATTR_XX_MODE for SLAB_ATTR(_RO) (Chris von Recklinghausen) [2120352]
- memfd: fix F_SEAL_WRITE after shmem huge page allocated (Chris von Recklinghausen) [2120352]
- mm: fix use-after-free when anon vma name is used after vma is freed (Chris von Recklinghausen) [2120352]
- mm: prevent vm_area_struct::anon_name refcount saturation (Chris von Recklinghausen) [2120352]
- mm: refactor vm_area_struct::anon_vma_name usage code (Chris von Recklinghausen) [2120352]
- mm: build migrate_vma_* for all configs with ZONE_DEVICE support (Chris von Recklinghausen) [2099722 2120352]
- mm: move the migrate_vma_* device migration code into its own file (Chris von Recklinghausen) [2120352]
- mm: refactor the ZONE_DEVICE handling in migrate_vma_pages (Chris von Recklinghausen) [2099722 2120352]
- mm: refactor the ZONE_DEVICE handling in migrate_vma_insert_page (Chris von Recklinghausen) [2099722 2120352]
- mm: generalize the pgmap based page_free infrastructure (Chris von Recklinghausen) [2099722 2120352]
- fsdax: depend on ZONE_DEVICE || FS_DAX_LIMITED (Chris von Recklinghausen) [2099722 2120352]
- mm: remove the extra ZONE_DEVICE struct page refcount (Chris von Recklinghausen) [2099722 2120352]
- mm: remove the __KERNEL__ guard from <linux/mm.h> (Chris von Recklinghausen) [2099722 2120352]
- mm: remove a pointless CONFIG_ZONE_DEVICE check in memremap_pages (Chris von Recklinghausen) [2099722 2120352]
- s390/test_unwind: fix and extend kprobes test (Chris von Recklinghausen) [2120352]
- s390/test_unwind: add ftrace test (Chris von Recklinghausen) [2120352]
- s390/test_unwind: minor cleanup (Chris von Recklinghausen) [2120352]
- s390/test_unwind: show tests as skipped if unsupported (Chris von Recklinghausen) [2120352]
- mm/hugetlb: fix kernel crash with hugetlb mremap (Chris von Recklinghausen) [2120352]
- usercopy: Check valid lifetime via stack depth (Chris von Recklinghausen) [2120352]
- Convert NFS from readpages to readahead (Chris von Recklinghausen) [2120352]
- uaccess: remove CONFIG_SET_FS (Chris von Recklinghausen) [2120352]
- uaccess: generalize access_ok() (Chris von Recklinghausen) [2120352]
- arm64: simplify access_ok() (Chris von Recklinghausen) [2120352]
- uaccess: add generic __{get,put}_kernel_nofault (Chris von Recklinghausen) [2120352]
- x86: use more conventional access_ok() definition (Chris von Recklinghausen) [2120352]
- x86: remove __range_not_ok() (Chris von Recklinghausen) [2120352]
- mm/slab_common: use helper function is_power_of_2() (Chris von Recklinghausen) [2120352]
- mm/slob: make kmem_cache_boot static (Chris von Recklinghausen) [2120352]
- tools/cgroup/slabinfo: update to work with struct slab (Chris von Recklinghausen) [2120352]
- memblock: __next_mem_pfn_range_in_zone: remove unneeded local variable nid (Chris von Recklinghausen) [2120352]
- memblock: use kfree() to release kmalloced memblock regions (Chris von Recklinghausen) [2120352]
- mm: vmscan: remove deadlock due to throttling failing to make progress (Chris von Recklinghausen) [2120352]
- signal: HANDLER_EXIT should clear SIGNAL_UNKILLABLE (Chris von Recklinghausen) [2120352]
- ref_tracker: remove filter_irq_stacks() call (Chris von Recklinghausen) [2120352]
- mm/kmemleak: avoid scanning potential huge holes (Chris von Recklinghausen) [2120352]
- mm/page_table_check: use unsigned long for page counters and cleanup (Chris von Recklinghausen) [2120352]
- Revert "mm/page_isolation: unset migratetype directly for non Buddy page" (Chris von Recklinghausen) [2120352]
- tools headers UAPI: Sync linux/prctl.h with the kernel sources (Chris von Recklinghausen) [2120352]
- mm, kasan: use compare-exchange operation to set KASAN page tag (Chris von Recklinghausen) [2120352]
- memory-failure: fetch compound_head after pgmap_pfn_valid() (Chris von Recklinghausen) [2120352]
- fbdev/simplefb: Request memory region in driver (Chris von Recklinghausen) [2120352]
- fbdev: Hot-unplug firmware fb devices on forced removal (Chris von Recklinghausen) [2120352]
- selftests/lkdtm: Remove dead config option (Chris von Recklinghausen) [2120352]
- mm: hide the FRONTSWAP Kconfig symbol (Chris von Recklinghausen) [2120352]
- frontswap: remove support for multiple ops (Chris von Recklinghausen) [2120352]
- mm: mark swap_lock and swap_active_head static (Chris von Recklinghausen) [2120352]
- frontswap: simplify frontswap_register_ops (Chris von Recklinghausen) [2120352]
- frontswap: remove frontswap_test (Chris von Recklinghausen) [2120352]
- mm: simplify try_to_unuse (Chris von Recklinghausen) [2120352]
- frontswap: remove the frontswap exports (Chris von Recklinghausen) [2120352]
- frontswap: simplify frontswap_init (Chris von Recklinghausen) [2120352]
- frontswap: remove frontswap_curr_pages (Chris von Recklinghausen) [2120352]
- frontswap: remove frontswap_shrink (Chris von Recklinghausen) [2120352]
- frontswap: remove frontswap_tmem_exclusive_gets (Chris von Recklinghausen) [2120352]
- frontswap: remove frontswap_writethrough (Chris von Recklinghausen) [2120352]
- mm: remove cleancache (Chris von Recklinghausen) [2120352]
- lib/stackdepot: always do filter_irq_stacks() in stack_depot_save() (Chris von Recklinghausen) [2120352]
- lib/stackdepot: allow optional init and stack_table allocation by kvmalloc() (Chris von Recklinghausen) [2120352]
- sysctl: move some boundary constants from sysctl.c to sysctl_vals (Chris von Recklinghausen) [2120352]
- delayacct: track delays from memory compact (Chris von Recklinghausen) [2120352]
- delayacct: support swapin delay accounting for swapping without blkio (Chris von Recklinghausen) [2120352]
- mm: percpu: add generic pcpu_populate_pte() function (Chris von Recklinghausen) [2120352]
- mm: percpu: add generic pcpu_fc_alloc/free funciton (Chris von Recklinghausen) [2120352]
- mm: percpu: add pcpu_fc_cpu_to_node_fn_t typedef (Chris von Recklinghausen) [2120352]
- mm: percpu: generalize percpu related config (Chris von Recklinghausen) [2120352]
- bitmap: unify find_bit operations (Chris von Recklinghausen) [2120352]
- mm/percpu: micro-optimize pcpu_is_populated() (Chris von Recklinghausen) [2120352]
- find: micro-optimize for_each_{set,clear}_bit() (Chris von Recklinghausen) [2120352]
- include/linux: move for_each_bit() macros from bitops.h to find.h (Chris von Recklinghausen) [2120352]
- lib: add find_first_and_bit() (Chris von Recklinghausen) [2120352]
- arch: remove GENERIC_FIND_FIRST_BIT entirely (Chris von Recklinghausen) [2120352]
- include: move find.h from asm_generic to linux (Chris von Recklinghausen) [2120352]
- bitops: move find_bit_*_le functions from le.h to find.h (Chris von Recklinghausen) [2120352]
- mm/hmm.c: allow VM_MIXEDMAP to work with hmm_range_fault (Chris von Recklinghausen) [2120352]
- mm: fix some comment errors (Chris von Recklinghausen) [2120352]
- zpool: remove the list of pools_head (Chris von Recklinghausen) [2120352]
- mm/rmap: fix potential batched TLB flush race (Chris von Recklinghausen) [2120352]
- mm: memcg/percpu: account extra objcg space to memory cgroups (Chris von Recklinghausen) [2120352]
- mm: ksm: fix use-after-free kasan report in ksm_might_need_to_copy (Chris von Recklinghausen) [2120352]
- mm/migrate: remove redundant variables used in a for-loop (Chris von Recklinghausen) [2120352]
- mm/migrate: move node demotion code to near its user (Chris von Recklinghausen) [2120352]
- mm: migrate: add more comments for selecting target node randomly (Chris von Recklinghausen) [2120352]
- mm: migrate: support multiple target nodes demotion (Chris von Recklinghausen) [2120352]
- mm: compaction: fix the migration stats in trace_mm_compaction_migratepages() (Chris von Recklinghausen) [2120352]
- mm: migrate: correct the hugetlb migration stats (Chris von Recklinghausen) [2120352]
- mm: migrate: fix the return value of migrate_pages() (Chris von Recklinghausen) [2120352]
- mm, oom: OOM sysrq should always kill a process (Chris von Recklinghausen) [2120352]
- mm/mempolicy: fix all kernel-doc warnings (Chris von Recklinghausen) [2120352]
- mm/mempolicy: add set_mempolicy_home_node syscall (Chris von Recklinghausen) [2120352]
- mm/mempolicy: use policy_node helper with MPOL_PREFERRED_MANY (Chris von Recklinghausen) [2120352]
- mm/page_isolation: unset migratetype directly for non Buddy page (Chris von Recklinghausen) [2120352]
- vmscan: make drop_slab_node static (Chris von Recklinghausen) [2120352]
- hugetlb: add hugetlb.*.numa_stat file (Chris von Recklinghausen) [2120352]
- mm/page_alloc.c: modify the comment section for alloc_contig_pages() (Chris von Recklinghausen) [2120352]
- mm: page_alloc: fix building error on -Werror=array-compare (Chris von Recklinghausen) [2120352]
- mm/pagealloc: sysctl: change watermark_scale_factor max limit to 30%% (Chris von Recklinghausen) [2120352]
- mm: allow !GFP_KERNEL allocations for kvmalloc (Chris von Recklinghausen) [2120352]
- mm/vmalloc: be more explicit about supported gfp flags. (Chris von Recklinghausen) [2120352]
- mm/vmalloc: add support for __GFP_NOFAIL (Chris von Recklinghausen) [2120352]
- mm/vmalloc: alloc GFP_NO{FS,IO} for vmalloc (Chris von Recklinghausen) [2120352]
- mm/dmapool.c: revert "make dma pool to use kmalloc_node" (Chris von Recklinghausen) [2120352]
- mm: remove the total_mapcount argument from page_trans_huge_mapcount() (Chris von Recklinghausen) [2120352]
- mm: remove the total_mapcount argument from page_trans_huge_map_swapcount() (Chris von Recklinghausen) [2120352]
- mm: remove last argument of reuse_swap_page() (Chris von Recklinghausen) [2120352]
- mm: move tlb_flush_pending inline helpers to mm_inline.h (Chris von Recklinghausen) [2120352]
- mm: move anon_vma declarations to linux/mm_inline.h (Chris von Recklinghausen) [2120352]
- mm: add anonymous vma name refcounting (Chris von Recklinghausen) [2120352]
- mm: add a field to store names for private anonymous memory (Chris von Recklinghausen) [2120352]
- mm: rearrange madvise code to allow for reuse (Chris von Recklinghausen) [2120352]
- mm/memcg: use struct_size() helper in kzalloc() (Chris von Recklinghausen) [2120352]
- mm/memcg: add oom_group_kill memory event (Chris von Recklinghausen) [2120352]
- mm/page_counter: remove an incorrect call to propagate_protected_usage() (Chris von Recklinghausen) [2120352]
- mm: memcontrol: make cgroup_memory_nokmem static (Chris von Recklinghausen) [2120352]
- mm/frontswap.c: use non-atomic '__set_bit()' when possible (Chris von Recklinghausen) [2120352]
- shmem: fix a race between shmem_unused_huge_shrink and shmem_evict_inode (Chris von Recklinghausen) [2120352]
- mm: shmem: don't truncate page if memory failure happens (Chris von Recklinghausen) [2120352]
- mm/gup.c: stricter check on THP migration entry during follow_pmd_mask (Chris von Recklinghausen) [2120352]
- gup: avoid multiple user access locking/unlocking in fault_in_{read/write}able (Chris von Recklinghausen) [2120352]
- mm/debug_vm_pgtable: update comments regarding migration swap entries (Chris von Recklinghausen) [2120352]
- mm,fs: split dump_mapping() out from dump_page() (Chris von Recklinghausen) [2120352]
- mm/memremap: add ZONE_DEVICE support for compound pages (Chris von Recklinghausen) [2120352]
- mm/page_alloc: refactor memmap_init_zone_device() page init (Chris von Recklinghausen) [2120352]
- mm/page_alloc: split prep_compound_page into head and tail subparts (Chris von Recklinghausen) [2120352]
- mm: defer kmemleak object creation of module_alloc() (Chris von Recklinghausen) [2120352]
- kmemleak: fix kmemleak false positive report with HW tag-based kasan enable (Chris von Recklinghausen) [2120352]
- mm: slab: make slab iterator functions static (Chris von Recklinghausen) [2120352]
- virtio-mem: prepare fake page onlining code for granularity smaller than MAX_ORDER - 1 (Chris von Recklinghausen) [2120352]
- virtio-mem: prepare page onlining code for granularity smaller than MAX_ORDER - 1 (Chris von Recklinghausen) [2120352]
- fscache: Rewrite documentation (Chris von Recklinghausen) [2120352]
- ptrace: Remove unused regs argument from ptrace_report_syscall (Chris von Recklinghausen) [2120352]
- ptrace: Remove second setting of PT_SEIZED in ptrace_attach (Chris von Recklinghausen) [2120352]
- taskstats: Cleanup the use of task->exit_code (Chris von Recklinghausen) [2120352]
- exit: Use the correct exit_code in /proc/<pid>/stat (Chris von Recklinghausen) [2120352]
- exit: Fix the exit_code for wait_task_zombie (Chris von Recklinghausen) [2120352]
- exit: Coredumps reach do_group_exit (Chris von Recklinghausen) [2120352]
- exit: Remove profile_handoff_task (Chris von Recklinghausen) [2120352]
- exit: Remove profile_task_exit & profile_munmap (Chris von Recklinghausen) [2120352]
- signal: clean up kernel-doc comments (Chris von Recklinghausen) [2120352]
- signal: Remove the helper signal_group_exit (Chris von Recklinghausen) [2120352]
- signal: Rename group_exit_task group_exec_task (Chris von Recklinghausen) [2120352]
- coredump: Stop setting signal->group_exit_task (Chris von Recklinghausen) [2120352]
- signal: Remove SIGNAL_GROUP_COREDUMP (Chris von Recklinghausen) [2120352]
- signal: During coredumps set SIGNAL_GROUP_EXIT in zap_process (Chris von Recklinghausen) [2120352]
- signal: Make coredump handling explicit in complete_signal (Chris von Recklinghausen) [2120352]
- signal: Have prepare_signal detect coredumps using signal->core_state (Chris von Recklinghausen) [2120352]
- signal: Have the oom killer detect coredumps using signal->core_state (Chris von Recklinghausen) [2120352]
- exit: Move force_uaccess back into do_exit (Chris von Recklinghausen) [2120352]
- exit: Guarantee make_task_dead leaks the tsk when calling do_task_exit (Chris von Recklinghausen) [2120352]
- h8300: Fix build errors from do_exit() to make_task_dead() transition (Chris von Recklinghausen) [2120352]
- kthread: Generalize pf_io_worker so it can point to struct kthread (Chris von Recklinghausen) [2120352]
- mm: Remove slab from struct page (Chris von Recklinghausen) [2120352]
- mm/slob: Remove unnecessary page_mapcount_reset() function call (Chris von Recklinghausen) [2120352]
- bootmem: Use page->index instead of page->freelist (Chris von Recklinghausen) [2120352]
- mm/slub: Define struct slab fields for CONFIG_SLUB_CPU_PARTIAL only when enabled (Chris von Recklinghausen) [2120352]
- mm/slub: Simplify struct slab slabs field definition (Chris von Recklinghausen) [2120352]
- mm/sl*b: Differentiate struct slab fields by sl*b implementations (Chris von Recklinghausen) [2120352]
- mm/kfence: Convert kfence_guarded_alloc() to struct slab (Chris von Recklinghausen) [2120352]
- mm/kasan: Convert to struct folio and struct slab (Chris von Recklinghausen) [2120352]
- mm/slob: Convert SLOB to use struct slab and struct folio (Chris von Recklinghausen) [2120352]
- mm/memcg: Convert slab objcgs from struct page to struct slab (Chris von Recklinghausen) [2120352]
- mm: Convert struct page to struct slab in functions used by other subsystems (Chris von Recklinghausen) [2120352]
- mm/slab: Convert most struct page to struct slab by spatch (Chris von Recklinghausen) [2120352]
- mm/slab: Convert kmem_getpages() and kmem_freepages() to struct slab (Chris von Recklinghausen) [2120352]
- mm/slub: Finish struct page to struct slab conversion (Chris von Recklinghausen) [2120352]
- mm/slub: Convert most struct page to struct slab by spatch (Chris von Recklinghausen) [2120352]
- mm/slub: Convert pfmemalloc_match() to take a struct slab (Chris von Recklinghausen) [2120352]
- mm/slub: Convert __slab_lock() and __slab_unlock() to struct slab (Chris von Recklinghausen) [2120352]
- mm/slub: Make object_err() static (Chris von Recklinghausen) [2120352]
- mm/slab: Dissolve slab_map_pages() in its caller (Chris von Recklinghausen) [2120352]
- mm: vmscan: reduce throttling due to a failure to make progress -fix (Chris von Recklinghausen) [2120352]
- mm: vmscan: Reduce throttling due to a failure to make progress (Chris von Recklinghausen) [2120352]
- kthread: Never put_user the set_child_tid address (Chris von Recklinghausen) [2120352]
- kthread: Warn about failed allocations for the init kthread (Chris von Recklinghausen) [2120352]
- fork: Rename bad_fork_cleanup_threadgroup_lock to bad_fork_cleanup_delayacct (Chris von Recklinghausen) [2120352]
- fork: Stop protecting back_fork_cleanup_cgroup_lock with CONFIG_NUMA (Chris von Recklinghausen) [2120352]
- iomap: Convert to_iomap_page to take a folio (Chris von Recklinghausen) [2120352]
- objtool: Add a missing comma to avoid string concatenation (Chris von Recklinghausen) [2120352]
- exit/kthread: Fix the kerneldoc comment for kthread_complete_and_exit (Chris von Recklinghausen) [2120352]
- exit/kthread: Move the exit code for kernel threads into struct kthread (Chris von Recklinghausen) [2120352]
- kthread: Ensure struct kthread is present for all kthreads (Chris von Recklinghausen) [2120352]
- exit: Rename complete_and_exit to kthread_complete_and_exit (Chris von Recklinghausen) [2120352]
- exit: Rename module_put_and_exit to module_put_and_kthread_exit (Chris von Recklinghausen) [2120352]
- exit: Implement kthread_exit (Chris von Recklinghausen) [2120352]
- exit: Stop exporting do_exit (Chris von Recklinghausen) [2120352]
- exit: Stop poorly open coding do_task_dead in make_task_dead (Chris von Recklinghausen) [2120352]
- exit: Move oops specific logic from do_exit into make_task_dead (Chris von Recklinghausen) [2120352]
- exit: Add and use make_task_dead. (Chris von Recklinghausen) [2120352]
- exit/s390: Remove dead reference to do_exit from copy_thread (Chris von Recklinghausen) [2120352]
- mm: bdi: initialize bdi_min_ratio when bdi is unregistered (Chris von Recklinghausen) [2120352]
- selftests/damon: split test cases (Chris von Recklinghausen) [2120352]
- selftests/damon: test wrong DAMOS condition ranges input (Chris von Recklinghausen) [2120352]
- selftests/damon: skip test if DAMON is running (Chris von Recklinghausen) [2120352]
- mm, kcsan: Enable barrier instrumentation (Chris von Recklinghausen) [2120352]
- percpu: km: ensure it is used with NOMMU (either UP or SMP) (Chris von Recklinghausen) [2120352]
- memremap: remove support for external pgmap refcounts (Chris von Recklinghausen) [2120352]
- powerpc/modules: Don't WARN on first module allocation attempt (Chris von Recklinghausen) [2120352]
- s390/test_unwind: use raw opcode instead of invalid instruction (Chris von Recklinghausen) [2120352]
- hugetlbfs: flush before unlock on move_hugetlb_page_tables() (Chris von Recklinghausen) [2120352]
- kmap_local: don't assume kmap PTEs are linear arrays in memory (Chris von Recklinghausen) [2120352]
- hugetlb: fix hugetlb cgroup refcounting during mremap (Chris von Recklinghausen) [2120352]
- mm: kmemleak: slob: respect SLAB_NOLEAKTRACE flag (Chris von Recklinghausen) [2120352]
- mm: emit the "free" trace report before freeing memory in kmem_cache_free() (Chris von Recklinghausen) [2120352]
- mm/swap.c:put_pages_list(): reinitialise the page list (Chris von Recklinghausen) [2120352]
- signal: Replace force_fatal_sig with force_exit_sig when in doubt (Chris von Recklinghausen) [2120352]
- signal: Don't always set SA_IMMUTABLE for forced signals (Chris von Recklinghausen) [2120352]
- signal: Requeue ptrace signals (Chris von Recklinghausen) [2120352]
- signal: Requeue signals in the appropriate queue (Chris von Recklinghausen) [2120352]
- mm/migrate.c: remove MIGRATE_PFN_LOCKED (Chris von Recklinghausen) [2120352]
- mm/page_owner.c: modify the type of argument "order" in some functions (Chris von Recklinghausen) [2120352]
- mm: kasan: use is_kernel() helper (Chris von Recklinghausen) [2120352]
- mm,hugetlb: remove mlock ulimit for SHM_HUGETLB (Chris von Recklinghausen) [2120352]
- vfs: keep inodes with page cache off the inode shrinker LRU (Chris von Recklinghausen) [2120352]
- selftests/damon: support watermarks (Chris von Recklinghausen) [2120352]
- tools/selftests/damon: update for regions prioritization of schemes (Chris von Recklinghausen) [2120352]
- mm/damon/selftests: support schemes quotas (Chris von Recklinghausen) [2120352]
- selftests/damon: add 'schemes' debugfs tests (Chris von Recklinghausen) [2120352]
- include/linux/damon.h: fix kernel-doc comments for 'damon_callback' (Chris von Recklinghausen) [2120352]
- include/linux/mm.h: move nr_free_buffer_pages from swap.h to mm.h (Chris von Recklinghausen) [2120352]
- mm: remove HARDENED_USERCOPY_FALLBACK (Chris von Recklinghausen) [2120352]
- mm/highmem: remove deprecated kmap_atomic (Chris von Recklinghausen) [2120352]
- mm/memory_hotplug: indicate MEMBLOCK_DRIVER_MANAGED with IORESOURCE_SYSRAM_DRIVER_MANAGED (Chris von Recklinghausen) [2120352]
- memblock: add MEMBLOCK_DRIVER_MANAGED to mimic IORESOURCE_SYSRAM_DRIVER_MANAGED (Chris von Recklinghausen) [2120352]
- memblock: allow to specify flags with memblock_add_node() (Chris von Recklinghausen) [2120352]
- mm/memory_hotplug: handle memblock_add_node() failures in add_memory_resource() (Chris von Recklinghausen) [2120352]
- mm/memory_hotplug: remove HIGHMEM leftovers (Chris von Recklinghausen) [2120352]
- mm/memory_hotplug: add static qualifier for online_policy_to_str() (Chris von Recklinghausen) [2120352]
- mm: vmstat.c: make extfrag_index show more pretty (Chris von Recklinghausen) [2120352]
- mm: nommu: kill arch_get_unmapped_area() (Chris von Recklinghausen) [2120352]
- mm/readahead.c: fix incorrect comments for get_init_ra_size (Chris von Recklinghausen) [2120352]
- mm: migrate: make demotion knob depend on migration (Chris von Recklinghausen) [2120352]
- mm/migrate: de-duplicate migrate_reason strings (Chris von Recklinghausen) [2120352]
- mm: mark the OOM reaper thread as freezable (Chris von Recklinghausen) [2120352]
- mm/vmpressure: fix data-race with memcg->socket_pressure (Chris von Recklinghausen) [2120352]
- mm/vmscan: delay waking of tasks throttled on NOPROGRESS (Chris von Recklinghausen) [2120352]
- mm/vmscan: increase the timeout if page reclaim is not making progress (Chris von Recklinghausen) [2120352]
- mm/vmscan: centralise timeout values for reclaim_throttle (Chris von Recklinghausen) [2120352]
- mm/page_alloc: remove the throttling logic from the page allocator (Chris von Recklinghausen) [2120352]
- mm/writeback: throttle based on page writeback instead of congestion (Chris von Recklinghausen) [2120352]
- mm/vmscan: throttle reclaim when no progress is being made (Chris von Recklinghausen) [2120352]
- mm/vmscan: throttle reclaim and compaction when too may pages are isolated (Chris von Recklinghausen) [2120352]
- mm/vmscan: throttle reclaim until some writeback completes if congested (Chris von Recklinghausen) [2120352]
- mm/vmscan.c: fix -Wunused-but-set-variable warning (Chris von Recklinghausen) [2120352]
- mm/page_isolation: guard against possible putback unisolated page (Chris von Recklinghausen) [2120352]
- mm/page_isolation: fix potential missing call to unset_migratetype_isolate() (Chris von Recklinghausen) [2120352]
- hugetlb: remove redundant VM_BUG_ON() in add_reservation_in_range() (Chris von Recklinghausen) [2120352]
- hugetlb: remove redundant validation in has_same_uncharge_info() (Chris von Recklinghausen) [2120352]
- hugetlb: replace the obsolete hugetlb_instantiation_mutex in the comments (Chris von Recklinghausen) [2120352]
- hugetlb_cgroup: remove unused hugetlb_cgroup_from_counter macro (Chris von Recklinghausen) [2120352]
- mm, hugepages: add mremap() support for hugepage backed vma (Chris von Recklinghausen) [2120352]
- mm: khugepaged: recalculate min_free_kbytes after stopping khugepaged (Chris von Recklinghausen) [2120352]
- mm/hugetlb: drop __unmap_hugepage_range definition from hugetlb.h (Chris von Recklinghausen) [2120352]
- mm/memory_failure: constify static mm_walk_ops (Chris von Recklinghausen) [2120352]
- mm/page_alloc: use clamp() to simplify code (Chris von Recklinghausen) [2120352]
- mm: page_alloc: use migrate_disable() in drain_local_pages_wq() (Chris von Recklinghausen) [2120352]
- mm/page_alloc.c: show watermark_boost of zone in zoneinfo (Chris von Recklinghausen) [2120352]
- mm/page_alloc.c: do not acquire zone lock in is_free_buddy_page() (Chris von Recklinghausen) [2120352]
- mm/page_alloc: use accumulated load when building node fallback list (Chris von Recklinghausen) [2120352]
- mm/page_alloc: print node fallback order (Chris von Recklinghausen) [2120352]
- mm/page_alloc.c: use helper function zone_spans_pfn() (Chris von Recklinghausen) [2120352]
- mm/page_alloc.c: simplify the code by using macro K() (Chris von Recklinghausen) [2120352]
- mm/page_alloc.c: remove meaningless VM_BUG_ON() in pindex_to_order() (Chris von Recklinghausen) [2120352]
- mm/mprotect.c: avoid repeated assignment in do_mprotect_pkey() (Chris von Recklinghausen) [2120352]
- mm/memory.c: avoid unnecessary kernel/user pointer conversion (Chris von Recklinghausen) [2120352]
- mm: use __pfn_to_section() instead of open coding it (Chris von Recklinghausen) [2120352]
- mm/mmap.c: fix a data race of mm->total_vm (Chris von Recklinghausen) [2120352]
- memcg: prohibit unconditional exceeding the limit of dying tasks (Chris von Recklinghausen) [2120352]
- mm, oom: pagefault_out_of_memory: don't force global OOM for dying tasks (Chris von Recklinghausen) [2120352]
- mm: optimise put_pages_list() (Chris von Recklinghausen) [2120352]
- mm: don't read i_size of inode unless we need it (Chris von Recklinghausen) [2120352]
- mm: simplify bdi refcounting (Chris von Recklinghausen) [2120352]
- mm: don't automatically unregister bdis (Chris von Recklinghausen) [2120352]
- fs: explicitly unregister per-superblock BDIs (Chris von Recklinghausen) [2120352]
- mtd: call bdi_unregister explicitly (Chris von Recklinghausen) [2120352]
- mm: export bdi_unregister (Chris von Recklinghausen) [2120352]
- mm: stop filemap_read() from grabbing a superfluous page (Chris von Recklinghausen) [2120352]
- mm/page_ext.c: fix a comment (Chris von Recklinghausen) [2120352]
- mm/vmalloc: add __alloc_size attributes for better bounds checking (Chris von Recklinghausen) [2120352]
- mm: debug_vm_pgtable: don't use __P000 directly (Chris von Recklinghausen) [2120352]
- mm/smaps: use vma->vm_pgoff directly when counting partial swap (Chris von Recklinghausen) [2120352]
- signal: Add SA_IMMUTABLE to ensure forced siganls do not get changed (Chris von Recklinghausen) [2120352]
- signal: Replace force_sigsegv(SIGSEGV) with force_fatal_sig(SIGSEGV) (Chris von Recklinghausen) [2120352]
- signal/x86: In emulate_vsyscall force a signal instead of calling do_exit (Chris von Recklinghausen) [2120352]
- exit/syscall_user_dispatch: Send ordinary signals on failure (Chris von Recklinghausen) [2120352]
- signal: Implement force_fatal_sig (Chris von Recklinghausen) [2120352]
- signal/s390: Use force_sigsegv in default_trap_handler (Chris von Recklinghausen) [2120352]
- ipv6: enable net.ipv6.route.max_size sysctl in network namespace (Chris von Recklinghausen) [2120352]
- MAINTAINERS: drop obsolete file pattern in SDHCI DRIVER section (Chris von Recklinghausen) [2120352]
- signal/vm86_32: Properly send SIGSEGV when the vm86 state cannot be saved. (Chris von Recklinghausen) [2120352]
- signal/powerpc: On swapcontext failure force SIGSEGV (Chris von Recklinghausen) [2120352]
- docs: translations: zh_CN: memory-hotplug.rst: fix a typo (Chris von Recklinghausen) [2120352]
- mmc: sdhci-pci: Remove dead code (struct sdhci_pci_data et al) (Chris von Recklinghausen) [2120352]
- mm: use pidfd_get_task() (Chris von Recklinghausen) [2120352]
- pid: add pidfd_get_task() helper (Chris von Recklinghausen) [2120352]
- mmc: core: Add host specific tuning support for eMMC HS400 mode (Chris von Recklinghausen) [2120352]
- s390/test_unwind: convert to KUnit (Chris von Recklinghausen) [2120352]
- coredump: Limit coredumps to a single thread group (Chris von Recklinghausen) [2120352]
- kasan: Extend KASAN mode kernel parameter (Chris von Recklinghausen) [2120352]
- kasan: Remove duplicate of kasan_flag_async (Chris von Recklinghausen) [2120352]
- coredump: Don't perform any cleanups before dumping core (Chris von Recklinghausen) [2120352]
- exit: Factor coredump_exit_mm out of exit_mm (Chris von Recklinghausen) [2120352]
- exec: Check for a pending fatal signal instead of core_state (Chris von Recklinghausen) [2120352]
- ptrace: Remove the unnecessary arguments from arch_ptrace_stop (Chris von Recklinghausen) [2120352]
- signal: Remove the bogus sigkill_pending in ptrace_stop (Chris von Recklinghausen) [2120352]
- scs: Release kasan vmalloc poison in scs_free process (Chris von Recklinghausen) [2120352]
- entry: rseq: Call rseq_handle_notify_resume() in tracehook_notify_resume() (Chris von Recklinghausen) [2120352]
- mm/ksm: remove old GCC 4.9+ check (Chris von Recklinghausen) [2120352]
- s390/unwind: use current_frame_address() to unwind current task (Chris von Recklinghausen) [2120352]
- io-wq: make worker creation resilient against signals (Chris von Recklinghausen) [2120352]
- io-wq: get rid of FIXED worker flag (Chris von Recklinghausen) [2120352]
- io-wq: split bounded and unbounded work into separate lists (Chris von Recklinghausen) [2120352]
- io-wq: fix queue stalling race (Chris von Recklinghausen) [2120352]
- io-wq: fix race between adding work and activating a free worker (Chris von Recklinghausen) [2120352]
- io-wq: fix wakeup race when adding new work (Chris von Recklinghausen) [2120352]
- io-wq: wqe and worker locks no longer need to be IRQ safe (Chris von Recklinghausen) [2120352]
- io-wq: check max_worker limits if a worker transitions bound state (Chris von Recklinghausen) [2120352]
- io-wq: provide a way to limit max number of workers (Chris von Recklinghausen) [2120352]
- signal/seccomp: Refactor seccomp signal and coredump generation (Chris von Recklinghausen) [2120352]
- s390: remove do_signal() prototype and do_notify_resume() function (Chris von Recklinghausen) [2120352]
- mmc: core: Update ->card_busy() callback comment (Chris von Recklinghausen) [2120352]
- io-wq: move nr_running and worker_refs out of wqe->lock protection (Chris von Recklinghausen) [2120352]
- io_uring: remove files pointer in cancellation functions (Chris von Recklinghausen) [2120352]
- io_uring: extract io_uring_files_cancel() in io_uring_task_cancel() (Chris von Recklinghausen) [2120352]
- io-wq: remove GFP_ATOMIC allocation off schedule out path (Chris von Recklinghausen) [2120352]
- fs: add a filemap_fdatawrite_wbc helper (Chris von Recklinghausen) [2120352]
- fbdev/efifb: Release PCI device's runtime PM ref during FB destroy (Chris von Recklinghausen) [2120352]
- kernel/pid.c: remove static qualifier from pidfd_create() (Chris von Recklinghausen) [2120352]
- asm-generic: uaccess: remove inline strncpy_from_user/strnlen_user (Chris von Recklinghausen) [2120352]
- asm-generic/uaccess.h: remove __strncpy_from_user/__strnlen_user (Chris von Recklinghausen) [2120352]
- memcg: enable accounting for IP address and routing-related objects (Chris von Recklinghausen) [2120352]
- docs/zh_CN: add core api protection keys translation (Chris von Recklinghausen) [2120352]
- docs/zh_CN: add core api memory_hotplug translation (Chris von Recklinghausen) [2120352]
- docs/zh_CN: add core api cpu_hotplug translation (Chris von Recklinghausen) [2120352]
- docs/zh_CN: add core api genericirq translation (Chris von Recklinghausen) [2120352]
- Revert "fork: Stop protecting back_fork_cleanup_cgroup_lock with CONFIG_NUMA" (Chris von Recklinghausen) [2120352]
- redhat: configs: add CONFIG_COMMAND_LINE_SIZE to RHEL s390 configs collection (Chris von Recklinghausen) [2120352]
- redhat: configs: add CONFIG_HUGETLB_PAGE_OPTIMIZE_VMEMMAP_DEFAULT_ON to RHEL generic configs collection (Chris von Recklinghausen) [2120352]
- redhat: configs: add CONFIG_PAGE_TABLE_CHECK to RHEL generic configs collection (Chris von Recklinghausen) [2120352]
- s390/mm: do not trigger write fault when vma does not allow VM_WRITE (Chris von Recklinghausen) [2120352]
- x86/pat: Fix x86_has_pat_wp() (Chris von Recklinghausen) [2120352]
- mm: sparsemem: fix missing higher order allocation splitting (Chris von Recklinghausen) [2120352]
- arm64: hugetlb: Restore TLB invalidation for BBM on contiguous ptes (Chris von Recklinghausen) [2120352]
- powerpc/memhotplug: Add add_pages override for PPC (Chris von Recklinghausen) [2120352]
- powerpc/64e: Fix early TLB miss with KUAP (Chris von Recklinghausen) [2120352]
- powerpc/book3e: Fix PUD allocation size in map_kernel_page() (Chris von Recklinghausen) [2120352]
- arm64: mm: Don't invalidate FROM_DEVICE buffers at start of DMA transfer (Chris von Recklinghausen) [2120352]
- powerpc/book3e: get rid of #include <generated/compile.h> (Chris von Recklinghausen) [2120352]
- s390/mm: use non-quiescing sske for KVM switch to keyed guest (Chris von Recklinghausen) [2120352]
- s390/gmap: voluntarily schedule during key setting (Chris von Recklinghausen) [2120352]
- arm64/hugetlb: Fix building errors in huge_ptep_clear_flush() (Chris von Recklinghausen) [2120352]
- mm/page_table_check: fix accessing unmapped ptep (Chris von Recklinghausen) [2120352]
- x86/mm: Use PAGE_ALIGNED(x) instead of IS_ALIGNED(x, PAGE_SIZE) (Chris von Recklinghausen) [2120352]
- powerpc/64s: Only set HAVE_ARCH_UNMAPPED_AREA when CONFIG_PPC_64S_HASH_MMU is set (Chris von Recklinghausen) [2120352]
- powerpc/fsl_book3e: Don't set rodata RO too early (Chris von Recklinghausen) [2120352]
- powerpc/microwatt: Add mmu bits to device tree (Chris von Recklinghausen) [2120352]
- powerpc: Fix all occurences of "the the" (Chris von Recklinghausen) [2120352]
- powerpc/numa: Associate numa node to its cpu earlier (Chris von Recklinghausen) [2120352]
- arm64: mm: avoid writable executable mappings in kexec/hibernate code (Chris von Recklinghausen) [2120352]
- arm64: lds: move special code sections out of kernel exec segment (Chris von Recklinghausen) [2120352]
- arm64/hugetlb: Implement arm64 specific huge_ptep_get() (Chris von Recklinghausen) [2120352]
- arm64/hugetlb: Use ptep_get() to get the pte value of a huge page (Chris von Recklinghausen) [2120352]
- mm: change huge_ptep_clear_flush() to return the original pte (Chris von Recklinghausen) [2120352]
- mm: functions may simplify the use of return values (Chris von Recklinghausen) [2120352]
- x86/mm: Fix marking of unused sub-pmd ranges (Chris von Recklinghausen) [2120352]
- arm64/hugetlb: Drop TLB flush from get_clear_flush() (Chris von Recklinghausen) [2120352]
- powerpc: align address to page boundary in change_page_attr() (Chris von Recklinghausen) [2120352]
- powerpc/8xx: Simplify flush_tlb_kernel_range() (Chris von Recklinghausen) [2120352]
- powerpc: Simplify and move arch_randomize_brk() (Chris von Recklinghausen) [2120352]
- powerpc/mm: Convert to default topdown mmap layout (Chris von Recklinghausen) [2120352]
- powerpc/mm: Enable full randomisation of memory mappings (Chris von Recklinghausen) [2120352]
- powerpc/mm: Move get_unmapped_area functions to slice.c (Chris von Recklinghausen) [2120352]
- powerpc/mm: Use generic_hugetlb_get_unmapped_area() (Chris von Recklinghausen) [2120352]
- powerpc/mm: Use generic_get_unmapped_area() and call it from arch_get_unmapped_area() (Chris von Recklinghausen) [2120352]
- powerpc/mm: Remove CONFIG_PPC_MM_SLICES (Chris von Recklinghausen) [2120352]
- powerpc/mm: Make slice specific to book3s/64 (Chris von Recklinghausen) [2120352]
- powerpc/mm: Move vma_mmu_pagesize() (Chris von Recklinghausen) [2120352]
- mm: Add len and flags parameters to arch_get_mmap_end() (Chris von Recklinghausen) [2120352]
- mm, hugetlbfs: Allow an arch to always use generic versions of get_unmapped_area functions (Chris von Recklinghausen) [2120352]
- mm: Allow arch specific arch_randomize_brk() with CONFIG_ARCH_WANT_DEFAULT_TOPDOWN_MMAP_LAYOUT (Chris von Recklinghausen) [2120352]
- arm64: mm: Cleanup useless parameters in zone_sizes_init() (Chris von Recklinghausen) [2120352]
- arm64: fix types in copy_highpage() (Chris von Recklinghausen) [2120352]
- x86: Fix return value of __setup handlers (Chris von Recklinghausen) [2120352]
- powerpc/book3e: Fix sparse report in mm/nohash/fsl_book3e.c (Chris von Recklinghausen) [2120352]
- powerpc/mm: Switch from __FUNCTION__ to __func__ (Chris von Recklinghausen) [2120352]
- mm: use for_each_online_node and node_online instead of open coding (Chris von Recklinghausen) [2120352]
- hugetlb: fix return value of __setup handlers (Chris von Recklinghausen) [2120352]
- hugetlb: fix hugepages_setup when deal with pernode (Chris von Recklinghausen) [2120352]
- hugetlb: fix wrong use of nr_online_nodes (Chris von Recklinghausen) [2120352]
- mm: hugetlb_vmemmap: cleanup CONFIG_HUGETLB_PAGE_FREE_VMEMMAP* (Chris von Recklinghausen) [2120352]
- mm: hugetlb_vmemmap: cleanup hugetlb_free_vmemmap_enabled* (Chris von Recklinghausen) [2120352]
- mm: hugetlb_vmemmap: cleanup hugetlb_vmemmap related functions (Chris von Recklinghausen) [2120352]
- x86/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352]
- sparc/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352]
- arm64/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352]
- powerpc/mm: enable ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352]
- mm/mmap: add new config ARCH_HAS_VM_GET_PAGE_PROT (Chris von Recklinghausen) [2120352]
- mm/mmap: clarify protection_map[] indices (Chris von Recklinghausen) [2120352]
- arm64: mm: hugetlb: enable HUGETLB_PAGE_FREE_VMEMMAP for arm64 (Chris von Recklinghausen) [2120352]
- mm: hugetlb_vmemmap: introduce ARCH_WANT_HUGETLB_PAGE_FREE_VMEMMAP (Chris von Recklinghausen) [2120352]
- mm, hugetlb: allow for "high" userspace addresses (Chris von Recklinghausen) [2120352]
- hugetlb: do not demote poisoned hugetlb pages (Chris von Recklinghausen) [2120352]
- x86/mm: Replace nodes_weight() with nodes_empty() where appropriate (Chris von Recklinghausen) [2120352]
- x86: Replace cpumask_weight() with cpumask_empty() where appropriate (Chris von Recklinghausen) [2120352]
- Revert "powerpc: Set max_mapnr correctly" (Chris von Recklinghausen) [2120352]
- powerpc: Fix virt_addr_valid() for 64-bit Book3E & 32-bit (Chris von Recklinghausen) [2120352]
- x86/fault: Cast an argument to the proper address space in prefetch() (Chris von Recklinghausen) [2120352]
- x86/mm/tlb: Revert retpoline avoidance approach (Chris von Recklinghausen) [2120352]
- arm64: fix typos in comments (Chris von Recklinghausen) [2120352]
- powerpc/numa: Handle partially initialized numa nodes (Chris von Recklinghausen) [2120352]
- mm: generalize ARCH_HAS_FILTER_PGPROT (Chris von Recklinghausen) [2120352]
- mm/migration: add trace events for THP migrations (Chris von Recklinghausen) [2120352]
- hugetlb: clean up potential spectre issue warnings (Chris von Recklinghausen) [2120352]
- mm: sparsemem: move vmemmap related to HugeTLB to CONFIG_HUGETLB_PAGE_FREE_VMEMMAP (Chris von Recklinghausen) [2120352]
- mm: sparsemem: use page table lock to protect kernel pmd operations (Chris von Recklinghausen) [2120352]
- mm: hugetlb: replace hugetlb_free_vmemmap_enabled with a static_key (Chris von Recklinghausen) [2120352]
- mm: hugetlb: free the 2nd vmemmap page associated with each HugeTLB page (Chris von Recklinghausen) [2120352]
- arch/x86/mm/numa: Do not initialize nodes twice (Chris von Recklinghausen) [2120352]
- mm: merge pte_mkhuge() call into arch_make_huge_pte() (Chris von Recklinghausen) [2120352]
- x86/boot: Add setup_indirect support in early_memremap_is_setup_data() (Chris von Recklinghausen) [2120352]
- x86/boot: Fix memremap of setup_indirect structures (Chris von Recklinghausen) [2120352]
- powerpc/64s: Don't use DSISR for SLB faults (Chris von Recklinghausen) [2120352]
- powerpc/64s: Fix build failure when CONFIG_PPC_64S_HASH_MMU is not set (Chris von Recklinghausen) [2120352]
- s390/mm: convert pte_val()/pXd_val() into functions (Chris von Recklinghausen) [2120352]
- s390/mm,gmap: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352]
- s390/mm,hugetlb: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352]
- s390/mm,pageattr: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352]
- s390/mm,pgtable: don't use pte_val()/pXd_val() as lvalue (Chris von Recklinghausen) [2120352]
- s390/mm: use set_pXd()/set_pte() helper functions everywhere (Chris von Recklinghausen) [2120352]
- s390/mm: add set_pte_bit()/clear_pte_bit() helper functions (Chris von Recklinghausen) [2120352]
- s390/mm: add set_pXd()/set_pte() helper functions (Chris von Recklinghausen) [2120352]
- s390/setup: preserve memory at OLDMEM_BASE and OLDMEM_SIZE (Chris von Recklinghausen) [2120352]
- powerpc/mm/numa: skip NUMA_NO_NODE onlining in parse_numa_properties() (Chris von Recklinghausen) [2120352]
- hugetlbfs: fix a truncation issue in hugepages parameter (Chris von Recklinghausen) [2120352]
- x86/pat: Remove the unused set_pages_array_wt() function (Chris von Recklinghausen) [2120352]
- powerpc/mm: Update default hugetlb size early (Chris von Recklinghausen) [2120352]
- powerpc/32s: Enable STRICT_MODULE_RWX for the 603 core (Chris von Recklinghausen) [2120352]
- powerpc: Add set_memory_{p/np}() and remove set_memory_attr() (Chris von Recklinghausen) [2120352]
- powerpc/set_memory: Avoid spinlock recursion in change_page_attr() (Chris von Recklinghausen) [2120352]
- s390/mm: use CRST_ALLOC_ORDER instead of number (Chris von Recklinghausen) [2120352]
- s390/maccess: fix semantics of memcpy_real() and its callers (Chris von Recklinghausen) [2120352]
- s390/dump: fix old lowcore virtual vs physical address confusion (Chris von Recklinghausen) [2120352]
- s390/maccess: fix absolute lowcore virtual vs physical address confusion (Chris von Recklinghausen) [2120352]
- s390: remove invalid email address of Heiko Carstens (Chris von Recklinghausen) [2120352]
- mm/page_table_check: check entries at pmd levels (Chris von Recklinghausen) [2120352]
- mm/khugepaged: unify collapse pmd clear, flush and free (Chris von Recklinghausen) [2120352]
- powerpc/kasan: Fix early region not updated correctly (Chris von Recklinghausen) [2120352]
- powerpc/ptdump: Fix sparse warning in hashpagetable.c (Chris von Recklinghausen) [2120352]
- ia64: make IA64_MCA_RECOVERY bool instead of tristate (Chris von Recklinghausen) [2120352]
- docs/vm: Fix typo in *harden* (Chris von Recklinghausen) [2120352]
- powerpc/fixmap: Fix VM debug warning on unmap (Chris von Recklinghausen) [2120352]
- powerpc/32s: Fix kasan_init_region() for KASAN (Chris von Recklinghausen) [2120352]
- mm/thp: drop unused trace events hugepage_[invalidate|splitting] (Chris von Recklinghausen) [2120352]
- x86: mm: add x86_64 support for page table check (Chris von Recklinghausen) [2120352]
- mm: page table check (Chris von Recklinghausen) [2120352]
- mm: ptep_clear() page table helper (Chris von Recklinghausen) [2120352]
- mm: change page type prior to adding page table entry (Chris von Recklinghausen) [2120352]
- mm: remove redundant check about FAULT_FLAG_ALLOW_RETRY bit (Chris von Recklinghausen) [2120352]
- powerpc/mm: Add __init attribute to eligible functions (Chris von Recklinghausen) [2120352]
- x86/mm: Prevent early boot triple-faults with instrumentation (Chris von Recklinghausen) [2120352]
- powerpc/ptdump: Fix DEBUG_WX since generic ptdump conversion (Chris von Recklinghausen) [2120352]
- s390/crash_dump: fix virtual vs physical address handling (Chris von Recklinghausen) [2120352]
- powerpc/64s/radix: Fix huge vmap false positive (Chris von Recklinghausen) [2120352]
- s390/sclp: release SCLP early buffer after kernel initialization (Chris von Recklinghausen) [2120352]
- hugetlbfs: fix issue of preallocation of gigantic pages can't work (Chris von Recklinghausen) [2120352]
- s390/pgalloc: use pointers instead of unsigned long values (Chris von Recklinghausen) [2120352]
- s390/pgalloc: add virt/phys address handling to base asce functions (Chris von Recklinghausen) [2120352]
- s390/cmm: add missing virt_to_phys() conversion (Chris von Recklinghausen) [2120352]
- s390/diag: use pfn_to_phys() instead of open coding (Chris von Recklinghausen) [2120352]
- s390/mm: add missing phys_to_virt translation to page table dumper (Chris von Recklinghausen) [2120352]
- powerpc/32s: Allocate one 256k IBAT instead of two consecutives 128k IBATs (Chris von Recklinghausen) [2120352]
- powerpc: Remove CONFIG_PPC_HAVE_KUAP and CONFIG_PPC_HAVE_KUEP (Chris von Recklinghausen) [2120352]
- powerpc/kuap: Wire-up KUAP on book3e/64 (Chris von Recklinghausen) [2120352]
- powerpc/kuap: Wire-up KUAP on 85xx in 32 bits mode. (Chris von Recklinghausen) [2120352]
- powerpc/kuap: Wire-up KUAP on 40x (Chris von Recklinghausen) [2120352]
- powerpc/kuap: Wire-up KUAP on 44x (Chris von Recklinghausen) [2120352]
- powerpc: Add KUAP support for BOOKE and 40x (Chris von Recklinghausen) [2120352]
- powerpc/kuap: Make PPC_KUAP_DEBUG depend on PPC_KUAP only (Chris von Recklinghausen) [2120352]
- powerpc/kuap: Prepare for supporting KUAP on BOOK3E/64 (Chris von Recklinghausen) [2120352]
- powerpc/config: Add CONFIG_BOOKE_OR_40x (Chris von Recklinghausen) [2120352]
- powerpc/nohash: Move setup_kuap out of 8xx.c (Chris von Recklinghausen) [2120352]
- powerpc/kuap: Add kuap_lock() (Chris von Recklinghausen) [2120352]
- powerpc/kuap: Remove __kuap_assert_locked() (Chris von Recklinghausen) [2120352]
- powerpc/kuap: Check KUAP activation in generic functions (Chris von Recklinghausen) [2120352]
- powerpc/kuap: Add a generic intermediate layer (Chris von Recklinghausen) [2120352]
- powerpc/kuep: Remove 'nosmep' boot time parameter except for book3s/64 (Chris von Recklinghausen) [2120352]
- powerpc/32s: Save content of sr0 to avoid 'mfsr' (Chris von Recklinghausen) [2120352]
- powerpc/32s: Do kuep_lock() and kuep_unlock() in assembly (Chris von Recklinghausen) [2120352]
- powerpc/32s: Remove capability to disable KUEP at boottime (Chris von Recklinghausen) [2120352]
- powerpc/book3e: Activate KUEP at all time (Chris von Recklinghausen) [2120352]
- powerpc/44x: Activate KUEP at all time (Chris von Recklinghausen) [2120352]
- powerpc/8xx: Activate KUEP at all time (Chris von Recklinghausen) [2120352]
- Revert "powerpc: Inline setup_kup()" (Chris von Recklinghausen) [2120352]
- powerpc/microwatt: add POWER9_CPU, clear PPC_64S_HASH_MMU (Chris von Recklinghausen) [2120352]
- powerpc/64s: Move hash MMU support code under CONFIG_PPC_64S_HASH_MMU (Chris von Recklinghausen) [2120352]
- powerpc/64s: Make hash MMU support configurable (Chris von Recklinghausen) [2120352]
- powerpc/64s: Always define arch unmapped area calls (Chris von Recklinghausen) [2120352]
- powerpc/64s: Fix radix MMU when MMU_FTR_HPTE_TABLE is clear (Chris von Recklinghausen) [2120352]
- powerpc/64e: remove mmu_linear_psize (Chris von Recklinghausen) [2120352]
- x86/mm/64: Flush global TLB on boot and AP bringup (Chris von Recklinghausen) [2120352]
- x86/realmode: Add comment for Global bit usage in trampoline_pgd (Chris von Recklinghausen) [2120352]
- powerpc: make memremap_compat_align 64s-only (Chris von Recklinghausen) [2120352]
- powerpc/64: pcpu setup avoid reading mmu_linear_psize on 64e or radix (Chris von Recklinghausen) [2120352]
- powerpc/64s: Rename hash_hugetlbpage.c to hugetlbpage.c (Chris von Recklinghausen) [2120352]
- powerpc/64s: move page size definitions from hash specific file (Chris von Recklinghausen) [2120352]
- powerpc/64s: Make flush_and_reload_slb a no-op when radix is enabled (Chris von Recklinghausen) [2120352]
- powerpc/64s: move THP trace point creation out of hash specific file (Chris von Recklinghausen) [2120352]
- powerpc/pseries: lparcfg don't include slb_size line in radix mode (Chris von Recklinghausen) [2120352]
- powerpc/pseries: move process table registration away from hash-specific code (Chris von Recklinghausen) [2120352]
- powerpc/64s: Move and rename do_bad_slb_fault as it is not hash specific (Chris von Recklinghausen) [2120352]
- powerpc/pseries: Stop selecting PPC_HASH_MMU_NATIVE (Chris von Recklinghausen) [2120352]
- powerpc: Rename PPC_NATIVE to PPC_HASH_MMU_NATIVE (Chris von Recklinghausen) [2120352]
- powerpc: Remove unused FW_FEATURE_NATIVE references (Chris von Recklinghausen) [2120352]
- powerpc/32s: Fix shift-out-of-bounds in KASAN init (Chris von Recklinghausen) [2120352]
- powerpc/64s: Get LPID bit width from device tree (Chris von Recklinghausen) [2120352]
- powerpc: flexible GPR range save/restore macros (Chris von Recklinghausen) [2120352]
- powerpc/ptdump: Fix display a BAT's size unit (Chris von Recklinghausen) [2120352]
- Revert "powerpc/code-patching: Improve verification of patchability" (Chris von Recklinghausen) [2120352]
- powerpc/code-patching: Improve verification of patchability (Chris von Recklinghausen) [2120352]
- s390/dump: fix copying to user-space of swapped kdump oldmem (Chris von Recklinghausen) [2120352]
- powerpc/book3e: Fix TLBCAM preset at boot (Chris von Recklinghausen) [2120352]
- powerpc/pseries: Fix numa FORM2 parsing fallback code (Chris von Recklinghausen) [2120352]
- powerpc/pseries: rename numa_dist_table to form2_distances (Chris von Recklinghausen) [2120352]
- kasan: add kasan mode messages when kasan init (Chris von Recklinghausen) [2120352]
- arm64/bpf: Remove 128MB limit for BPF JIT programs (Chris von Recklinghausen) [2120352]
- hugetlbfs: extend the definition of hugepages parameter to support node allocation (Chris von Recklinghausen) [2120352]
- memblock: stop aliasing __memblock_free_late with memblock_free_late (Chris von Recklinghausen) [2120352]
- hugetlb: support node specified when using cma for gigantic hugepages (Chris von Recklinghausen) [2120352]
- hugetlb: add hugetlb demote page support (Chris von Recklinghausen) [2120352]
- hugetlb: add demote bool to gigantic page routines (Chris von Recklinghausen) [2120352]
- hugetlb: be sure to free demoted CMA pages to CMA (Chris von Recklinghausen) [2120352]
- mm/cma: add cma_pages_valid to determine if pages are in CMA (Chris von Recklinghausen) [2120352]
- hugetlb: add demote hugetlb page sysfs interfaces (Chris von Recklinghausen) [2120352]
- s390: use generic version of arch_is_kernel_initmem_freed() (Chris von Recklinghausen) [2120352]
- powerpc: use generic version of arch_is_kernel_initmem_freed() (Chris von Recklinghausen) [2120352]
- mm: remove redundant smp_wmb() (Chris von Recklinghausen) [2120352]
- mm: introduce pmd_install() helper (Chris von Recklinghausen) [2120352]
- powerpc: Don't provide __kernel_map_pages() without ARCH_SUPPORTS_DEBUG_PAGEALLOC (Chris von Recklinghausen) [2120352]
- powerpc/fsl_booke: Fix setting of exec flag when setting TLBCAMs (Chris von Recklinghausen) [2120352]
- powerpc/book3e: Fix set_memory_x() and set_memory_nx() (Chris von Recklinghausen) [2120352]
- powerpc/nohash: Fix __ptep_set_access_flags() and ptep_set_wrprotect() (Chris von Recklinghausen) [2120352]
- powerpc/64s: Default to 64K pages for 64 bit book3s (Chris von Recklinghausen) [2120352]
- s390: make command line configurable (Chris von Recklinghausen) [2120352]
- s390: support command lines longer than 896 bytes (Chris von Recklinghausen) [2120352]
- s390/kexec_file: move kernel image size check (Chris von Recklinghausen) [2120352]
- s390/setup: use physical pointers for memblock_reserve() (Chris von Recklinghausen) [2120352]
- s390/pgtable: use physical address for Page-Table Origin (Chris von Recklinghausen) [2120352]
- s390/mm: optimize reset_guest_reference_bit() (Chris von Recklinghausen) [2120352]
- s390/mm: optimize set_guest_storage_key() (Chris von Recklinghausen) [2120352]
- s390/mm: no need for pte_alloc_map_lock() if we know the pmd is present (Chris von Recklinghausen) [2120352]
- s390/mm: fix VMA and page table handling code in storage key handling functions (Chris von Recklinghausen) [2120352]
- s390/mm: validate VMA in PGSTE manipulation functions (Chris von Recklinghausen) [2120352]
- powerpc/32: Don't use a struct based type for pte_t (Chris von Recklinghausen) [2120352]
- powerpc/8xx: Simplify TLB handling (Chris von Recklinghausen) [2120352]
- powerpc/32: Don't use lmw/stmw for saving/restoring non volatile regs (Chris von Recklinghausen) [2120352]
- powerpc/fsl_booke: Enable STRICT_KERNEL_RWX (Chris von Recklinghausen) [2120352]
- powerpc/fsl_booke: Update of TLBCAMs after init (Chris von Recklinghausen) [2120352]
- powerpc/fsl_booke: Allocate separate TLBCAMs for readonly memory (Chris von Recklinghausen) [2120352]
- powerpc/fsl_booke: Tell map_mem_in_cams() if init is done (Chris von Recklinghausen) [2120352]
- powerpc/fsl_booke: Enable reloading of TLBCAM without switching to AS1 (Chris von Recklinghausen) [2120352]
- powerpc/fsl_booke: Take exec flag into account when setting TLBCAMs (Chris von Recklinghausen) [2120352]
- powerpc/fsl_booke: Rename fsl_booke.c to fsl_book3e.c (Chris von Recklinghausen) [2120352]
- powerpc/booke: Disable STRICT_KERNEL_RWX, DEBUG_PAGEALLOC and KFENCE (Chris von Recklinghausen) [2120352]
- powerpc/s64: Clarify that radix lacks DEBUG_PAGEALLOC (Chris von Recklinghausen) [2120352]
- signal/sparc32: Remove unreachable do_exit in do_sparc_fault (Chris von Recklinghausen) [2120352]
- reboot: Remove the unreachable panic after do_exit in reboot(2) (Chris von Recklinghausen) [2120352]
- exit: Remove calls of do_exit after noreturn versions of die (Chris von Recklinghausen) [2120352]
- exit/doublefault: Remove apparently bogus comment about rewind_stack_do_exit (Chris von Recklinghausen) [2120352]
- powerpc: Set max_mapnr correctly (Chris von Recklinghausen) [2120352]
- s390/cmm: use string_upper() instead of open coded variant (Chris von Recklinghausen) [2120352]
- powerpc/mem: Fix arch/powerpc/mm/mem.c:53:12: error: no previous prototype for 'create_section_mapping' (Chris von Recklinghausen) [2120352]
- powerpc/32s: Fix kuap_kernel_restore() (Chris von Recklinghausen) [2120352]
- s390/boot: allocate amode31 section in decompressor (Chris von Recklinghausen) [2120352]
- s390/boot: initialize control registers in decompressor (Chris von Recklinghausen) [2120352]
- x86/mm/64: Improve stack overflow warnings (Chris von Recklinghausen) [2120352]
- x86/fault: Fix wrong signal when vsyscall fails with pkey (Chris von Recklinghausen) [2120352]
- x86/mm: Fix kern_addr_valid() to cope with existing but not present entries (Chris von Recklinghausen) [2120352]
- x86/pat: Pass valid address to sanitize_phys() (Chris von Recklinghausen) [2120352]
- powerpc/ptdump: Fix generic ptdump for 64-bit (Chris von Recklinghausen) [2120352]
- powerpc/numa: Update cpu_cpu_map on CPU online/offline (Chris von Recklinghausen) [2120352]
- powerpc/numa: Print debug statements only when required (Chris von Recklinghausen) [2120352]
- powerpc/numa: convert printk to pr_xxx (Chris von Recklinghausen) [2120352]
- powerpc/numa: Drop dbg in favour of pr_debug (Chris von Recklinghausen) [2120352]
- powerpc/smp: Enable CACHE domain for shared processor (Chris von Recklinghausen) [2120352]
- powerpc/smp: Fix a crash while booting kvm guest with nr_cpus=2 (Chris von Recklinghausen) [2120352]
- powerpc: Refactor verification of MSR_RI (Chris von Recklinghausen) [2120352]
- powerpc: Remove MSR_PR check in interrupt_exit_{user/kernel}_prepare() (Chris von Recklinghausen) [2120352]
- s390/mm,pageattr: fix walk_pte_level() early exit (Chris von Recklinghausen) [2120352]
- s390: fix typo in linker script (Chris von Recklinghausen) [2120352]
- s390/boot: factor out offset_vmlinux_info() function (Chris von Recklinghausen) [2120352]
- s390/kasan: fix large PMD pages address alignment check (Chris von Recklinghausen) [2120352]
- powerpc/ptdump: Convert powerpc to GENERIC_PTDUMP (Chris von Recklinghausen) [2120352]
- powerpc/ptdump: Reduce level numbers by 1 in note_page() and add p4d level (Chris von Recklinghausen) [2120352]
- powerpc/ptdump: Remove unused 'page_size' parameter (Chris von Recklinghausen) [2120352]
- powerpc/ptdump: Use DEFINE_SHOW_ATTRIBUTE() (Chris von Recklinghausen) [2120352]
- powerpc: Avoid link stack corruption in misc asm functions (Chris von Recklinghausen) [2120352]
- powerpc/booke: Avoid link stack corruption in several places (Chris von Recklinghausen) [2120352]
- s390/sclp: reserve memory occupied by sclp early buffer (Chris von Recklinghausen) [2120352]
- s390/mm: remove unused cmma functions (Chris von Recklinghausen) [2120352]
- powerpc: rename powerpc_debugfs_root to arch_debugfs_dir (Chris von Recklinghausen) [2120352]
- powerpc/book3s64/radix: make tlb_single_page_flush_ceiling a debugfs entry (Chris von Recklinghausen) [2120352]
- s390: rename dma section to amode31 (Chris von Recklinghausen) [2120352]
- s390/mm: use page_to_virt() in __kernel_map_pages() (Chris von Recklinghausen) [2120352]
- s390: add kfence region to pagetable dumper (Chris von Recklinghausen) [2120352]
- s390: add support for KFENCE (Chris von Recklinghausen) [2120352]
- s390/mm: implement set_memory_4k() (Chris von Recklinghausen) [2120352]
- s390/boot: get rid of arithmetics on function pointers (Chris von Recklinghausen) [2120352]
- s390/setup: don't reserve memory that occupied decompressor's head (Chris von Recklinghausen) [2120352]
- s390/boot: move dma sections from decompressor to decompressed kernel (Chris von Recklinghausen) [2120352]
- s390/ctl_reg: add ctlreg5 and ctlreg15 unions (Chris von Recklinghausen) [2120352]
- s390/boot: make _diag308_reset_dma() position-independent (Chris von Recklinghausen) [2120352]
- s390/boot: move EP_OFFSET and EP_STRING to head.S (Chris von Recklinghausen) [2120352]
- s390/setup: generate asm offsets from struct parmarea (Chris von Recklinghausen) [2120352]
- s390/setup: drop _OFFSET macros (Chris von Recklinghausen) [2120352]
- s390/setup: remove unused symbolic constants for C code from setup.h (Chris von Recklinghausen) [2120352]
- s390/dump: introduce boot data 'oldmem_data' (Chris von Recklinghausen) [2120352]
- s390/boot: introduce boot data 'initrd_data' (Chris von Recklinghausen) [2120352]
- s390/boot: move sclp early buffer from fixed address in asm to C (Chris von Recklinghausen) [2120352]
- s390/boot: get rid of magic numbers for startup offsets (Chris von Recklinghausen) [2120352]
- s390/mm: don't print hashed values for pte_ERROR() & friends (Chris von Recklinghausen) [2120352]
- s390/mm: use pr_err() instead of printk() for pte_ERROR & friends (Chris von Recklinghausen) [2120352]
- s390/sclp: use only one sclp early buffer to send commands (Chris von Recklinghausen) [2120352]
- s390/boot: make stacks part of the decompressor's image (Chris von Recklinghausen) [2120352]
- powerpc/kexec: blacklist functions called in real mode for kprobe (Chris von Recklinghausen) [2120352]
- Revert "s390/mm: do not trigger write fault when vma does not allow VM_WRITE" (Chris von Recklinghausen) [2120352]
- Revert "s390/boot: move sclp early buffer from fixed address in asm to C" (Chris von Recklinghausen) [2120352]
- Revert "s390/kexec_file: move kernel image size check" (Chris von Recklinghausen) [2120352]
- Revert "s390: support command lines longer than 896 bytes" (Rafael Aquini) [2120352]
- Revert "s390: make command line configurable" (Chris von Recklinghausen) [2120352]
- Revert "s390/sclp: reserve memory occupied by sclp early buffer" (Chris von Recklinghausen) [2120352]
- Revert "rhel: configs: add config option CONFIG_COMMAND_LINE_SIZE" (Chris von Recklinghausen) [2120352]
- Revert "mm: thp: consolidate mapcount logic on THP split" (Chris von Recklinghausen) [2120352]
- Revert "mm: thp: make the THP mapcount atomic with a seqlock" (Chris von Recklinghausen) [2120352]
- Revert "mm: thp: stabilize the THP mapcount in page_remove_anon_compound_rmap" (Chris von Recklinghausen) [2120352]
- Revert "mm: thp: introduce page_trans_huge_anon_shared" (Chris von Recklinghausen) [2120352]
- Revert "mm: gup: COR: copy-on-read fault" (Chris von Recklinghausen) [2120352]
- Revert "mm: gup: gup_must_unshare()" (Chris von Recklinghausen) [2120352]
- Revert "mm: gup: FOLL_UNSHARE" (Chris von Recklinghausen) [2120352]
- Revert "mm: gup: FOLL_NOUNSHARE: optimize follow_page" (Chris von Recklinghausen) [2120352]
- Revert "mm: gup: FOLL_UNSHARE RHEL" (Chris von Recklinghausen) [2120352]
- Revert "mm: hugetlbfs: COR: copy-on-read fault" (Chris von Recklinghausen) [2120352]
- Revert "mm: hugetlbfs: FOLL_FAULT_UNSHARE" (Chris von Recklinghausen) [2120352]
- Revert "mm: hugetlbfs: gup: gup_must_unshare(): enable hugetlbfs" (Chris von Recklinghausen) [2120352]
- Revert "mm: gup: gup_must_unshare() use can_read_pin_swap_page()" (Chris von Recklinghausen) [2120352]
- Revert "mm: COW: skip the page lock in the COW copy path" (Chris von Recklinghausen) [2120352]
- Revert "mm: thp: replace the page lock with the seqlock for the THP mapcount" (Chris von Recklinghausen) [2120352]
- Revert "mm: COW: restore full accuracy in page reuse" (Chris von Recklinghausen) [2120352]
- selftest/vm: uninitialized variable in main() (Chris von Recklinghausen) [2120352]
- selftest/vm: add skip support to mremap_test (Chris von Recklinghausen) [2120352]
- selftest/vm: support xfail in mremap_test (Chris von Recklinghausen) [2120352]
- selftest/vm: verify remap destination address in mremap_test (Chris von Recklinghausen) [2120352]
- selftest/vm: verify mmap addr in mremap_test (Chris von Recklinghausen) [2120352]
- selftests/vm: cleanup hugetlb file after mremap test (Chris von Recklinghausen) [2120352]
- mm, hugepages: make memory size variable in hugepage-mremap selftest (Chris von Recklinghausen) [2120352]
- mm: remove duplicate include in hugepage-mremap.c (Chris von Recklinghausen) [2120352]
- mm, hugepages: add hugetlb vma mremap() test (Chris von Recklinghausen) [2120352]
Resolves: rhbz#2112028, rhbz#2124693, rhbz#2124526, rhbz#2090382, rhbz#2122632, rhbz#2059161, rhbz#2130054, rhbz#2132140, rhbz#2120352, rhbz#2099722

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-24 15:28:31 -04:00
Frantisek Hrbata
b9516e0583 kernel-5.14.0-178.el9
* Fri Oct 21 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-178.el9]
- s390/dasd: Establish DMA alignment (Ming Lei) [2118511]
- md/raid10: Fix the data type of an r10_sync_page_io() argument (Ming Lei) [2118511]
- blk-lib: fix blkdev_issue_secure_erase (Ming Lei) [2118511]
- block: blk_queue_enter() / __bio_queue_enter() must return -EAGAIN for nowait (Ming Lei) [2118511]
- block: add missing request flags to debugfs code (Ming Lei) [2118511]
- block: don't add partitions if GD_SUPPRESS_PART_SCAN is set (Ming Lei) [2118511]
- loop: Check for overflow while configuring loop (Ming Lei) [2118511]
- blk-mq: fix io hung due to missing commit_rqs (Ming Lei) [2118511]
- blk-mq: run queue no matter whether the request is the last request (Ming Lei) [2118511]
- blk-mq: remove unused function blk_mq_queue_stopped() (Ming Lei) [2118511]
- block: Do not call blk_put_queue() if gendisk allocation fails (Ming Lei) [2118511]
- block: fix leaking page ref on truncated direct io (Ming Lei) [2118511]
- block: ensure bio_iov_add_page can't fail (Ming Lei) [2118511]
- block: ensure iov_iter advances for added pages (Ming Lei) [2118511]
- block: pass struct queue_limits to the bio splitting helpers (Ming Lei) [2118511]
- block: move bio_allowed_max_sectors to blk-merge.c (Ming Lei) [2118511]
- block: move the call to get_max_io_size out of blk_bio_segment_split (Ming Lei) [2118511]
- block: move ->bio_split to the gendisk (Ming Lei) [2118511]
- block: change the blk_queue_bounce calling convention (Ming Lei) [2118511]
- block: change the blk_queue_split calling convention (Ming Lei) [2118511]
- remove the sx8 block driver (Ming Lei) [2118511]
- nbd: add missing definition of pr_fmt (Ming Lei) [2118511]
- null_blk: fix ida error handling in null_add_dev() (Ming Lei) [2118511]
- null_blk: add configfs variables for 2 options (Ming Lei) [2118511]
- null_blk: add module parameters for 4 options (Ming Lei) [2118511]
- block/rnbd-srv: Replace sess_dev_list with index_idr (Ming Lei) [2118511]
- block/rnbd-srv: Set keep_id to true after mutex_trylock (Ming Lei) [2118511]
- rnbd-clt: make rnbd_clt_change_capacity return void (Ming Lei) [2118511]
- rnbd-clt: pass sector_t type for resize capacity (Ming Lei) [2118511]
- rnbd-clt: check capacity inside rnbd_clt_change_capacity (Ming Lei) [2118511]
- rnbd-clt: adjust the layout of struct rnbd_clt_dev (Ming Lei) [2118511]
- rnbd-clt: reduce the size of struct rnbd_clt_dev (Ming Lei) [2118511]
- rnbd-clt: kill read_only from struct rnbd_clt_dev (Ming Lei) [2118511]
- rnbd-clt: don't free rsp in msg_open_conf for map scenario (Ming Lei) [2118511]
- rnbd-clt: open code send_msg_open in rnbd_clt_map_device (Ming Lei) [2118511]
- block: null_blk: Use the bitmap API to allocate bitmaps (Ming Lei) [2118511]
- drbd: bm_page_async_io: fix spurious bitmap "IO error" on large volumes (Ming Lei) [2118511]
- block: remove __blk_get_queue (Ming Lei) [2118511]
- block: call blk_mq_exit_queue from disk_release for never added disks (Ming Lei) [2118511]
- blk-mq: fix error handling in __blk_mq_alloc_disk (Ming Lei) [2118511]
- block: don't allow the same type rq_qos add more than once (Ming Lei) [2118511]
- mmc: fix disk/queue leak in case of adding disk failure (Ming Lei) [2118511]
- blktrace: Fix the blk_fill_rwbs() kernel-doc header (Ming Lei) [2118511]
- fs/buffer: Fix the ll_rw_block() kernel-doc header (Ming Lei) [2118511]
- fs/zonefs: Use the enum req_op type for tracing request operations (Ming Lei) [2118511]
- fs/xfs: Use the enum req_op and blk_opf_t types (Ming Lei) [2118511]
- PM: Use the enum req_op and blk_opf_t types (Ming Lei) [2118511]
- fs/ocfs2: Use the enum req_op and blk_opf_t types (Ming Lei) [2118511]
- fs/nfs: Use enum req_op where appropriate (Ming Lei) [2118511]
- fs/jbd2: Fix the documentation of the jbd2_write_superblock() callers (Ming Lei) [2118511]
- fs/hfsplus: Use the enum req_op and blk_opf_t types (Ming Lei) [2118511]
- fs/gfs2: Use the enum req_op and blk_opf_t types (Ming Lei) [2118511]
- fs/ext4: Use the new blk_opf_t type (Ming Lei) [2118511]
- fs/mpage: Use the new blk_opf_t type (Ming Lei) [2118511]
- fs/direct-io: Reduce the size of struct dio (Ming Lei) [2118511]
- fs/buffer: Combine two submit_bh() and ll_rw_block() arguments (Ming Lei) [2118511]
- fs/buffer: Use the new blk_opf_t type (Ming Lei) [2118511]
- mm: Use the new blk_opf_t type (Ming Lei) [2118511]
- scsi/target: Use the new blk_opf_t type (Ming Lei) [2118511]
- scsi/device_handlers: Use the new blk_opf_t type (Ming Lei) [2118511]
- scsi/core: Use the new blk_opf_t type (Ming Lei) [2118511]
- scsi/core: Change the return type of scsi_noretry_cmd() into bool (Ming Lei) [2118511]
- scsi/core: Improve static type checking (Ming Lei) [2118511]
- nvme/target: Use the new blk_opf_t type (Ming Lei) [2118511]
- md/raid5: Use the enum req_op and blk_opf_t types (Ming Lei) [2118511]
- md/raid10: Use the new blk_opf_t type (Ming Lei) [2118511]
- md/raid1: Use the new blk_opf_t type (Ming Lei) [2118511]
- md/bcache: Combine two prio_io() arguments (Ming Lei) [2118511]
- md/bcache: Combine two uuid_io() arguments (Ming Lei) [2118511]
- md/core: Combine two sync_page_io() arguments (Ming Lei) [2118511]
- dm/dm-zoned: Use the enum req_op type (Ming Lei) [2118511]
- dm/zone: Use the enum req_op type (Ming Lei) [2118511]
- dm-snap: Combine request operation type and flags (Ming Lei) [2118511]
- dm mirror log: Use the new blk_opf_t type (Ming Lei) [2118511]
- dm/dm-integrity: Combine request operation and flags (Ming Lei) [2118511]
- dm/dm-flakey: Use the new blk_opf_t type (Ming Lei) [2118511]
- dm/ebs: Change 'int rw' into 'enum req_op op' (Ming Lei) [2118511]
- dm/core: Combine request operation type and flags (Ming Lei) [2118511]
- dm/core: Rename kcopyd_job.rw into kcopyd.op (Ming Lei) [2118511]
- dm/core: Reduce the size of struct dm_io_request (Ming Lei) [2118511]
- um: Use enum req_op where appropriate (Ming Lei) [2118511]
- nvdimm-btt: Use the enum req_op type (Ming Lei) [2118511]
- block/zram: Use enum req_op where appropriate (Ming Lei) [2118511]
- xen-blkback: Use the enum req_op and blk_opf_t types (Ming Lei) [2118511]
- block/rnbd: Use blk_opf_t where appropriate (Ming Lei) [2118511]
- block/floppy: Fix a sparse warning (Ming Lei) [2118511]
- block/drbd: Combine two drbd_submit_peer_request() arguments (Ming Lei) [2118511]
- block/drbd: Use the enum req_op and blk_opf_t types (Ming Lei) [2118511]
- block/brd: Use the enum req_op type (Ming Lei) [2118511]
- blktrace: Use the new blk_opf_t type (Ming Lei) [2118511]
- blktrace: Trace remapped requests correctly (Ming Lei) [2118511]
- block/kyber: Use the new blk_opf_t type (Ming Lei) [2118511]
- block/mq-deadline: Use the new blk_opf_t type (Ming Lei) [2118511]
- block/bfq: Use the new blk_opf_t type (Ming Lei) [2118511]
- block: Use the new blk_opf_t type (Ming Lei) [2118511]
- block: Introduce the type blk_opf_t (Ming Lei) [2118511]
- block: Change the type of req_op() and bio_op() into enum req_op (Ming Lei) [2118511]
- block: Change the type of the last .rw_page() argument (Ming Lei) [2118511]
- block: Use enum req_op where appropriate (Ming Lei) [2118511]
- treewide: Rename enum req_opf into enum req_op (Ming Lei) [2118511]
- block: remove bdevname (Ming Lei) [2118511]
- ext4: only initialize mmp_bdevname once (Ming Lei) [2118511]
- ocfs2/cluster: remove the hr_dev_name field from struct o2hb_region (Ming Lei) [2118511]
- rnbd-srv: remove the name field from struct rnbd_dev (Ming Lei) [2118511]
- pktcdvd: stop using bdevname in pkt_new_dev (Ming Lei) [2118511]
- pktcdvd: stop using bdevname in pkt_seq_show (Ming Lei) [2118511]
- drbd: stop using bdevname in drbd_report_io_error (Ming Lei) [2118511]
- block: stop using bdevname in __blkdev_issue_discard (Ming Lei) [2118511]
- block: stop using bdevname in bdev_write_inode (Ming Lei) [2118511]
- blk-cgroup: Use atomic{,64}_try_cmpxchg (Ming Lei) [2118511]
- blk-iolatency: Use atomic{,64}_try_cmpxchg (Ming Lei) [2118511]
- block: Use try_cmpxchg in update_io_ticks (Ming Lei) [2118511]
- block/rq_qos: Use atomic_try_cmpxchg in atomic_inc_below (Ming Lei) [2118511]
- block: move zone related fields to struct gendisk (Ming Lei) [2118511]
- block: remove blk_queue_zone_sectors (Ming Lei) [2118511]
- dm-zoned: cleanup dmz_fixup_devices (Ming Lei) [2118511]
- nvmet:: use bdev based helpers in nvmet_bdev_zone_mgmt_emulate_all (Ming Lei) [2118511]
- block: use bdev based helpers in blkdev_zone_mgmt{,all} (Ming Lei) [2118511]
- block: replace blkdev_nr_zones with bdev_nr_zones (Ming Lei) [2118511]
- block: pass a gendisk to blk_queue_max_open_zones and blk_queue_max_active_zones (Ming Lei) [2118511]
- block: remove queue_max_open_zones and queue_max_active_zones (Ming Lei) [2118511]
- block: pass a gendisk to blk_queue_free_zone_bitmaps (Ming Lei) [2118511]
- block: pass a gendisk to blk_queue_clear_zone_settings (Ming Lei) [2118511]
- block: pass a gendisk to blk_queue_set_zoned (Ming Lei) [2118511]
- block: simplify blk_check_zone_append (Ming Lei) [2118511]
- block: simplify blk_mq_plug (Ming Lei) [2118511]
- block: use bdev_is_zoned instead of open coding it (Ming Lei) [2118511]
- block: call blk_queue_free_zone_bitmaps from disk_release (Ming Lei) [2118511]
- block: remove a superflous ifdef in blkdev.h (Ming Lei) [2118511]
- blk-mq: Drop local variable for reserved tag (Ming Lei) [2118511]
- blk-mq: Drop 'reserved' arg of busy_tag_iter_fn (Ming Lei) [2118511]
- scsi: fnic: Drop reserved request handling (Ming Lei) [2118511]
- blk-mq: Drop blk_mq_ops.timeout 'reserved' arg (Ming Lei) [2118511]
- blk-mq: Add a flag for reserved requests (Ming Lei) [2118511]
- scsi: core: Remove reserved request time-out handling (Ming Lei) [2118511]
- blk-cgroup: factor out blkcg_free_all_cpd() (Ming Lei) [2118511]
- blk-cgroup: factor out blkcg_iostat_update() (Ming Lei) [2118511]
- block: simplify disk_set_independent_access_ranges (Ming Lei) [2118511]
- block: move ->ia_ranges from the request_queue to the gendisk (Ming Lei) [2118511]
- block: remove "select BLK_RQ_IO_DATA_LEN" from BLK_CGROUP_IOCOST dependency (Ming Lei) [2118511]
- blk-mq: cleanup disk sysfs registration (Ming Lei) [2118511]
- blk-mq: rename blk_mq_sysfs_{,un}register (Ming Lei) [2118511]
- block: remove the extra gendisk reference in __blk_mq_register_dev (Ming Lei) [2118511]
- block: use default groups to register the queue attributes (Ming Lei) [2118511]
- block: remove a superflous queue kobject reference (Ming Lei) [2118511]
- block: simplify blktrace sysfs attribute creation (Ming Lei) [2118511]
- block: remove blk_cleanup_disk (Ming Lei) [2118511]
- block: simplify disk shutdown (Ming Lei) [2118511]
- block: stop setting the nomerges flags in blk_cleanup_queue (Ming Lei) [2118511]
- block: remove QUEUE_FLAG_DEAD (Ming Lei) [2118511]
- mtip32xx: fix device removal (Ming Lei) [2118511]
- mtip32xx: remove the device_status debugfs file (Ming Lei) [2118511]
- blk-mq: blk_mq_tag_busy is no need to return a value (Ming Lei) [2118511]
- block: Always initialize bio IO priority on submit (Ming Lei) [2118511]
- block: Initialize bio priority earlier (Ming Lei) [2118511]
- blk-ioprio: Convert from rqos policy to direct call (Ming Lei) [2118511]
- blk-ioprio: Remove unneeded field (Ming Lei) [2118511]
- block: Fix handling of tasks without ioprio in ioprio_get(2) (Ming Lei) [2118511]
- block: Make ioprio_best() static (Ming Lei) [2118511]
- block: Generalize get_current_ioprio() for any task (Ming Lei) [2118511]
- block: Return effective IO priority from get_current_ioprio() (Ming Lei) [2118511]
- block: fix default IO priority handling again (Ming Lei) [2118511]
- blk-mq: Don't disable preemption around __blk_mq_run_hw_queue(). (Ming Lei) [2118511]
- block: bfq: Fix kernel-doc headers (Ming Lei) [2118511]
- block: bfq: Remove an unused function definition (Ming Lei) [2118511]
- bfq: Remove useless code in bfq_lookup_next_entity (Ming Lei) [2118511]
- block: move blk_queue_get_max_sectors to blk.h (Ming Lei) [2118511]
- block: fold blk_max_size_offset into get_max_io_size (Ming Lei) [2118511]
- block: cleanup variable naming in get_max_io_size (Ming Lei) [2118511]
- block: open code blk_max_size_offset in blk_rq_get_max_sectors (Ming Lei) [2118511]
- dm: open code blk_max_size_offset in max_io_len (Ming Lei) [2118511]
- block: factor out a chunk_size_left helper (Ming Lei) [2118511]
- block: Make blk_mq_get_sq_hctx() select the proper hardware queue type (Ming Lei) [2118511]
- block: Rename a blk_mq_map_queue() argument (Ming Lei) [2118511]
- blk-iocost: Simplify ioc_rqos_done() (Ming Lei) [2118511]
- block: Directly use ida_alloc()/free() (Ming Lei) [2118511]
- iomap: add support for dma aligned direct-io (Ming Lei) [2118511]
- block: relax direct io memory alignment (Ming Lei) [2118511]
- block: introduce bdev_iter_is_aligned helper (Ming Lei) [2118511]
- iov: introduce iov_iter_aligned (Ming Lei) [2118511]
- block/bounce: count bytes instead of sectors (Ming Lei) [2118511]
- block/merge: count bytes instead of sectors (Ming Lei) [2118511]
- block: add a helper function for dio alignment (Ming Lei) [2118511]
- block: introduce bdev_dma_alignment helper (Ming Lei) [2118511]
- block: export dma_alignment attribute (Ming Lei) [2118511]
- block/bio: remove duplicate append pages code (Ming Lei) [2118511]
- block: fix infinite loop for invalid zone append (Ming Lei) [2118511]
- block: fix missing blkcg_bio_issue_init (Ming Lei) [2118511]
- lib/sbitmap: Fix invalid loop in __sbitmap_queue_get_batch() (Ming Lei) [2118511]
- btrfs: simplify ->flush_bio handling (Ming Lei) [2118511]
- cdrom: remove obsolete TODO list (Ming Lei) [2118511]
- block: remove last remaining traces of IDE documentation (Ming Lei) [2118511]
- cdrom: mark CDROMGETSPINDOWN/CDROMSETSPINDOWN obsolete (Ming Lei) [2118511]
- cdrom: remove the unused driver specific disc change ioctl (Ming Lei) [2118511]
- cdrom: make EXPORT_SYMBOL follow exported function (Ming Lei) [2118511]
- fs-writeback: writeback_sb_inodes:Recalculate 'wrote' according skipped pages (Ming Lei) [2118511]
- fs: remove fs.f_write_hint (Ming Lei) [2118511]
- fs: remove kiocb.ki_hint (Ming Lei) [2118511]
- block: remove the per-bio/request write hint (Ming Lei) [2118511]
- nvme: remove support or stream based temperature hint (Ming Lei) [2118511]
- btrfs: check-integrity: stop storing the block device name in btrfsic_dev_state (Ming Lei) [2118511]
- loadpin: stop using bdevname (Ming Lei) [2118511]
- dax: remove bdev_dax_supported (Ming Lei) [2118511]
- dax: stub out dax_supported for !CONFIG_FS_DAX (Ming Lei) [2118511]
- dax: remove __generic_fsdax_supported (Ming Lei) [2118511]
- dax: move the dax_read_lock() locking into dax_supported (Ming Lei) [2118511]
- dax: mark dax_get_by_host static (Ming Lei) [2118511]
- dm: use fs_dax_get_by_bdev instead of dax_get_by_host (Ming Lei) [2118511]
- fsdax: improve the FS_DAX Kconfig description and help text (Ming Lei) [2118511]
- io_uring: apply worker limits to previous users (Jeff Moyer) [2107656]
- io_uring: fix ltimeout unprep (Jeff Moyer) [2107656]
- io_uring: apply max_workers limit to all future users (Jeff Moyer) [2107656]
- io_uring: utilize the io batching infrastructure for more efficient polled IO (Jeff Moyer) [2107656]
- io_uring: fix wrong condition to grab uring lock (Jeff Moyer) [2107656]
- io_uring: kill fasync (Jeff Moyer) [2107656]
- io-wq: exclusively gate signal based exit on get_signal() return (Jeff Moyer) [2107656]
- io_uring: make OP_CLOSE consistent with direct open (Jeff Moyer) [2107656]
- io_uring: kill extra checks in io_write() (Jeff Moyer) [2107656]
- io_uring: don't punt files update to io-wq unconditionally (Jeff Moyer) [2107656]
- io_uring: put provided buffer meta data under memcg accounting (Jeff Moyer) [2107656]
- io_uring: allow conditional reschedule for intensive iterators (Jeff Moyer) [2107656]
- io_uring: fix potential req refcount underflow (Jeff Moyer) [2107656]
- io_uring: fix missing set of EPOLLONESHOT for CQ ring overflow (Jeff Moyer) [2107656]
- io_uring: fix race between poll completion and cancel_hash insertion (Jeff Moyer) [2107656]
- io-wq: ensure we exit if thread group is exiting (Jeff Moyer) [2107656]
- io_uring: convert io_uring to the secure anon inode interface (Jeff Moyer) [2107656]
- fs: add anon_inode_getfile_secure() similar to anon_inode_getfd_secure() (Jeff Moyer) [2107656]
- io_uring: move iopoll reissue into regular IO path (Jeff Moyer) [2107656]
- Revert "iov_iter: track truncated size" (Jeff Moyer) [2107656]
- io_uring: use iov_iter state save/restore helpers (Jeff Moyer) [2107656]
- iov_iter: add helper to save iov_iter state (Jeff Moyer) [2107656]
- io_uring: allow retry for O_NONBLOCK if async is supported (Jeff Moyer) [2107656]
- io_uring: auto-removal for direct open/accept (Jeff Moyer) [2107656]
- io_uring: fix missing sigmask restore in io_cqring_wait() (Jeff Moyer) [2107656]
- io_uring: pin SQPOLL data before unlocking ring lock (Jeff Moyer) [2107656]
- io-wq: provide IO_WQ_* constants for IORING_REGISTER_IOWQ_MAX_WORKERS arg items (Jeff Moyer) [2107656]
- io-wq: fix potential race of acct->nr_workers (Jeff Moyer) [2107656]
- io-wq: code clean of io_wqe_create_worker() (Jeff Moyer) [2107656]
- io_uring: ensure symmetry in handling iter types in loop_rw_iter() (Jeff Moyer) [2107656]
- io_uring: fix off-by-one in BUILD_BUG_ON check of __REQ_F_LAST_BIT (Jeff Moyer) [2107656]
- io_uring: fail links of cancelled timeouts (Jeff Moyer) [2107656]
- io-wq: fix memory leak in create_io_worker() (Jeff Moyer) [2107656]
- io-wq: fix silly logic error in io_task_work_match() (Jeff Moyer) [2107656]
- io_uring: drop ctx->uring_lock before acquiring sqd->lock (Jeff Moyer) [2107656]
- io_uring: fix missing mb() before waitqueue_active (Jeff Moyer) [2107656]
- io-wq: fix cancellation on create-worker failure (Jeff Moyer) [2107656]
- namei: Fix use after free in kern_path_locked (Jeff Moyer) [2107656]
- io_uring: reexpand under-reexpanded iters (Jeff Moyer) [2107656]
- iov_iter: track truncated size (Jeff Moyer) [2107656]
- io_uring: io_uring_complete() trace should take an integer (Jeff Moyer) [2107656]
- io_uring: fix possible poll event lost in multi shot mode (Jeff Moyer) [2107656]
- io_uring: prolong tctx_task_work() with flushing (Jeff Moyer) [2107656]
- io_uring: don't disable kiocb_done() CQE batching (Jeff Moyer) [2107656]
- io_uring: ensure IORING_REGISTER_IOWQ_MAX_WORKERS works with SQPOLL (Jeff Moyer) [2107656]
- io-wq: make worker creation resilient against signals (Jeff Moyer) [2107656]
- io-wq: get rid of FIXED worker flag (Jeff Moyer) [2107656]
- io-wq: only exit on fatal signals (Jeff Moyer) [2107656]
- io-wq: split bounded and unbounded work into separate lists (Jeff Moyer) [2107656]
- io-wq: fix queue stalling race (Jeff Moyer) [2107656]
- io_uring: don't submit half-prepared drain request (Jeff Moyer) [2107656]
- io_uring: fix queueing half-created requests (Jeff Moyer) [2107656]
- io-wq: ensure that hash wait lock is IRQ disabling (Jeff Moyer) [2107656]
- io_uring: retry in case of short read on block device (Jeff Moyer) [2107656]
- io_uring: IORING_OP_WRITE needs hash_reg_file set (Jeff Moyer) [2107656]
- io-wq: fix race between adding work and activating a free worker (Jeff Moyer) [2107656]
- io-wq: fix wakeup race when adding new work (Jeff Moyer) [2107656]
- io-wq: wqe and worker locks no longer need to be IRQ safe (Jeff Moyer) [2107656]
- io-wq: check max_worker limits if a worker transitions bound state (Jeff Moyer) [2107656]
- io_uring: allow updating linked timeouts (Jeff Moyer) [2107656]
- io_uring: keep ltimeouts in a list (Jeff Moyer) [2107656]
- io_uring: support CLOCK_BOOTTIME/REALTIME for timeouts (Jeff Moyer) [2107656]
- io-wq: provide a way to limit max number of workers (Jeff Moyer) [2107656]
- io_uring: add build check for buf_index overflows (Jeff Moyer) [2107656]
- io_uring: clarify io_req_task_cancel() locking (Jeff Moyer) [2107656]
- io_uring: add task-refs-get helper (Jeff Moyer) [2107656]
- io_uring: fix failed linkchain code logic (Jeff Moyer) [2107656]
- io_uring: remove redundant req_set_fail() (Jeff Moyer) [2107656]
- io_uring: don't free request to slab (Jeff Moyer) [2107656]
- io_uring: accept directly into fixed file table (Jeff Moyer) [2107656]
- io_uring: hand code io_accept() fd installing (Jeff Moyer) [2107656]
- io_uring: openat directly into fixed fd table (Jeff Moyer) [2107656]
- net: add accept helper not installing fd (Jeff Moyer) [2107656]
- io_uring: add support for IORING_OP_LINKAT (Jeff Moyer) [2107656]
- io_uring: add support for IORING_OP_SYMLINKAT (Jeff Moyer) [2107656]
- io_uring: fix io_try_cancel_userdata race for iowq (Jeff Moyer) [2107656]
- io_uring: add support for IORING_OP_MKDIRAT (Jeff Moyer) [2107656]
- namei: update do_*() helpers to return ints (Jeff Moyer) [2107656]
- namei: make do_linkat() take struct filename (Jeff Moyer) [2107656]
- namei: add getname_uflags() (Jeff Moyer) [2107656]
- namei: make do_symlinkat() take struct filename (Jeff Moyer) [2107656]
- namei: make do_mknodat() take struct filename (Jeff Moyer) [2107656]
- namei: make do_mkdirat() take struct filename (Jeff Moyer) [2107656]
- namei: change filename_parentat() calling conventions (Jeff Moyer) [2107656]
- namei: ignore ERR/NULL names in putname() (Jeff Moyer) [2107656]
- io_uring: IRQ rw completion batching (Jeff Moyer) [2107656]
- io_uring: batch task work locking (Jeff Moyer) [2107656]
- io_uring: flush completions for fallbacks (Jeff Moyer) [2107656]
- io_uring: add ->splice_fd_in checks (Jeff Moyer) [2107656]
- io_uring: add clarifying comment for io_cqring_ev_posted() (Jeff Moyer) [2107656]
- io_uring: place fixed tables under memcg limits (Jeff Moyer) [2107656]
- io_uring: limit fixed table size by RLIMIT_NOFILE (Jeff Moyer) [2107656]
- io_uring: fix lack of protection for compl_nr (Jeff Moyer) [2107656]
- io_uring: Add register support for non-4k PAGE_SIZE (Jeff Moyer) [2107656]
- io_uring: extend task put optimisations (Jeff Moyer) [2107656]
- io_uring: add comments on why PF_EXITING checking is safe (Jeff Moyer) [2107656]
- io-wq: move nr_running and worker_refs out of wqe->lock protection (Jeff Moyer) [2107656]
- io_uring: fix io_timeout_remove locking (Jeff Moyer) [2107656]
- io_uring: improve same wq polling (Jeff Moyer) [2107656]
- io_uring: reuse io_req_complete_post() (Jeff Moyer) [2107656]
- io_uring: better encapsulate buffer select for rw (Jeff Moyer) [2107656]
- io_uring: optimise io_prep_linked_timeout() (Jeff Moyer) [2107656]
- io_uring: cancel not-armed linked touts separately (Jeff Moyer) [2107656]
- io_uring: simplify io_prep_linked_timeout (Jeff Moyer) [2107656]
- io_uring: kill REQ_F_LTIMEOUT_ACTIVE (Jeff Moyer) [2107656]
- io_uring: deduplicate cancellation code (Jeff Moyer) [2107656]
- io_uring: kill not necessary resubmit switch (Jeff Moyer) [2107656]
- io_uring: optimise initial ltimeout refcounting (Jeff Moyer) [2107656]
- io_uring: don't inflight-track linked timeouts (Jeff Moyer) [2107656]
- io_uring: optimise iowq refcounting (Jeff Moyer) [2107656]
- io_uring: correct __must_hold annotation (Jeff Moyer) [2107656]
- io_uring: code clean for completion_lock in io_arm_poll_handler() (Jeff Moyer) [2107656]
- io_uring: remove files pointer in cancellation functions (Jeff Moyer) [2107656]
- io_uring: extract io_uring_files_cancel() in io_uring_task_cancel() (Jeff Moyer) [2107656]
- io_uring: optimise hot path of ltimeout prep (Jeff Moyer) [2107656]
- io_uring: skip request refcounting (Jeff Moyer) [2107656]
- io_uring: remove submission references (Jeff Moyer) [2107656]
- io_uring: remove req_ref_sub_and_test() (Jeff Moyer) [2107656]
- io_uring: move req_ref_get() and friends (Jeff Moyer) [2107656]
- io_uring: remove IRQ aspect of io_ring_ctx completion lock (Jeff Moyer) [2107656]
- io_uring: run regular file completions from task_work (Jeff Moyer) [2107656]
- io_uring: run linked timeouts from task_work (Jeff Moyer) [2107656]
- io_uring: run timeouts from task_work (Jeff Moyer) [2107656]
- io_uring: remove file batch-get optimisation (Jeff Moyer) [2107656]
- io_uring: clean up tctx_task_work() (Jeff Moyer) [2107656]
- io_uring: inline io_poll_remove_waitqs (Jeff Moyer) [2107656]
- io_uring: remove extra argument for overflow flush (Jeff Moyer) [2107656]
- io_uring: inline struct io_comp_state (Jeff Moyer) [2107656]
- io_uring: use inflight_entry instead of compl.list (Jeff Moyer) [2107656]
- io_uring: remove redundant args from cache_free (Jeff Moyer) [2107656]
- io_uring: cache __io_free_req()'d requests (Jeff Moyer) [2107656]
- io_uring: move io_fallback_req_func() (Jeff Moyer) [2107656]
- io_uring: optimise putting task struct (Jeff Moyer) [2107656]
- io_uring: drop exec checks from io_req_task_submit (Jeff Moyer) [2107656]
- io_uring: kill unused IO_IOPOLL_BATCH (Jeff Moyer) [2107656]
- io_uring: improve ctx hang handling (Jeff Moyer) [2107656]
- io_uring: deduplicate open iopoll check (Jeff Moyer) [2107656]
- io_uring: inline io_free_req_deferred (Jeff Moyer) [2107656]
- io_uring: move io_rsrc_node_alloc() definition (Jeff Moyer) [2107656]
- io_uring: move io_put_task() definition (Jeff Moyer) [2107656]
- io_uring: extract a helper for ctx quiesce (Jeff Moyer) [2107656]
- io_uring: optimise io_cqring_wait() hot path (Jeff Moyer) [2107656]
- io_uring: add more locking annotations for submit (Jeff Moyer) [2107656]
- io_uring: refactor io_alloc_req (Jeff Moyer) [2107656]
- io-wq: improve wq_list_add_tail() (Jeff Moyer) [2107656]
- io_uring: remove unnecessary PF_EXITING check (Jeff Moyer) [2107656]
- io_uring: clean io-wq callbacks (Jeff Moyer) [2107656]
- io_uring: avoid touching inode in rw prep (Jeff Moyer) [2107656]
- io_uring: rename io_file_supports_async() (Jeff Moyer) [2107656]
- io_uring: inline fixed part of io_file_get() (Jeff Moyer) [2107656]
- io_uring: use kvmalloc for fixed files (Jeff Moyer) [2107656]
- io_uring: be smarter about waking multiple CQ ring waiters (Jeff Moyer) [2107656]
- io-wq: remove GFP_ATOMIC allocation off schedule out path (Jeff Moyer) [2107656]
- arm64: kexec_file: use more system keyrings to verify kernel image signature (Coiby Xu) [2004384]
- kexec, KEYS: make the code in bzImage64_verify_sig generic (Coiby Xu) [2004384]
- kexec: clean up arch_kexec_kernel_verify_sig (Coiby Xu) [2004384]
- kexec_file: drop weak attribute from functions (Coiby Xu) [2004384]
- kexec_file: drop weak attribute from arch_kexec_apply_relocations[_add] (Coiby Xu) [2004384]
- ptrace: Check PTRACE_O_SUSPEND_SECCOMP permission on PTRACE_SEIZE (Oleg Nesterov) [2121271] {CVE-2022-30594}
- intel_idle: make SPR C1 and C1E be independent (David Arcari) [2125352]
- notifier: Remove atomic_notifier_call_chain_robust() (David Arcari) [2117508]
- PM: cpu: Make notifier chain use a raw_spinlock_t (David Arcari) [2117508]
- mailmap: remove my redhat.com address from RHEL9's .mailmap file (Jeffrey Layton) [2094072]
- NFSD: fix regression with setting ACLs. (Jeffrey Layton) [2094072]
- NFSD: discard fh_locked flag and fh_lock/fh_unlock (Jeffrey Layton) [2094072]
- NFSD: use (un)lock_inode instead of fh_(un)lock for file operations (Jeffrey Layton) [2094072]
- NFSD: use explicit lock/unlock for directory ops (Jeffrey Layton) [2094072]
- NFSD: reduce locking in nfsd_lookup() (Jeffrey Layton) [2094072]
- NFSD: only call fh_unlock() once in nfsd_link() (Jeffrey Layton) [2094072]
- NFSD: always drop directory lock in nfsd_unlink() (Jeffrey Layton) [2094072]
- NFSD: change nfsd_create()/nfsd_symlink() to unlock directory before returning. (Jeffrey Layton) [2094072]
- NFSD: add posix ACLs to struct nfsd_attrs (Jeffrey Layton) [2094072]
- NFSD: add security label to struct nfsd_attrs (Jeffrey Layton) [2094072]
- NFSD: set attributes when creating symlinks (Jeffrey Layton) [2094072]
- NFSD: introduce struct nfsd_attrs (Jeffrey Layton) [2094072]
- NFSD: verify the opened dentry after setting a delegation (Jeffrey Layton) [2094072]
- NFSD: drop fh argument from alloc_init_deleg (Jeffrey Layton) [2094072]
- NFSD: Move copy offload callback arguments into a separate structure (Jeffrey Layton) [2094072]
- NFSD: Add nfsd4_send_cb_offload() (Jeffrey Layton) [2094072]
- NFSD: Remove kmalloc from nfsd4_do_async_copy() (Jeffrey Layton) [2094072]
- NFSD: Refactor nfsd4_do_copy() (Jeffrey Layton) [2094072]
- NFSD: Refactor nfsd4_cleanup_inter_ssc() (2/2) (Jeffrey Layton) [2094072]
- NFSD: Refactor nfsd4_cleanup_inter_ssc() (1/2) (Jeffrey Layton) [2094072]
- NFSD: Replace boolean fields in struct nfsd4_copy (Jeffrey Layton) [2094072]
- NFSD: Make nfs4_put_copy() static (Jeffrey Layton) [2094072]
- NFSD: Reorder the fields in struct nfsd4_op (Jeffrey Layton) [2094072]
- NFSD: Shrink size of struct nfsd4_copy (Jeffrey Layton) [2094072]
- NFSD: Shrink size of struct nfsd4_copy_notify (Jeffrey Layton) [2094072]
- NFSD: nfserrno(-ENOMEM) is nfserr_jukebox (Jeffrey Layton) [2094072]
- NFSD: Fix strncpy() fortify warning (Jeffrey Layton) [2094072]
- NFSD: Clean up nfsd4_encode_readlink() (Jeffrey Layton) [2094072]
- NFSD: Use xdr_pad_size() (Jeffrey Layton) [2094072]
- NFSD: Simplify starting_len (Jeffrey Layton) [2094072]
- NFSD: Optimize nfsd4_encode_readv() (Jeffrey Layton) [2094072]
- NFSD: Add an nfsd4_read::rd_eof field (Jeffrey Layton) [2094072]
- NFSD: Clean up SPLICE_OK in nfsd4_encode_read() (Jeffrey Layton) [2094072]
- NFSD: Optimize nfsd4_encode_fattr() (Jeffrey Layton) [2094072]
- NFSD: Optimize nfsd4_encode_operation() (Jeffrey Layton) [2094072]
- nfsd: silence extraneous printk on nfsd.ko insertion (Jeffrey Layton) [2094072]
- NFSD: refactoring v4 specific code to a helper in nfs4state.c (Jeffrey Layton) [2094072]
- NFSD: Ensure nf_inode is never dereferenced (Jeffrey Layton) [2094072]
- NFSD: NFSv4 CLOSE should release an nfsd_file immediately (Jeffrey Layton) [2094072]
- NFSD: Move nfsd_file_trace_alloc() tracepoint (Jeffrey Layton) [2094072]
- NFSD: Separate tracepoints for acquire and create (Jeffrey Layton) [2094072]
- NFSD: Clean up unused code after rhashtable conversion (Jeffrey Layton) [2094072]
- NFSD: Convert the filecache to use rhashtable (Jeffrey Layton) [2094072]
- NFSD: Set up an rhashtable for the filecache (Jeffrey Layton) [2094072]
- NFSD: Replace the "init once" mechanism (Jeffrey Layton) [2094072]
- NFSD: Remove nfsd_file::nf_hashval (Jeffrey Layton) [2094072]
- NFSD: nfsd_file_hash_remove can compute hashval (Jeffrey Layton) [2094072]
- NFSD: Refactor __nfsd_file_close_inode() (Jeffrey Layton) [2094072]
- NFSD: nfsd_file_unhash can compute hashval from nf->nf_inode (Jeffrey Layton) [2094072]
- NFSD: Remove lockdep assertion from unhash_and_release_locked() (Jeffrey Layton) [2094072]
- NFSD: No longer record nf_hashval in the trace log (Jeffrey Layton) [2094072]
- NFSD: Never call nfsd_file_gc() in foreground paths (Jeffrey Layton) [2094072]
- NFSD: Fix the filecache LRU shrinker (Jeffrey Layton) [2094072]
- NFSD: Leave open files out of the filecache LRU (Jeffrey Layton) [2094072]
- NFSD: Trace filecache LRU activity (Jeffrey Layton) [2094072]
- NFSD: WARN when freeing an item still linked via nf_lru (Jeffrey Layton) [2094072]
- NFSD: Hook up the filecache stat file (Jeffrey Layton) [2094072]
- NFSD: Zero counters when the filecache is re-initialized (Jeffrey Layton) [2094072]
- NFSD: Record number of flush calls (Jeffrey Layton) [2094072]
- NFSD: Report the number of items evicted by the LRU walk (Jeffrey Layton) [2094072]
- NFSD: Refactor nfsd_file_lru_scan() (Jeffrey Layton) [2094072]
- NFSD: Refactor nfsd_file_gc() (Jeffrey Layton) [2094072]
- NFSD: Add nfsd_file_lru_dispose_list() helper (Jeffrey Layton) [2094072]
- NFSD: Report average age of filecache items (Jeffrey Layton) [2094072]
- NFSD: Report count of freed filecache items (Jeffrey Layton) [2094072]
- NFSD: Report count of calls to nfsd_file_acquire() (Jeffrey Layton) [2094072]
- NFSD: Report filecache LRU size (Jeffrey Layton) [2094072]
- NFSD: Demote a WARN to a pr_warn() (Jeffrey Layton) [2094072]
- nfsd: remove redundant assignment to variable len (Jeffrey Layton) [2094072]
- NFSD: Fix space and spelling mistake (Jeffrey Layton) [2094072]
- NFSD: Instrument fh_verify() (Jeffrey Layton) [2094072]
- NFSD: nfsd_file_put() can sleep (Jeffrey Layton) [2094072]
- NFSD: Add documenting comment for nfsd4_release_lockowner() (Jeffrey Layton) [2094072]
- NFSD: Modernize nfsd4_release_lockowner() (Jeffrey Layton) [2094072]
- NFSD: Fix possible sleep during nfsd4_release_lockowner() (Jeffrey Layton) [2094072]
- SUNRPC: Use RMW bitops in single-threaded hot paths (Jeffrey Layton) [2094072]
- NFSD: Trace filecache opens (Jeffrey Layton) [2094072]
- NFSD: Move documenting comment for nfsd4_process_open2() (Jeffrey Layton) [2094072]
- NFSD: Fix whitespace (Jeffrey Layton) [2094072]
- NFSD: Remove dprintk call sites from tail of nfsd4_open() (Jeffrey Layton) [2094072]
- NFSD: Clean up nfsd_splice_actor() (Jeffrey Layton) [2094072]
- Revert "SUNRPC: Remove unreachable error condition" (Benjamin Coddington) [2094072]
- NFSv4.2: Update mode bits after ALLOCATE and DEALLOCATE (Benjamin Coddington) [2094072]
- NFSv4: Turn off open-by-filehandle and NFS re-export for NFSv4.0 (Benjamin Coddington) [2094072]
- SUNRPC: RPC level errors should set task->tk_rpc_status (Benjamin Coddington) [2094072]
- NFSv4.2 fix problems with __nfs42_ssc_open (Benjamin Coddington) [2094072]
- NFS: Fix another fsync() issue after a server reboot (Benjamin Coddington) [2094072]
- net/sunrpc: fix potential memory leaks in rpc_sysfs_xprt_state_change() (Benjamin Coddington) [2094072]
- SUNRPC: Fix xdr_encode_bool() (Benjamin Coddington) [2094072]
- SUNRPC: Reinitialise the backchannel request buffers before reuse (Benjamin Coddington) [2094072]
- NFSv4.1: RECLAIM_COMPLETE must handle EACCES (Benjamin Coddington) [2094072]
- NFSv4: Fix races in the legacy idmapper upcall (Benjamin Coddington) [2094072]
- sunrpc: fix expiry of auth creds (Benjamin Coddington) [2094072]
- NFSv4.1: Handle NFS4ERR_DELAY replies to OP_SEQUENCE correctly (Benjamin Coddington) [2094072]
- NFSv4.1: Don't decrease the value of seq_nr_highest_sent (Benjamin Coddington) [2094072]
- pNFS/flexfiles: Report RDMA connection errors to the server (Benjamin Coddington) [2094072]
- Revert "pNFS: nfs3_set_ds_client should set NFS_CS_NOPING" (Benjamin Coddington) [2094072]
- SUNRPC: Fix the calculation of xdr->end in xdr_get_next_encode_buffer() (Benjamin Coddington) [2094072]
- pNFS: Avoid a live lock condition in pnfs_update_layout() (Benjamin Coddington) [2094072]
- pNFS: Don't keep retrying if the server replied NFS4ERR_LAYOUTUNAVAILABLE (Benjamin Coddington) [2094072]
- SUNRPC: Trap RDMA segment overflows (Benjamin Coddington) [2094072]
- nfsd: Fix null-ptr-deref in nfsd_fill_super() (Benjamin Coddington) [2094072]
- nfsd: Unregister the cld notifier when laundry_wq create failed (Benjamin Coddington) [2094072]
- NFSD: move create/destroy of laundry_wq to init_nfsd and exit_nfsd (Benjamin Coddington) [2094072]
- NFS: Further fixes to the writeback error handling (Benjamin Coddington) [2094072]
- NFSv4/pNFS: Do not fail I/O when we fail to allocate the pNFS layout (Benjamin Coddington) [2094072]
- NFS: Memory allocation failures are not server fatal errors (Benjamin Coddington) [2094072]
- NFS: Don't report errors from nfs_pageio_complete() more than once (Benjamin Coddington) [2094072]
- NFS: Do not report flush errors in nfs_write_end() (Benjamin Coddington) [2094072]
- NFS: Don't report ENOSPC write errors twice (Benjamin Coddington) [2094072]
- NFS: fsync() should report filesystem errors over EINTR/ERESTARTSYS (Benjamin Coddington) [2094072]
- NFS: Do not report EINTR/ERESTARTSYS as mapping errors (Benjamin Coddington) [2094072]
- SUNRPC: Fix call completion races with call_decode() (Benjamin Coddington) [2094072]
- SUNRPC: Don't reuse bvec on retransmission of the request (Benjamin Coddington) [2094072]
- NFSD: Decode NFSv4 birth time attribute (Benjamin Coddington) [2094072]
- NFS: restore module put when manager exits. (Benjamin Coddington) [2094072]
- NFSD: restore EINVAL error translation in nfsd_commit() (Benjamin Coddington) [2094072]
- NFSD: Fix potential use-after-free in nfsd_file_put() (Benjamin Coddington) [2094072]
- SUNRPC: Ensure that the gssproxy client can start in a connected state (Benjamin Coddington) [2094072]
- Revert "SUNRPC: Ensure gss-proxy connects on setup" (Benjamin Coddington) [2094072]
- Revert "SUNRPC: attempt AF_LOCAL connect on setup" (Benjamin Coddington) [2094072]
- SUNRPC: Ensure gss-proxy connects on setup (Benjamin Coddington) [2094072]
- SUNRPC: Ensure timely close of disconnected AF_LOCAL sockets (Benjamin Coddington) [2094072]
- NFSv4: Don't invalidate inode attributes on delegation return (Benjamin Coddington) [2094072]
- SUNRPC: Move the call to xprt_send_pagedata() out of xprt_sock_sendmsg() (Benjamin Coddington) [2094072]
- SUNRPC: svc_tcp_sendmsg() should handle errors from xdr_alloc_bvec() (Benjamin Coddington) [2094072]
- SUNRPC: Handle allocation failure in rpc_new_task() (Benjamin Coddington) [2094072]
- NFS: Ensure rpc_run_task() cannot fail in nfs_async_rename() (Benjamin Coddington) [2094072]
- NFSv4/pnfs: Handle RPC allocation errors in nfs4_proc_layoutget (Benjamin Coddington) [2094072]
- SUNRPC: Handle low memory situations in call_status() (Benjamin Coddington) [2094072]
- SUNRPC: Handle ENOMEM in call_transmit_status() (Benjamin Coddington) [2094072]
- NFS: Replace readdir's use of xxhash() with hash_64() (Benjamin Coddington) [2094072]
- SUNRPC: Fix the svc_deferred_event trace class (Benjamin Coddington) [2094072]
- SUNRPC: Fix NFSD's request deferral on RDMA transports (Benjamin Coddington) [2094072]
- nfsd: Clean up nfsd_file_put() (Benjamin Coddington) [2094072]
- nfsd: Fix a write performance regression (Benjamin Coddington) [2094072]
- SUNRPC: handle malloc failure in ->request_prepare (Benjamin Coddington) [2094072]
- NFSv4: fix open failure with O_ACCMODE flag (Benjamin Coddington) [2094072]
- Revert "NFSv4: Handle the special Linux file open access mode" (Benjamin Coddington) [2094072]
- NFSv4/pNFS: Fix another issue with a list iterator pointing to the head (Benjamin Coddington) [2094072]
- SUNRPC: Return true/false (not 1/0) from bool functions (Benjamin Coddington) [2094072]
- SUNRPC: Don't return error values in sysfs read of closed files (Benjamin Coddington) [2094072]
- SUNRPC: Do not dereference non-socket transports in sysfs (Benjamin Coddington) [2094072]
- NFSv4.1: don't retry BIND_CONN_TO_SESSION on session error (Benjamin Coddington) [2094072]
- NFS: replace usage of found with dedicated list iterator variable (Benjamin Coddington) [2094072]
- SUNRPC: avoid race between mod_timer() and del_timer_sync() (Benjamin Coddington) [2094072]
- nfs: remove reliance on bdi congestion (Benjamin Coddington) [2094072]
- pNFS/files: Ensure pNFS allocation modes are consistent with nfsiod (Benjamin Coddington) [2094072]
- pNFS/flexfiles: Ensure pNFS allocation modes are consistent with nfsiod (Benjamin Coddington) [2094072]
- NFSv4/pnfs: Ensure pNFS allocation modes are consistent with nfsiod (Benjamin Coddington) [2094072]
- NFS: Avoid writeback threads getting stuck in mempool_alloc() (Benjamin Coddington) [2094072]
- NFS: nfsiod should not block forever in mempool_alloc() (Benjamin Coddington) [2094072]
- SUNRPC: Make the rpciod and xprtiod slab allocation modes consistent (Benjamin Coddington) [2094072]
- SUNRPC: Fix unx_lookup_cred() allocation (Benjamin Coddington) [2094072]
- NFS: Fix memory allocation in rpc_alloc_task() (Benjamin Coddington) [2094072]
- NFS: Fix memory allocation in rpc_malloc() (Benjamin Coddington) [2094072]
- SUNRPC: Improve accuracy of socket ENOBUFS determination (Benjamin Coddington) [2094072]
- SUNRPC: Replace internal use of SOCKWQ_ASYNC_NOSPACE (Benjamin Coddington) [2094072]
- SUNRPC: Fix socket waits for write buffer space (Benjamin Coddington) [2094072]
- SUNRPC: Only save the TCP source port after the connection is complete (Benjamin Coddington) [2094072]
- NFS: Fix revalidation of empty readdir pages (Benjamin Coddington) [2094072]
- NFS: Don't deadlock when cookie hashes collide (Benjamin Coddington) [2094072]
- nfsd: fix using the correct variable for sizeof() (Benjamin Coddington) [2094072]
- nfsd: use correct format characters (Benjamin Coddington) [2094072]
- NFSD: prevent integer overflow on 32 bit systems (Benjamin Coddington) [2094072]
- NFSD: prevent underflow in nfssvc_decode_writeargs() (Benjamin Coddington) [2094072]
- SUNRPC: change locking for xs_swap_enable/disable (Benjamin Coddington) [2094072]
- NFS: swap-out must always use STABLE writes. (Benjamin Coddington) [2094072]
- NFS: swap IO handling is slightly different for O_DIRECT IO (Benjamin Coddington) [2094072]
- NFSv4: keep state manager thread active if swap is enabled (Benjamin Coddington) [2094072]
- SUNRPC: improve 'swap' handling: scheduling and PF_MEMALLOC (Benjamin Coddington) [2094072]
- NFS: discard NFS_RPC_SWAPFLAGS and RPC_TASK_ROOTCREDS (Benjamin Coddington) [2094072]
- SUNRPC: remove scheduling boost for "SWAPPER" tasks. (Benjamin Coddington) [2094072]
- SUNRPC/xprt: async tasks mustn't block waiting for memory (Benjamin Coddington) [2094072]
- SUNRPC/auth: async tasks mustn't block waiting for memory (Benjamin Coddington) [2094072]
- SUNRPC/call_alloc: async tasks mustn't block waiting for memory (Benjamin Coddington) [2094072]
- NFS: remove IS_SWAPFILE hack (Benjamin Coddington) [2094072]
- NFS: remove unneeded check in decode_devicenotify_args() (Benjamin Coddington) [2094072]
- NFSD: Fix nfsd_breaker_owns_lease() return values (Benjamin Coddington) [2094072]
- NFSD: Clean up _lm_ operation names (Benjamin Coddington) [2094072]
- NFSD: Remove CONFIG_NFSD_V3 Conflicts: Two hunks of the upstream version of this patch has been removed here because we've already removed do_nfsd_create() in a previous backport of upstream 1c388f27759c5d9271d4fca081f7ee138986eb7d. (Benjamin Coddington) [2094072]
- NFS: Cache all entries in the readdirplus reply (Benjamin Coddington) [2094072]
- NFS: Optimise away the previous cookie field (Benjamin Coddington) [2094072]
- NFS: Fix up forced readdirplus (Benjamin Coddington) [2094072]
- NFS: Convert readdir page cache to use a cookie based index (Benjamin Coddington) [2094072]
- NFS: Clean up page array initialisation/free (Benjamin Coddington) [2094072]
- NFS: Trace effects of the readdirplus heuristic (Benjamin Coddington) [2094072]
- NFS: Trace effects of readdirplus on the dcache (Benjamin Coddington) [2094072]
- NFS: Add basic readdir tracing (Benjamin Coddington) [2094072]
- NFS: Don't request readdirplus when revalidation was forced (Benjamin Coddington) [2094072]
- NFS: Readdirplus can't help lookup for case insensitive filesystems (Benjamin Coddington) [2094072]
- NFSv4: Ask for a full XDR buffer of readdir goodness (Benjamin Coddington) [2094072]
- NFS: Don't ask for readdirplus unless it can help nfs_getattr() (Benjamin Coddington) [2094072]
- NFS: Improve heuristic for readdirplus (Benjamin Coddington) [2094072]
- NFS: Reduce use of uncached readdir (Benjamin Coddington) [2094072]
- NFS: Simplify nfs_readdir_xdr_to_array() (Benjamin Coddington) [2094072]
- NFS: If the cookie verifier changes, we must invalidate the page cache (Benjamin Coddington) [2094072]
- NFS: Adjust the amount of readahead performed by NFS readdir (Benjamin Coddington) [2094072]
- NFS: Don't advance the page pointer unless the page is full (Benjamin Coddington) [2094072]
- NFS: Don't re-read the entire page cache to find the next cookie (Benjamin Coddington) [2094072]
- NFS: Store the change attribute in the directory page cache (Benjamin Coddington) [2094072]
- nfsd: more robust allocation failure handling in nfsd_file_cache_init (Benjamin Coddington) [2094072]
- SUNRPC: Teach server to recognize RPC_AUTH_TLS (Benjamin Coddington) [2094072]
- NFSD: Move svc_serv_ops::svo_function into struct svc_serv (Benjamin Coddington) [2094072]
- NFSD: Remove svc_serv_ops::svo_module (Benjamin Coddington) [2094072]
- SUNRPC: Remove svc_shutdown_net() (Benjamin Coddington) [2094072]
- SUNRPC: Rename svc_close_xprt() (Benjamin Coddington) [2094072]
- SUNRPC: Rename svc_create_xprt() (Benjamin Coddington) [2094072]
- SUNRPC: Remove svo_shutdown method (Benjamin Coddington) [2094072]
- SUNRPC: Merge svc_do_enqueue_xprt() into svc_enqueue_xprt() (Benjamin Coddington) [2094072]
- SUNRPC: Remove the .svo_enqueue_xprt method (Benjamin Coddington) [2094072]
- SUNRPC: Record endpoint information in trace log (Benjamin Coddington) [2094072]
- SUNRPC: Same as SVC_RQST_ENDPOINT, but without the xid (Benjamin Coddington) [2094072]
- SUNRPC: Improve sockaddr handling in the svc_xprt_create_error trace point (Benjamin Coddington) [2094072]
- tracing: Have event format check not flag %%p* on __get_dynamic_array() (Benjamin Coddington) [2094072]
- tracing: Update print fmt check to handle new __get_sockaddr() macro (Benjamin Coddington) [2094072]
- NFSD: Remove NFSD_PROC_ARGS_* macros (Benjamin Coddington) [2094072]
- NFSD: Use __sockaddr field to store socket addresses (Benjamin Coddington) [2094072]
- NFSD: Streamline the rare "found" case (Benjamin Coddington) [2094072]
- NFSD: Skip extra computation for RC_NOCACHE case (Benjamin Coddington) [2094072]
- NFSD: De-duplicate hash bucket indexing (Benjamin Coddington) [2094072]
- nfsd: Add support for the birth time attribute (Benjamin Coddington) [2094072]
- NFS: Calculate page offsets algorithmically (Benjamin Coddington) [2094072]
- NFS: Use kzalloc() to avoid initialising the nfs_open_dir_context (Benjamin Coddington) [2094072]
- NFS: Initialise the readdir verifier as best we can in nfs_opendir() (Benjamin Coddington) [2094072]
- NFS: Trace lookup revalidation failure (Benjamin Coddington) [2094072]
- NFS: constify nfs_server_capable() and nfs_have_writebacks() (Benjamin Coddington) [2094072]
- NFS: Return valid errors from nfs2/3_decode_dirent() (Benjamin Coddington) [2094072]
- Revert "NFSv4: use unique client identifiers in network namespaces" (Benjamin Coddington) [2094072]
- NFS: Use of mapping_set_error() results in spurious errors (Benjamin Coddington) [2094072]
- NFS: Clean up NFSv4.2 xattrs (Benjamin Coddington) [2094072]
- NFS: Remove unnecessary XATTR cache invalidation in nfs_fhget() (Benjamin Coddington) [2094072]
- NFS: NFSv2/v3 clients should never be setting NFS_CAP_XATTR (Benjamin Coddington) [2094072]
- NFS: Remove unused flag NFS_INO_REVAL_PAGECACHE (Benjamin Coddington) [2094072]
- NFS: Replace last uses of NFS_INO_REVAL_PAGECACHE (Benjamin Coddington) [2094072]
- NFSv4: use unique client identifiers in network namespaces (Benjamin Coddington) [2094072]
- SUNRPC/xprtrdma: Convert GFP_NOFS to GFP_KERNEL (Benjamin Coddington) [2094072]
- SUNRPC/auth_gss: Convert GFP_NOFS to GFP_KERNEL (Benjamin Coddington) [2094072]
- SUNRPC: Convert GFP_NOFS to GFP_KERNEL (Benjamin Coddington) [2094072]
- NFSv4.2/copyoffload: Convert GFP_NOFS to GFP_KERNEL (Benjamin Coddington) [2094072]
- NFSv4/flexfiles: Convert GFP_NOFS to GFP_KERNEL (Benjamin Coddington) [2094072]
- NFS: Convert GFP_NOFS to GFP_KERNEL (Benjamin Coddington) [2094072]
- NFSv4: Charge NFSv4 open state trackers to kmemcg (Benjamin Coddington) [2094072]
- NFS: Charge open/lock file contexts to kmemcg (Benjamin Coddington) [2094072]
- NFSv4: Protect the state recovery thread against direct reclaim (Benjamin Coddington) [2094072]
- SUNRPC: remove redundant pointer plainhdr (Benjamin Coddington) [2094072]
- NFSv4.2: fix reference count leaks in _nfs42_proc_copy_notify() (Benjamin Coddington) [2094072]
- Convert NFS from readpages to readahead (Benjamin Coddington) [2094072]
- NFS: simplify check for freeing cn_resp (Benjamin Coddington) [2094072]
- tracing: Introduce helpers to safely handle dynamic-sized sockaddrs (Benjamin Coddington) [2094072]
- NFS: Do not report writeback errors in nfs_getattr() (Benjamin Coddington) [2094072]
- NFS: Remove an incorrect revalidation in nfs4_update_changeattr_locked() (Benjamin Coddington) [2094072]
- NFSD: Deprecate NFS_OFFSET_MAX (Benjamin Coddington) [2094072]
- SUNRPC: lock against ->sock changing during sysfs read (Benjamin Coddington) [2094072]
- NFS: Fix nfs4_proc_get_locations() kernel-doc comment (Benjamin Coddington) [2094072]
- xprtrdma: fix pointer derefs in error cases of rpcrdma_ep_create (Benjamin Coddington) [2094072]
- NFS: Avoid duplicate uncached readdir calls on eof (Benjamin Coddington) [2094072]
- NFS: Don't skip directory entries when doing uncached readdir (Benjamin Coddington) [2094072]
- NFS: Don't overfill uncached readdir pages (Benjamin Coddington) [2094072]
- nfsd: nfsd4_setclientid_confirm mistakenly expires confirmed client. (Benjamin Coddington) [2094072]
- fsnotify: fix fsnotify hooks in pseudo filesystems (Benjamin Coddington) [2094072]
- xprtrdma: Remove definitions of RPCDBG_FACILITY (Benjamin Coddington) [2094072]
- xprtrdma: Remove final dprintk call sites from xprtrdma (Benjamin Coddington) [2094072]
- sunrpc: Fix potential race conditions in rpc_sysfs_xprt_state_change() (Benjamin Coddington) [2094072]
- net/sunrpc: fix reference count leaks in rpc_sysfs_xprt_state_change (Benjamin Coddington) [2094072]
- SUNRPC: Fix sockaddr handling in svcsock_accept_class trace points (Benjamin Coddington) [2094072]
- SUNRPC: Fix sockaddr handling in the svc_xprt_create_error trace point (Benjamin Coddington) [2094072]
- nfsd: fix crash on COPY_NOTIFY with special stateid (Benjamin Coddington) [2094072]
- NFSD: Trace boot verifier resets (Benjamin Coddington) [2094072]
- NFSD: Rename boot verifier functions (Benjamin Coddington) [2094072]
- NFSD: Clean up the nfsd_net::nfssvc_boot field (Benjamin Coddington) [2094072]
- NFSD: Write verifier might go backwards (Benjamin Coddington) [2094072]
- nfsd: Add a tracepoint for errors in nfsd4_clone_file_range() (Benjamin Coddington) [2094072]
- NFSD: De-duplicate net_generic(nf->nf_net, nfsd_net_id) (Benjamin Coddington) [2094072]
- NFSD: De-duplicate net_generic(SVC_NET(rqstp), nfsd_net_id) (Benjamin Coddington) [2094072]
- NFSD: Clean up nfsd_vfs_write() (Benjamin Coddington) [2094072]
- nfsd: Replace use of rwsem with errseq_t (Benjamin Coddington) [2094072]
- NFSD: Fix verifier returned in stable WRITEs (Benjamin Coddington) [2094072]
- nfsd: Retry once in nfsd_open on an -EOPENSTALE return (Benjamin Coddington) [2094072]
- nfsd: Add errno mapping for EREMOTEIO (Benjamin Coddington) [2094072]
- nfsd: map EBADF (Benjamin Coddington) [2094072]
- NFSD: Fix zero-length NFSv3 WRITEs (Benjamin Coddington) [2094072]
- nfsd4: add refcount for nfsd4_blocked_lock (Benjamin Coddington) [2094072]
- NFSD: De-duplicate nfsd4_decode_bitmap4() (Benjamin Coddington) [2094072]
- nfsd: improve stateid access bitmask documentation (Benjamin Coddington) [2094072]
- NFSD: Combine XDR error tracepoints (Benjamin Coddington) [2094072]
- NFSv42: Fallocate and clone should also request 'blocks used' (Benjamin Coddington) [2094072]
- NFSv4: Allow writebacks to request 'blocks used' (Benjamin Coddington) [2094072]
- SUNRPC: use default_groups in kobj_type (Benjamin Coddington) [2094072]
- NFS: use default_groups in kobj_type (Benjamin Coddington) [2094072]
- NFS: Fix the verifier for case sensitive filesystem in nfs_atomic_open() (Benjamin Coddington) [2094072]
- NFS: Add a helper to remove case-insensitive aliases (Benjamin Coddington) [2094072]
- NFS: Invalidate negative dentries on all case insensitive directory changes (Benjamin Coddington) [2094072]
- NFSv4: Just don't cache negative dentries on case insensitive servers (Benjamin Coddington) [2094072]
- NFSv4: Add some support for case insensitive filesystems (Benjamin Coddington) [2094072]
- NFSv4.1: Fix uninitialised variable in devicenotify (Benjamin Coddington) [2094072]
- nfs: nfs4clinet: check the return value of kstrdup() (Benjamin Coddington) [2094072]
- sunrpc: Remove unneeded null check (Benjamin Coddington) [2094072]
- nfs41: pnfs: filelayout: Replace one-element array with flexible-array member (Benjamin Coddington) [2094072]
- SUNRPC: Add source address/port to rpc_socket* traces (Benjamin Coddington) [2094072]
- NFS: Ensure the server has an up to date ctime before renaming (Benjamin Coddington) [2094072]
- NFS: Ensure the server has an up to date ctime before hardlinking (Benjamin Coddington) [2094072]
- NFS: don't store 'struct cred *' in struct nfs_access_entry (Benjamin Coddington) [2094072]
- NFS: pass cred explicitly for access tests (Benjamin Coddington) [2094072]
- NFS: change nfs_access_get_cached to only report the mask (Benjamin Coddington) [2094072]
- SUNRPC: Remove low signal-to-noise tracepoints (Benjamin Coddington) [2094072]
- NFSD: simplify per-net file cache management (Benjamin Coddington) [2094072]
- NFSD: Fix inconsistent indenting (Benjamin Coddington) [2094072]
- NFSD: Remove be32_to_cpu() from DRC hash function (Benjamin Coddington) [2094072]
- NFS: switch the callback service back to non-pooled. (Benjamin Coddington) [2094072]
- lockd: use svc_set_num_threads() for thread start and stop (Benjamin Coddington) [2094072]
- SUNRPC: always treat sv_nrpools==1 as "not pooled" (Benjamin Coddington) [2094072]
- SUNRPC: move the pool_map definitions (back) into svc.c (Benjamin Coddington) [2094072]
- lockd: rename lockd_create_svc() to lockd_get() (Benjamin Coddington) [2094072]
- lockd: introduce lockd_put() (Benjamin Coddington) [2094072]
- lockd: move svc_exit_thread() into the thread (Benjamin Coddington) [2094072]
- lockd: move lockd_start_svc() call into lockd_create_svc() (Benjamin Coddington) [2094072]
- lockd: simplify management of network status notifiers (Benjamin Coddington) [2094072]
- lockd: introduce nlmsvc_serv (Benjamin Coddington) [2094072]
- NFSD: simplify locking for network notifier. (Benjamin Coddington) [2094072]
- SUNRPC: discard svo_setup and rename svc_set_num_threads_sync() (Benjamin Coddington) [2094072]
- NFSD: Make it possible to use svc_set_num_threads_sync (Benjamin Coddington) [2094072]
- NFSD: narrow nfsd_mutex protection in nfsd thread (Benjamin Coddington) [2094072]
- SUNRPC: use sv_lock to protect updates to sv_nrthreads. (Benjamin Coddington) [2094072]
- nfsd: make nfsd_stats.th_cnt atomic_t (Benjamin Coddington) [2094072]
- SUNRPC: stop using ->sv_nrthreads as a refcount (Benjamin Coddington) [2094072]
- SUNRPC/NFSD: clean up get/put functions. (Benjamin Coddington) [2094072]
- SUNRPC: change svc_get() to return the svc. (Benjamin Coddington) [2094072]
- NFSD: handle errors better in write_ports_addfd() (Benjamin Coddington) [2094072]
- NFSD: Fix sparse warning (Benjamin Coddington) [2094072]
- redhat/Makefile: Remove hardcoded BUILD_TARGET entries (Prarit Bhargava)
- tty: use new tty_insert_flip_string_and_push_buffer() in pty_write() (Rafael Aquini) [2078867] {CVE-2022-1462}
- tty: extract tty_flip_buffer_commit() from tty_flip_buffer_push() (Rafael Aquini) [2078867] {CVE-2022-1462}
- tty: drop tty_schedule_flip() (Rafael Aquini) [2078867] {CVE-2022-1462}
- tty: the rest, stop using tty_schedule_flip() (Rafael Aquini) [2078867] {CVE-2022-1462}
- tty: drivers/tty/, stop using tty_schedule_flip() (Rafael Aquini) [2078867] {CVE-2022-1462}
- iommu: Fix false ownership failure on AMD systems with PASID activated (Alex Williamson) [2124620]
- iommu/virtio: Fix interaction with VFIO (Alex Williamson) [2124620]
- vfio: Move vfio.c to vfio_main.c (Alex Williamson) [2124620]
- vfio: do not set FMODE_LSEEK flag (Alex Williamson) [2124620]
- drm/i915/gvt: Fix Comet Lake (Alex Williamson) [2124620]
- vfio/pci: fix the wrong word (Alex Williamson) [2124620]
- vfio/ccw: Check return code from subchannel quiesce (Alex Williamson) [2124620]
- vfio/ccw: Remove FSM Close from remove handlers (Alex Williamson) [2124620]
- vfio/ccw: Add length to DMA_UNMAP checks (Alex Williamson) [2124620]
- vfio: Replace phys_pfn with pages for vfio_pin_pages() (Alex Williamson) [2124620]
- vfio/ccw: Add kmap_local_page() for memcpy (Alex Williamson) [2124620]
- vfio: Rename user_iova of vfio_dma_rw() (Alex Williamson) [2124620]
- vfio/ccw: Change pa_pfn list to pa_iova list (Alex Williamson) [2124620]
- vfio/ap: Change saved_pfn to saved_iova (Alex Williamson) [2124620]
- vfio: Pass in starting IOVA to vfio_pin/unpin_pages API (Alex Williamson) [2124620]
- vfio/ccw: Only pass in contiguous pages (Alex Williamson) [2124620]
- vfio/ap: Pass in physical address of ind to ap_aqic() (Alex Williamson) [2124620]
- s390/ap: add missing virt_to_phys address conversion (Alex Williamson) [2124620]
- drm/i915/gvt: Replace roundup with DIV_ROUND_UP (Alex Williamson) [2124620]
- vfio: Make vfio_unpin_pages() return void (Alex Williamson) [2124620]
- vfio/spapr_tce: Fix the comment (Alex Williamson) [2124620]
- vfio: Replace the iommu notifier with a device list (Alex Williamson) [2124620]
- vfio: Replace the DMA unmapping notifier with a callback (Alex Williamson) [2124620]
- vfio/ccw: Move FSM open/close to MDEV open/close (Alex Williamson) [2124620]
- vfio/ccw: Refactor vfio_ccw_mdev_reset (Alex Williamson) [2124620]
- vfio/ccw: Create a CLOSE FSM event (Alex Williamson) [2124620]
- vfio/ccw: Create an OPEN FSM Event (Alex Williamson) [2124620]
- vfio/ccw: Update trace data for not operational event (Alex Williamson) [2124620]
- vfio/ccw: Flatten MDEV device (un)register (Alex Williamson) [2124620]
- vfio/ccw: Pass enum to FSM event jumptable (Alex Williamson) [2124620]
- vfio/ccw: Remove private->mdev (Alex Williamson) [2124620]
- vfio/ccw: Do not change FSM state in subchannel event (Alex Williamson) [2124620]
- vfio/ccw: Fix FSM state if mdev probe fails (Alex Williamson) [2124620]
- vfio/ccw: Remove UUID from s390 debug log (Alex Williamson) [2124620]
- vfio/pci: fix the wrong word (Alex Williamson) [2124620]
- vfio/spapr_tce: Remove the unused parameters container (Alex Williamson) [2124620]
- vfio: Move "device->open_count--" out of group_rwsem in vfio_device_open() (Alex Williamson) [2124620]
- vfio: remove useless judgement (Alex Williamson) [2124620]
- vfio: Clear the caps->buf to NULL after free (Alex Williamson) [2124620]
- vfio: check iommu_group_set_name() return value (Alex Williamson) [2124620]
- vfio: check vfio_register_iommu_driver() return value (Alex Williamson) [2124620]
- vfio: Use device_iommu_capable() (Alex Williamson) [2124620]
- vfio/type1: Simplify bus_type determination (Alex Williamson) [2124620]
- vfio: Split migration ops from main device ops (Alex Williamson) [2124620]
- vfio: de-extern-ify function prototypes (Alex Williamson) [2124620]
- vfio: Move IOMMU_CAP_CACHE_COHERENCY test to after we know we have a group (Alex Williamson) [2124620]
- vfio: remove VFIO_GROUP_NOTIFY_SET_KVM (Alex Williamson) [2124620]
- vfio: Do not manipulate iommu dma_owner for fake iommu groups (Alex Williamson) [2124620]
- vfio/pci: Move the unused device into low power state with runtime PM (Alex Williamson) [2124620]
- vfio/pci: Virtualize PME related registers bits and initialize to zero (Alex Williamson) [2124620]
- vfio/pci: Change the PF power state to D0 before enabling VFs (Alex Williamson) [2124620]
- vfio/pci: Invalidate mmaps and block the access in D3hot power state (Alex Williamson) [2124620]
- vfio: Change struct vfio_group::container_users to a non-atomic int (Alex Williamson) [2124620]
- vfio: Simplify the life cycle of the group FD (Alex Williamson) [2124620]
- vfio: Fully lock struct vfio_group::container (Alex Williamson) [2124620]
- vfio: Split up vfio_group_get_device_fd() (Alex Williamson) [2124620]
- vfio: Change struct vfio_group::opened from an atomic to bool (Alex Williamson) [2124620]
- vfio: Add missing locking for struct vfio_group::kvm (Alex Williamson) [2124620]
- kvm/vfio: Fix potential deadlock problem in vfio (Alex Williamson) [2124620]
- include/uapi/linux/vfio.h: Fix trivial typo - _IORW should be _IOWR instead (Alex Williamson) [2124620]
- vfio/pci: Use the struct file as the handle not the vfio_group (Alex Williamson) [2124620]
- kvm/vfio: Remove vfio_group from kvm (Alex Williamson) [2124620]
- vfio: Change vfio_group_set_kvm() to vfio_file_set_kvm() (Alex Williamson) [2124620]
- vfio: Change vfio_external_check_extension() to vfio_file_enforced_coherent() (Alex Williamson) [2124620]
- vfio: Remove vfio_external_group_match_file() (Alex Williamson) [2124620]
- vfio: Change vfio_external_user_iommu_id() to vfio_file_iommu_group() (Alex Williamson) [2124620]
- kvm/vfio: Store the struct file in the kvm_vfio_group (Alex Williamson) [2124620]
- kvm/vfio: Move KVM_DEV_VFIO_GROUP_* ioctls into functions (Alex Williamson) [2124620]
- vfio: Delete container_q (Alex Williamson) [2124620]
- vfio/pci: Remove vfio_device_get_from_dev() (Alex Williamson) [2124620]
- vfio/pci: Have all VFIO PCI drivers store the vfio_pci_core_device in drvdata (Alex Williamson) [2124620]
- vfio: Remove calls to vfio_group_add_container_user() (Alex Williamson) [2124620]
- vfio: Remove dead code (Alex Williamson) [2124620]
- drm/i915/gvt: Change from vfio_group_(un)pin_pages to vfio_(un)pin_pages (Alex Williamson) [2124620]
- vfio/mdev: Pass in a struct vfio_device * to vfio_dma_rw() (Alex Williamson) [2124620]
- vfio/mdev: Pass in a struct vfio_device * to vfio_pin/unpin_pages() (Alex Williamson) [2124620]
- vfio/ccw: Remove mdev from struct channel_program (Alex Williamson) [2124620]
- vfio: Make vfio_(un)register_notifier accept a vfio_device (Alex Williamson) [2124620]
- vfio: Stop using iommu_present() (Alex Williamson) [2124620]
- iommu/s390: Tolerate repeat attach_dev calls (Alex Williamson) [2124620]
- iommu: iommu_group_claim_dma_owner() must always assign a domain (Alex Williamson) [2124620]
- iommu: Remove iommu group changes notifier (Alex Williamson) [2124620]
- vfio: Remove iommu group notifier (Alex Williamson) [2124620]
- vfio: Delete the unbound_list (Alex Williamson) [2124620]
- vfio: Remove use of vfio_group_viable() (Alex Williamson) [2124620]
- vfio: Set DMA ownership for VFIO devices (Alex Williamson) [2124620]
- PCI: portdrv: Set driver_managed_dma (Alex Williamson) [2124620]
- PCI: pci_stub: Set driver_managed_dma (Alex Williamson) [2124620]
- bus: platform,amba,fsl-mc,PCI: Add device DMA ownership management (Alex Williamson) [2124620]
- amba: Stop sharing platform_dma_configure() (Alex Williamson) [2124620]
- driver core: Add dma_cleanup callback in bus_type (Alex Williamson) [2124620]
- iommu: Add DMA ownership management interfaces (Alex Williamson) [2124620]
- vfio: Require that devices support DMA cache coherence (Alex Williamson) [2124620]
- iommu: Redefine IOMMU_CAP_CACHE_COHERENCY as the cap flag for IOMMU_CACHE (Alex Williamson) [2124620]
- vfio: Move the Intel no-snoop control off of IOMMU_CACHE (Alex Williamson) [2124620]
- iommu: Introduce the domain op enforce_cache_coherency() (Alex Williamson) [2124620]
- i915/gvt: Fix NULL pointer dereference in init_mmio_block_handlers (Alex Williamson) [2124620]
- drm/i915/gvt: Fix the compiling error when CONFIG_DRM_I915_DEBUG_RUNTIME_PM=n (Alex Williamson) [2124620]
- drm/i915/gvt: Make intel_gvt_match_device() static (Alex Williamson) [2124620]
- drm/i915/gvt: Add missing symbol export. (Alex Williamson) [2124620]
- vfio/mdev: Remove mdev drvdata (Alex Williamson) [2124620]
- vfio/mdev: Use the driver core to create the 'remove' file (Alex Williamson) [2124620]
- vfio/mdev: Remove mdev_parent_ops (Alex Williamson) [2124620]
- vfio/mdev: Remove mdev_parent_ops dev_attr_groups (Alex Williamson) [2124620]
- vfio/mdev: Remove vfio_mdev.c (Alex Williamson) [2124620]
- drm/i915/gvt: merge gvt.c into kvmgvt.c (Alex Williamson) [2124620]
- drm/i915/gvt: convert to use vfio_register_emulated_iommu_dev (Alex Williamson) [2124620]
- drm/i915/gvt: remove kvmgt_guest_{init,exit} (Alex Williamson) [2124620]
- drm/i915/gvt: pass a struct intel_vgpu to the vfio read/write helpers (Alex Williamson) [2124620]
- drm/i915/gvt: streamline intel_vgpu_create (Alex Williamson) [2124620]
- drm/i915/gvt: remove the extra vfio_device refcounting for dmabufs (Alex Williamson) [2124620]
- drm/i915/gvt: remove struct intel_gvt_mpt (Alex Williamson) [2124620]
- drm/i915/gvt: devirtualize dma_pin_guest_page (Alex Williamson) [2124620]
- drm/i915/gvt: devirtualize ->dma_{,un}map_guest_page (Alex Williamson) [2124620]
- drm/i915/gvt: devirtualize ->{enable,disable}_page_track (Alex Williamson) [2124620]
- drm/i915/gvt: devirtualize ->gfn_to_mfn (Alex Williamson) [2124620]
- drm/i915/gvt: devirtualize ->is_valid_gfn (Alex Williamson) [2124620]
- drm/i915/gvt: devirtualize ->inject_msi (Alex Williamson) [2124620]
- drm/i915/gvt: devirtualize ->detach_vgpu (Alex Williamson) [2124620]
- drm/i915/gvt: devirtualize ->set_edid and ->set_opregion (Alex Williamson) [2124620]
- drm/i915/gvt: devirtualize ->{get,put}_vfio_device (Alex Williamson) [2124620]
- drm/i915/gvt: devirtualize ->{read,write}_gpa (Alex Williamson) [2124620]
- drm/i915/gvt: remove vgpu->handle (Alex Williamson) [2124620]
- drm/i915/gvt: merge struct kvmgt_guest_info into strut intel_vgpu (Alex Williamson) [2124620]
- drm/i915/gvt: merge struct kvmgt_vdev into struct intel_vgpu (Alex Williamson) [2124620]
- drm/i915/gvt: remove the unused from_virt_to_mfn op (Alex Williamson) [2124620]
- drm/i915/gvt: remove the map_gfn_to_mfn and set_trap_area ops (Alex Williamson) [2124620]
- drm/i915/gvt: remove intel_gvt_ops (Alex Williamson) [2124620]
- drm/i915/gvt: move the gvt code into kvmgt.ko (Alex Williamson) [2124620]
- drm/i915/gvt: rename intel_vgpu_ops to intel_vgpu_mdev_ops (Alex Williamson) [2124620]
- drm/i915/gvt: remove enum hypervisor_type (Alex Williamson) [2124620]
- drm/i915/gvt: remove module refcounting in intel_gvt_{,un}register_hypervisor (Alex Williamson) [2124620]
- drm/i915/gvt: better align the Makefile with i915 Makefile (Alex Williamson) [2124620]
- drm/i915/gvt: fix trace TRACE_INCLUDE_PATH (Alex Williamson) [2124620]
- i915/gvt: Use the initial HW state snapshot saved in i915 (Alex Williamson) [2124620]
- i915/gvt: Save the initial HW state snapshot in i915 (Alex Williamson) [2124620]
- i915/gvt: Separate the MMIO tracking table from GVT-g (Alex Williamson) [2124620]
- s390/vfio-ap: remove superfluous MODULE_DEVICE_TABLE declaration (Alex Williamson) [2124620]
- MAINTAINERS: update file path for S390 VFIO AP DRIVER (Alex Williamson) [2124620]
- vfio-ccw: step down as maintainer (Alex Williamson) [2124620]
- skmsg: Fix wrong last sg check in sk_msg_recvmsg() (Paolo Abeni) [2134161]
- skmsg: Schedule psock work if the cached skb exists on the psock (Paolo Abeni) [2134161]
- net: core: fix flow symmetric hash (Paolo Abeni) [2134161]
- net: Fix a data-race around sysctl_somaxconn. (Paolo Abeni) [2134161]
- net: Fix a data-race around netdev_unregister_timeout_secs. (Paolo Abeni) [2134161]
- net: Fix data-races around sysctl_devconf_inherit_init_net. (Paolo Abeni) [2134161]
- net: Fix data-races around sysctl_fb_tunnels_only_for_init_net. (Paolo Abeni) [2134161]
- net: Fix a data-race around netdev_budget_usecs. (Paolo Abeni) [2134161]
- net: Fix a data-race around netdev_budget. (Paolo Abeni) [2134161]
- net: Fix a data-race around sysctl_net_busy_read. (Paolo Abeni) [2134161]
- net: Fix a data-race around sysctl_net_busy_poll. (Paolo Abeni) [2134161]
- net: Fix a data-race around sysctl_tstamp_allow_data. (Paolo Abeni) [2134161]
- net: Fix data-races around sysctl_optmem_max. (Paolo Abeni) [2134161]
- net: Fix data-races around netdev_tstamp_prequeue. (Paolo Abeni) [2134161]
- net: Fix data-races around netdev_max_backlog. (Paolo Abeni) [2134161]
- net: Fix data-races around weight_p and dev_weight_[rt]x_bias. (Paolo Abeni) [2134161]
- net: Fix data-races around sysctl_[rw]mem_(max|default). (Paolo Abeni) [2134161]
- net: sched: fix misuse of qcpu->backlog in gnet_stats_add_queue_cpu (Paolo Abeni) [2134161]
- devlink: Fix use-after-free after a failed reload (Paolo Abeni) [2134161]
- skmsg: Fix invalid last sg check in sk_msg_recvmsg() (Paolo Abeni) [2134161]
- net: Fix data-races around sysctl_[rw]mem(_offset)?. (Paolo Abeni) [2134161]
- net: Fix data-races around sysctl_mem. (Paolo Abeni) [2134161]
- tools headers UAPI: Sync linux/perf_event.h with the kernel sources (Al Stone) [2071841]
- perf/core: Add a new read format to get a number of lost samples (Al Stone) [2071841]
- drivers/perf: arm_pmu: Handle 47 bit counters (Al Stone) [2071841]
- mm: prevent page_frag_alloc() from corrupting the memory (Izabela Bakollari) [2104445]
- crypto: cryptd - Protect per-CPU resource by disabling BH. (Vladis Dronov) [2117509]
- RDMA: Handle the return code from dma_resv_wait_timeout() properly (Kamal Heib) [2120665]
- RDMA/irdma: Report RNR NAK generation in device caps (Kamal Heib) [2104474 2120665]
- RDMA/irdma: Use s/g array in post send only when its valid (Kamal Heib) [2104474 2120665]
- RDMA/irdma: Return correct WC error for bind operation failure (Kamal Heib) [2104474 2120665]
- RDMA/irdma: Return error on MR deregister CQP failure (Kamal Heib) [2104474 2120665]
- RDMA/irdma: Report the correct max cqes from query device (Kamal Heib) [2104474 2120665]
- IB/core: Fix a nested dead lock as part of ODP flow (Kamal Heib) [2120665]
- RDMA/siw: Pass a pointer to virt_to_page() (Kamal Heib) [2120665]
- RDMA/srp: Set scmnd->result only when scmnd is not NULL (Kamal Heib) [2120665]
- RDMA/cma: Fix arguments order in net device validation (Kamal Heib) [2120665]
- RDMA/irdma: Fix drain SQ hang with no completion (Kamal Heib) [2033131 2104474 2120665]
- IB/iser: Fix login with authentication (Kamal Heib) [2120665]
- RDMA/ib_srpt: Unify checking rdma_cm_id condition in srpt_cm_req_recv() (Kamal Heib) [2120665]
- RDMA/srpt: Fix a use-after-free (Kamal Heib) [2120665]
- RDMA/srpt: Introduce a reference count in struct srpt_device (Kamal Heib) [2120665]
- RDMA/srpt: Duplicate port name members (Kamal Heib) [2120665]
- RDMA: Fix comment typo (Kamal Heib) [2120665]
- IB: Fix repeated words 'the the' comments (Kamal Heib) [2120665]
- RDMA/hfi1: fix potential memory leak in setup_base_ctxt() (Kamal Heib) [2120665]
- RDMA/siw: Fix duplicated reported IW_CM_EVENT_CONNECT_REPLY event (Kamal Heib) [2120665]
- RDMA/hfi1: Depend on !UML (Kamal Heib) [2120665]
- RDMA/irdma: Use the bitmap API to allocate bitmaps (Kamal Heib) [2104474 2120665]
- RDMA: remove useless condition in siw_create_cq() (Kamal Heib) [2120665]
- RDMA/irdma: Fix setting of QP context err_rq_idx_valid field (Kamal Heib) [2104474 2120665]
- RDMA/irdma: Fix VLAN connection with wildcard address (Kamal Heib) [2104474 2120665]
- RDMA/irdma: Fix a window for use-after-free (Kamal Heib) [2104474 2120665]
- RDMA/irdma: Make resource distribution algorithm more QP oriented (Kamal Heib) [2104474 2120665]
- RDMA/irdma: Make CQP invalid state error non-critical (Kamal Heib) [2104474 2120665]
- RDMA/irdma: Add AE source to error log (Kamal Heib) [2104474 2120665]
- RDMA/irdma: Add 2 level PBLE support for FMR (Kamal Heib) [2104474 2120665]
- RDMA: Correct duplicated words in comments (Kamal Heib) [2120665]
- IB/iser: Drain the entire QP during destruction flow (Kamal Heib) [2120665]
- RDMA/usnic: Use device_iommu_capable() (Kamal Heib) [2120665]
- RDMA/core: Add a netevent notifier to cma (Kamal Heib) [2117911 2120665]
- RDMA/core: Add an rb_tree that stores cm_ids sorted by ifindex and remote IP (Kamal Heib) [2117911 2120665]
- RDMA/hfi1: Fix typo in comment (Kamal Heib) [2120665]
- RDMA/hfi1: Remove all traces of diagpkt support (Kamal Heib) [2120662]
- RDMA/hfi1: Consolidate software versions (Kamal Heib) [2120662]
- RDMA/hfi1: Remove pointless driver version (Kamal Heib) [2120662]
- RDMA/hfi1: Prevent panic when SDMA is disabled (Kamal Heib) [2120662]
- IB/core: Fix typo in comment (Kamal Heib) [2120662]
- RDMA/core: Fix typo in comment (Kamal Heib) [2120662]
- IB/hf1: Fix typo in comment (Kamal Heib) [2120662]
- IB/iser: Fix typo in comment (Kamal Heib) [2120662]
- IB/isert: Avoid flush_scheduled_work() usage (Kamal Heib) [2120662]
- RDMA/siw: Enable siw on tunnel devices (Kamal Heib) [2120662]
- RDMA/core: Avoid flush_workqueue(system_unbound_wq) usage (Kamal Heib) [2120662]
- RDMA/usnic: Refactor usnic_uiom_alloc_pd() (Kamal Heib) [2120662]
- RDMA/usnic: Stop using iommu_present() (Kamal Heib) [2120662]
- IB/SA: Replace usage of found with dedicated list iterator variable (Kamal Heib) [2120662]
- RDMA: Split kernel-only global device caps from uverbs device caps (Kamal Heib) [2120662]
- IB/rdmavt: add missing locks in rvt_ruc_loopback (Kamal Heib) [2120662]
- IB/uverbs: Move part of enum ib_device_cap_flags to uapi (Kamal Heib) [2120662]
- IB/uverbs: Move enum ib_raw_packet_caps to uapi (Kamal Heib) [2120662]
- RDMA: use dma_resv_wait() instead of extracting the fence (Kamal Heib) [2120662]
- RDMA/siw: make use of the helper function kthread_run_on_cpu() (Kamal Heib) [2120662]
- scsi: ib_srp: Call scsi_done() directly (Kamal Heib) [2120662]
- scsi: target: srpt: Replace enable attr with ops.enable (Kamal Heib) [2120662]
- scsi: RDMA/srp: Use scsi_cmd_to_rq() instead of scsi_cmnd.request (Kamal Heib) [2120662]
- scsi: RDMA/iser: Use scsi_cmd_to_rq() instead of scsi_cmnd.request (Kamal Heib) [2120662]
- scsi: iser: Use scsi_get_sector() instead of scsi_get_lba() (Kamal Heib) [2120662]
- EDAC/amd64: Add new register offset support and related changes (Aristeu Rozanski) [2048800]
- EDAC/amd64: Set memory type per DIMM (Aristeu Rozanski) [2048800]
- redhat/configs: enable UINPUT on aarch64 (Benjamin Tissoires) [2119170]
- exfat: use updated exfat_chain directly during renaming (Pavel Reichl) [2115894]
- exfat: fix i_blocks for files truncated over 4 GiB (Pavel Reichl) [2115894]
- exfat: fix incorrect loading of i_blocks for large files (Pavel Reichl) [2115894]
- exfat: fix referencing wrong parent directory information after renaming (Pavel Reichl) [2115894]
- exfat: check if cluster num is valid (Pavel Reichl) [2115894]
- exfat: simplify is_valid_cluster() (Pavel Reichl) [2115894]
- arm64: dts: imx8mm: Reverse CPLD_Dn GPIO label mapping on MX8Menlo (Al Stone) [2121508]
- arm64: dts: imx8mp-venice-gw74xx: fix CAN STBY polarity (Al Stone) [2121508]
- arm64: dts: imx8mp-venice-gw74xx: fix ksz9477 cpu port (Al Stone) [2121508]
- arm64: dts: imx8mp-venice-gw74xx: fix port/phy validation (Al Stone) [2121508]
- arm64: dts: freescale: verdin-imx8mp: fix atmel_mxt_ts reset polarity (Al Stone) [2121508]
- arm64: dts: verdin-imx8mm: add otg2 pd to usbphy (Al Stone) [2121508]
- arm64: dts: freescale: verdin-imx8mm: fix atmel_mxt_ts reset polarity (Al Stone) [2121508]
- arm64: dts: imx8mm-verdin: extend pmic voltages (Al Stone) [2121508]
- arm64: dts: tqma8mqml: Include phy-imx8-pcie.h header (Al Stone) [2121508]
- arm64: dts: imx8mn: remove GPU power domain reset (Al Stone) [2121508]
- arm64: dts: imx8ulp: add #reset-cells for pcc (Al Stone) [2121508]
- dt-bindings: soc: imx8mp-media-blk-ctrl: Fix DT example (Al Stone) [2121508]
- arm64: dts: imx8mp-venice-gw74xx: fix sai2 pin settings (Al Stone) [2121508]
- arm64: dts: imx8mm-verdin: use level interrupt for mcp251xfd (Al Stone) [2121508]
- arm64: dts: imx8mm-verdin: update CAN clock to 40MHz (Al Stone) [2121508]
- arm64: dts: imx8m{m,p}-verdin: use IT temperatures (Al Stone) [2121508]
- arm64: dts: freescale: align gpio-key node names with dtschema (Al Stone) [2121508]
- arm64: dts: ls1028a-qds-65bb: don't use in-band autoneg for 2500base-x (Al Stone) [2121508]
- arm64: dts: imx8mq-tqma8mq: Remove superfluous interrupt-names (Al Stone) [2121508]
- arm64: dts: ls1028a: Update SFP node to include clock (Al Stone) [2121508]
- arm64: dts: imx8mp-icore-mx8mp-edim2.2: correct pad settings (Al Stone) [2121508]
- arm64: dts: imx8mp-phyboard-pollux-rdk: correct i2c2 & mmc settings (Al Stone) [2121508]
- arm64: dts: imx8mp-phyboard-pollux-rdk: correct eqos pad settings (Al Stone) [2121508]
- arm64: dts: imx8mp-phyboard-pollux-rdk: correct uart pad settings (Al Stone) [2121508]
- arm64: dts: imx8mp-venice-gw74xx: correct pad settings (Al Stone) [2121508]
- arm64: dts: imx8mp-evk: correct I2C3 pad settings (Al Stone) [2121508]
- arm64: dts: imx8mp-evk: correct I2C1 pad settings (Al Stone) [2121508]
- arm64: dts: imx8mp-evk: correct I2C5 pad settings (Al Stone) [2121508]
- arm64: dts: imx8mp-evk: correct vbus pad settings (Al Stone) [2121508]
- arm64: dts: imx8mp-evk: correct eqos pad settings (Al Stone) [2121508]
- arm64: dts: imx8mp-evk: correct vbus pad settings (Al Stone) [2121508]
- arm64: dts: imx8mp-evk: correct gpio-led pad settings (Al Stone) [2121508]
- arm64: dts: imx8mp-evk: correct the uart2 pinctl value (Al Stone) [2121508]
- arm64: dts: imx8mp-evk: correct mmc pad settings (Al Stone) [2121508]
- arm64: dts: imx8mp: correct clock of pgc_ispdwp (Al Stone) [2121508]
- dt-bindings: tegra186-hsp: add type for shared mailboxes (Al Stone) [2121508]
- dt-bindings: mailbox: tegra: Document Tegra234 HSP (Al Stone) [2121508]
- dt-bindings: mailbox: tegra: Convert to json-schema (Al Stone) [2121508]
- arm64: dt: imx8mp: support pwm polarity inversion (Al Stone) [2121508]
- arm64: dt: imx8mn: support pwm polarity inversion (Al Stone) [2121508]
- arm64: dt: imx8mm: support pwm polarity inversion (Al Stone) [2121508]
- arm64: dt: imx8mq: support pwm polarity inversion (Al Stone) [2121508]
- arm64: dts: imx8mm-venice-gw7901: remove unnecessary cpu temp override (Al Stone) [2121508]
- arm64: dts: imx8m*venice: add missing clock-names to pcie_phy (Al Stone) [2121508]
- arm64: dts: freescale: reduce the interrup-map-mask (Al Stone) [2121508]
- arm64: dts: imx8mn-beacon: Enable RTS-CTS on UART3 (Al Stone) [2121508]
- arm64: dts: imx8mm-beacon: Enable RTS-CTS on UART3 (Al Stone) [2121508]
- arm64: dts: imx8mm: Use 100 kHz I2C2 on Data Modul i.MX8M Mini eDM SBC (Al Stone) [2121508]
- arm64: dts: imx8mm: Disable USB2 OC on Data Modul i.MX8M Mini eDM SBC (Al Stone) [2121508]
- arm64: dts: imx8mm: Add CPLD on MX8Menlo board (Al Stone) [2121508]
- arm64: dts: imx8mq-kontron-pitx-imx8m: Use the standard 'uart-has-rtscts' (Al Stone) [2121508]
- arm64: dts: imx8mp-verdin: Use the standard 'uart-has-rtscts' (Al Stone) [2121508]
- arm64: dts: imx8mp: Add MEDIA_BLK_CTRL (Al Stone) [2121508]
- arm64: dts: imx8mp: Add MEDIAMIX power domains (Al Stone) [2121508]
- arm64: dts: imx8mp: add HSIO power-domains (Al Stone) [2121508]
- dt-bindings: power: imx8mp: add defines for HDMI blk-ctrl domains (Al Stone) [2121508]
- dt-bindings: soc: Add i.MX8MP media block control DT bindings (Al Stone) [2121508]
- arm64: dts: imx8mp: Add Engicam i.Core MX8M Plus EDIMM2.2 Starter Kit (Al Stone) [2121508]
- arm64: dts: imx8mp: Add Engicam i.Core MX8M Plus SoM (Al Stone) [2121508]
- arm64: dts: freescale: update ifc node name to be memory-controller (Al Stone) [2121508]
- arm64: dts: remove "simple-bus" compatible from ifc node (Al Stone) [2121508]
- clk: imx8mp: add clkout1/2 support (Al Stone) [2121508]
- arm64: dts: imx8mn-evk: Add UART3 support (Al Stone) [2121508]
- arm64: dts: imx8mn-ddr4-evk: Describe the 32.768 kHz PMIC clock (Al Stone) [2121508]
- arm64: dts: imx8mm: Add i.MX8M Mini Toradex Verdin based Menlo board (Al Stone) [2121508]
- dt-bindings: clock: gcc-msm8998: Add definitions of SSC-related clocks (Al Stone) [2121508]
- arm64: dts: imx: Add i.MX8M Plus Gateworks gw7400 dts support (Al Stone) [2121508]
- arm64: dts: imx8mm-evk: add pwm1/backlight support (Al Stone) [2121508]
- arm64: dts: imx8mn: add 8MNANOD3L-EVK device tree (Al Stone) [2121508]
- clk: imx8mp: Add DISP2 pixel clock (Al Stone) [2121508]
- arm64: dts: freescale: imx8q: add imx vpu codec entries (Al Stone) [2121508]
- arm64: dts: imx8mp: Enable HS400-ES (Al Stone) [2121508]
- arm64: dts: imx8mn: Enable HS400-ES (Al Stone) [2121508]
- dt-bindings: imx: add clock bindings for i.MX8MN GPT (Al Stone) [2121508]
- arm64: dts: freescale: add initial support for verdin imx8m plus (Al Stone) [2121508]
- arm64: dts: imx8mp: add uart2 dma (Al Stone) [2121508]
- arm64: dts: freescale: align SPI NOR node name with dtschema (Al Stone) [2121508]
- arm64: dts: imx8m: Pass a label to the soc node (Al Stone) [2121508]
- arm64: dts: fsl: adjust whitespace around '=' (Al Stone) [2121508]
- arm64: dts: lx2160a: Update can node property (Al Stone) [2121508]
- arm64: dts: ls1028a: default to OTG mode for USB (Al Stone) [2121508]
- arm64: dts: ls1028a: sl28: use ocelot-8021q tagging by default (Al Stone) [2121508]
- arm64: dts: imx8mm-verdin: add sd1 sleep pinctrl (Al Stone) [2121508]
- arm64: dts: imx8mm-verdin: note about disabled sd1 pull-ups (Al Stone) [2121508]
- arm64: dts: imx8mm-verdin: capitalisation of verdin comments (Al Stone) [2121508]
- arm64: dts: imx8mm-verdin: re-order pinctrl groups (Al Stone) [2121508]
- arm64: dts: imx8mm-verdin: update iomux configuration (Al Stone) [2121508]
- arm64: dts: imx8mm-verdin: comment about i2c level shifter (Al Stone) [2121508]
- arm64: dts: imx8mm-verdin: only dashes in node names (Al Stone) [2121508]
- arm64: dts: imx8mm-verdin: alphabetically re-order nodes (Al Stone) [2121508]
- arm64: dts: imx8mm-verdin: multi-line comment style (Al Stone) [2121508]
- arm64: dts: imx8mm-verdin: update regulator names (Al Stone) [2121508]
- arm64: dts: imx8mp: add ddr controller node to support EDAC on imx8mp (Al Stone) [2121508]
- arm64: dts: imx8mp: Add cpu-freq support (Al Stone) [2121508]
- arm64: dts: imx8mp: Add missing speed grade phandle (Al Stone) [2121508]
- ARM: dts: imx8mm-venice-gw{71xx,72xx,73xx}: fix OTG controller OC mode (Al Stone) [2121508]
- arm64: dts: imx8mn: Fix SAI nodes (Al Stone) [2121508]
- arm64: dts: imx8mq-tqma8mq: change the spi-nor tx (Al Stone) [2121508]
- arm64: dts: imx8qm: Correct SCU clock controller's compatible property (Al Stone) [2121508]
- arm64: dts: imx8mp: add GPU nodes (Al Stone) [2121508]
- arm64: dts: imx8mp: add GPC node with GPU power domains (Al Stone) [2121508]
- arm64: dts: imx8mn-evk: add QSPI flash (Al Stone) [2121508]
- arm64: dts: imx8mq-librem5-r4: add the correct panel (Al Stone) [2121508]
- arm64: dts: imx8mq-librem5: add a RO firmware partition (Al Stone) [2121508]
- arm64: dts: imx8mq-librem5: higher boost regulation current (Al Stone) [2121508]
- arm64: dts: imx8mq-librem5: remove description of CHG_STATUS_B (Al Stone) [2121508]
- arm64: dts: imx8mq-librem5: make the volume buttons a wakeup source (Al Stone) [2121508]
- arm64: dts: imx: Fix imx8*-var-som touchscreen property sizes (Al Stone) [2121508]
- arm64: dts: imx8mm-venice: fix spi2 pin configuration (Al Stone) [2121508]
- arm64: tegra: Add QSPI controllers on Tegra234 (Al Stone) [2121508]
- arm64: tegra: Add HDA device tree node for Tegra234 (Al Stone) [2121508]
- arm64: tegra: APE sound card for Jetson AGX Orin (Al Stone) [2121508]
- arm64: tegra: Add audio devices on Tegra234 (Al Stone) [2121508]
- arm64: tegra: Add Tegra234 IOMMUs (Al Stone) [2121508]
- arm64: tegra: Enable gpio-keys on Jetson AGX Orin Developer Kit (Al Stone) [2121508]
- arm64: tegra: Add Tegra234 PWM devicetree nodes (Al Stone) [2121508]
- arm64: tegra: Add Tegra234 I2C devicetree nodes (Al Stone) [2121508]
- arm64: tegra: Hook up MMC and BPMP to memory controller (Al Stone) [2121508]
- arm64: tegra: Add memory controller on Tegra234 (Al Stone) [2121508]
- arm64: tegra: Describe Tegra234 CPU hierarchy (Al Stone) [2121508]
- arm64: tegra: Add main and AON GPIO controllers on Tegra234 (Al Stone) [2121508]
- arm64: tegra: Add Tegra234 TCU device (Al Stone) [2121508]
- arm64: tegra: Fill in properties for Tegra234 eMMC (Al Stone) [2121508]
- arm64: tegra: Update Tegra234 BPMP channel addresses (Al Stone) [2121508]
- arm64: tegra: Add clock for Tegra234 RTC (Al Stone) [2121508]
- arm64: tegra: Fixup SYSRAM references (Al Stone) [2121508]
- arm64: tegra: Remove unused only-1-8-v properties (Al Stone) [2121508]
- arm64: tegra: Add NVIDIA Jetson AGX Orin Developer Kit support (Al Stone) [2121508]
- arm64: dts: imx8mq: add hdmi phy 27m clock (Al Stone) [2121508]
- arm64: dts: imx8mn-evk: fix the min/max voltages of the PMIC (Al Stone) [2121508]
- arm64: dts: imx8mn-evk: use proper names for PMIC outputs (Al Stone) [2121508]
- arm64: dts: imx8mm-kontron: fix ethernet node name (Al Stone) [2121508]
- arm64: dts: imx8mm: Add support for Data Modul i.MX8M Mini eDM SBC (Al Stone) [2121508]
- arm64: dts: imx8mn-bsh-smm-s2pro: Add tlv320aic31xx audio card node (Al Stone) [2121508]
- arm64: dts: ls1046a: Update i2c node dma properties (Al Stone) [2121508]
- arm64: dts: ls1043a: Update i2c dma properties (Al Stone) [2121508]
- Revert "arm64: dts: freescale: Fix 'interrupt-map' parent address cells" (Al Stone) [2121508]
- arm64: dts: imx8mp: Add memory for USB3 glue layer to usb3 nodes (Al Stone) [2121508]
- arch: arm64: dts: lx2160a: describe the SerDes block #1 (Al Stone) [2121508]
- dt-bindings: clock: add i.MX93 clock definition (Al Stone) [2121508]
- dt-bindings: memory: Add Tegra234 PCIe memory (Al Stone) [2121508]
- dt-bindings: power: Add Tegra234 PCIe power domains (Al Stone) [2121508]
- dt-bindings: Add Tegra234 PCIe clocks and resets (Al Stone) [2121508]
- dt-bindings: Add HDA support for Tegra234 (Al Stone) [2121508]
- arm64: dts: imx8mp-phycore-som: Set usdhc root clock for eMMC (Al Stone) [2121508]
- arm64: dts: imx8mp-phycore-som: LDO5 needs to be enabled instead of LDO4 (Al Stone) [2121508]
- arm64: dts: imx8mp-phycore-som: Set VDD_ARM run and standby voltage (Al Stone) [2121508]
- arm64: dts: imx8mp-phycore-som: Update WDOG muxing (Al Stone) [2121508]
- arm64: dts: imx8mp-phycore-som: Reduce drive strength for fec tx lines (Al Stone) [2121508]
- arm64: dts: imx8mp-phycore-som: Adapt eMMC drive strength (Al Stone) [2121508]
- arm64: dts: imx8mp-phycore-som: Set minimum output impedance for eth phy (Al Stone) [2121508]
- arm64: dts: imx8mm-venice-gw72xx-0x: add dt overlay for imx219 rpi v2 camera (Al Stone) [2121508]
- arm64: dts: imx8mm-venice-gw73xx-0x: add dt overlay for imx219 rpi v2 camera (Al Stone) [2121508]
- arm64: dts: imx8mm-venice-gw72xx-0x: add dt overlays for serial modes (Al Stone) [2121508]
- arm64: dts: imx8mm-venice-gw73xx-0x: add dt overlays for serial modes (Al Stone) [2121508]
- arm64: dts: imx: Add i.mx8mm Gateworks gw7903 dts support (Al Stone) [2121508]
- arm64: dts: ls1028a: add efuse node (Al Stone) [2121508]
- arm64: dts: imx8mp-evk: add support for I2C5 (Al Stone) [2121508]
- arm64: dts: imx8mp-evk: add PCA6416 gpio line names (Al Stone) [2121508]
- arm64: dts: imx8qm: added more serial alias to dts (Al Stone) [2121508]
- arm64: dts: imx8qm: add compatible string for usdhc3 (Al Stone) [2121508]
- dt-bindings: Add Tegra234 APE support (Al Stone) [2121508]
- dt-bindings: memory: tegra: Add Tegra234 support (Al Stone) [2121508]
- arm64: dts: imx8mm: Fix VPU Hanging (Al Stone) [2121508]
- arm64: dts: imx8mq-evk: Add second PCIe port support (Al Stone) [2121508]
- dt-bindings: power: imx8mp: add defines for HSIO blk-ctrl domains (Al Stone) [2121508]
- dt-bindings: power: add defines for i.MX8MP power domain (Al Stone) [2121508]
- arm64: dts: imx8mm-beacon: Enable PCIe (Al Stone) [2121508]
- arm64: dts: freescale: add initial support for verdin imx8m mini (Al Stone) [2121508]
- arm64: dts: imx8mp-evk: add PCA6416 interrupt controller mode (Al Stone) [2121508]
- arm64: dts: freescale: Use overlay target for simplicity (Al Stone) [2121508]
- arm64: dts: fsl-ls1028a-qds: Drop overlay syntax hard coding (Al Stone) [2121508]
- arm64: dts: imx8mm: fix strange hex notation (Al Stone) [2121508]
- arm64: dts: imx8mm: Add support for emtrion emCON-MX8M Mini (Al Stone) [2121508]
- arm64: dts: tqma8mqml: add PCIe support (Al Stone) [2121508]
- arm64: dts: imx8mm: Enable Hantro G1 and G2 video decoders (Al Stone) [2121508]
- arm64: dts: imx8mq: Enable both G1 and G2 VPU's with vpu-blk-ctrl (Al Stone) [2121508]
- arm64: dts: imx8mq-tqma8mq: Remove redundant vpu reference (Al Stone) [2121508]
- arm64: dts: ls1028a-qds: define mdio slots for networking options (Al Stone) [2121508]
- arm64: dts: imx8m{m,n}_venice*: add gpio-line-names (Al Stone) [2121508]
- arm64: dts: imx8m{m,n}-venice-*: add missing uart-has-rtscts property to UARTs (Al Stone) [2121508]
- arm64: dts: imx8mm-venice-gw7902: fix pcie bindings (Al Stone) [2121508]
- arm64: dts: imx8mm-venice-gw7902: add vdd_5p0 ADC channel (Al Stone) [2121508]
- arm64: dts: imx8mm: Add missing MX8MM_IOMUXC_NAND_READY_B_SD3_RESET_B (Al Stone) [2121508]
- arm64: dts: imx8mp: disable usb3_phy1 (Al Stone) [2121508]
- arm64: dts: imx8qxp-ss-adma: Drop fsl,imx7ulp-lpuart comaptible (Al Stone) [2121508]
- arm64: dts: imx8: add mu5/6 node (Al Stone) [2121508]
- arm64: dts: imx8qm: Add SCU RTC node (Al Stone) [2121508]
- arm64: dts: imx8mn-venice-gw7902: disable gpu (Al Stone) [2121508]
- arm64: dts: imx8mq: disable DDRC node by default (Al Stone) [2121508]
- arm64: dts: imx8qm: Drop CPU 'arm,armv8' compatible (Al Stone) [2121508]
- arm64: dts: imx8mm-venice*: add PCIe support (Al Stone) [2121508]
- arm64: dts: imx8mn: Enable GPU (Al Stone) [2121508]
- arm64: dts: imx8mn: add DISP blk-ctrl (Al Stone) [2121508]
- arm64: dts: imx8mn: put USB controller into power-domains (Al Stone) [2121508]
- arm64: dts: imx8mn: add GPC node (Al Stone) [2121508]
- arm64: dts: imx8mm-evk: Add the pcie support on imx8mm evk board (Al Stone) [2121508]
- arm64: dts: imx8mm: Add the pcie support (Al Stone) [2121508]
- arm64: dts: imx8mm: Add the pcie phy support (Al Stone) [2121508]
- dt-bindings: power: imx8mq: add defines for VPU blk-ctrl domains (Al Stone) [2121508]
- Revert "arm64: dts: imx8mn-venice-gw7902: disable gpu" (Al Stone) [2121508]
- dt-bindings: Add headers for Tegra234 PWM (Al Stone) [2121508]
- dt-bindings: Add headers for Tegra234 I2C (Al Stone) [2121508]
- dt-bindings: imx: Add clock binding for i.MXRT1050 (Al Stone) [2121508]
- arm64: dts: imx8mq: fix lcdif port node (Al Stone) [2121508]
- arm64: dts: imx8mq-librem5: fix mipi_csi1 port number to sensor (Al Stone) [2121508]
- arm64: dts: imx8mq: fix mipi_csi bidirectional port numbers (Al Stone) [2121508]
- arm64: dts: ls1028a: sl28: re-enable ftm_alarm0 (Al Stone) [2121508]
- arm64: dts: freescale: Fix sound card model for MBa8Mx (Al Stone) [2121508]
- arm64: dts: imx8mn-venice-gw7902: disable gpu (Al Stone) [2121508]
- dt-bindings: power: imx8mn: add defines for DISP blk-ctrl domains (Al Stone) [2121508]
- dt-bindings: memory: tegra: Update for Tegra194 (Al Stone) [2121508]
- dt-bindings: Update headers for Tegra234 (Al Stone) [2121508]
- arm64: dts: imx8mp-evk: configure multiple queues on eqos (Al Stone) [2121508]
- arm64: dts: ls1028a-qds: add overlays for various serdes protocols (Al Stone) [2121508]
- arm64: dts: ls1028a-qds: enable lpuart1 (Al Stone) [2121508]
- arm64: dts: ls1028a-qds: move rtc node to the correct i2c bus (Al Stone) [2121508]
- arm64: dts: ls1028a-rdb: enable pwm0 (Al Stone) [2121508]
- arm64: dts: ls1028a: add flextimer based pwm nodes (Al Stone) [2121508]
- arm64: dts: ls1028a: add ftm_alarm1 node to be used as wakeup source (Al Stone) [2121508]
- arm64: dts: ls1028a: Add PCIe EP nodes (Al Stone) [2121508]
- arm64: dts: lx2162a-qds: add interrupt line for RTC node (Al Stone) [2121508]
- arm64: dts: lx2162a-qds: support SD UHS-I and eMMC HS400 modes (Al Stone) [2121508]
- arm64: dts: lx2160a: enable usb3-lpm-capable for usb3 nodes (Al Stone) [2121508]
- arm64: dts: lx2160a-qds: Add mdio mux nodes (Al Stone) [2121508]
- arm64: dts: lx2160a: add optee-tz node (Al Stone) [2121508]
- arm64: dts: lx2160a-rdb: Add Inphi PHY node (Al Stone) [2121508]
- arm64: dts: lx2160a: fix scl-gpios property name (Al Stone) [2121508]
- arm64: dts: imx8mm: don't assign PLL2 in SoC dtsi (Al Stone) [2121508]
- dt-bindings: phy: phy-imx8-pcie: Add binding for the pad modes of imx8 pcie phy (Al Stone) [2121508]
- arm64: dts: imx8mn-bsh-smm-s2/pro: Add iMX8MN BSH SMM S2 boards (Al Stone) [2121508]
- arm64: dts: imx8mm/n: Remove the 'pm-ignore-notify' property (Al Stone) [2121508]
- dt-bindings: power: imx8ulp: add power domain header file (Al Stone) [2121508]
- arm64: dts: imx8mq-evk: link regulator to VPU domain (Al Stone) [2121508]
- arm64: dts: ls1088a: add snps incr burst type adjustment for usb1 (Al Stone) [2121508]
- arm64: dts: ls1088a: Add reboot nodes (Al Stone) [2121508]
- arm64: dts: ls1028a-rdb: update copyright (Al Stone) [2121508]
- arm64: dts: ls1028a-rdb: add aliases for the Ethernet ports (Al Stone) [2121508]
- arm64: dts: ls1028a-rdb: add an alias for the FlexSPI controller (Al Stone) [2121508]
- arm64: dts: ls1028a-rdb: sort nodes alphabetically by label (Al Stone) [2121508]
- arm64: dts: imx8mp: add mac address for EQOS (Al Stone) [2121508]
- arm64: dts: imx8m: remove unused "nvmem_macaddr_swap" property for FEC (Al Stone) [2121508]
- arm64: dts: imx8mp-evk: disable CLKOUT clock for ENET PHY (Al Stone) [2121508]
- arm64: dts: imx8m: configure FEC PHY VDDIO voltage (Al Stone) [2121508]
- arm64: dts: imx8m: disable smart eee for FEC PHY (Al Stone) [2121508]
- arm64: dts: imx8mp-evk: add hardware reset for EQOS PHY (Al Stone) [2121508]
- arm64: dts: imx8mn-evk: add hardware reset for FEC PHY (Al Stone) [2121508]
- arm64: dts: freescale: add initial device tree for TQMa8Mx with i.MX8M (Al Stone) [2121508]
- arm64: dts: freescale: add initial device tree for TQMa8MQNL with i.MX8MN (Al Stone) [2121508]
- arm64: dts: freescale: add initial device tree for TQMa8MQML with i.MX8MM (Al Stone) [2121508]
- arm64: dts: imx8ulp: address build warning (Al Stone) [2121508]
- arm64: dts: imx8ulp: Set #thermal-sensor-cells to 1 as required (Al Stone) [2121508]
- arm64: dts: imx8ulp: add power domain entry for usdhc (Al Stone) [2121508]
- arm64: dts: imx8ulp: add scmi firmware node (Al Stone) [2121508]
- arm64: dts: imx8ulp: Add the basic dtsi file for imx8ulp (Al Stone) [2121508]
- arm64: dts: imx8ulp: Add the basic dts for imx8ulp evk board (Al Stone) [2121508]
- arm64: dts: imx8mm-beacon: Enable OV5640 Camera (Al Stone) [2121508]
- arm64: dts: imx8mm: Add CSI nodes (Al Stone) [2121508]
- arm64: dts: imx8mq: fix the schema check errors for fsl,tmu-calibration (Al Stone) [2121508]
- arm64: dts: lx2162a: Add CAN nodes for LX2162A-QDS (Al Stone) [2121508]
- arm64: dts: imx8mq: remove interconnect property from lcdif (Al Stone) [2121508]
- arm64: dts: ten64: remove redundant interrupt declaration for gpio-keys (Al Stone) [2121508]
- arm64: dts: imx8qxp: add cache info (Al Stone) [2121508]
- arm64: dts: imx8qm: add cache info (Al Stone) [2121508]
- arm64: dts: imx8m: add cache info (Al Stone) [2121508]
- clk: imx8mp: Remove IPG_AUDIO_ROOT from imx8mp-clock.h (Al Stone) [2121508]
- arm64: dts: imx8mq-librem5-r3.dtsi: describe selfie cam XSHUTDOWN pin (Al Stone) [2121508]
- arm64: dts: imx8mq-librem5: describe the selfie cam (Al Stone) [2121508]
- arm64: dts: imx8mq-librem5: describe power supply for cameras (Al Stone) [2121508]
- arm64: dts: split out a shared imx8mq-librem5-r3.dtsi description (Al Stone) [2121508]
- arm64: dts: imx8mm-beacon: Enable USB Controllers (Al Stone) [2121508]
- arm64: dts: fsl-ls1043a-rdb: add delay between CS and CLK signal for flash device (Al Stone) [2121508]
- arm64: dts: lx2160abluebox3: update RGMII delays for sja1105 switch (Al Stone) [2121508]
- arm64: dts: imx: imx8mn-beacon: Drop undocumented clock-names reference (Al Stone) [2121508]
- arm64: dts: mnt-reform2: correct i2c3 pad-ctrl (Al Stone) [2121508]
- arm64: dts: mnt-reform2: add internal display support (Al Stone) [2121508]
- arm64: dts: freescale: add 'chassis-type' property (Al Stone) [2121508]
- arm64: dts: imx8mm-kontron: Fix connection type for VSC8531 RGMII PHY (Al Stone) [2121508]
- arm64: dts: imx8mm-kontron: Fix CAN SPI clock frequency (Al Stone) [2121508]
- arm64: dts: imx8mm-kontron: Fix polarity of reg_rst_eth2 (Al Stone) [2121508]
- arm64: dts: imx8mm-kontron: Set lower limit of VDD_SNVS to 800 mV (Al Stone) [2121508]
- arm64: dts: imx8mm-kontron: Make sure SOC and DRAM supply voltages are correct (Al Stone) [2121508]
- arm64: dts: imx8mm-kontron: Add support for ultra high speed modes on SD card (Al Stone) [2121508]
- arm64: dts: imx8mm-venice-gw7901.dts: disable pgc_gpumix (Al Stone) [2121508]
- arm64: dts: imx8mq-librem5: set debounce interval of volume buttons to 50ms (Al Stone) [2121508]
- arm64: dts: imx8mq-librem5: Limit the max sdio frequency (Al Stone) [2121508]
- arm64: dts: imx8mq-librem5: add power sequencing for M.2 cards (Al Stone) [2121508]
- arm64: dts: imx8mq-librem5: delay the startup of the SDIO (Al Stone) [2121508]
- arm64: dts: imx8mq-librem5: wire up the wifi regulator (Al Stone) [2121508]
- arm64: dts: imx8mq-librem5: Fix led_r and led_g pinctrl assignments (Al Stone) [2121508]
- arm64: dts: imx8mq-librem5: add reset gpio to mantix panel description (Al Stone) [2121508]
- arm64: dts: imx8mm-kontron: Fix reset delays for ethernet PHY (Al Stone) [2121508]
- arm64: dts: imx8mm: add DISP blk-ctrl (Al Stone) [2121508]
- arm64: dts: imx8mm: add VPU blk-ctrl (Al Stone) [2121508]
- arm64: dts: imx8mm: Add GPU nodes for 2D and 3D core (Al Stone) [2121508]
- arm64: dts: imx8mm: put USB controllers into power-domains (Al Stone) [2121508]
- arm64: dts: imx8mm: add GPC node (Al Stone) [2121508]
- dt-bindings: power: imx8mm: add defines for DISP blk-ctrl domains (Al Stone) [2121508]
- dt-bindings: power: imx8mm: add defines for VPU blk-ctrl domains (Al Stone) [2121508]
- arm64: dts: imx8m*-venice-gw7902: fix M2_RST# gpio (Al Stone) [2121508]
- arm64: dts: ls1028a: mark internal links between Felix and ENETC as capable of flow control (Al Stone) [2121508]
- arm64: dts: freescale: Fix 'interrupt-map' parent address cells (Al Stone) [2121508]
- arm64: dts: ls1028a: use phy-mode instead of phy-connection-type (Al Stone) [2121508]
- arm64: dts: ls1028a: move PHY nodes to MDIO controller (Al Stone) [2121508]
- arm64: dts: ls1028a: disable usb controller by default (Al Stone) [2121508]
- arm64: dts: ls1028a: add Vivante GPU node (Al Stone) [2121508]
- arm64: dts: ls1028a: move Mali DP500 node into /soc (Al Stone) [2121508]
- arm64: dts: ls1028a: move pixel clock pll into /soc (Al Stone) [2121508]
- arm64: dts: ls1028a: fix eSDHC2 node (Al Stone) [2121508]
- arm64: dts: imx8mm-kontron-n801x-som: do not allow to switch off buck2 (Al Stone) [2121508]
- arm64: dts: s32g2: add memory nodes for evb and rdb2 (Al Stone) [2121508]
- arm64: dts: s32g2: add VNP-EVB and VNP-RDB2 support (Al Stone) [2121508]
- arm64: dts: add device tree for the LX2160A on the NXP BlueBox3 board (Al Stone) [2121508]
- arm64: dts: imx8: change the spi-nor tx (Al Stone) [2121508]
- dt-bindings: clock: Add imx8ulp clock support (Al Stone) [2121508]
- arm64: dts: ls1012a: Add serial alias for ls1012a-rdb (Al Stone) [2121508]
- arm64: dts: imx8mp: Reorder flexspi clock-names entry (Al Stone) [2121508]
- arm64: dts: imx8mq: fix the schema check errors (Al Stone) [2121508]
- arm64: dts: freescale: fix arm,sp805 compatible string (Al Stone) [2121508]
- arm64: dts: zii-ultra: add PCIe PHY supply (Al Stone) [2121508]
- arm64: dts: freescale: imx8mq-librem5: align operating-points table name with dtschema (Al Stone) [2121508]
- arm64: dts: imx8mm-venice: Fix the SPI chipselect polarity (Al Stone) [2121508]
- arm64: dts: imx8mm-kontron-n801x-som: Fix the SPI chipselect polarity (Al Stone) [2121508]
- clk: imx8mn: Add M7 core clock (Al Stone) [2121508]
- arm64: dts: add device tree for Traverse Ten64 (LS1088A) (Al Stone) [2121508]
- arm64: dts: ls1088a: add missing PMU node (Al Stone) [2121508]
- arm64: dts: ls1088a: add internal PCS for DPMAC1 node (Al Stone) [2121508]
- arm64: dts: imx8m: drop interrupt-affinity for pmu (Al Stone) [2121508]
- arm64: dts: imx8qxp: update pmu compatible (Al Stone) [2121508]
- arm64: dts: imx8mm: update pmu compatible (Al Stone) [2121508]
- arm64: dts: ls1046a: fix eeprom entries (Al Stone) [2121508]
- arm64: dts: imx8mm-venice-gw7901: enable pull-down on gpio outputs (Al Stone) [2121508]
- arm64: dts: imx8mm-venice-gw7901: add support for USB hub subload (Al Stone) [2121508]
- arm64: dts: imx8mm-venice-gw71xx: fix USB OTG VBUS (Al Stone) [2121508]
- arm64: dts: imx8mm-venice-gw700x: fix invalid pmic pin config (Al Stone) [2121508]
- arm64: dts: imx8mm-venice-gw700x: fix mp5416 pmic config (Al Stone) [2121508]
- arm64: dts: imx8mq: add mipi csi phy and csi bridge descriptions (Al Stone) [2121508]
- arm64: dts: imx: Add i.mx8mm/imx8mn Gateworks gw7902 dts support (Al Stone) [2121508]
- arm64: dts: imx8mp: Add dsp node (Al Stone) [2121508]
- arm64: dts: imx8m: Replace deprecated fsl,usbphy DT props with phys (Al Stone) [2121508]
- arm64: dts: imx8mq-evk: Remove unnecessary blank lines (Al Stone) [2121508]
- arm64: dts: imx8mq-evk: add CD pinctrl for usdhc2 (Al Stone) [2121508]
- arm64: dts: imx8mm-venice-gw7901: Remove unnecessary #address-cells/#size-cells (Al Stone) [2121508]
- arm64: dts: imx8: Add jpeg encoder/decoder nodes (Al Stone) [2121508]
- arm64: dts: imx8qxp-ai_ml: Fix checkpatch warnings (Al Stone) [2121508]
- arm64: dts: ls1088ardb: update PHY nodes with IRQ information (Al Stone) [2121508]
- arm64: dts: ls2088ardb: update PHY nodes with IRQ information (Al Stone) [2121508]
- arm64: dts: lx2160ardb: update PHY nodes with IRQ information (Al Stone) [2121508]
- arm64: dts: imx8qxp: add "fsl,imx8qm-fec" compatible string for FEC (Al Stone) [2121508]
- arm64: dts: imx8m: add "fsl,imx8mq-fec" compatible string for FEC (Al Stone) [2121508]
- arm64: dts: imx8mq-reform2: add uSDHC2 CD pinctrl (Al Stone) [2121508]
- arm64: dts: imx8mq-reform2: add sound support (Al Stone) [2121508]
- arm64: dts: imx8mq: add support for MNT Reform2 (Al Stone) [2121508]
- arm64: dts: nitrogen8-som: correct i2c1 pad-ctrl (Al Stone) [2121508]
- arm64: dts: nitrogen8-som: correct network PHY reset (Al Stone) [2121508]
- arm64: dts: imx8mq: add Nitrogen8 SoM (Al Stone) [2121508]
- ACPI: processor idle: Practically limit "Dummy wait" workaround to old Intel systems (Wei Huang) [2130652]
Resolves: rhbz#2118511, rhbz#2107656, rhbz#2004384, rhbz#2121271, rhbz#2125352, rhbz#2117508, rhbz#2094072, rhbz#2078867, rhbz#2124620, rhbz#2134161, rhbz#2071841, rhbz#2104445, rhbz#2117509, rhbz#2120665, rhbz#2104474, rhbz#2033131, rhbz#2117911, rhbz#2120662, rhbz#2048800, rhbz#2119170, rhbz#2115894, rhbz#2121508, rhbz#2130652

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-21 09:57:32 -04:00
Frantisek Hrbata
6cf80baeea kernel-5.14.0-176.el9
* Wed Oct 12 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-176.el9]
- docs: translations: zn_CN: irq-affinity.rst: add a missing extension (David Arcari) [2117494]
- docs/zh_CN: core-api: Update the translation of printk-basics.rst to 5.19-rc3 (David Arcari) [2117494]
- docs/zh_CN: Modify the translator tag and fix the wrong word (David Arcari) [2117494]
- MIPS/asm/printk: Fix build failure caused by printk (David Arcari) [2117494]
- printk: do not wait for consoles when suspended (David Arcari) [2117494]
- Revert "printk: add functions to prefer direct printing" (David Arcari) [2117494]
- Revert "printk: add kthread console printers" (David Arcari) [2117494]
- Revert "printk: extend console_lock for per-console locking" (David Arcari) [2117494]
- Revert "printk: remove @console_locked" (David Arcari) [2117494]
- Revert "printk: Block console kthreads when direct printing will be required" (David Arcari) [2117494]
- Revert "printk: Wait for the global console lock when the system is going down" (David Arcari) [2117494]
- printk: Wait for the global console lock when the system is going down (David Arcari) [2117494]
- printk: Block console kthreads when direct printing will be required (David Arcari) [2117494]
- Revert "printk: wake up all waiters" (David Arcari) [2117494]
- printk, tracing: fix console tracepoint (David Arcari) [2117494]
- printk: remove @console_locked (David Arcari) [2117494]
- printk: extend console_lock for per-console locking (David Arcari) [2117494]
- printk: add kthread console printers (David Arcari) [2117494]
- printk: add functions to prefer direct printing (David Arcari) [2117494]
- printk: add pr_flush() (David Arcari) [2117494]
- printk: move buffer definitions into console_emit_next_record() caller (David Arcari) [2117494]
- printk: refactor and rework printing logic (David Arcari) [2117494]
- serial: core: Fix initializing and restoring termios speed (David Arcari) [2117494]
- printk: add con_printk() macro for console details (David Arcari) [2117494]
- printk: call boot_delay_msec() in printk_delay() (David Arcari) [2117494]
- printk: get caller_id/timestamp after migration disable (David Arcari) [2117494]
- printk: wake waiters for safe and NMI contexts (David Arcari) [2117494]
- printk: wake up all waiters (David Arcari) [2117494]
- printk: add missing memory barrier to wake_up_klogd() (David Arcari) [2117494]
- printk: rename cpulock functions (David Arcari) [2117494]
- printk: fix return value of printk.devkmsg __setup handler (David Arcari) [2117494]
- printk: make suppress_panic_printk static (David Arcari) [2117494]
- printk: Set console_set_on_cmdline=1 when __add_preferred_console() is called with user_specified == true (David Arcari) [2117494]
- printk: Drop console_sem during panic (David Arcari) [2117494]
- printk: Avoid livelock with heavy printk during panic (David Arcari) [2117494]
- printk: disable optimistic spin during panic (David Arcari) [2117494]
- printk: Add panic_in_progress helper (David Arcari) [2117494]
- printk: Fix incorrect __user type in proc_dointvec_minmax_sysadmin() (David Arcari) [2117494]
- printk: ringbuffer: Improve prb_next_seq() performance (David Arcari) [2117494]
- printk: fix build warning when CONFIG_PRINTK=n (David Arcari) [2117494]
- printk: move printk sysctl to printk/sysctl.c (David Arcari) [2117494]
- printk/console: Clean up boot console handling in register_console() (David Arcari) [2117494]
- printk/console: Remove need_default_console variable (David Arcari) [2117494]
- printk/console: Remove unnecessary need_default_console manipulation (David Arcari) [2117494]
- printk/console: Rename has_preferred_console to need_default_console (David Arcari) [2117494]
- printk/console: Split out code that enables default console (David Arcari) [2117494]
- printk: use gnu_printf format attribute for printk_sprint() (David Arcari) [2117494]
- printk: avoid -Wsometimes-uninitialized warning (David Arcari) [2117494]
- printk: use kvmalloc instead of kmalloc for devkmsg_user (David Arcari) [2117494]
- printk/index: Fix -Wunused-function warning (David Arcari) [2117494]
- printk: Add printk.console_no_auto_verbose boot parameter (David Arcari) [2117494]
- printk: Move the printk() kerneldoc comment to its new home (David Arcari) [2117494]
- printk/index: Fix warning about missing prototypes (David Arcari) [2117494]
- redhat/configs: enable CONFIG_PRINTK_INDEX (David Arcari) [2117494]
- printk: Userspace format indexing support (David Arcari) [2117494]
- printk: Rework parse_prefix into printk_parse_prefix (David Arcari) [2117494]
- printk: Straighten out log_flags into printk_info_flags (David Arcari) [2117494]
- printk/console: Check consistent sequence number when handling race in console_unlock() (David Arcari) [2117494]
- serial/8250: Use fifo in 8250 console driver (David Arcari) [2117494]
- serial: 8250_omap: Handle optional overrun-throttle-ms property (David Arcari) [2117494]
- serial: omap: Disable PM runtime autoidle to remove pm_runtime_irq_safe() (David Arcari) [2117494]
- rhel: Enable imx8 pinctrl devices (Al Stone) [2071845]
- pinctrl: Don't allow PINCTRL_AMD to be a module (Al Stone) [2071845]
- pinctrl: imx: Add the zero base flag for imx93 (Al Stone) [2071845]
- pinctrl: intel: Fix kernel doc format, i.e. add return sections (Al Stone) [2071845]
- pinctrl: freescale: Add i.MXRT1170 pinctrl driver support (Al Stone) [2071845]
- pinctrl: intel: Drop unused irqchip member in struct intel_pinctrl (Al Stone) [2071845]
- pinctrl: intel: make irq_chip immutable (Al Stone) [2071845]
- pinctrl: cherryview: Use GPIO chip pointer in chv_gpio_irq_mask_unmask() (Al Stone) [2071845]
- pinctrl: lynxpoint: make irq_chip immutable (Al Stone) [2071845]
- pinctrl: cherryview: make irq_chip immutable (Al Stone) [2071845]
- pinctrl: baytrail: make irq_chip immutable (Al Stone) [2071845]
- pinctrl: broxton: Add module alias for Intel Apollo Lake (Al Stone) [2071845]
- pinctrl: tegra: tegra194: drop unused pin groups (Al Stone) [2071845]
- pinctrl: thunderbay: Use devm_platform_ioremap_resource() (Al Stone) [2071845]
- pinctrl: amd: Make the irqchip immutable (Al Stone) [2071845]
- pinctrl: imx: Reduce printk message level for empty nodes (Al Stone) [2071845]
- pinctrl: pinconf-generic: Print arguments for bias-pull-* (Al Stone) [2071845]
- pinctrl: imx93: Add pinctrl driver support (Al Stone) [2071845]
- pinctrl: baytrail: Add pinconf group + function for the pmu_clk (Al Stone) [2071845]
- pinctrl: icelake: Add Ice Lake-N PCH pin controller support (Al Stone) [2071845]
- pinctrl: baytrail: Clear direct_irq_en flag on broken configs (Al Stone) [2071845]
- pinctrl: cherryview: Trigger hwirq0 for interrupt-lines without a mapping (Al Stone) [2071845]
- pinctrl: thunderbay: rework loops looking for groups names (Al Stone) [2071845]
- pinctrl: thunderbay: comment process of building functions a bit (Al Stone) [2071845]
- pinctrl: imx: fix assigning groups names (Al Stone) [2071845]
- pinctrl: imx: fix allocation result check (Al Stone) [2071845]
- pinctrl: add one more "const" for generic function groups (Al Stone) [2071845]
- pinctrl: keembay: rework loops looking for groups names (Al Stone) [2071845]
- pinctrl: keembay: comment process of building functions a bit (Al Stone) [2071845]
- pinctrl: imx: prepare for making "group_names" in "function_desc" const (Al Stone) [2071845]
- pinctrl: Add Intel Thunder Bay pinctrl driver (Al Stone) [2071845]
- pinctrl: freescale: Add i.MXRT1050 pinctrl driver support (Al Stone) [2071845]
- pinctrl: cherryview: Use temporary variable for struct device (Al Stone) [2071845]
- pinctrl: cherryview: Do not allow the same interrupt line to be used by 2 pins (Al Stone) [2071845]
- pinctrl: cherryview: Don't use selection 0 to mark an interrupt line as unused (Al Stone) [2071845]
- pinctrl: baytrail: Set IRQCHIP_SET_TYPE_MASKED flag on the irqchip (Al Stone) [2071845]
- pinctrl: tegra194: remove duplicate initializer again (Al Stone) [2071845]
- pinctrl: tegra: Return const pointer from tegra_pinctrl_get_group() (Al Stone) [2071845]
- pinctrl: pinconf-generic: Add support for "output-impedance-ohms" to be extracted from DT files (Al Stone) [2071845]
- pinctrl: tegra: Fix warnings and error (Al Stone) [2071845]
- pinctrl: tegra: Use correct offset for pin group (Al Stone) [2071845]
- pinctrl: core: fix possible memory leak in pinctrl_enable() (Al Stone) [2071845]
- pinctrl: tegra: Add pinmux support for Tegra194 (Al Stone) [2071845]
- pinctrl: tegra: include lpdr pin properties (Al Stone) [2071845]
- pinctrl: amd: disable and mask interrupts on probe (Al Stone) [2071845]
- pinctrl: Fix spelling mistake "atleast" -> "at least" (Al Stone) [2071845]
- pinctrl: core: Remove duplicated word from devm_pinctrl_unregister() (Al Stone) [2071845]
- pinctrl: Add Intel Keem Bay pinctrl driver (Al Stone) [2071845]
- pinctrl: single: Move test PCS_HAS_PINCONF in pcs_parse_bits_in_pinctrl_entry() to the beginning (Al Stone) [2071845]
- pinctrl: single: Fix error return code in pcs_parse_bits_in_pinctrl_entry() (Al Stone) [2071845]
- pinctrl: imx8dxl: Constify imx_pinctrl_soc_info (Al Stone) [2071845]
- pinctrl: imx8qxp: Constify imx_pinctrl_soc_info (Al Stone) [2071845]
- pinctrl: imx8mn: Constify imx_pinctrl_soc_info (Al Stone) [2071845]
- pinctrl: imx8ulp: Initialize pin_reg (Al Stone) [2071845]
- pinctrl: imx8ulp: Add pinctrl driver support (Al Stone) [2071845]
- inotify: show inotify mask flags in proc fdinfo (Andrey Albershteyn) [2125133]
- fsnotify: fix fsnotify hooks in pseudo filesystems (Andrey Albershteyn) [2090079]
- fsnotify: invalidate dcache before IN_DELETE event (Andrey Albershteyn) [2090079]
- scsi: target: core: De-RCU of se_lun and se_lun acl (Maurizio Lombardi) [2129900]
- scsi: target: core: Fix race during ACL removal (Maurizio Lombardi) [2129900]
- scsi: target: Remove XDWRITEREAD emulated support (Maurizio Lombardi) [2129900]
- scsi: target: iscsi: Do not require target authentication (Maurizio Lombardi) [2129900]
- scsi: target: iscsi: Allow AuthMethod=None (Maurizio Lombardi) [2129900]
- scsi: target: iscsi: Support base64 in CHAP (Maurizio Lombardi) [2129900]
- scsi: target: iscsi: Add support for extended CDB AHS (Maurizio Lombardi) [2129900]
- scsi: target: iscsi: Fix clang -Wformat warnings (Maurizio Lombardi) [2129900]
- scsi: target: Detect UNMAP support post configuration (Maurizio Lombardi) [2129900]
- scsi: target: Add file configure_unmap callout (Maurizio Lombardi) [2129900]
- scsi: target: Add iblock configure_unmap callout (Maurizio Lombardi) [2129900]
- scsi: target: Add callout to configure UNMAP settings (Maurizio Lombardi) [2129900]
- scsi: target: Remove incorrect zero blocks WRITE_SAME check (Maurizio Lombardi) [2129900]
- scsi: target: Remove duplicate "the" (Maurizio Lombardi) [2129900]
- scsi: target: iscsi: Fix NULL pointer dereference in iscsi_conn_auth_required() (Maurizio Lombardi) [2129900]
- scsi: target: iscsi: Control authentication per ACL (Maurizio Lombardi) [2129900]
- scsi: target: iscsi: Extract auth functions (Maurizio Lombardi) [2129900]
- scsi: target: iscsi: Add upcast helpers (Maurizio Lombardi) [2129900]
- scsi: target: Fix WRITE_SAME No Data Buffer crash (Maurizio Lombardi) [2129900]
- scsi: target: tcmu: Avoid holding XArray lock when calling lock_page (Maurizio Lombardi) [2129900]
- scsi: target: iscsi: Rename iscsi_session to iscsit_session (Maurizio Lombardi) [2129900]
- scsi: target: iscsi: Rename iscsi_conn to iscsit_conn (Maurizio Lombardi) [2129900]
- scsi: target: iscsi: Rename iscsi_cmd to iscsit_cmd (Maurizio Lombardi) [2129900]
- scsi: target: tcmu: Fix possible data corruption (Maurizio Lombardi) [2129900]
- scsi: target: core: Silence the message about unknown VPD pages (Maurizio Lombardi) [2129900]
- scsi: target: Fix incorrect use of cpumask_t (Maurizio Lombardi) [2129900]
- scsi: target: Add iscsi/cpus_allowed_list in configfs (Maurizio Lombardi) [2129900]
- random: trigger reseeding DRBG on more occasions (Daiki Ueno) [2131988]
Resolves: rhbz#2117494, rhbz#2071845, rhbz#2125133, rhbz#2090079, rhbz#2129900, rhbz#2131988

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-12 02:19:40 -04:00
Frantisek Hrbata
bb9025e9aa kernel-5.14.0-175.el9
* Tue Oct 11 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-175.el9]
- x86,config: Enable straight-line-speculation fix (Wander Lairson Costa) [2062177] {CVE-2021-26341}
- nvme-rdma: handle number of queue changes (John Meneghini) [2112025]
- nvme-tcp: handle number of queue changes (John Meneghini) [2112025]
- nvmet: expose max queues to configfs (John Meneghini) [2112025]
- nvme-fabrics: parse nvme connect Linux error codes (John Meneghini) [2112025]
- xfs: flush inode gc workqueue before clearing agi bucket (Brian Foster) [2083143]
- xfs: use invalidate_lock to check the state of mmap_lock (Brian Foster) [2083143]
- xfs: introduce xfs_inodegc_push() (Brian Foster) [2083143]
- xfs: bound maximum wait time for inodegc work (Brian Foster) [2083143]
- xfs: flush inodegc workqueue tasks before cancel (Brian Foster) [2083143]
- xfs: xfs_is_shutdown vs xlog_is_shutdown cage fight (Brian Foster) [2083143]
- xfs: log items should have a xlog pointer, not a mount (Brian Foster) [2083143]
- xfs: async CIL flushes need pending pushes to be made stable (Brian Foster) [2083143]
- xfs: run callbacks before waking waiters in xlog_state_shutdown_callbacks (Brian Foster) [2083143]
- xfs: remove xfs_inew_wait (Brian Foster) [2083143]
- xfs: factor out a xfs_buftarg_is_dax helper (Brian Foster) [2083143]
- xfs: only set IOMAP_F_SHARED when providing a srcmap to a write (Brian Foster) [2083143]
- xfs: fix perag structure refcounting error when scrub fails (Brian Foster) [2083143]
- xfs: rename buffer cache index variable b_bn (Brian Foster) [2083143]
- xfs: convert bp->b_bn references to xfs_buf_daddr() (Brian Foster) [2083143]
- xfs: introduce xfs_buf_daddr() (Brian Foster) [2083143]
- xfs: kill xfs_sb_version_has_v3inode() (Brian Foster) [2083143]
- xfs: introduce xfs_sb_is_v5 helper (Brian Foster) [2083143]
- xfs: remove unused xfs_sb_version_has wrappers (Brian Foster) [2083143]
- xfs: convert xfs_sb_version_has checks to use mount features (Brian Foster) [2083143]
- xfs: convert scrub to use mount-based feature checks (Brian Foster) [2083143]
- xfs: open code sb verifier feature checks (Brian Foster) [2083143]
- xfs: convert xfs_fs_geometry to use mount feature checks (Brian Foster) [2083143]
- xfs: replace XFS_FORCED_SHUTDOWN with xfs_is_shutdown (Brian Foster) [2083143]
- xfs: convert remaining mount flags to state flags (Brian Foster) [2083143]
- xfs: convert mount flags to features (Brian Foster) [2083143]
- xfs: consolidate mount option features in m_features (Brian Foster) [2083143]
- xfs: replace xfs_sb_version checks with feature flag checks (Brian Foster) [2083143]
- xfs: reflect sb features in xfs_mount (Brian Foster) [2083143]
- xfs: rework attr2 feature and mount options (Brian Foster) [2083143]
- xfs: rename xfs_has_attr() (Brian Foster) [2083143]
- xfs: sb verifier doesn't handle uncached sb buffer (Brian Foster) [2083143]
- xfs: start documenting common units and tags used in tracepoints (Brian Foster) [2083143]
- xfs: decode scrub flags in ftrace output (Brian Foster) [2083143]
- xfs: standardize inode generation formatting in ftrace output (Brian Foster) [2083143]
- xfs: standardize remaining xfs_buf length tracepoints (Brian Foster) [2083143]
- xfs: resolve fork names in trace output (Brian Foster) [2083143]
- xfs: rename i_disk_size fields in ftrace output (Brian Foster) [2083143]
- xfs: disambiguate units for ftrace fields tagged "count" (Brian Foster) [2083143]
- xfs: disambiguate units for ftrace fields tagged "len" (Brian Foster) [2083143]
- xfs: disambiguate units for ftrace fields tagged "offset" (Brian Foster) [2083143]
- xfs: disambiguate units for ftrace fields tagged "blkno", "block", or "bno" (Brian Foster) [2083143]
- xfs: standardize daddr formatting in ftrace output (Brian Foster) [2083143]
- xfs: standardize rmap owner number formatting in ftrace output (Brian Foster) [2083143]
- xfs: standardize AG block number formatting in ftrace output (Brian Foster) [2083143]
- xfs: standardize AG number formatting in ftrace output (Brian Foster) [2083143]
- xfs: standardize inode number formatting in ftrace output (Brian Foster) [2083143]
- xfs: remove support for untagged lookups in xfs_icwalk* (Brian Foster) [2083143]
- xfs: constify btree function parameters that are not modified (Brian Foster) [2083143]
- xfs: make the start pointer passed to btree update_lastrec functions const (Brian Foster) [2083143]
- xfs: make the start pointer passed to btree alloc_block functions const (Brian Foster) [2083143]
- xfs: make the pointer passed to btree set_root functions const (Brian Foster) [2083143]
- xfs: mark the record passed into xchk_btree functions as const (Brian Foster) [2083143]
- xfs: make the keys and records passed to btree inorder functions const (Brian Foster) [2083143]
- xfs: mark the record passed into btree init_key functions as const (Brian Foster) [2083143]
- xfs: make the record pointer passed to query_range functions const (Brian Foster) [2083143]
- xfs: make the key parameters to all btree query range functions const (Brian Foster) [2083143]
- xfs: make the key parameters to all btree key comparison functions const (Brian Foster) [2083143]
- xfs: add trace point for fs shutdown (Brian Foster) [2083143]
- xfs: remove unnecessary agno variable from struct xchk_ag (Brian Foster) [2083143]
- xfs: make fsmap backend function key parameters const (Brian Foster) [2083143]
- xfs: fix off-by-one error when the last rt extent is in use (Brian Foster) [2083143]
- xfs: make xfs_rtalloc_query_range input parameters const (Brian Foster) [2083143]
- xfs: drop ->writepage completely (Brian Foster) [2083143]
- xfs: move the CIL workqueue to the CIL (Brian Foster) [2083143]
- xfs: CIL work is serialised, not pipelined (Brian Foster) [2083143]
- xfs: AIL needs asynchronous CIL forcing (Brian Foster) [2083143]
- xfs: order CIL checkpoint start records (Brian Foster) [2083143]
- xfs: attach iclog callbacks in xlog_cil_set_ctx_write_state() (Brian Foster) [2083143]
- xfs: factor out log write ordering from xlog_cil_push_work() (Brian Foster) [2083143]
- xfs: pass a CIL context to xlog_write() (Brian Foster) [2083143]
- xfs: move xlog_commit_record to xfs_log_cil.c (Brian Foster) [2083143]
- xfs: log head and tail aren't reliable during shutdown (Brian Foster) [2083143]
- xfs: don't run shutdown callbacks on active iclogs (Brian Foster) [2083143]
- xfs: separate out log shutdown callback processing (Brian Foster) [2083143]
- xfs: rework xlog_state_do_callback() (Brian Foster) [2083143]
- xfs: make forced shutdown processing atomic (Brian Foster) [2083143]
- xfs: convert log flags to an operational state field (Brian Foster) [2083143]
- xfs: move recovery needed state updates to xfs_log_mount_finish (Brian Foster) [2083143]
- xfs: XLOG_STATE_IOERROR must die (Brian Foster) [2083143]
- xfs: convert XLOG_FORCED_SHUTDOWN() to xlog_is_shutdown() (Brian Foster) [2083143]
- xfs: cleanup __FUNCTION__ usage (Brian Foster) [2083143]
- xfs: Rename __xfs_attr_rmtval_remove (Brian Foster) [2083143]
- xfs: add attr state machine tracepoints (Brian Foster) [2083143]
- xfs: refactor xfs_iget calls from log intent recovery (Brian Foster) [2083143]
- xfs: clear log incompat feature bits when the log is idle (Brian Foster) [2083143]
- xfs: allow setting and clearing of log incompat feature flags (Brian Foster) [2083143]
- xfs: replace kmem_alloc_large() with kvmalloc() (Brian Foster) [2083143]
- xfs: remove kmem_alloc_io() (Brian Foster) [2083143]
- xfs: dump log intent items that cannot be recovered due to corruption (Brian Foster) [2083143]
- xfs: grab active perag ref when reading AG headers (Brian Foster) [2083143]
- xfs: fix silly whitespace problems with kernel libxfs (Brian Foster) [2083143]
- xfs: throttle inode inactivation queuing on memory reclaim (Brian Foster) [2083143]
- xfs: avoid buffer deadlocks when walking fs inodes (Brian Foster) [2083143]
- xfs: use background worker pool when transactions can't get free space (Brian Foster) [2083143]
- xfs: don't run speculative preallocation gc when fs is frozen (Brian Foster) [2083143]
- xfs: flush inode inactivation work when compiling usage statistics (Brian Foster) [2083143]
- xfs: inactivate inodes any time we try to free speculative preallocations (Brian Foster) [2083143]
- xfs: queue inactivation immediately when free realtime extents are tight (Brian Foster) [2083143]
- xfs: queue inactivation immediately when quota is nearing enforcement (Brian Foster) [2083143]
- xfs: queue inactivation immediately when free space is tight (Brian Foster) [2083143]
- xfs: per-cpu deferred inode inactivation queues (Brian Foster) [2083143]
- xfs: detach dquots from inode if we don't need to inactivate it (Brian Foster) [2083143]
- xfs: move xfs_inactive call to xfs_inode_mark_reclaimable (Brian Foster) [2083143]
- xfs: introduce all-mounts list for cpu hotplug notifications (Brian Foster) [2083143]
- xfs: introduce CPU hotplug infrastructure (Brian Foster) [2083143]
- xfs: remove the active vs running quota differentiation (Brian Foster) [2083143]
- xfs: remove the flags argument to xfs_qm_dquot_walk (Brian Foster) [2083143]
- xfs: remove xfs_dqrele_all_inodes (Brian Foster) [2083143]
- xfs: remove support for disabling quota accounting on a mounted file system (Brian Foster) [2083143]
- xfs: Convert double locking of MMAPLOCK to use VFS helpers (Brian Foster) [2083143]
- xfs: Convert to use invalidate_lock (Brian Foster) [2083143]
- xfs: Refactor xfs_isilocked() (Brian Foster) [2083143]
- s390/pai: Fix multiple concurrent event installation (Tobias Huschle) [2110299]
- s390/pai: Prevent invalid event number for pai_crypto PMU (Tobias Huschle) [2110299]
- s390/pai: add support for cryptography counters (Tobias Huschle) [2110299]
- entry: Rename arch_check_user_regs() to arch_enter_from_user_mode() (Tobias Huschle) [2110299]
- irq: remove handle_domain_{irq,nmi}() (Adrien Thierry) [2123744]
- crypto: qat - add limit to linked list parsing (Vladis Dronov) [2122112]
- crypto: qat - use reference to structure in dma_map_single() (Vladis Dronov) [2122112]
- Revert "crypto: qat - reduce size of mapped region" (Vladis Dronov) [2122112]
- crypto: qat - fix DMA transfer direction (Vladis Dronov) [2122112]
- crypto: qat - fix default value of WDT timer (Vladis Dronov) [2122112]
- crypto: drivers - move from strlcpy with unused retval to strscpy (Vladis Dronov) [2122112]
- crypto: qat - add check to validate firmware images (Vladis Dronov) [2122112]
- Documentation: qat: rewrite description (Vladis Dronov) [2122112]
- Documentation: qat: Use code block for qat sysfs example (Vladis Dronov) [2122112]
- thermal: int340x: Add Meteor Lake PCI device ID (David Arcari) [2072653]
- ACPI: DPTF: Support Meteor Lake (David Arcari) [2072653]
- thermal: int340x: Mode setting with new OS handshake (David Arcari) [2072653]
- thermal: int340x: Fix attr.show callback prototype (David Arcari) [2072653]
- thermal: int340x: Clean up _OSC context init (David Arcari) [2072653]
- thermal: int340x: Consolidate freeing of acpi_buffer pointer (David Arcari) [2072653]
- thermal: int340x: Clean up unnecessary acpi_buffer pointer freeing (David Arcari) [2072653]
- gfs2: Use TRY lock in gfs2_inode_lookup for UNLINKED inodes (Bob Peterson) [2121570]
- bpf: Use bpf_capable() instead of CAP_SYS_ADMIN for blinding decision (Yauheni Kaliuta) [2063058]
Resolves: rhbz#2062177, rhbz#2112025, rhbz#2083143, rhbz#2110299, rhbz#2123744, rhbz#2122112, rhbz#2072653, rhbz#2121570, rhbz#2063058

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-11 01:35:48 -04:00
Frantisek Hrbata
be970f9554 kernel-5.14.0-172.el9
* Mon Oct 03 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-172.el9]
- redhat/Makefile: Add DIST to git tags for RHEL (Prarit Bhargava)
- Revert "Split partner modules into a sub-package" (Prarit Bhargava)
- redhat: Remove filter-i686.sh.rhel (Prarit Bhargava)
- redhat/Makefile: Add RHEL specific message for BUILDID deprecation (Prarit Bhargava)
- redhat/Makefile: Set PATCHLIST_URL to none for RHEL/cs9 (Prarit Bhargava)
- redhat: remove GL_DISTGIT_USER, RHDISTGIT and unify dist-git cloning (Prarit Bhargava)
- redhat/Makefile: Always set UPSTREAM (Prarit Bhargava)
- redhat/Makefile.variables: Add ADD_COMMITID_TO_VERSION (Prarit Bhargava)
- kernel.spec: disable vmlinux.h generation for s390 zfcpdump config (Prarit Bhargava)
- Revert "Add rtla subpackage for kernel-tools" (Prarit Bhargava)
- Enable KUNIT tests for redhat kernel-modules-internal (Prarit Bhargava)
- Makefile: add kabi targets (Prarit Bhargava)
- kabi: add stablelist helpers (Prarit Bhargava)
- perf: Require libbpf 0.6.0 or newer (Prarit Bhargava)
- kabi: add support for symbol namespaces into check-kabi (Prarit Bhargava)
- kabi: ignore new stablelist metadata in show-kabi (Prarit Bhargava)
- Makefile: add dist-assert-tree-clean target (Prarit Bhargava)
- redhat: Use redhatsecureboot701 for ppc64le (Prarit Bhargava)
- redhat: workaround CKI cross compilation for scripts (Prarit Bhargava)
- crypto: fips - make proc files report fips module name and version (Prarit Bhargava)
- redhat/configs: Enable Marvell OcteonTX2 crypto device (Prarit Bhargava)
- redhat/kernel.spec.template: Specify vmlinux.h path when building samples/bpf (Prarit Bhargava)
- spec: Fix separate tools build (Prarit Bhargava)
- redhat: drop the RELEASED_KERNEL switch (Prarit Bhargava)
- redhat: switch the kernel package to use certs from system-sb-certs (Prarit Bhargava)
- redhat/scripts: Update merge-subtrees.sh with new subtree location (Prarit Bhargava)
- redhat/kernel.spec.template: enable dependencies generation (Prarit Bhargava)
- redhat: build and include memfd to kernel-selftests-internal (Prarit Bhargava)
- redhat/kernel.spec.template: Link perf with --export-dynamic (Prarit Bhargava)
- cifs: enable SMB_DIRECT in RHEL9 (Prarit Bhargava)
- redhat/Makefile.variables: Set INCLUDE_FEDORA_FILES to 0 (Prarit Bhargava)
- redhat: Remove fedora configs directories and files. (Prarit Bhargava)
- Revert "redhat: define _rhel variable because pesign macro now needs it" (Prarit Bhargava)
- redhat: switch secureboot kernel image signing to release keys (Prarit Bhargava)
- redhat: kernel.spec: selftests: abort on build failure (Prarit Bhargava)
- redhat: fix chronological order in the changelog file (Prarit Bhargava)
- redhat: replace redhatsecureboot303 signing key with redhatsecureboot601 (Prarit Bhargava)
- redhat: define _rhel variable because pesign macro now needs it (Prarit Bhargava)
- redhat: update branches/targets after 9 Beta fork (Prarit Bhargava)
- redhat: drop certificates that were deprecated after GRUB's BootHole flaw (Prarit Bhargava)
- redhat: correct file name of redhatsecurebootca1 (Prarit Bhargava)
- redhat: align file names with names of signing keys for ppc and s390 (Prarit Bhargava)
- redhat: update Makefile.variables for centos/rhel9 fork (Prarit Bhargava)
- redhat: make DIST default to .el9 (Prarit Bhargava)
- redhat/self-test: Fix shellcheck errors (Prarit Bhargava)
- redhat: change the changelog item for upstream merges (Prarit Bhargava)
- redhat/docs: Add dist-brew BUILD_FLAGS information (Prarit Bhargava)
- redhat: fix dist-release build number test (Prarit Bhargava)
- redhat: fix release number bump when dist-release-changed runs (Prarit Bhargava)
- redhat: use new genlog.sh script to detect changes for dist-release (Prarit Bhargava)
- redhat: move changelog addition to the spec file back into genspec.sh (Prarit Bhargava)
- redhat: always add a rebase entry when ark merges from upstream (Prarit Bhargava)
- redhat: drop merge ark patches hack (Prarit Bhargava)
- redhat: don't hardcode temporary changelog file (Prarit Bhargava)
- redhat: split changelog generation from genspec.sh (Prarit Bhargava)
- Add python3-setuptools buildreq for perf (Prarit Bhargava)
- Add cros_kunit to mod-internal.list (Prarit Bhargava)
- Add new tests to mod-internal.list (Prarit Bhargava)
- redhat/Makefile: Clean linux tarballs (Prarit Bhargava)
- redhat: properly handle binary files in patches (Prarit Bhargava)
- spec: add cpupower daemon reload on install/upgrade (Prarit Bhargava)
- redhat/configs: Fix rm warning on config warnings (Prarit Bhargava)
- redhat/Makefile: Deprecate PREBUILD_GIT_ONLY variable (Prarit Bhargava)
- redhat/Makefile: Deprecate SINGLE_TARBALL variable (Prarit Bhargava)
- redhat/Makefile: Deprecate GIT variable (Prarit Bhargava)
- omit unused Provides (Prarit Bhargava)
- self-test: Add test for DIST=".eln" (Prarit Bhargava)
- redhat/docs: Add information on build dependencies (Prarit Bhargava)
- redhat/Makefile: Add better pass message for dist-get-buildreqs (Prarit Bhargava)
- redhat/Makefile: Provide a better message for system-sb-certs (Prarit Bhargava)
- redhat/Makefile: Change dist-buildreq-check to a non-blocking target (Prarit Bhargava)
- create-data: Parallelize spec file data (Prarit Bhargava)
- create-data.sh: Store SOURCES Makefile variable (Prarit Bhargava)
- redhat/Makefile: Split up setup-source target (Prarit Bhargava)
- create-data.sh: Redefine varfilename (Prarit Bhargava)
- create-data.sh: Parallelize variable file creation (Prarit Bhargava)
- redhat/docs: Update brew information (Prarit Bhargava)
- redhat/Makefile: Fix eln BUILD_TARGET (Prarit Bhargava)
- redhat/Makefile: Set BUILD_TARGET for dist-brew (Prarit Bhargava)
- kernel.spec.template: update (s390x) expoline.o path (Prarit Bhargava)
- redhat/Makefile: Change fedora BUILD_TARGET (Prarit Bhargava)
- redhat: make kernel-zfcpdump-core to not provide kernel-core/kernel (Prarit Bhargava)
- redhat: Exclude cpufreq.h from kernel-headers (Prarit Bhargava)
- Add rtla subpackage for kernel-tools (Prarit Bhargava)
- redhat/Makefile: Deprecate BUILD_SCRATCH_TARGET (Prarit Bhargava)
- redhat/Makefile: Remove deprecated variables and targets (Prarit Bhargava)
- Split partner modules into a sub-package (Prarit Bhargava)
- Enable Marvell OcteonTX2 crypto device in ARK (Prarit Bhargava)
- redhat/Makefile: Remove --scratch from BUILD_TARGET (Prarit Bhargava)
- redhat/Makefile: Fix dist-brew and distg-brew targets (Prarit Bhargava)
- redhat/Makefile: Add SPECKABIVERSION variable (Prarit Bhargava)
- redhat/self-test: Provide better failure output (Prarit Bhargava)
- redhat/self-test: Reformat tests to kernel standard (Prarit Bhargava)
- redhat/self-test: Add purpose and header to each test (Prarit Bhargava)
- redhat/self-test: Update data set (Prarit Bhargava)
- create-data.sh: Reduce specfile data output (Prarit Bhargava)
- self-test: Fixup Makefile contents test (Prarit Bhargava)
- redhat/self-test: self-test data update (Prarit Bhargava)
- redhat/self-test: Fix up create-data.sh to not report local variables (Prarit Bhargava)
- redhat: change tools_make macro to avoid full override of variables in Makefile (Prarit Bhargava)
- Fix typo in Makefile for Fedora Stable Versioning (Prarit Bhargava)
- redhat/configs/README: Update the README (Prarit Bhargava)
- redhat/docs: fix hyperlink typo (Prarit Bhargava)
- redhat/docs: Add a description of kernel naming (Prarit Bhargava)
- redhat/kernel.spec.template: update selftest data via "make dist-self-test-data" (Prarit Bhargava)
- redhat/kernel.spec.template: remove stray *.hardlink-temporary files, if any (Prarit Bhargava)
- Fix versioning on stable Fedora (Prarit Bhargava)
- Revert "Merge branch 'fix-ci-20220523' into 'os-build'" (Prarit Bhargava)
- redhat/Makefile: Drop quotation marks around string definitions (Prarit Bhargava)
- redhat/self-test/data: Update data set (Prarit Bhargava)
- Revert variable switch for lasttag (Prarit Bhargava)
- redhat/self-test: Update data (Prarit Bhargava)
- redhat/self-test: Unset Makefile variables (Prarit Bhargava)
- redhat/self-test: Omit SHELL variable from test data (Prarit Bhargava)
- Update filter-modules for mlx5-vfio-pci (Prarit Bhargava)
- self-test/data/create-data.sh: Avoid SINGLE_TARBALL warning (Prarit Bhargava)
- redhat/Makefile: Rename PREBUILD to UPSTREAMBUILD (Prarit Bhargava)
- redhat/Makefile: Rename BUILDID to LOCALVERSION (Prarit Bhargava)
- redhat/Makefile: Fix dist-brew & distg-brew targets (Prarit Bhargava)
- redhat/Makefile: Reorganize MARKER code (Prarit Bhargava)
- redhat/scripts/new_release.sh: Use Makefile variables (Prarit Bhargava)
- redhat/Makefile: Rename __YSTREAM and __ZSTREAM (Prarit Bhargava)
- redhat/genspec.sh: Add comment about SPECBUILDID variable (Prarit Bhargava)
- redhat/kernel.spec.template: Move genspec variables into one section (Prarit Bhargava)
- redhat/kernel.spec.template: Remove kversion (Prarit Bhargava)
- redhat/Makefile: Add SPECTARFILE_RELEASE comment (Prarit Bhargava)
- redhat/Makefile: Rename RPMVERSION to BASEVERSION (Prarit Bhargava)
- redhat/Makefile: Target whitespace cleanup (Prarit Bhargava)
- redhat/Makefile: Move SPECRELEASE to genspec.sh (Prarit Bhargava)
- redhat/Makefile: Add kernel-NVR comment (Prarit Bhargava)
- redhat/Makefile: Use SPECFILE variable (Prarit Bhargava)
- redhat/Makefile: Remove KEXTRAVERSION (Prarit Bhargava)
- redhat: Enable VM kselftests (Prarit Bhargava)
- redhat: enable CONFIG_TEST_VMALLOC for vm selftests (Prarit Bhargava)
- redhat: Enable HMM test to be used by the kselftest test suite (Prarit Bhargava)
- redhat/Makefile.variables: Change git hash length to default (Prarit Bhargava)
- redhat/Makefile: Drop quotation marks around string definitions (Prarit Bhargava)
- redhat/configs: Fix rm warning on error (Prarit Bhargava)
- redhat/kernel.spec.template: fix standalone tools build (Prarit Bhargava)
- Add system-sb-certs for RHEL-9 (Prarit Bhargava)
- Fix dist-buildcheck-reqs (Prarit Bhargava)
- redhat: indicate HEAD state in tarball/rpm name (Prarit Bhargava)
- redhat/self-test: Add test to verify Makefile declarations. (Prarit Bhargava)
- redhat/Makefile: Add RHTEST (Prarit Bhargava)
- redhat: shellcheck cleanup (Prarit Bhargava)
- redhat/self-test/data: Cleanup data (Prarit Bhargava)
- redhat/self-test: Add test to verify SPEC variables (Prarit Bhargava)
- redhat/Makefile: Add 'duplicate' SPEC entries for user set variables (Prarit Bhargava)
- redhat/Makefile: Rename TARFILE_RELEASE to SPECTARFILE_RELEASE (Prarit Bhargava)
- redhat/genspec: Rename PATCHLIST_CHANGELOG to SPECPATCHLIST_CHANGELOG (Prarit Bhargava)
- redhat/genspec: Rename DEBUG_BUILDS_ENABLED to SPECDEBUG_BUILDS_ENABLED (Prarit Bhargava)
- redhat/Makefile: Rename PKGRELEASE to SPECBUILD (Prarit Bhargava)
- redhat/genspec: Rename BUILDID_DEFINE to SPECBUILDID (Prarit Bhargava)
- redhat/Makefile: Rename CHANGELOG to SPECCHANGELOG (Prarit Bhargava)
- redhat/Makefile: Rename RPMKEXTRAVERSION to SPECKEXTRAVERSION (Prarit Bhargava)
- redhat/Makefile: Rename RPMKSUBLEVEL to SPECKSUBLEVEL (Prarit Bhargava)
- redhat/Makefile: Rename RPMKPATCHLEVEL to SPECKPATCHLEVEL (Prarit Bhargava)
- redhat/Makefile: Rename RPMKVERSION to SPECKVERSION (Prarit Bhargava)
- redhat/Makefile: Rename KVERSION to SPECVERSION (Prarit Bhargava)
- redhat/Makefile: Deprecate some simple targets (Prarit Bhargava)
- redhat/Makefile: Use KVERSION (Prarit Bhargava)
- enable DAMON configs (Prarit Bhargava)
- redhat: change kabi tarballs to use the package release (Prarit Bhargava)
- redhat: generate distgit changelog in genspec.sh as well (Prarit Bhargava)
- redhat: make genspec prefer metadata from git notes (Prarit Bhargava)
- redhat: use tags from git notes for zstream to generate changelog (Prarit Bhargava)
- redhat/self-test: Clean up data set (Prarit Bhargava)
- redhat/Makefile.rhpkg: Remove quotes for RHDISTGIT (Prarit Bhargava)
- redhat/scripts/create-tarball.sh: Use Makefile variables (Prarit Bhargava)
- redhat/Makefile: Deprecate SINGLE_TARBALL (Prarit Bhargava)
- redhat/Makefile: Move SINGLE_TARBALL to Makefile.variables (Prarit Bhargava)
- redhat/Makefile: Use RPMVERSION (Prarit Bhargava)
- redhat/scripts/rh-dist-git.sh: Use Makefile variables (Prarit Bhargava)
- redhat/configs/build_configs.sh: Use Makefile variables (Prarit Bhargava)
- redhat/configs/process_configs.sh: Use Makefile variables (Prarit Bhargava)
- redhat/kernel.spec.template: Use RPM_BUILD_NCPUS (Prarit Bhargava)
- redhat/configs/generate_all_configs.sh: Use Makefile variables (Prarit Bhargava)
- redhat: ignore known empty patches on the patches rpminspect test (Prarit Bhargava)
- spec: keep .BTF section in modules for s390 (Prarit Bhargava)
- kernel.spec.template: Ship arch/s390/lib/expoline.o in kernel-devel (Prarit Bhargava)
- redhat/configs: enable CONFIG_SAMPLE_VFIO_MDEV_MTTY (Prarit Bhargava)
- Build intel_sdsi with %%{tools_make} (Prarit Bhargava)
- spec: make HMAC file encode relative path (Prarit Bhargava)
- redhat/kernel.spec.template: Add intel_sdsi utility (Prarit Bhargava)
- Spec fixes for intel-speed-select (Prarit Bhargava)
- Add clk_test and clk-gate_test to mod-internal.list (Prarit Bhargava)
- redhat/self-tests: Ignore UPSTREAM (Prarit Bhargava)
- redhat/self-tests: Ignore RHGITURL (Prarit Bhargava)
- redhat/Makefile.variables: Extend git hash length to 15 (Prarit Bhargava)
- redhat/self-test: Remove changelog from spec files (Prarit Bhargava)
- redhat/genspec.sh: Rearrange genspec.sh (Prarit Bhargava)
- redhat/self-test: Add spec file data (Prarit Bhargava)
- redhat/self-test: Add better dist-dump-variables test (Prarit Bhargava)
- redhat/self-test: Add variable test data (Prarit Bhargava)
- Avoid creating files in $RPM_SOURCE_DIR (Prarit Bhargava)
- redhat/configs/process_configs.sh: Avoid race with find (Prarit Bhargava)
- redhat/configs/process_configs.sh: Remove CONTINUEONERROR (Prarit Bhargava)
- Remove i686 configs and filters (Prarit Bhargava)
- redhat: Fix release tagging (Prarit Bhargava)
- redhat/self-test: Fix version tag test (Prarit Bhargava)
- redhat/self-test: Fix BUILD verification test (Prarit Bhargava)
- redhat/self-test: Cleanup SRPM related self-tests (Prarit Bhargava)
- redhat/self-test: Fix shellcheck test (Prarit Bhargava)
- redhat/README.Makefile: Add a Makefile README file (Prarit Bhargava)
- redhat/Makefile: Remove duplicated code (Prarit Bhargava)
- Add BuildRequires libnl3-devel for intel-speed-select (Prarit Bhargava)
- Add new kunit tests for 5.18 to mod-internal.list (Prarit Bhargava)
- Fix RHDISTGIT for Fedora (Prarit Bhargava)
- redhat/configs/process_configs.sh: Fix race with tools generation (Prarit Bhargava)
- Define SNAPSHOT correctly when VERSION_ON_UPSTREAM is 0 (Prarit Bhargava)
- redhat/Makefile: Fix dist-git (Prarit Bhargava)
- redhat/Makefile: Reorganize variables (Prarit Bhargava)
- redhat/Makefile: Add some descriptions (Prarit Bhargava)
- redhat/Makefile: Move SNAPSHOT check (Prarit Bhargava)
- redhat/Makefile: Deprecate BREW_FLAGS, KOJI_FLAGS, and TEST_FLAGS (Prarit Bhargava)
- redhat/genspec.sh: Rework RPMVERSION variable (Prarit Bhargava)
- redhat/Makefile: Remove dead comment (Prarit Bhargava)
- redhat/Makefile: Cleanup KABI* variables. (Prarit Bhargava)
- redhat/Makefile.variables: Default RHGITCOMMIT to HEAD (Prarit Bhargava)
- redhat/scripts/create-tarball.sh: Use Makefile TARBALL variable (Prarit Bhargava)
- redhat/Makefile: Remove extra DIST_BRANCH (Prarit Bhargava)
- redhat/Makefile: Remove STAMP_VERSION (Prarit Bhargava)
- redhat/Makefile: Move NO_CONFIGCHECKS to Makefile.variables (Prarit Bhargava)
- redhat/Makefile: Move RHJOBS to Makefile.variables (Prarit Bhargava)
- redhat/Makefile: Move RHGIT* variables to Makefile.variables (Prarit Bhargava)
- redhat/Makefile: Move PREBUILD_GIT_ONLY to Makefile.variables (Prarit Bhargava)
- redhat/Makefile: Move BUILD to Makefile.variables (Prarit Bhargava)
- redhat/Makefile: Move BUILD_FLAGS to Makefile.variables. (Prarit Bhargava)
- redhat/Makefile: Move BUILD_PROFILE to Makefile.variables (Prarit Bhargava)
- redhat/Makefile: Move BUILD_TARGET and BUILD_SCRATCH_TARGET to Makefile.variables (Prarit Bhargava)
- redhat/Makefile: Remove RHPRODUCT variable (Prarit Bhargava)
- redhat/Makefile: Cleanup DISTRO variable (Prarit Bhargava)
- redhat/Makefile: Move HEAD to Makefile.variables. (Prarit Bhargava)
- redhat: Combine Makefile and Makefile.common (Prarit Bhargava)
- redhat/koji/Makefile: Decouple koji Makefile from Makefile.common (Prarit Bhargava)
- Add asus_wmi_sensors modules to filters for Fedora (Prarit Bhargava)
- Update scripts to put new configs under ark-common (Prarit Bhargava)
- redhat: spec: trigger dracut when modules are installed separately (Prarit Bhargava)
- redhat/Makefile: Silence dist-clean-configs output (Prarit Bhargava)
- Print arch with process_configs errors (Prarit Bhargava)
- Pass RHJOBS to process_configs for dist-configs-check as well (Prarit Bhargava)
- redhat/configs/process_configs.sh: Fix issue with old error files (Prarit Bhargava)
- redhat/configs/build_configs.sh: Parallelize execution (Prarit Bhargava)
- redhat/configs/build_configs.sh: Provide better messages (Prarit Bhargava)
- redhat/configs/build_configs.sh: Create unique output files (Prarit Bhargava)
- redhat/configs/build_configs.sh: Add local variables (Prarit Bhargava)
- redhat/configs/process_configs.sh: Parallelize execution (Prarit Bhargava)
- redhat/configs/process_configs.sh: Provide better messages (Prarit Bhargava)
- redhat/configs/process_configs.sh: Create unique output files (Prarit Bhargava)
- redhat/configs/process_configs.sh: Add processing config function (Prarit Bhargava)
- redhat: Unify genspec.sh and kernel.spec variable names (Prarit Bhargava)
- redhat/genspec.sh: Remove options and use Makefile variables (Prarit Bhargava)
- Add rebase note for 5.17 on Fedora stable (Prarit Bhargava)
- redhat: Fix "make dist-release-finish" to use the correct NVR variables (Prarit Bhargava)
- Build CROS_EC Modules (Prarit Bhargava)
- redhat/Makefile: Fix dist-dump-variables target (Prarit Bhargava)
- configs/process_configs.sh: Remove orig files (Prarit Bhargava)
- redhat: fix make {distg-brew,distg-koji} (Prarit Bhargava)
- redhat/configs: Enable CONFIG_TEST_BPF (Prarit Bhargava)
- Enable KUNIT tests for testing (Prarit Bhargava)
- Makefile: Check PKGRELEASE size on dist-brew targets (Prarit Bhargava)
- kernel.spec: Add glibc-static build requirement (Prarit Bhargava)
- spec: don't overwrite auto.conf with .config (Prarit Bhargava)
- Add test_hash to the mod-internal.list (Prarit Bhargava)
- spec: speed up "cp -r" when it overwrites existing files. (Prarit Bhargava)
- redhat: use centos x509.genkey file if building under centos (Prarit Bhargava)
- Revert "[redhat] Generate a crashkernel.default for each kernel build" (Prarit Bhargava)
- spec: make linux-firmware weak(er) dependency (Prarit Bhargava)
- Add packaged but empty /lib/modules/<kver>/systemtap/ (Prarit Bhargava)
- filter-modules.sh.rhel: Add ntc_thermistor to singlemods (Prarit Bhargava)
- Add dev_addr_lists_test to mod-internal.list (Prarit Bhargava)
- redhat: ignore ksamples and kselftests on the badfuncs rpminspect test (Prarit Bhargava)
- redhat: disable upstream check for rpminspect (Prarit Bhargava)
- redhat: Pull in openssl-devel as a build dependency correctly (Prarit Bhargava)
- Update rebase-notes with dracut 5.17 information (Prarit Bhargava)
- Enable CONFIG_CIFS_SMB_DIRECT for ARK (Prarit Bhargava)
- redhat: make Patchlist.changelog generation conditional (Prarit Bhargava)
- redhat/configs/evaluate_configs: Add find dead configs option (Prarit Bhargava)
- Add more rebase notes for Fedora 5.16 (Prarit Bhargava)
- spec: Keep .BTF section in modules (Prarit Bhargava)
- spec: add bpf_testmod.ko to kselftests/bpf (Prarit Bhargava)
- redhat/docs/index.rst: Add local build information. (Prarit Bhargava)
- Turn on CONFIG_HID_NINTENDO for controller support (Prarit Bhargava)
- Add rebase notes to check for PCI patches (Prarit Bhargava)
- Filter updates for hid-playstation on Fedora (Prarit Bhargava)
- Add nct6775 to filter-modules.sh.rhel (Prarit Bhargava)
- Add memcpy_kunit to mod-internal.list (Prarit Bhargava)
- Add nct6775 to Fedora filter-modules.sh (Prarit Bhargava)
- redhat/kernel.spec.template: don't hardcode gcov arches (Prarit Bhargava)
- redhat/configs: create a separate config for gcov options (Prarit Bhargava)
- Update documentation with FAQ and update frequency (Prarit Bhargava)
- Document force pull option for mirroring (Prarit Bhargava)
- Ignore the rhel9 kabi files (Prarit Bhargava)
- Remove legacy elrdy cruft (Prarit Bhargava)
- redhat/configs/evaluate_configs: walk cfgvariants line by line (Prarit Bhargava)
- redhat/configs/evaluate_configs: insert EMPTY tags at correct place (Prarit Bhargava)
- redhat: make dist-srpm-gcov add to BUILDOPTS (Prarit Bhargava)
- spec: Don't fail spec build if ksamples fails (Prarit Bhargava)
- redhat: Disable clang's integrated assembler on ppc64le and s390x (Prarit Bhargava)
- Fix dist-srpm-gcov (Prarit Bhargava)
- Add kfence_test to mod-internal.list (Prarit Bhargava)
- Enable KUNIT tests for redhat kernel-modules-internal (Prarit Bhargava)
- redhat: add *-matched meta packages to rpminspect emptyrpm config (Prarit Bhargava)
- redhat: fix typo and make the output more silent for dist-git sync (Prarit Bhargava)
- Fix ordering in genspec args (Prarit Bhargava)
- redhat/docs: update Koji link to avoid redirect (Prarit Bhargava)
- redhat: add support for different profiles with dist*-brew (Prarit Bhargava)
- redhat: move the DIST variable setting to Makefile.variables (Prarit Bhargava)
- redhat/kernel.spec.template: Cleanup source numbering (Prarit Bhargava)
- redhat/kernel.spec.template: Reorganize RHEL and Fedora specific files (Prarit Bhargava)
- redhat/kernel.spec.template: Add include_fedora and include_rhel variables (Prarit Bhargava)
- redhat/Makefile: Make kernel-local global (Prarit Bhargava)
- redhat/Makefile: Use flavors file (Prarit Bhargava)
- redhat: rename usage of .rhel8git.mk to .rhpkg.mk (Prarit Bhargava)
- redhat: remove kernel.changelog-8.99 file (Prarit Bhargava)
- redhat: add documentation about the os-build rebase process (Prarit Bhargava)
- Build kernel-doc for Fedora (Prarit Bhargava)
- Revert "redhat: set default values in Makefiles for RHEL 9 Beta" (Prarit Bhargava)
- Revert "redhat: make DIST default to .el9" (Prarit Bhargava)
- Revert "redhat: add support for stream profile in koji/brew" (Prarit Bhargava)
- Revert "redhat: update Makefile.variables for centos/rhel9 fork" (Prarit Bhargava)
- Revert "redhat: drop Patchlist.changelog for RHEL" (Prarit Bhargava)
- Revert "redhat: align file names with names of signing keys for ppc and s390" (Prarit Bhargava)
- Revert "redhat: correct file name of redhatsecurebootca1" (Prarit Bhargava)
- Revert "redhat: drop certificates that were deprecated after GRUB's BootHole flaw" (Prarit Bhargava)
- Revert "redhat: update branches/targets after 9 Beta fork" (Prarit Bhargava)
- Revert "redhat: define _rhel variable because pesign macro now needs it" (Prarit Bhargava)
- Revert "redhat: replace redhatsecureboot303 signing key with redhatsecureboot601" (Prarit Bhargava)
- Revert "redhat: add *-matched meta packages to rpminspect emptyrpm config" (Prarit Bhargava)
- Revert "redhat: add option to use DIST tag in sources" (Prarit Bhargava)
- Revert "redhat: set USE_DIST_IN_SOURCE=1 for 9.0-beta" (Prarit Bhargava)
- Revert "redhat: fix chronological order in the changelog file" (Prarit Bhargava)
- Revert "redhat: restore sublevel in changelog" (Prarit Bhargava)
- Revert "redhat: kernel.spec: selftests: abort on build failure" (Prarit Bhargava)
- Revert "redhat/.gitignore: Add rhel9 KABI files" (Prarit Bhargava)
- Revert "Revert "redhat: define _rhel variable because pesign macro now needs it"" (Prarit Bhargava)
- Revert "redhat/Makefile: Use flavors file" (Prarit Bhargava)
- Revert "redhat/Makefile: Make kernel-local global" (Prarit Bhargava)
- Revert "redhat/kernel.spec.template: Add include_fedora and include_rhel variables" (Prarit Bhargava)
- Revert "redhat/kernel.spec.template: Reorganize RHEL and Fedora specific files" (Prarit Bhargava)
- Revert "redhat/kernel.spec.template: Cleanup source numbering" (Prarit Bhargava)
- Revert "redhat: Remove fedora configs directories and files." (Prarit Bhargava)
- Revert "redhat/Makefile.variables: Set INCLUDE_FEDORA_FILES to 0" (Prarit Bhargava)
- Revert "redhat: BUILDID parameter must come last in genspec.sh" (Prarit Bhargava)
- Revert "kernel.spec: add bpf_testmod.ko to kselftests/bpf" (Prarit Bhargava)
- Revert "cifs: enable SMB_DIRECT in RHEL9" (Prarit Bhargava)
- Revert "redhat: Fix dist-srpm-gcov" (Prarit Bhargava)
- Revert "redhat: make dist-srpm-gcov add to BUILDOPTS" (Prarit Bhargava)
- Revert "redhat/kernel.spec.template: don't hardcode gcov arches" (Prarit Bhargava)
- Revert "redhat/configs: create a separate config for gcov options" (Prarit Bhargava)
- Revert "redhat/configs/evaluate_configs: walk cfgvariants line by line" (Prarit Bhargava)
- Revert "redhat/configs/evaluate_configs: insert EMPTY tags at correct place" (Prarit Bhargava)
- Revert "redhat: fix typo and make the output more silent for dist-git sync" (Prarit Bhargava)
- Revert "redhat/kernel.spec.template: Link perf with --export-dynamic" (Prarit Bhargava)
- Revert "redhat: build and include memfd to kernel-selftests-internal" (Prarit Bhargava)
- Revert "redhat/kernel.spec.template: enable dependencies generation" (Prarit Bhargava)
- Revert "redhat: disable upstream check for rpminspect" (Prarit Bhargava)
- Revert "redhat: ignore ksamples and kselftests on the badfuncs rpminspect test" (Prarit Bhargava)
- Revert "redhat: Pull in openssl-devel as a build dependency correctly" (Prarit Bhargava)
- Revert "Revert "[redhat] Generate a crashkernel.default for each kernel build"" (Prarit Bhargava)
- Revert "redhat/configs/evaluate_configs: Add find dead configs option" (Prarit Bhargava)
- Revert "redhat/scripts: Update merge-subtrees.sh with new subtree location" (Prarit Bhargava)
- Revert "redhat: make pathspec exclusion compatible with old git versions" (Prarit Bhargava)
- Revert "Add packaged but empty /lib/modules/<kver>/systemtap" (Prarit Bhargava)
- Revert "redhat: use centos x509.genkey file if building under centos" (Prarit Bhargava)
- Revert "redhat: fix the exclusion of rhdocs changes entries in the changelog" (Prarit Bhargava)
- Revert "redhat: switch the kernel package to use certs from system-sb-certs" (Prarit Bhargava)
- Revert "redhat: drop the RELEASED_KERNEL switch" (Prarit Bhargava)
- Revert "Enable KUNIT tests for redhat kernel-modules-internal" (Prarit Bhargava)
- Revert "redhat/configs: Enable CONFIG_TEST_BPF" (Prarit Bhargava)
- Revert "spec: Fix separate tools build" (Prarit Bhargava)
- Revert "kernel.spec: Add glibc-static build requirement" (Prarit Bhargava)
- Revert "spec: make linux-firmware weak(er) dependency" (Prarit Bhargava)
- Revert "redhat: use tags from git notes for zstream to generate changelog" (Prarit Bhargava)
- Revert "redhat: make genspec prefer metadata from git notes" (Prarit Bhargava)
- Revert "redhat: generate distgit changelog in genspec.sh as well" (Prarit Bhargava)
- Revert "redhat: change kabi tarballs to use the package release" (Prarit Bhargava)
- Revert "redhat: rpminspect: disable 'patches' check for known empty patch files" (Prarit Bhargava)
- Revert "redhat: spec: trigger dracut when modules are installed separately" (Prarit Bhargava)
- Revert "redhat/configs/process_configs.sh: Add processing config function" (Prarit Bhargava)
- Revert "redhat/configs/process_configs.sh: Create unique output files" (Prarit Bhargava)
- Revert "redhat/configs/process_configs.sh: Provide better messages" (Prarit Bhargava)
- Revert "redhat/configs/process_configs.sh: Parallelize execution" (Prarit Bhargava)
- Revert "redhat/configs/build_configs.sh: Add local variables" (Prarit Bhargava)
- Revert "redhat/configs/build_configs.sh: Create unique output files" (Prarit Bhargava)
- Revert "redhat/configs/build_configs.sh: Provide better messages" (Prarit Bhargava)
- Revert "redhat/configs/build_configs.sh: Parallelize execution" (Prarit Bhargava)
- Revert "redhat/configs/process_configs.sh: Fix issue with old error files" (Prarit Bhargava)
- Revert "Pass RHJOBS to process_configs for dist-configs-check as well" (Prarit Bhargava)
- Revert "Print arch with process_configs errors" (Prarit Bhargava)
- Revert "redhat/Makefile: Silence dist-clean-configs output" (Prarit Bhargava)
- Revert "redhat/configs/process_configs.sh: Fix race with tools generation" (Prarit Bhargava)
- Revert "redhat/configs/process_configs.sh: Remove CONTINUEONERROR" (Prarit Bhargava)
- Revert "redhat/configs/process_configs.sh: Avoid race with find" (Prarit Bhargava)
- Revert "redhat/Makefile: Fix dist-dump-variables target" (Prarit Bhargava)
- Revert "redhat: fix make {distg-brew,distg-koji}" (Prarit Bhargava)
- Revert "redhat/koji/Makefile: Decouple koji Makefile from Makefile.common" (Prarit Bhargava)
- Revert "redhat/kernel.spec.template: Add intel_sdsi utility" (Prarit Bhargava)
- Revert "Build intel_sdsi with %%{tools_make}" (Prarit Bhargava)
- Revert "Add BuildRequires libnl3-devel for intel-speed-select" (Prarit Bhargava)
- Revert "Spec fixes for intel-speed-select" (Prarit Bhargava)
- Revert "redhat: enable CONFIG_TEST_VMALLOC for vm selftests" (Prarit Bhargava)
- Revert "redhat: Enable HMM test to be used by the kselftest test suite" (Prarit Bhargava)
- Revert "redhat: Enable VM kselftests" (Prarit Bhargava)
- Revert "redhat/kernel.spec.template: Specify vmlinux.h path when building samples/bpf" (Prarit Bhargava)
- Revert "redhat/kernel.spec.template: fix standalone tools build" (Prarit Bhargava)
- Revert "redhat/configs: enable CONFIG_SAMPLE_VFIO_MDEV_MTTY" (Prarit Bhargava)
- Revert "redhat: Exclude cpufreq.h from kernel-headers" (Prarit Bhargava)
- Revert "kernel.spec: disable vmlinux.h generation for s390 zfcpdump config" (Prarit Bhargava)
- Revert "spec: Keep .BTF section in modules" (Prarit Bhargava)
- Revert "redhat/configs: Enable Marvell OcteonTX2 crypto device" (Prarit Bhargava)
- Revert "redhat: make kernel-zfcpdump-core to not provide kernel-core/kernel" (Prarit Bhargava)
- Revert "crypto: fips - make proc files report fips module name and version" (Prarit Bhargava)
- Revert "redhat: fix kernel_variant_package option definition" (Prarit Bhargava)
- Revert "redhat: workaround CKI cross compilation for scripts" (Prarit Bhargava)
- Revert "redhat: Use redhatsecureboot701 for ppc64le" (Prarit Bhargava)
- Revert "[redhat] Makefile: add dist-assert-tree-clean target" (Prarit Bhargava)
- Revert "[redhat] Makefile.common: export REDHAT variable" (Prarit Bhargava)
- Revert "[redhat] kabi: ignore new stablelist metadata in show-kabi" (Prarit Bhargava)
- Revert "[redhat] kabi: add support for symbol namespaces into check-kabi" (Prarit Bhargava)
- Revert "[redhat] perf: Require libbpf 0.6.0 or newer" (Prarit Bhargava)
- Revert "[redhat] kabi: add stablelist helpers" (Prarit Bhargava)
- Revert "[redhat] Makefile: add kabi targets" (Prarit Bhargava)
- Revert "redhat: remove GL_DISTGIT_USER, RHDISTGIT and unify dist-git cloning" (Prarit Bhargava)
Resolves: rhbz#2125397

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-10-03 05:42:53 -04:00
Frantisek Hrbata
5547d9e0c1 kernel-5.14.0-170.el9
* Thu Sep 29 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-170.el9]
- NFSv4.1 restrict GETATTR fs_location query to the main transport (Scott Mayhew) [2066372]
- NFSv4: Add an fattr allocation to _nfs4_discover_trunking() (Scott Mayhew) [2066372]
- sunrpc: set cl_max_connect when cloning an rpc_clnt (Scott Mayhew) [2066372]
- NFSv4.1 mark qualified async operations as MOVEABLE tasks (Scott Mayhew) [2066372]
- NFSv4.1 provide mount option to toggle trunking discovery (Scott Mayhew) [2066372]
- NFSv4.1 test and add 4.1 trunking transport (Scott Mayhew) [2066372]
- SUNRPC allow for unspecified transport time in rpc_clnt_add_xprt (Scott Mayhew) [2066372]
- NFSv4 handle port presence in fs_location server string (Scott Mayhew) [2066372]
- NFSv4 expose nfs_parse_server_name function (Scott Mayhew) [2066372]
- NFSv4.1 query for fs_location attr on a new file system (Scott Mayhew) [2066372]
- NFSv4 store server support for fs_location attribute (Scott Mayhew) [2066372]
- NFSv4 remove zero number of fs_locations entries error check (Scott Mayhew) [2066372]
- iwlwifi: limit fw version for AC9560 to avoid fw crash (Íñigo Huguet) [2096128]
- configs: enable CONFIG_HP_ILO for aarch64 (Mark Salter) [2126153]
Resolves: rhbz#2066372

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-09-29 03:17:27 -04:00
Frantisek Hrbata
a8effd21eb kernel-5.14.0-167.el9
* Thu Sep 22 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-167.el9]
- powerpc/perf: Optimize clearing the pending PMI and remove WARN_ON for PMI check in power_pmu_disable (Steve Best) [2116680]
- assoc_array: Fix BUG_ON during garbage collect (Dave Wysochanski) [2117318]
- cpufreq: intel_pstate: Handle no_turbo in frequency invariance (Phil Auld) [2100595]
- sched/fair: Introduce SIS_UTIL to search idle CPU based on sum of util_avg (Phil Auld) [2100595]
- sched/fair: Consider CPU affinity when allowing NUMA imbalance in find_idlest_group() (Phil Auld) [2110021]
- sched/numa: Adjust imb_numa_nr to a better approximation of memory channels (Phil Auld) [2110021]
- sched/numa: Apply imbalance limitations consistently (Phil Auld) [2110021]
- sched/numa: Do not swap tasks between nodes when spare capacity is available (Phil Auld) [2110021]
- sched/numa: Initialise numa_migrate_retry (Phil Auld) [2110021]
- powerpc/pseries/mobility: set NMI watchdog factor during an LPM (Steve Best) [2122830]
- powerpc/watchdog: introduce a NMI watchdog's factor (Steve Best) [2122830]
- watchdog: export lockup_detector_reconfigure (Steve Best) [2122830]
- powerpc/mobility: wait for memory transfer to complete (Steve Best) [2122830]
- srcu: Make expedited RCU grace periods block even less frequently (Waiman Long) [2117491]
- srcu: Block less aggressively for expedited grace periods (Waiman Long) [2117491]
- rcu: Move expedited grace period (GP) work to RT kthread_worker (Waiman Long) [2117491]
- redhat/configs: Introduce CONFIG_RCU_EXP_CPU_STALL_TIMEOUT (Waiman Long) [2117491]
- rcu: Introduce CONFIG_RCU_EXP_CPU_STALL_TIMEOUT (Waiman Long) [2117491]
- srcu: Drop needless initialization of sdp in srcu_gp_start() (Waiman Long) [2117491]
- srcu: Prevent expedited GPs and blocking readers from consuming CPU (Waiman Long) [2117491]
- srcu: Add contention check to call_srcu() srcu_data ->lock acquisition (Waiman Long) [2117491]
- srcu: Automatically determine size-transition strategy at boot (Waiman Long) [2117491]
- rcutorture: Make torture.sh allow for --kasan (Waiman Long) [2117491]
- rcutorture: Make torture.sh refscale and rcuscale specify Tasks Trace RCU (Waiman Long) [2117491]
- rcutorture: Make kvm.sh allow more memory for --kasan runs (Waiman Long) [2117491]
- torture: Save "make allmodconfig" .config file (Waiman Long) [2117491]
- scftorture: Remove extraneous "scf" from per_version_boot_params (Waiman Long) [2117491]
- rcutorture: Adjust scenarios' Kconfig options for CONFIG_PREEMPT_DYNAMIC (Waiman Long) [2117491]
- torture: Enable CSD-lock stall reports for scftorture (Waiman Long) [2117491]
- torture: Skip vmlinux check for kvm-again.sh runs (Waiman Long) [2117491]
- scftorture: Adjust for TASKS_RCU Kconfig option being selected (Waiman Long) [2117491]
- rcuscale: Allow rcuscale without RCU Tasks Rude/Trace (Waiman Long) [2117491]
- rcuscale: Allow rcuscale without RCU Tasks (Waiman Long) [2117491]
- refscale: Allow refscale without RCU Tasks Rude/Trace (Waiman Long) [2117491]
- refscale: Allow refscale without RCU Tasks (Waiman Long) [2117491]
- rcutorture: Allow specifying per-scenario stat_interval (Waiman Long) [2117491]
- rcutorture: Add CONFIG_PREEMPT_DYNAMIC=n to TASKS02 scenario (Waiman Long) [2117491]
- rcutorture: Allow rcutorture without RCU Tasks Rude (Waiman Long) [2117491]
- rcutorture: Allow rcutorture without RCU Tasks (Waiman Long) [2117491]
- rcutorture: Allow rcutorture without RCU Tasks Trace (Waiman Long) [2117491]
- rcu: Make the TASKS_RCU Kconfig option be selected (Waiman Long) [2117491]
- rcu: Use IRQ_WORK_INIT_HARD() to avoid rcu_read_unlock() hangs (Waiman Long) [2117491]
- rcu_sync: Fix comment to properly reflect rcu_sync_exit() behavior (Waiman Long) [2117491]
- rcu: Check for successful spawn of ->boost_kthread_task (Waiman Long) [2117491]
- rcu: Fix preemption mode check on synchronize_rcu[_expedited]() (Waiman Long) [2117491]
- rcu: Print number of online CPUs in RCU CPU stall-warning messages (Waiman Long) [2117491]
- rcu: Add comments to final rcu_gp_cleanup() "if" statement (Waiman Long) [2117491]
- kernel/smp: Provide boot-time timeout for CSD lock diagnostics (Waiman Long) [2117491]
- docs: Update RCU cross-references as suggested in doc-guide (Waiman Long) [2117491]
- docs: Add documentation for rude and trace RCU flavors (Waiman Long) [2117491]
- rcu: Check for jiffies going backwards (Waiman Long) [2117491]
- rcu: Fix rcu_preempt_deferred_qs_irqrestore() strict QS reporting (Waiman Long) [2117491]
- rcu: Clarify fill-the-gap comment in rcu_segcblist_advance() (Waiman Long) [2117491]
- torture: Make thread detection more robust by using lspcu (Waiman Long) [2117491]
- torture: Permit running of experimental torture types (Waiman Long) [2117491]
- torture: Use "-o Batchmode=yes" to disable ssh password requests (Waiman Long) [2117491]
- torture: Reposition so that $? collects ssh code in torture.sh (Waiman Long) [2117491]
- rcu: Make TASKS_RUDE_RCU select IRQ_WORK (Waiman Long) [2117491]
- rcutorture: Call preempt_schedule() through static call/key (Waiman Long) [2117491]
- rcutorture: Add missing return and use __func__ in warning (Waiman Long) [2117491]
- rcutorture: Avoid corner-case #DE with nsynctypes check (Waiman Long) [2117491]
- scftorture: Fix distribution of short handler delays (Waiman Long) [2117491]
- rcutorture: Suppress debugging grace period delays during flooding (Waiman Long) [2117491]
- torture: Add rcu_normal and rcu_expedited runs to torture.sh (Waiman Long) [2117491]
- rcu-tasks: Handle sparse cpu_possible_mask in rcu_tasks_invoke_cbs() (Waiman Long) [2117491]
- rcu-tasks: Handle sparse cpu_possible_mask (Waiman Long) [2117491]
- rcu-tasks: Make show_rcu_tasks_generic_gp_kthread() check all CPUs (Waiman Long) [2117491]
- rcu-tasks: Restore use of timers for non-RT kernels (Waiman Long) [2117491]
- rcu-tasks: Use schedule_hrtimeout_range() to wait for grace periods (Waiman Long) [2117491]
- rcu-tasks: Make Tasks RCU account for userspace execution (Waiman Long) [2117491]
- rcu-tasks: Use rcuwait for the rcu_tasks_kthread() (Waiman Long) [2117491]
- rcu-tasks: Print pre-stall-warning informational messages (Waiman Long) [2117491]
- rcu-tasks: Fix race in schedule and flush work (Waiman Long) [2117491]
- rcu/nocb: Initialize nocb kthreads only for boot CPU prior SMP initialization (Waiman Long) [2117491]
- rcu: Initialize boost kthread only for boot node prior SMP initialization (Waiman Long) [2117491]
- rcu: Assume rcu_init() is called before smp (Waiman Long) [2117491]
- rcu/nocb: Move rcu_nocb_is_setup to rcu_state (Waiman Long) [2117491]
- rcu: Remove rcu_is_nocb_cpu() (Waiman Long) [2117491]
- srcu: Add contention-triggered addition of srcu_node tree (Waiman Long) [2117491]
- srcu: Create concurrency-safe helper for initiating size transition (Waiman Long) [2117491]
- srcu: Explain srcu_funnel_gp_start() call to list_add() is safe (Waiman Long) [2117491]
- srcu: Prevent cleanup_srcu_struct() from freeing non-dynamic ->sda (Waiman Long) [2117491]
- srcu: Avoid NULL dereference in srcu_torture_stats_print() (Waiman Long) [2117491]
- srcu: Use export for srcu_struct defined by DEFINE_STATIC_SRCU() (Waiman Long) [2117491]
- srcu: Add boot-time control over srcu_node array allocation (Waiman Long) [2117491]
- srcu: Ensure snp nodes tree is fully initialized before traversal (Waiman Long) [2117491]
- srcu: Use invalid initial value for srcu_node GP sequence numbers (Waiman Long) [2117491]
- srcu: Compute snp_seq earlier in srcu_funnel_gp_start() (Waiman Long) [2117491]
- srcu: Make rcutorture dump the SRCU size state (Waiman Long) [2117491]
- srcu: Add size-state transitioning code (Waiman Long) [2117491]
- srcu: Dynamically allocate srcu_node array (Waiman Long) [2117491]
- srcu: Make Tree SRCU able to operate without snp_node array (Waiman Long) [2117491]
- srcu: Make srcu_funnel_gp_start() cache ->mynode in snp_leaf (Waiman Long) [2117491]
- srcu: Fix s/is/if/ typo in srcu_node comment (Waiman Long) [2117491]
- srcu: Tighten cleanup_srcu_struct() GP checks (Waiman Long) [2117491]
- preempt/dynamic: Introduce preemption model accessors (Waiman Long) [2117491]
- treewide: Add missing includes masked by cgroup -> bpf dependency (Waiman Long) [2117491]
Resolves: rhbz#2116680, rhbz#2117318, rhbz#2100595, rhbz#2110021, rhbz#2122830, rhbz#2117491

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-09-22 02:23:07 -04:00
Frantisek Hrbata
e3da38c9a7 kernel-5.14.0-165.el9
* Sat Sep 17 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-165.el9]
- sysctl: returns -EINVAL when a negative value is passed to proc_doulongvec_minmax (Vratislav Bendel) [2121392]
- nvme-fc: fix the fc_appid_store return value (Ewan D. Milne) [2113035]
- i2c: ismt: prevent memory corruption in ismt_access() (David Arcari) [2125582] {CVE-2022-3077}
- scsi: zfcp: Fix missing auto port scan and thus missing target ports (Tobias Huschle) [2121088]
- s390/mmap: increase stack/mmap gap to 128MB (Tobias Huschle) [2080165]
- s390/vdso: add vdso randomization (Tobias Huschle) [2080165]
- s390/vdso: map vdso above stack (Tobias Huschle) [2080165]
- s390/vdso: move vdso mapping to its own function (Tobias Huschle) [2080165]
- netfs: do not unlock and put the folio twice (Jeffrey Layton) [1229736]
- netfs: Rename the netfs_io_request cleanup op and give it an op pointer (Jeffrey Layton) [1229736]
- ceph: call netfs_subreq_terminated with was_async == false (Jeffrey Layton) [1229736]
- ceph: fix decoding of client session messages flags (Jeffrey Layton) [1229736]
- netfs: Fix gcc-12 warning by embedding vfs inode in netfs_i_context (Jeffrey Layton) [1229736]
- netfs: Eliminate Clang randstruct warning (Jeffrey Layton) [1229736]
- ceph: replace usage of found with dedicated list iterator variable (Jeffrey Layton) [1229736]
- Invalidate fscache cookie only when inode attributes are changed. (Jeffrey Layton) [1229736]
- fscache: add tracepoint when failing cookie (Jeffrey Layton) [1229736]
- fscache: don't leak cookie access refs if invalidation is in progress or failed (Jeffrey Layton) [1229736]
- vfs: Check the truncate maximum size in inode_newsize_ok() (Jeffrey Layton) [1229736]
- fscache: Fix invalidation/lookup race (Jeffrey Layton) [1229736]
- cachefiles: narrow the scope of flushed requests when releasing fd (Jeffrey Layton) [1229736]
- fscache: Introduce fscache_cookie_is_dropped() (Jeffrey Layton) [1229736]
- fscache: Fix if condition in fscache_wait_on_volume_collision() (Jeffrey Layton) [1229736]
- NFS: Pass i_size to fscache_unuse_cookie() when a file is released (Jeffrey Layton) [1229736]
- NFS: Remove remaining dfprintks related to fscache and remove NFSDBG_FSCACHE (Jeffrey Layton) [1229736]
- NFS: Replace dfprintks with tracepoints in fscache read and write page functions (Jeffrey Layton) [1229736]
- NFS: Rename fscache read and write pages functions (Jeffrey Layton) [1229736]
- NFS: Cleanup usage of nfs_inode in fscache interface (Jeffrey Layton) [1229736]
- config: disable CONFIG_CACHEFILES_ERROR_INJECTION and CONFIG_CACHEFILES_ONDEMAND (Jeffrey Layton) [1229736]
- afs: Fix afs_getattr() to refetch file status if callback break occurred (Jeffrey Layton) [1229736]
- cachefiles: add tracepoints for on-demand read mode (Jeffrey Layton) [1229736]
- cachefiles: enable on-demand read mode (Jeffrey Layton) [1229736]
- cachefiles: implement on-demand read (Jeffrey Layton) [1229736]
- cachefiles: notify the user daemon when withdrawing cookie (Jeffrey Layton) [1229736]
- cachefiles: unbind cachefiles gracefully in on-demand mode (Jeffrey Layton) [1229736]
- cachefiles: notify the user daemon when looking up cookie (Jeffrey Layton) [1229736]
- cachefiles: extract write routine (Jeffrey Layton) [1229736]
- fscache: remove FSCACHE_OLD_API Kconfig option (Jeffrey Layton) [1229736]
- fscache: Use wrapper fscache_set_cache_state() directly when relinquishing (Jeffrey Layton) [1229736]
- fscache: Move fscache_cookies_seq_ops specific code under CONFIG_PROC_FS (Jeffrey Layton) [1229736]
- fscache: Remove the cookie parameter from fscache_clear_page_bits() (Jeffrey Layton) [1229736]
- cachefiles: Fix KASAN slab-out-of-bounds in cachefiles_set_volume_xattr (Jeffrey Layton) [1229736]
- cachefiles: unmark inode in use in error path (Jeffrey Layton) [1229736]
- ceph: uninitialized variable in debug output (Jeffrey Layton) [1229736]
- afs: Maintain netfs_i_context::remote_i_size (Jeffrey Layton) [1229736]
- netfs: Split some core bits out into their own file (Jeffrey Layton) [1229736]
- netfs: Split fs/netfs/read_helper.c (Jeffrey Layton) [1229736]
- netfs: Rename read_helper.c to io.c (Jeffrey Layton) [1229736]
- netfs: Prepare to split read_helper.c (Jeffrey Layton) [1229736]
- netfs: Add a function to consolidate beginning a read (Jeffrey Layton) [1229736]
- netfs: Keep track of the actual remote file size (Jeffrey Layton) [1229736]
- netfs: Add a netfs inode context (Jeffrey Layton) [1229736]
- ceph: Make ceph_init_request() check caps on readahead (Jeffrey Layton) [1229736]
- netfs: Change ->init_request() to return an error code (Jeffrey Layton) [1229736]
- netfs: Refactor arguments for netfs_alloc_read_request (Jeffrey Layton) [1229736]
- netfs: Adjust the netfs_failure tracepoint to indicate non-subreq lines (Jeffrey Layton) [1229736]
- netfs: Trace refcounting on the netfs_io_subrequest struct (Jeffrey Layton) [1229736]
- netfs: Trace refcounting on the netfs_io_request struct (Jeffrey Layton) [1229736]
- netfs: Adjust the netfs_rreq tracepoint slightly (Jeffrey Layton) [1229736]
- netfs: Split netfs_io_* object handling out (Jeffrey Layton) [1229736]
- netfs: Finish off rename of netfs_read_request to netfs_io_request (Jeffrey Layton) [1229736]
- netfs: Rename netfs_read_*request to netfs_io_*request (Jeffrey Layton) [1229736]
- netfs: Generate enums from trace symbol mapping lists (Jeffrey Layton) [1229736]
- fscache: export fscache_end_operation() (Jeffrey Layton) [1229736]
- cachefiles: Fix volume coherency attribute (Jeffrey Layton) [1229736]
- afs: Fix potential thrashing in afs writeback (Jeffrey Layton) [1229736]
- cachefiles: Fix incorrect length to fallocate() (Jeffrey Layton) [1229736]
- ceph: uninline the data on a file opened for writing (Jeffrey Layton) [1229736]
- ceph: make ceph_netfs_issue_op() handle inlined data (Jeffrey Layton) [1229736]
- cifs: Implement cache I/O by accessing the cache directly (Jeffrey Layton) [1229736]
- cifs: Transition from ->readpages() to ->readahead() (Jeffrey Layton) [1229736]
- netfs, cachefiles: Add a method to query presence of data in the cache (Jeffrey Layton) [1229736]
- Revert "fs/9p: search open fids first" (Jeffrey Layton) [1229736]
- netfs: Make ops->init_rreq() optional (Jeffrey Layton) [1229736]
- fscache: Add a comment explaining how page-release optimisation works (Jeffrey Layton) [1229736]
- cachefiles: Check that the backing filesystem supports tmpfiles (Jeffrey Layton) [1229736]
- cachefiles: Explain checks in a comment (Jeffrey Layton) [1229736]
- cachefiles: Trace active-mark failure (Jeffrey Layton) [1229736]
- cachefiles: Make some tracepoint adjustments (Jeffrey Layton) [1229736]
- cachefiles: set default tag name if it's unspecified (Jeffrey Layton) [1229736]
- cachefiles: Calculate the blockshift in terms of bytes, not pages (Jeffrey Layton) [1229736]
- fscache: Fix the volume collision wait condition (Jeffrey Layton) [1229736]
- cifs: Support fscache indexing rewrite (Jeffrey Layton) [1229736]
- 9p, afs, ceph, nfs: Use current_is_kswapd() rather than gfpflags_allow_blocking() (Jeffrey Layton) [1229736]
- fscache: Add a tracepoint for cookie use/unuse (Jeffrey Layton) [1229736]
- ceph: add fscache writeback support (Jeffrey Layton) [1229736]
- ceph: conversion to new fscache API (Jeffrey Layton) [1229736]
- 9p: fix enodata when reading growing file (Jeffrey Layton) [1229736]
- nfs: Implement cache I/O by accessing the cache directly (Jeffrey Layton) [1229736]
- nfs: Convert to new fscache volume/cookie API (Jeffrey Layton) [1229736]
- 9p: Copy local writes to the cache when writing to the server (Jeffrey Layton) [1229736]
- 9p: Use fscache indexing rewrite and reenable caching (Jeffrey Layton) [1229736]
- 9p: only copy valid iattrs in 9P2000.L setattr implementation (Jeffrey Layton) [1229736]
- 9p: Use BUG_ON instead of if condition followed by BUG. (Jeffrey Layton) [1229736]
- afs: Skip truncation on the server of data we haven't written yet (Jeffrey Layton) [1229736]
- afs: Copy local writes to the cache when writing to the server (Jeffrey Layton) [1229736]
- afs: Convert afs to use the new fscache API (Jeffrey Layton) [1229736]
- fscache, cachefiles: Display stat of culling events (Jeffrey Layton) [1229736]
- fscache, cachefiles: Display stats of no-space events (Jeffrey Layton) [1229736]
- cachefiles: Allow cachefiles to actually function (Jeffrey Layton) [1229736]
- fscache, cachefiles: Store the volume coherency data (Jeffrey Layton) [1229736]
- cachefiles: Implement the I/O routines (Jeffrey Layton) [1229736]
- cachefiles: Implement cookie resize for truncate (Jeffrey Layton) [1229736]
- cachefiles: Implement begin and end I/O operation (Jeffrey Layton) [1229736]
- cachefiles: Implement backing file wrangling (Jeffrey Layton) [1229736]
- cachefiles: Implement culling daemon commands (Jeffrey Layton) [1229736]
- cachefiles: Mark a backing file in use with an inode flag (Jeffrey Layton) [1229736]
- cachefiles: Implement metadata/coherency data storage in xattrs (Jeffrey Layton) [1229736]
- cachefiles: Implement key to filename encoding (Jeffrey Layton) [1229736]
- cachefiles: Implement object lifecycle funcs (Jeffrey Layton) [1229736]
- cachefiles: Add tracepoints for calls to the VFS (Jeffrey Layton) [1229736]
- cachefiles: Implement volume support (Jeffrey Layton) [1229736]
- cachefiles: Implement cache registration and withdrawal (Jeffrey Layton) [1229736]
- cachefiles: Implement a function to get/create a directory in the cache (Jeffrey Layton) [1229736]
- vfs, cachefiles: Mark a backing file in use with an inode flag (Jeffrey Layton) [1229736]
- cachefiles: Provide a function to check how much space there is (Jeffrey Layton) [1229736]
- cachefiles: Register a miscdev and parse commands over it (Jeffrey Layton) [1229736]
- cachefiles: Add security derivation (Jeffrey Layton) [1229736]
- cachefiles: Add cache error reporting macro (Jeffrey Layton) [1229736]
- cachefiles: Add a couple of tracepoints for logging errors (Jeffrey Layton) [1229736]
- cachefiles: Add some error injection support (Jeffrey Layton) [1229736]
- cachefiles: Define structs (Jeffrey Layton) [1229736]
- cachefiles: Introduce rewritten driver (Jeffrey Layton) [1229736]
- fscache: Provide a function to resize a cookie (Jeffrey Layton) [1229736]
- fscache: Provide a function to note the release of a page (Jeffrey Layton) [1229736]
- vfs, fscache: Implement pinning of cache usage for writeback (Jeffrey Layton) [1229736]
- fscache: Implement higher-level write I/O interface (Jeffrey Layton) [1229736]
- fscache: Implement raw I/O interface (Jeffrey Layton) [1229736]
- netfs: Pass more information on how to deal with a hole in the cache (Jeffrey Layton) [1229736]
- fscache: Provide a function to let the netfs update its coherency data (Jeffrey Layton) [1229736]
- fscache: Provide read/write stat counters for the cache (Jeffrey Layton) [1229736]
- fscache: Count data storage objects in a cache (Jeffrey Layton) [1229736]
- fscache: Provide a means to begin an operation (Jeffrey Layton) [1229736]
- fscache: Implement cookie invalidation (Jeffrey Layton) [1229736]
- fscache: Implement cookie user counting and resource pinning (Jeffrey Layton) [1229736]
- fscache: Implement simple cookie state machine (Jeffrey Layton) [1229736]
- fscache: Add a function for a cache backend to note an I/O error (Jeffrey Layton) [1229736]
- fscache: Provide and use cache methods to lookup/create/free a volume (Jeffrey Layton) [1229736]
- fscache: Implement functions add/remove a cache (Jeffrey Layton) [1229736]
- fscache: Implement cookie-level access helpers (Jeffrey Layton) [1229736]
- fscache: Implement volume-level access helpers (Jeffrey Layton) [1229736]
- fscache: Implement cache-level access helpers (Jeffrey Layton) [1229736]
- fscache: Implement cookie registration (Jeffrey Layton) [1229736]
- fscache: Implement volume registration (Jeffrey Layton) [1229736]
- fscache: Implement cache registration (Jeffrey Layton) [1229736]
- fscache: Implement a hash function (Jeffrey Layton) [1229736]
- fscache: Introduce new driver (Jeffrey Layton) [1229736]
- netfs: Pass a flag to ->prepare_write() to say if there's no alloc'd space (Jeffrey Layton) [1229736]
- netfs: Display the netfs inode number in the netfs_read tracepoint (Jeffrey Layton) [1229736]
- fscache: Remove the contents of the fscache driver, pending rewrite (Jeffrey Layton) [1229736]
- cachefiles: Delete the cachefiles driver pending rewrite (Jeffrey Layton) [1229736]
- fscache, cachefiles: Disable configuration (Jeffrey Layton) [1229736]
- fs: 9p: remove unneeded variable (Jeffrey Layton) [1229736]
- afs: Fix mmap (Jeffrey Layton) [1229736]
- netfs: fix parameter of cleanup() (Jeffrey Layton) [1229736]
- netfs: Fix lockdep warning from taking sb_writers whilst holding mmap_lock (Jeffrey Layton) [1229736]
- fs: add is_idmapped_mnt() helper (Jeffrey Layton) [1229736]
- netfs: Adjust docs after foliation (Jeffrey Layton) [1229736]
- afs: Use folios in directory handling (Jeffrey Layton) [1229736]
- netfs, 9p, afs, ceph: Use folios (Jeffrey Layton) [1229736]
- fs/netfs: Add folio fscache functions (Jeffrey Layton) [1229736]
- 9p: fix a bunch of checkpatch warnings (Jeffrey Layton) [1229736]
- 9p: set readahead and io size according to maxsize (Jeffrey Layton) [1229736]
- 9p p9mode2perm: remove useless strlcpy and check sscanf return code (Jeffrey Layton) [1229736]
- 9p v9fs_parse_options: replace simple_strtoul with kstrtouint (Jeffrey Layton) [1229736]
- 9p: fix file headers (Jeffrey Layton) [1229736]
- fs/9p: fix indentation and Add missing a blank line after declaration (Jeffrey Layton) [1229736]
- fs/9p: fix warnings found by checkpatch.pl (Jeffrey Layton) [1229736]
- 9p: fix minor indentation and codestyle (Jeffrey Layton) [1229736]
- fs/9p: cleanup: opening brace at the beginning of the next line (Jeffrey Layton) [1229736]
- 9p: Convert to using the netfs helper lib to do reads and caching (Jeffrey Layton) [1229736]
- fscache_cookie_enabled: check cookie is valid before accessing it (Jeffrey Layton) [1229736]
- afs: Set mtime from the client for yfs create operations (Jeffrey Layton) [1229736]
- afs: Sort out symlink reading (Jeffrey Layton) [1229736]
- afs: Fix afs_launder_page() to set correct start file position (Jeffrey Layton) [1229736]
- netfs: Fix READ/WRITE confusion when calling iov_iter_xarray() (Jeffrey Layton) [1229736]
- cachefiles: Fix oops with cachefiles_cull() due to NULL object (Jeffrey Layton) [1229736]
- fscache: Remove an unused static variable (Jeffrey Layton) [1229736]
- fscache: Fix some kerneldoc warnings shown up by W=1 (Jeffrey Layton) [1229736]
- 9p: Fix a bunch of kerneldoc warnings shown up by W=1 (Jeffrey Layton) [1229736]
- afs: Fix kerneldoc warning shown up by W=1 (Jeffrey Layton) [1229736]
- cachefiles: Fix oops in trace_cachefiles_mark_buried due to NULL object (Jeffrey Layton) [1229736]
- afs: Fix updating of i_blocks on file/dir extension (Jeffrey Layton) [1229736]
- afs: Fix corruption in reads at fpos 2G-4G from an OpenAFS server (Jeffrey Layton) [1229736]
- afs: Try to avoid taking RCU read lock when checking vnode validity (Jeffrey Layton) [1229736]
- afs: Fix mmap coherency vs 3rd-party changes (Jeffrey Layton) [1229736]
- afs: Fix incorrect triggering of sillyrename on 3rd-party invalidation (Jeffrey Layton) [1229736]
- afs: Add missing vnode validation checks (Jeffrey Layton) [1229736]
- afs: Fix page leak (Jeffrey Layton) [1229736]
- afs: Fix missing put on afs_read objects and missing get on the key therein (Jeffrey Layton) [1229736]
- fscache: Use refcount_t for the cookie refcount instead of atomic_t (Jeffrey Layton) [1229736]
- fscache: Fix fscache_cookie_put() to not deref after dec (Jeffrey Layton) [1229736]
- fscache: Fix cookie key hashing (Jeffrey Layton) [1229736]
- cachefiles: Change %%p in format strings to something else (Jeffrey Layton) [1229736]
- fscache: Change %%p in format strings to something else (Jeffrey Layton) [1229736]
- fscache: Remove the object list procfile (Jeffrey Layton) [1229736]
- fscache, cachefiles: Remove the histogram stuff (Jeffrey Layton) [1229736]
- fscache: Procfile to display cookies (Jeffrey Layton) [1229736]
- fscache: Add a cookie debug ID and use that in traces (Jeffrey Layton) [1229736]
- cachefiles: Use file_inode() rather than accessing ->f_inode (Jeffrey Layton) [1229736]
- netfs: Move cookie debug ID to struct netfs_cache_resources (Jeffrey Layton) [1229736]
- fscache: Select netfs stats if fscache stats are enabled (Jeffrey Layton) [1229736]
- ceph: Fix race between hole punch and page fault (Jeffrey Layton) [1229736]
- nohz/full, sched/rt: Fix missed tick-reenabling bug in dequeue_task_rt() (Phil Auld) [2107236]
- igmp: Add ip_mc_list lock in ip_check_mc_rcu (Hangbin Liu) [2114950] {CVE-2022-20141}
Resolves: rhbz#2121392, rhbz#2113035, rhbz#2125582, rhbz#2121088, rhbz#2080165, rhbz#1229736, rhbz#2107236, rhbz#2114950

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-09-17 07:40:39 -04:00
Frantisek Hrbata
1a2c98ae6c kernel-5.14.0-163.el9
* Thu Sep 08 2022 Frantisek Hrbata <fhrbata@redhat.com> [5.14.0-163.el9]
- x86/cpu: Add new Raptor Lake CPU model number (David Arcari) [2120362]
- rhel: configs: add config option CONFIG_COMMAND_LINE_SIZE (Mete Durlu) [2060827]
- s390/sclp: reserve memory occupied by sclp early buffer (Mete Durlu) [2060827]
- s390: make command line configurable (Mete Durlu) [2060827]
- s390: support command lines longer than 896 bytes (Mete Durlu) [2060827]
- s390/kexec_file: move kernel image size check (Mete Durlu) [2060827]
- s390/boot: move sclp early buffer from fixed address in asm to C (Mete Durlu) [2060827]
- rhel: set previously unused GPIO config items (Al Stone) [2071835]
- gpio: sim: fix hogs with custom chip labels (Al Stone) [2071835]
- gpio: sim: check the label length when setting up device properties (Al Stone) [2071835]
- gpio: sim: Declare gpio_sim_hog_config_item_ops static (Al Stone) [2071835]
- gpio: sim: fix a typo (Al Stone) [2071835]
- gpio: sim: fix setting and getting multiple lines (Al Stone) [2071835]
- gpio: sim: Use correct order for the parameters of devm_kcalloc() (Al Stone) [2071835]
- gpio: sim: fix the chip_name configfs item (Al Stone) [2071835]
- gpio: xlp: Fix build errors from Netlogic XLP removal (Al Stone) [2071835]
- gpio: virtio: Add IRQ support (Al Stone) [2071835]
- gpio: virtio: remove timeout (Al Stone) [2071835]
- gpiolib: cdev: fix null pointer dereference in linereq_free() (Al Stone) [2071835]
- gpio: mxs: Fix header comment (Al Stone) [2071835]
- gpio: Fix kernel-doc comments to nested union (Al Stone) [2071835]
- gpio: grgpio: Fix device removing (Al Stone) [2071835]
- gpiolib: cdev: Fix kernel doc for struct line (Al Stone) [2071835]
- gpio: dwapb: Don't print error on -EPROBE_DEFER (Al Stone) [2071835]
- gpio: sch: make irq_chip immutable (Al Stone) [2071835]
- gpio: dwapb: Make the irqchip immutable (Al Stone) [2071835]
- gpiolib: cdev: Add hardware timestamp clock type (Al Stone) [2071835]
- drivers: Add hardware timestamp engine (HTE) subsystem (Al Stone) [2071835]
- gpio: tegra186: Add HTE support (Al Stone) [2071835]
- gpiolib: Add HTE support (Al Stone) [2071835]
- hte: Uninitialized variable in hte_ts_get() (Al Stone) [2071835]
- hte: Fix off by one in hte_push_ts_ns() (Al Stone) [2071835]
- hte: Add Tegra HTE test driver (Al Stone) [2071835]
- hte: Add Tegra194 HTE kernel provider (Al Stone) [2071835]
- drivers: Add hardware timestamp engine (HTE) subsystem (Al Stone) [2071835]
- gpiolib: of: fix bounds check for 'gpio-reserved-ranges' (Al Stone) [2071835]
- gpio: syscon: Remove usage of syscon_regmap_lookup_by_compatible (Al Stone) [2071835]
- gpio: use raw spinlock for gpio chip shadowed data (Al Stone) [2071835]
- gpio: Request interrupts after IRQ is initialized (Al Stone) [2071835]
- gpiolib: of: Introduce hook for missing gpio-ranges (Al Stone) [2071835]
- gpio: Update TODO to mention immutable irq_chip structures (Al Stone) [2071835]
- gpio: pl061: Make the irqchip immutable (Al Stone) [2071835]
- gpio: tegra186: Make the irqchip immutable (Al Stone) [2071835]
- gpio: Add helpers to ease the transition towards immutable irq_chip (Al Stone) [2071835]
- gpio: Expose the gpiochip_irq_re[ql]res helpers (Al Stone) [2071835]
- gpio: Don't fiddle with irqchips marked as immutable (Al Stone) [2071835]
- gpiolib: Introduce a helper to get first GPIO controller node (Al Stone) [2071835]
- gpiolib: Extract gpio_chip_get_value() wrapper (Al Stone) [2071835]
- gpiolib: Refactor gpiolib_dbg_show() with help of for_each_gpio_desc() (Al Stone) [2071835]
- gpiolib: Split out for_each_gpio_desc() macro (Al Stone) [2071835]
- gpiolib: Embed iterator variable into for_each_gpio_desc_with_flag() (Al Stone) [2071835]
- gpiolib: Move error message out of a spinlock (Al Stone) [2071835]
- gpiolib: Introduce gpiochip_node_count() helper (Al Stone) [2071835]
- gpiolib: Introduce for_each_gpiochip_node() loop helper (Al Stone) [2071835]
- gpiolib: acpi: Convert type for pin to be unsigned (Al Stone) [2071835]
- gpiolib: acpi: use correct format characters (Al Stone) [2071835]
- gpiolib: Get rid of redundant 'else' (Al Stone) [2071835]
- gpio: Restrict usage of GPIO chip irq members before initialization (Al Stone) [2071835]
- gpio: Properly document parent data union (Al Stone) [2071835]
- gpiolib: Use list_first_entry()/list_last_entry() (Al Stone) [2071835]
- gpiolib: acpi: Convert ACPI value of debounce to microseconds (Al Stone) [2071835]
- gpio: tegra186: Add IRQ per bank for Tegra241 (Al Stone) [2071835]
- gpio: Return EPROBE_DEFER if gc->to_irq is NULL (Al Stone) [2071835]
- gpio: tegra186: Fix chip_data type confusion (Al Stone) [2071835]
- gpiolib: sysfs: Simplify edge handling in the code (Al Stone) [2071835]
- gpiolib: sysfs: Move kstrtox() calls outside of the mutex lock (Al Stone) [2071835]
- gpiolib: sysfs: Move sysfs_emit() calls outside of the mutex lock (Al Stone) [2071835]
- gpiolib: make struct comments into real kernel docs (Al Stone) [2071835]
- gpiolib: Simplify error path in gpiod_get_index() when requesting GPIO (Al Stone) [2071835]
- gpiolib: Use short form of ternary operator in gpiod_get_index() (Al Stone) [2071835]
- gpiolib: Introduce for_each_gpio_desc_with_flag() macro (Al Stone) [2071835]
- gpiolib: Never return internal error codes to user space (Al Stone) [2071835]
- gpio: aggregator: Fix calling into sleeping GPIO controllers (Al Stone) [2071835]
- gpio: tegra: Get rid of duplicate of_node assignment (Al Stone) [2071835]
- gpio: dwapb: Switch to use fwnode instead of of_node (Al Stone) [2071835]
- gpiolib: acpi: make fwnode take precedence in struct gpio_chip (Al Stone) [2071835]
- gpio: regmap: Switch to use fwnode instead of of_node (Al Stone) [2071835]
- gpio: tegra186: Add support for Tegra241 (Al Stone) [2071835]
- dt-bindings: gpio: Add Tegra241 support (Al Stone) [2071835]
- gpio: Remove unused local OF node pointers (Al Stone) [2071835]
- gpio: Propagate firmware node from a parent device (Al Stone) [2071835]
- gpio: Setup parent device and get rid of unnecessary of_node assignment (Al Stone) [2071835]
- gpio: Get rid of duplicate of_node assignment in the drivers (Al Stone) [2071835]
- gpiolib: allow line names from device props to override driver names (Al Stone) [2071835]
- gpio: amdpt: add new device ID and 24-pin support (Al Stone) [2071835]
- gpio: tegra186: Add support for Tegra234 (Al Stone) [2071835]
- gpio: sim: new testing module (Al Stone) [2071835]
- gpiolib: of: make fwnode take precedence in struct gpio_chip (Al Stone) [2071835]
- gpiolib: allow to specify the firmware node in struct gpio_chip (Al Stone) [2071835]
- gpiolib: provide gpiod_remove_hogs() (Al Stone) [2071835]
- gpio: sch: fix typo in a comment (Al Stone) [2071835]
- gpiolib: check the 'ngpios' property in core gpiolib code (Al Stone) [2071835]
- gpiolib: improve coding style for local variables (Al Stone) [2071835]
- gpio: aggregator: Add interrupt support (Al Stone) [2071835]
- gpiolib: Let gpiod_add_lookup_table() call gpiod_add_lookup_tables() (Al Stone) [2071835]
- gpio: dwapb: clarify usage of the register file version (Al Stone) [2071835]
- gpio: pch: Cache &pdev->dev to reduce repetition (Al Stone) [2071835]
- gpio: pch: Use .driver_data instead of checking Device IDs again (Al Stone) [2071835]
- gpio: bd70528 Drop BD70528 support (Al Stone) [2071835]
- gpiolib: acpi: Unify debug and other messages format (Al Stone) [2071835]
- gpiolib: acpi: Do not set the IRQ type if the IRQ is already in use (Al Stone) [2071835]
- gpio: mockup: Switch to use kasprintf_strarray() (Al Stone) [2071835]
- gpiolib: acpi: shrink devm_acpi_dev_add_driver_gpios() (Al Stone) [2071835]
- gpiolib: acpi: Remove never used devm_acpi_dev_remove_driver_gpios() (Al Stone) [2071835]
- gpio: xlp: Remove Netlogic XLP variants (Al Stone) [2071835]
- gpio: virtio: Fix sparse warnings (Al Stone) [2071835]
- gpio: clean up Kconfig file (Al Stone) [2071835]
- gpio: Allow per-parent interrupt data (Al Stone) [2071835]
- gpio-amdpt: ACPI: Use the ACPI_COMPANION() macro directly (Al Stone) [2071835]
- gpiolib: acpi: Replace custom code with device_match_acpi_handle() (Al Stone) [2071835]
- gpio: mc33880: Drop if with an always false condition (Al Stone) [2071835]
- gpio: aggregator: Wrap access to gpiochip_fwd.tmp[] (Al Stone) [2071835]
- gpio: tps65218: drop unneeded MODULE_ALIAS (Al Stone) [2071835]
- gpio: max77620: drop unneeded MODULE_ALIAS (Al Stone) [2071835]
- gpio: tegra186: Support multiple interrupts per bank (Al Stone) [2071835]
- gpio: tegra186: Force one interrupt per bank (Al Stone) [2071835]
- gpiolib: acpi: Make set-debounce-timeout failures non fatal (Al Stone) [2071835]
- gpio: remove the obsolete MX35 3DS BOARD MC9S08DZ60 GPIO functions (Al Stone) [2071835]
- gpio: Add virtio-gpio driver (Al Stone) [2071835]
- gpio: Bulk conversion to generic_handle_domain_irq() (Al Stone) [2071835]
- gpio: dwapb: Get rid of legacy platform data (Al Stone) [2071835]
- gpio: dwapb: Read GPIO base from gpio-base property (Al Stone) [2071835]
- gpio: dwapb: Unify ACPI enumeration checks in get_irq() and configure_irqs() (Al Stone) [2071835]
- gpiolib: Deduplicate forward declaration in the consumer.h header (Al Stone) [2071835]
- gpio: tegra186: Add ACPI support (Al Stone) [2071835]
- dt-bindings: gpio: Add Tegra234 support (Al Stone) [2071835]
- dt-bindings: gpio: tegra186: Convert to json-schema (Al Stone) [2071835]
- gpiolib: of: constify few local device_node variables (Al Stone) [2071835]
- gpiolib: convert 'devprop_gpiochip_set_names' to support multiple gpiochip banks per device (Al Stone) [2071835]
- devlink: hold the instance lock during eswitch_mode callbacks (Petr Oros) [2101713]
- netdevsim: replace vfs_lock with devlink instance lock (Petr Oros) [2101713]
- netdevsim: replace port_list_lock with devlink instance lock (Petr Oros) [2101713]
- devlink: add explicitly locked flavor of the rate node APIs (Petr Oros) [2101713]
- bnxt: use the devlink instance lock to protect sriov (Petr Oros) [2101713]
- devlink: pass devlink_port to port_split / port_unsplit callbacks (Petr Oros) [2101713]
- devlink: hold the instance lock in port_split / port_unsplit callbacks (Petr Oros) [2101713]
- eth: mlxsw: switch to explicit locking for port registration (Petr Oros) [2101713]
- eth: nfp: replace driver's "pf" lock with devlink instance lock (Petr Oros) [2101713]
- eth: nfp: wrap locking assertions in helpers (Petr Oros) [2101713]
- devlink: expose instance locking and add locked port registering (Petr Oros) [2101713]
- mlxsw: reg: Remove PMTM register (Ivan Vecera) [2101713]
- mlxsw: spectrum: Use PMTDB register to obtain split info (Ivan Vecera) [2101713]
- mlxsw: reg: Add Port Module To local DataBase Register (Ivan Vecera) [2101713]
- mlxsw: spectrum: Use PLLP to get front panel number and split number (Ivan Vecera) [2101713]
- mlxsw: reg: Add Port Local port to Label Port mapping Register (Ivan Vecera) [2101713]
- mlxsw: spectrum: Move port SWID set before core port init (Ivan Vecera) [2101713]
- mlxsw: spectrum: Move port module mapping before core port init (Ivan Vecera) [2101713]
- mlxsw: spectrum: Bump minimum FW version to xx.2008.3326 (Ivan Vecera) [2101713]
- vdpa/mlx5: Initialize CVQ vringh only once (Eugenio Pérez) [2119809]
- vdpa/mlx5: Update Control VQ callback information (Eugenio Pérez) [2119809]
- intel_th: pci: Add Raptor Lake-S CPU support (Michael Petlan) [2040036]
- intel_th: pci: Add Raptor Lake-S PCH support (Michael Petlan) [2040036]
- rhel: usb: remove Conflicts for gadget_unbind_drivers() (Al Stone) [2071830]
- soc: ti: fix wkup_m3_rproc_boot_thread return type (Al Stone) [2071830]
- fbdev: Prevent probing generic drivers if a FB is already registered (Al Stone) [2071830]
- rhel: Enable EFI DXE memory attributes (Al Stone) [2071830]
- rhel: Enable EFI COCO secret (Al Stone) [2071830]
- firmware: arm_scmi: Fix SENSOR_AXIS_NAME_GET behaviour when unsupported (Al Stone) [2071830]
- firmware: arm_scmi: Remove all the unused local variables (Al Stone) [2071830]
- efi/x86: libstub: Fix typo in __efi64_argmap* name (Al Stone) [2071830]
- powerpc/64: Include cache.h directly in paca.h (Al Stone) [2071830]
- firmware: arm_scmi: Relax CLOCK_DESCRIBE_RATES out-of-spec checks (Al Stone) [2071830]
- firmware: arm_scmi: Avoid using extended string-buffers sizes if not necessary (Al Stone) [2071830]
- video: vga16fb: Only probe for EGA and VGA 16 color graphic cards (Al Stone) [2071830]
- efi: clean up Kconfig dependencies on CONFIG_EFI (Al Stone) [2071830]
- efi/x86: libstub: Make DXE calls mixed mode safe (Al Stone) [2071830]
- edd: simplify the check of 'attr->test' in edd_populate_dir() (Al Stone) [2071830]
- firmware: dmi-sysfs: Fix memory leak in dmi_sysfs_register_handle (Al Stone) [2071830]
- printk: stop including cache.h from printk.h (Al Stone) [2071830]
- efi: stub: prefer mirrored memory for randomized allocations (Al Stone) [2071830]
- efi/arm64: libstub: run image in place if randomized by the loader (Al Stone) [2071830]
- efi: libstub: pass image handle to handle_kernel_image() (Al Stone) [2071830]
- efi: libstub: ensure allocated memory to be executable (Al Stone) [2071830]
- efi: libstub: declare DXE services table (Al Stone) [2071830]
- firmware: arm_scmi: Fix late checks on pointer dereference (Al Stone) [2071830]
- firmware: arm_ffa: Remove incorrect assignment of driver_data (Al Stone) [2071830]
- firmware: arm_ffa: Fix uuid parameter to ffa_partition_probe (Al Stone) [2071830]
- firmware: arm_scmi: Support optee shared memory in the optee transport (Al Stone) [2071830]
- firmware: arm_scmi: Add SCMI v3.1 VOLTAGE_LEVEL_SET_COMPLETE (Al Stone) [2071830]
- firmware: arm_scmi: Add SCMI v3.1 clock notifications (Al Stone) [2071830]
- firmware: arm_scmi: Add checks for min/max limits in PERFORMANCE_LIMITS_SET (Al Stone) [2071830]
- firmware: arm_scmi: Add SCMI v3.1 perf power-cost in microwatts (Al Stone) [2071830]
- firmware: arm_scmi: Use common iterators in the perf protocol (Al Stone) [2071830]
- firmware: arm_scmi: Use common iterators in the voltage protocol (Al Stone) [2071830]
- firmware: arm_scmi: Use common iterators in the clock protocol (Al Stone) [2071830]
- firmware: arm_scmi: Add SCMI v3.1 SENSOR_AXIS_NAME_GET support (Al Stone) [2071830]
- firmware: arm_scmi: Use common iterators in the sensor protocol (Al Stone) [2071830]
- firmware: arm_scmi: Add iterators for multi-part commands (Al Stone) [2071830]
- firmware: arm_scmi: Parse clock_enable_latency conditionally (Al Stone) [2071830]
- firmware: arm_scmi: Set clock latency to U32_MAX if it is not supported (Al Stone) [2071830]
- firmware: arm_scmi: Add SCMI v3.1 protocol extended names support (Al Stone) [2071830]
- firmware: arm_scmi: Introduce a common SCMI v3.1 .extended_name_get helper (Al Stone) [2071830]
- firmware: arm_scmi: Split protocol specific definitions in a dedicated header (Al Stone) [2071830]
- firmware: arm_scmi: Remove unneeded NULL termination of clk name (Al Stone) [2071830]
- firmware: arm_scmi: Check CLOCK_RATE_SET_COMPLETE async response (Al Stone) [2071830]
- firmware: arm_scmi: Make name_get operations return a const (Al Stone) [2071830]
- firmware: arm_scmi: Dynamically allocate implemented protocols array (Al Stone) [2071830]
- firmware: arm_scmi: Validate BASE_DISCOVER_LIST_PROTOCOLS response (Al Stone) [2071830]
- firmware: arm_scmi: Fix list protocols enumeration in the base protocol (Al Stone) [2071830]
- firmware: arm_scmi: Make protocols initialisation fail on basic errors (Al Stone) [2071830]
- firmware: arm_ffa: Fix handling of fragmented memory descriptors (Al Stone) [2071830]
- efi: Register efi_secret platform device if EFI secret area is declared (Al Stone) [2071830]
- efi: Save location of EFI confidential computing area (Al Stone) [2071830]
- efi: Move efifb_setup_from_dmi() prototype from arch headers (Al Stone) [2071830]
- efi/cper: Reformat CPER memory error location to more readable (Al Stone) [2071830]
- EDAC/ghes: Unify CPER memory error location reporting (Al Stone) [2071830]
- efi/cper: Add a cper_mem_err_status_str() to decode error description (Al Stone) [2071830]
- firmware: arm_scmi: Fix sparse warnings in OPTEE transport driver (Al Stone) [2071830]
- firmware: arm_scmi: Replace zero-length array with flexible-array member (Al Stone) [2071830]
- firmware: arm_scmi: Fix sorting of retrieved clock rates (Al Stone) [2071830]
- firmware: arm_scmi: Remove clear channel call on the TX channel (Al Stone) [2071830]
- rhel: Configure ARM SCMI SMC transport AtomicEnable (Al Stone) [2071830]
- firmware: sysfb: fix platform-device leak in error path (Al Stone) [2071830]
- pstore: Don't use semaphores in always-atomic-context code (Al Stone) [2071830]
- efi/mokvar: move up init order (Al Stone) [2071830]
- sysfb: Enable boot time VESA graphic mode selection (Al Stone) [2071830]
- sysfb: Make config option dependencies explicit (Al Stone) [2071830]
- efi: fix return value of __setup handlers (Al Stone) [2071830]
- efivars: Respect "block" flag in efivar_entry_set_safe() (Al Stone) [2071830]
- firmware: arm_scmi: Add support for clock_enable_latency (Al Stone) [2071830]
- firmware: arm_scmi: Add atomic support to clock protocol (Al Stone) [2071830]
- firmware: arm_scmi: Support optional system wide atomic-threshold-us (Al Stone) [2071830]
- firmware: arm_scmi: Add atomic mode support to virtio transport (Al Stone) [2071830]
- firmware: arm_scmi: Review virtio free_list handling (Al Stone) [2071830]
- firmware: arm_scmi: Add a virtio channel refcount (Al Stone) [2071830]
- firmware: imx: scu-pd: imx8q: add vpu mu resources (Al Stone) [2071830]
- firmware: imx: add get resource owner api (Al Stone) [2071830]
- firmware: arm_scmi: Remove space in MODULE_ALIAS name (Al Stone) [2071830]
- firmware: arm_scmi: Disable ftrace for Clang Thumb2 builds (Al Stone) [2071830]
- drivers/firmware: Don't mark as busy the simple-framebuffer IO resource (Al Stone) [2071830]
- efi: runtime: avoid EFIv2 runtime services on Apple x86 machines (Al Stone) [2071830]
- efi/libstub: arm64: Fix image check alignment at entry (Al Stone) [2071830]
- virtio: wrap config->reset calls (Al Stone) [2071830]
- efi: use default_groups in kobj_type (Al Stone) [2071830]
- efi/libstub: measure loaded initrd info into the TPM (Al Stone) [2071830]
- efi/libstub: x86/mixed: increase supported argument count (Al Stone) [2071830]
- drivers/firmware: Add missing platform_device_put() in sysfb_create_simplefb (Al Stone) [2071830]
- firmware: edd: remove empty default_attrs array (Al Stone) [2071830]
- firmware: dmi-sysfs: use default_groups in kobj_type (Al Stone) [2071830]
- qemu_fw_cfg: use default_groups in kobj_type (Al Stone) [2071830]
- firmware: memmap: use default_groups in kobj_type (Al Stone) [2071830]
- firmware: arm_scmi: Add new parameter to mark_txdone (Al Stone) [2071830]
- firmware: arm_scmi: Add atomic mode support to smc transport (Al Stone) [2071830]
- firmware: arm_scmi: Add support for atomic transports (Al Stone) [2071830]
- firmware: arm_scmi: Make optee support sync_cmds_completed_on_ret (Al Stone) [2071830]
- firmware: arm_scmi: Make smc support sync_cmds_completed_on_ret (Al Stone) [2071830]
- firmware: arm_scmi: Add sync_cmds_completed_on_ret transport flag (Al Stone) [2071830]
- firmware: arm_scmi: Make smc transport use common completions (Al Stone) [2071830]
- firmware: arm_scmi: Add configurable polling mode for transports (Al Stone) [2071830]
- firmware: qemu_fw_cfg: remove sysfs entries explicitly (Al Stone) [2071830]
- firmware: qemu_fw_cfg: fix sysfs information leak (Al Stone) [2071830]
- firmware: qemu_fw_cfg: fix kobject leak in probe error path (Al Stone) [2071830]
- firmware: qemu_fw_cfg: fix NULL-pointer deref on duplicate entries (Al Stone) [2071830]
- firmware: arm_scmi: Use new trace event scmi_xfer_response_wait (Al Stone) [2071830]
- include: trace: Add new scmi_xfer_response_wait event (Al Stone) [2071830]
- firmware: arm_scmi: Refactor message response path (Al Stone) [2071830]
- firmware: arm_scmi: Set polling timeout to max_rx_timeout_ms (Al Stone) [2071830]
- firmware: arm_scpi: Fix string overflow in SCPI genpd driver (Al Stone) [2071830]
- firmware: arm_scmi: Perform earlier cinfo lookup call in do_xfer (Al Stone) [2071830]
- firmware: arm_scmi: optee: Drop the support for the OPTEE shared dynamic buffer (Al Stone) [2071830]
- firmware: arm_scmi: optee: Fix missing mutex_init() (Al Stone) [2071830]
- efi/libstub: consolidate initrd handling across architectures (Al Stone) [2071830]
- efi/libstub: add prototype of efi_tcg2_protocol::hash_log_extend_event() (Al Stone) [2071830]
- firmware: arm_scmi: Make virtio Version_1 compliance optional (Al Stone) [2071830]
- firmware: arm_scmi: Add optee transport (Al Stone) [2071830]
- firmware: arm_scmi: Fix type error assignment in voltage protocol (Al Stone) [2071830]
- firmware: arm_scmi: Review some virtio log messages (Al Stone) [2071830]
- firmware: arm_scmi: Fix type error in sensor protocol (Al Stone) [2071830]
- firmware: arm_scmi: pm: Propagate return value to caller (Al Stone) [2071830]
- firmware: arm_scmi: Fix base agent discover response (Al Stone) [2071830]
- firmware: arm_scmi: Fix null de-reference on error path (Al Stone) [2071830]
- exit/kthread: Have kernel threads return instead of calling do_exit (Al Stone) [2071830]
- firmware/psci: fix application of sizeof to pointer (Al Stone) [2071830]
- firmware: arm_ffa: Remove unused 'compat_version' variable (Al Stone) [2071830]
- firmware: arm_ffa: Add support for MEM_LEND (Al Stone) [2071830]
- firmware: arm_ffa: Handle compatibility with different firmware versions (Al Stone) [2071830]
- firmware: arm_scmi: Add proper barriers to scmi virtio device (Al Stone) [2071830]
- firmware: arm_scmi: Simplify spinlocks in virtio transport (Al Stone) [2071830]
- efi/cper: use stack buffer for error record decoding (Al Stone) [2071830]
- efi/libstub: Simplify "Exiting bootservices" message (Al Stone) [2071830]
- firmware: arm_ffa: Fix __ffa_devices_unregister (Al Stone) [2071830]
- firmware: arm_ffa: Add missing remove callback to ffa_bus_type (Al Stone) [2071830]
- firmware: arm_scmi: Remove __exit annotation (Al Stone) [2071830]
- firmware: arm_scmi: Fix virtio transport Kconfig dependency (Al Stone) [2071830]
- firmware: dmi: Move product_sku info to the end of the modalias (Al Stone) [2071830]
- efi: cper: check section header more appropriately (Al Stone) [2071830]
- efi: cper: fix scnprintf() use in cper_mem_err_location() (Al Stone) [2071830]
- rhel: Enable Simple FrameBuffer support (Al Stone) [2071830]
- rhel: Enable ARM SCMI transport mechanism (Al Stone) [2071830]
- firmware: arm_scmi: Use WARN_ON() to check configured transports (Al Stone) [2071830]
- firmware: arm_scmi: Fix boolconv.cocci warnings (Al Stone) [2071830]
- firmware: smccc: Register smccc_trng platform device (Al Stone) [2071830]
- firmware: arm_scmi: Free mailbox channels if probe fails (Al Stone) [2071830]
- firmware: arm_scmi: Add virtio transport (Al Stone) [2071830]
- firmware: arm_scmi: Add priv parameter to scmi_rx_callback (Al Stone) [2071830]
- firmware: arm_scmi: Add optional link_supplier() transport op (Al Stone) [2071830]
- firmware: arm_scmi: Add message passing abstractions for transports (Al Stone) [2071830]
- firmware: arm_scmi: Add method to override max message number (Al Stone) [2071830]
- firmware: arm_scmi: Make shmem support optional for transports (Al Stone) [2071830]
- firmware: arm_scmi: Make SCMI transports configurable (Al Stone) [2071830]
- firmware: arm_scmi: Make polling mode optional (Al Stone) [2071830]
- firmware: arm_scmi: Make .clear_channel optional (Al Stone) [2071830]
- firmware: arm_scmi: Handle concurrent and out-of-order messages (Al Stone) [2071830]
- firmware: arm_scmi: Introduce monotonically increasing tokens (Al Stone) [2071830]
- firmware: arm_scmi: Add optional transport_init/exit support (Al Stone) [2071830]
- firmware: arm_scmi: Remove scmi_dump_header_dbg() helper (Al Stone) [2071830]
- firmware: arm_scmi: Add support for type handling in common functions (Al Stone) [2071830]
- efi: sysfb_efi: fix build when EFI is not set (Al Stone) [2071830]
- drivers/firmware: fix SYSFB depends to prevent build failures (Al Stone) [2071830]
- drivers: firmware: Add PDI load API support (Al Stone) [2071830]
- drivers/firmware: consolidate EFI framebuffer setup for all arches (Al Stone) [2071830]
- drivers/firmware: move x86 Generic System Framebuffers support (Al Stone) [2071830]
- bus: Make remove callback return void (Al Stone) [2071830]
- s390/ccwgroup: Drop if with an always false condition (Al Stone) [2071830]
- PCI: endpoint: Make struct pci_epf_driver::remove return void (Al Stone) [2071830]
- s390/scm: Make struct scm_driver::remove return void (Al Stone) [2071830]
- s390/cio: Make struct css_driver::remove return void (Al Stone) [2071830]
- redhat: Bump RHEL_MINOR for 9.2 (Frantisek Hrbata)
- redhat: add missing CVE reference to latest changelog entries (Patrick Talbert)
Resolves: rhbz#2120362, rhbz#2060827, rhbz#2071835, rhbz#2101713, rhbz#2119809, rhbz#2040036, rhbz#2071830

Signed-off-by: Frantisek Hrbata <fhrbata@redhat.com>
2022-09-08 06:37:47 -04:00
Herton R. Krzesinski
3299336a21 kernel-5.14.0-158.el9
* Wed Aug 24 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-158.el9]
- iommu/arm-smmu-qcom: Add SC8280XP support (Eric Chanudet) [2117020]
- dt-bindings: arm-smmu: Add compatible for Qualcomm SC8280XP (Eric Chanudet) [2117020]
- soc: qcom: smem: use correct format characters (Eric Chanudet) [2108804]
- soc: qcom: smem: validate fields of shared structures (Eric Chanudet) [2108804]
- soc: qcom: smem: map only partitions used by local HOST (Eric Chanudet) [2108804]
- soc: qcom: smem: Update max processor count (Eric Chanudet) [2108804]
- dt-bindings: soc: smem: Make indirection optional (Eric Chanudet) [2108804]
- soc: qcom: llcc: Add sc8180x and sc8280xp configurations (Eric Chanudet) [2108329]
- dt-bindings: arm: msm: Add sc8180x and sc8280xp LLCC compatibles (Eric Chanudet) [2108329]
- soc: qcom: llcc: Add MODULE_DEVICE_TABLE() (Eric Chanudet) [2108329]
- dt-bindings: arm: msm: Add LLCC compatible for SM8450 (Eric Chanudet) [2108329]
- dt-bindings: arm: msm: Add LLCC compatible for SM8350 (Eric Chanudet) [2108329]
- soc: qcom: llcc: Add configuration data for SM8450 SoC (Eric Chanudet) [2108329]
- soc: qcom: llcc: Update register offsets for newer LLCC HW (Eric Chanudet) [2108329]
- soc: qcom: llcc: Add missing llcc configuration data (Eric Chanudet) [2108329]
- soc: qcom: llcc: Add write-cache cacheable support (Eric Chanudet) [2108329]
- soc: qcom: llcc: Update the logic for version info extraction (Eric Chanudet) [2108329]
- soc: qcom: llcc: Add support for 16 ways of allocation (Eric Chanudet) [2108329]
- soc: qcom: llcc: Use devm_bitmap_zalloc() when applicable (Eric Chanudet) [2108329]
- dt-bindings: arm: msm: Don't mark LLCC interrupt as required (Eric Chanudet) [2108329]
- dt-bindings: arm: msm: Add LLCC for SM6350 (Eric Chanudet) [2108329]
- soc: qcom: llcc: Add configuration data for SM8350 (Eric Chanudet) [2108329]
- soc: qcom: llcc: Disable MMUHWT retention (Eric Chanudet) [2108329]
- soc: qcom: llcc: Add configuration data for SM6350 (Eric Chanudet) [2108329]
- spi: qcom: geni: Simplify DMA setting (Shawn Doherty) [2106892]
- spi: qcom: geni: handle timeout for gpi mode (Shawn Doherty) [2106892]
- spi: qcom: geni: set the error code for gpi transfer (Shawn Doherty) [2106892]
- spi: qcom: geni: remove unused defines (Shawn Doherty) [2106892]
- spi: spi-geni-qcom: fix error handling in spi_geni_grab_gpi_chan() (Shawn Doherty) [2106892]
- spi: spi-geni-qcom: Add support for GPI dma (Shawn Doherty) [2106892]
- soc: qcom: geni: Add support for gpi dma (Shawn Doherty) [2106892]
- soc: qcom: geni: move GENI_IF_DISABLE_RO to common header (Shawn Doherty) [2106892]
- spi: spi-geni-qcom: Remove confusing comment about setting the watermark (Shawn Doherty) [2106892]
- interconnect: qcom: Add SC8280XP interconnect provider (Adrien Thierry) [2105458]
- dt-bindings: interconnect: qcom: Add sc8280xp binding (Adrien Thierry) [2105458]
- soc: qcom: rpmhpd: add sc8280xp & sa8540p rpmh power-domains (Adrien Thierry) [2105057]
- soc: qcom: rpmhpd: Don't warn about sparse rpmhpd arrays (Adrien Thierry) [2105057]
- dt-bindings: power: rpmpd: Add sc8280xp RPMh power-domains (Adrien Thierry) [2105057]
- soc: qcom: rpmhpd: Add SDX65 power domains (Adrien Thierry) [2105057]
- dt-bindings: power: Add rpm power domain bindings for SDX65 (Adrien Thierry) [2105057]
- soc: qcom: rpmpd: Add MSM8226 support (Adrien Thierry) [2105057]
- dt-bindings: power: rpmpd: Add MSM8226 to rpmpd binding (Adrien Thierry) [2105057]
- soc: qcom: rpmpd: Check for null return of devm_kcalloc (Adrien Thierry) [2105057]
- soc: qcom: rpmhpd: Sort power-domain definitions and lists (Adrien Thierry) [2105057]
- soc: qcom: rpmhpd: Remove mx/cx relationship on sc7280 (Adrien Thierry) [2105057]
- soc: qcom: rpmhpd: Rename rpmhpd struct names (Adrien Thierry) [2105057]
- soc: qcom: rpmhpd: sm8450: Add the missing .peer for sm8450_cx_ao (Adrien Thierry) [2105057]
- soc: qcom: rpmhpd: Add SM8450 power domains (Adrien Thierry) [2105057]
- dt-bindings: power: rpmpd: Add SM8450 to rpmpd binding (Adrien Thierry) [2105057]
- soc: qcom: rpmpd: Add support for sm6125 (Adrien Thierry) [2105057]
- dt-bindings: qcom-rpmpd: Add sm6125 power domains (Adrien Thierry) [2105057]
- soc: qcom: rpmpd: Add QCM2290 support (Adrien Thierry) [2105057]
- dt-bindings: power: rpmpd: Add QCM2290 support (Adrien Thierry) [2105057]
- soc: qcom: rpmpd: Drop unused res_name from struct rpmpd (Adrien Thierry) [2105057]
- soc: qcom: rpmhpd: fix sm8350_mxc's peer domain (Adrien Thierry) [2105057]
- soc: qcom: rpmhpd: Make power_on actually enable the domain (Adrien Thierry) [2105057]
- dt-bindings: power: rpmpd: Add SM6350 to rpmpd binding (Adrien Thierry) [2105057]
- soc: qcom: rpmpd: Add power domains for MSM8953 (Adrien Thierry) [2105057]
- dt-bindings: power: rpmpd: Add MSM8953 to rpmpd binding (Adrien Thierry) [2105057]
- soc: qcom: rpmhpd: Add SM6350 (Adrien Thierry) [2105057]
- drivers: soc: qcom: rpmpd: Add SM6115 RPM Power Domains (Adrien Thierry) [2105057]
- dt-bindings: power: rpmpd: Add SM6115 to rpmpd binding (Adrien Thierry) [2105057]
- soc: qcom: rpmhpd: Use corner in power_off (Adrien Thierry) [2105057]
- scsi: ufs: core: Fix referencing invalid rsp field (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Clean up ufshpb_suspend()/resume() (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Add handing of device reset regions in HPB device mode (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Change sysfs node hpb_stats/rb_* prefix to start with rcmd_* (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Clean up the handler when device resets HPB information (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Remove enum initialization value (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Merge ufshpb_reset() and ufshpb_reset_host() (Eric Chanudet) [2102378]
- scsi: ufs: qcom: Enable RPM_AUTOSUSPEND for runtime PM (Eric Chanudet) [2102378]
- scsi: ufs: core: Remove redundant wmb() in ufshcd_send_command() (Eric Chanudet) [2102378]
- scsi: ufs: qcom: Add a readl() to make sure ref_clk gets enabled (Eric Chanudet) [2102378]
- scsi: ufs: qcom: Simplify handling of devm_phy_get() (Eric Chanudet) [2102378]
- scsi: ufs: qcom: Fix acquiring the optional reset control line (Eric Chanudet) [2102378]
- dt-bindings: ufs: cdns,ufshc: Add power-domains (Eric Chanudet) [2102378]
- scsi: ufs: Use pm_runtime_resume_and_get() instead of pm_runtime_get_sync() (Eric Chanudet) [2102378]
- scsi: ufs: core: Remove duplicate include in ufshcd (Eric Chanudet) [2102378]
- scsi: ufs: core: Exclude UECxx from SFR dump list (Eric Chanudet) [2102378]
- scsi: ufs: Move the ufs_is_valid_unit_desc_lun() definition (Eric Chanudet) [2102378]
- scsi: ufs: Move the struct ufs_ref_clk definition (Eric Chanudet) [2102378]
- scsi: ufs: Split the ufshcd.h header file (Eric Chanudet) [2102378]
- scsi: ufs: Minimize #include directives (Eric Chanudet) [2102378]
- scsi: ufs: Fix kernel-doc syntax in ufshcd.h (Eric Chanudet) [2102378]
- scsi: ufs: Remove unnecessary ufshcd-crypto.h include directives (Eric Chanudet) [2102378]
- scsi: ufs: qcom: Fix ufs_qcom_resume() (Eric Chanudet) [2102378]
- scsi: ufs: Introduce ufshcd_clkgate_delay_set() (Eric Chanudet) [2102378]
- scsi: ufs: Remove locking from around single register writes (Eric Chanudet) [2102378]
- scsi: ufs: Remove the TRUE and FALSE definitions (Eric Chanudet) [2102378]
- scsi: ufs: Remove paths from source code comments (Eric Chanudet) [2102378]
- scsi: ufs: Use an SPDX license identifier in the Kconfig file (Eric Chanudet) [2102378]
- scsi: ufs: Rename sdev_ufs_device into ufs_device_wlun (Eric Chanudet) [2102378]
- scsi: ufs: Remove the driver version (Eric Chanudet) [2102378]
- scsi: ufs: Make the config_scaling_param calls type safe (Eric Chanudet) [2102378]
- scsi: ufs: Switch to aggregate initialization (Eric Chanudet) [2102378]
- scsi: ufs: Remove unused constants and code (Eric Chanudet) [2102378]
- scsi: ufs: Invert the return value of ufshcd_is_hba_active() (Eric Chanudet) [2102378]
- scsi: ufs: Declare the quirks array const (Eric Chanudet) [2102378]
- scsi: ufs: Rename struct ufs_dev_fix into ufs_dev_quirk (Eric Chanudet) [2102378]
- scsi: ufs: Remove the UFS_FIX() and END_FIX() macros (Eric Chanudet) [2102378]
- scsi: ufs: Use get_unaligned_be16() instead of be16_to_cpup() (Eric Chanudet) [2102378]
- scsi: ufs: Remove ufshcd_lrb.sense_buffer (Eric Chanudet) [2102378]
- scsi: ufs: Remove ufshcd_lrb.sense_bufflen (Eric Chanudet) [2102378]
- scsi: ufs: Simplify statements that return a boolean (Eric Chanudet) [2102378]
- scsi: ufs: Remove superfluous boolean conversions (Eric Chanudet) [2102378]
- scsi: ufs: Declare ufshcd_wait_for_register() static (Eric Chanudet) [2102378]
- scsi: ufs: Fix a spelling error in a source code comment (Eric Chanudet) [2102378]
- scsi: ufs: core: Increase fDeviceInit poll frequency (Eric Chanudet) [2102378]
- scsi: ufs: core: Remove redundant HPB unmap (Eric Chanudet) [2102378]
- scsi: ufs: ufshcd-pltfrm: Simplify pdev->dev usage (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Fix a NULL check on list iterator (Eric Chanudet) [2102378]
- scsi: ufs: ufs-pci: Add support for Intel MTL (Eric Chanudet) [2102378]
- scsi: ufs: qcom: Drop custom Android boot parameters (Eric Chanudet) [2102378]
- scsi: ufs: core: Remove unused field in struct ufs_hba (Eric Chanudet) [2102378]
- dt-bindings: ufs: qcom: Add SM6350 compatible string (Eric Chanudet) [2102378]
- scsi: ufs: core: scsi_get_lba() error fix (Eric Chanudet) [2102378]
- dt-bindings: ufs: snps,tc-dwc-g210: convert to dtschema (Eric Chanudet) [2102378]
- dt-bindings: ufs: mediatek,ufs: convert to dtschema (Eric Chanudet) [2102378]
- dt-bindings: ufs: hisilicon,ufs: convert to dtschema (Eric Chanudet) [2102378]
- dt-bindings: ufs: qcom,ufs: convert to dtschema (Eric Chanudet) [2102378]
- dt-bindings: ufs: drop unused/old ufs-qcom PHY bindings (Eric Chanudet) [2102378]
- dt-bindings: ufs: cdns,ufshc: convert to dtschema (Eric Chanudet) [2102378]
- dt-bindings: ufs: samsung,exynos-ufs: use common bindings (Eric Chanudet) [2102378]
- dt-bindings: ufs: add common platform bindings (Eric Chanudet) [2102378]
- scsi: ufs: Fix runtime PM messages never-ending cycle (Eric Chanudet) [2102378]
- scsi: ufs: core: Remove wlun_dev_to_hba() (Eric Chanudet) [2102378]
- scsi: ufs: core: Fix divide by zero in ufshcd_map_queues() (Eric Chanudet) [2102378]
- block: pass a block_device and opf to bio_alloc (Eric Chanudet) [2102378]
- scsi: ufs: Add checking lifetime attribute for WriteBooster (Eric Chanudet) [2102378]
- scsi: ufs: Treat link loss as fatal error (Eric Chanudet) [2102378]
- scsi: ufs: Use generic error code in ufshcd_set_dev_pwr_mode() (Eric Chanudet) [2102378]
- scsi: ufs: ufshcd-pltfrm: Check the return value of devm_kstrdup() (Eric Chanudet) [2102378]
- scsi: ufs: ufs-mediatek: Fix error checking in ufs_mtk_init_va09_pwr_ctrl() (Eric Chanudet) [2102378]
- scsi: ufs: Modify Tactive time setting conditions (Eric Chanudet) [2102378]
- scsi: ufs: core: Fix deadlock issue in ufshcd_wait_for_doorbell_clr() (Eric Chanudet) [2102378]
- scsi: ufs: dt-bindings: Add SM8450 compatible strings (Eric Chanudet) [2102378]
- scsi: ufs: Implement polling support (Eric Chanudet) [2102378]
- scsi: ufs: Optimize the command queueing code (Eric Chanudet) [2102378]
- scsi: ufs: Stop using the clock scaling lock in the error handler (Eric Chanudet) [2102378]
- scsi: ufs: Fix a kernel crash during shutdown (Eric Chanudet) [2102378]
- scsi: ufs: Improve SCSI abort handling further (Eric Chanudet) [2102378]
- scsi: ufs: Introduce ufshcd_release_scsi_cmd() (Eric Chanudet) [2102378]
- scsi: ufs: Remove the 'update_scaling' local variable (Eric Chanudet) [2102378]
- scsi: ufs: Remove hba->cmd_queue (Eric Chanudet) [2102378]
- scsi: ufs: Fix a deadlock in the error handler (Eric Chanudet) [2102378]
- scsi: ufs: Rework ufshcd_change_queue_depth() (Eric Chanudet) [2102378]
- scsi: ufs: Remove ufshcd_any_tag_in_use() (Eric Chanudet) [2102378]
- scsi: ufs: Fix race conditions related to driver data (Eric Chanudet) [2102378]
- scsi: ufs: Remove dead code (Eric Chanudet) [2102378]
- scsi: ufs: Remove the sdev_rpmb member (Eric Chanudet) [2102378]
- scsi: ufs: Remove is_rpmb_wlun() (Eric Chanudet) [2102378]
- scsi: ufs: Rename a function argument (Eric Chanudet) [2102378]
- scsi: Remove superfluous #include <linux/async.h> directives (Eric Chanudet) [2102378]
- scsi: ufs: ufs-pci: Add support for Intel ADL (Eric Chanudet) [2102378]
- scsi: ufs: Let devices remain runtime suspended during system suspend (Eric Chanudet) [2102378]
- block: remove the gendisk argument to blk_execute_rq (Eric Chanudet) [2102378]
- scsi: ufs: Fix double space in SCSI_UFS_HWMON description (Eric Chanudet) [2102378]
- scsi: ufs: Wrap Universal Flash Storage drivers in SCSI_UFSHCD (Eric Chanudet) [2102378]
- scsi: ufs: ufs-mediatek: Add put_device() after of_find_device_by_node() (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Fix warning in ufshpb_set_hpb_read_to_upiu() (Eric Chanudet) [2102378]
- scsi: ufs: core: Fix another task management completion race (Eric Chanudet) [2102378]
- scsi: ufs: core: Fix task management completion timeout race (Eric Chanudet) [2102378]
- scsi: ufs: core: Improve SCSI abort handling (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Properly handle max-single-cmd (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Remove HPB2.0 flows (Eric Chanudet) [2102378]
- dt-bindings: ufs: exynos-ufs: add exynosautov9 compatible (Eric Chanudet) [2102378]
- dt-bindings: ufs: exynos-ufs: add io-coherency property (Eric Chanudet) [2102378]
- scsi: ufs: ufs-exynos: Introduce ExynosAuto v9 virtual host (Eric Chanudet) [2102378]
- scsi: ufs: ufs-exynos: Multi-host configuration for ExynosAuto v9 (Eric Chanudet) [2102378]
- scsi: ufs: ufs-exynos: Support ExynosAuto v9 UFS (Eric Chanudet) [2102378]
- scsi: ufs: ufs-exynos: Add pre/post_hce_enable drv callbacks (Eric Chanudet) [2102378]
- scsi: ufs: ufs-exynos: Factor out priv data init (Eric Chanudet) [2102378]
- scsi: ufs: ufs-exynos: Add EXYNOS_UFS_OPT_SKIP_CONFIG_PHY_ATTR option (Eric Chanudet) [2102378]
- scsi: ufs: ufs-exynos: Support custom version of ufs_hba_variant_ops (Eric Chanudet) [2102378]
- scsi: ufs: ufs-exynos: Add setup_clocks callback (Eric Chanudet) [2102378]
- scsi: ufs: ufs-exynos: Add refclkout_stop control (Eric Chanudet) [2102378]
- scsi: ufs: ufs-exynos: Simplify drv_data retrieval (Eric Chanudet) [2102378]
- scsi: ufs: ufs-exynos: Change pclk available max value (Eric Chanudet) [2102378]
- scsi: ufs: Add quirk to enable host controller without PH configuration (Eric Chanudet) [2102378]
- scsi: ufs: Add quirk to handle broken UIC command (Eric Chanudet) [2102378]
- scsi: ufs: core: Micro-optimize ufshcd_map_sg() (Eric Chanudet) [2102378]
- scsi: ufs: core: Add a compile-time structure size check (Eric Chanudet) [2102378]
- scsi: ufs: core: Remove three superfluous casts (Eric Chanudet) [2102378]
- scsi: ufs: core: Add debugfs attributes for triggering the UFS EH (Eric Chanudet) [2102378]
- scsi: ufs: core: Make it easier to add new debugfs attributes (Eric Chanudet) [2102378]
- scsi: ufs: core: Export ufshcd_schedule_eh_work() (Eric Chanudet) [2102378]
- scsi: ufs: core: Log error handler activity (Eric Chanudet) [2102378]
- scsi: ufs: core: Improve static type checking (Eric Chanudet) [2102378]
- scsi: ufs: core: Improve source code comments (Eric Chanudet) [2102378]
- scsi: ufs: Revert "Retry aborted SCSI commands instead of completing these successfully" (Eric Chanudet) [2102378]
- scsi: ufs: ufs-exynos: Correct timeout value setting registers (Eric Chanudet) [2102378]
- scsi: ufs: ufshcd-pltfrm: Fix memory leak due to probe defer (Eric Chanudet) [2102378]
- scsi: ufs: mediatek: Avoid sched_clock() misuse (Eric Chanudet) [2102378]
- scsi: ufs: ufs-pci: Force a full restore after suspend-to-disk (Eric Chanudet) [2102378]
- scsi: ufs: ufs-mediatek: Fix wrong location for ref-clk delay (Eric Chanudet) [2102378]
- scsi: ufs: ufs-mediatek: Fix build error caused by use of sched_clock() (Eric Chanudet) [2102378]
- scsi: ufs: ufs-mediatek: Introduce default delay for reference clock (Eric Chanudet) [2102378]
- scsi: ufs: core: Fix synchronization between scsi_unjam_host() and ufshcd_queuecommand() (Eric Chanudet) [2102378]
- scsi: ufs: mediatek: Support vops pre suspend to disable auto-hibern8 (Eric Chanudet) [2102378]
- scsi: ufs: core: Do not exit ufshcd_err_handler() unless operational or dead (Eric Chanudet) [2102378]
- scsi: ufs: core: Do not exit ufshcd_reset_and_restore() unless operational or dead (Eric Chanudet) [2102378]
- scsi: ufs: core: Stop clearing UNIT ATTENTIONS (Eric Chanudet) [2102378]
- scsi: ufs: core: Retry START_STOP on UNIT_ATTENTION (Eric Chanudet) [2102378]
- scsi: ufs: core: Remove return statement in void function (Eric Chanudet) [2102378]
- scsi: ufs: core: Fix ufshcd_probe_hba() prototype to match the definition (Eric Chanudet) [2102378]
- scsi: ufs: core: Fix NULL pointer dereference (Eric Chanudet) [2102378]
- scsi: ufs: core: Fix task management completion (Eric Chanudet) [2102378]
- scsi: ufs: ufs-qcom: Enter and exit hibern8 during clock scaling (Eric Chanudet) [2102378]
- scsi: ufs: core: Export hibern8 entry and exit functions (Eric Chanudet) [2102378]
- scsi: ufs: core: SCSI_UFS_HWMON depends on HWMON=y (Eric Chanudet) [2102378]
- scsi: ufs: exynos: Unify naming (Eric Chanudet) [2102378]
- scsi: ufs: Fix illegal offset in UPIU event trace (Eric Chanudet) [2102378]
- scsi: ufs: core: Add temperature notification exception handling (Eric Chanudet) [2102378]
- scsi: ufs: core: Probe for temperature notification support (Eric Chanudet) [2102378]
- scsi: ufs: core: Unbreak the reset handler (Eric Chanudet) [2102378]
- scsi: ufs: core: Revert "scsi: ufs: Synchronize SCSI and UFS error handling" (Eric Chanudet) [2102378]
- dt-bindings: ufs: Add bindings for Samsung ufs host (Eric Chanudet) [2102378]
- scsi: ufs: ufs-mediatek: Change dbg select by check IP version (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Use proper power management API (Eric Chanudet) [2102378]
- scsi: ufs: ufs-qcom: Remove unneeded variable 'err' (Eric Chanudet) [2102378]
- scsi: ufs: ufs-pci: Fix Intel LKF link stability (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Remove unused parameters (Eric Chanudet) [2102378]
- scsi: ufs: Fix ufshcd_request_sense_async() for Samsung KLUFG8RHDA-B2D1 (Eric Chanudet) [2102378]
- scsi: ufs: ufs-exynos: Fix static checker warning (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Fix typo in comments (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Fix possible memory leak (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Use scsi_cmd_to_rq() instead of scsi_cmnd.request (Eric Chanudet) [2102378]
- scsi: ufs: Use scsi_cmd_to_rq() instead of scsi_cmnd.request (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Do not report victim error in HCM (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Verify that 'num_inflight_map_req' is non-negative (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Use a correct max multi chunk (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Rewind the read timeout on every read (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Remove redundant initialization of variable 'lba' (Eric Chanudet) [2102378]
- scsi: ufshcd: Fix device links when BOOT WLUN fails to probe (Eric Chanudet) [2102378]
- scsi: ufs: Fix unsigned int compared with less than zero (Eric Chanudet) [2102378]
- scsi: ufs: core: Add lu_enable sysfs node (Eric Chanudet) [2102378]
- scsi: ufs: core: Add L2P entry swap quirk for Micron UFS (Eric Chanudet) [2102378]
- scsi: ufs: core: Remove redundant call in ufshcd_add_command_trace() (Eric Chanudet) [2102378]
- scsi: ufs: Add fault injection support (Eric Chanudet) [2102378]
- scsi: ufs: Retry aborted SCSI commands instead of completing these successfully (Eric Chanudet) [2102378]
- scsi: ufs: Synchronize SCSI and UFS error handling (Eric Chanudet) [2102378]
- scsi: ufs: Request sense data asynchronously (Eric Chanudet) [2102378]
- scsi: ufs: Fix the SCSI abort handler (Eric Chanudet) [2102378]
- scsi: ufs: Optimize SCSI command processing (Eric Chanudet) [2102378]
- scsi: ufs: Optimize serialization of setup_xfer_req() calls (Eric Chanudet) [2102378]
- scsi: ufs: Revert "Utilize Transfer Request List Completion Notification Register" (Eric Chanudet) [2102378]
- scsi: ufs: Inline ufshcd_outstanding_req_clear() (Eric Chanudet) [2102378]
- scsi: ufs: Remove several wmb() calls (Eric Chanudet) [2102378]
- scsi: ufs: Improve static type checking for the host controller state (Eric Chanudet) [2102378]
- scsi: ufs: Verify UIC locking requirements at runtime (Eric Chanudet) [2102378]
- scsi: ufs: Remove ufshcd_valid_tag() (Eric Chanudet) [2102378]
- scsi: ufs: Use DECLARE_COMPLETION_ONSTACK() where appropriate (Eric Chanudet) [2102378]
- scsi: ufs: Rename the second ufshcd_probe_hba() argument (Eric Chanudet) [2102378]
- scsi: ufs: Only include power management code if necessary (Eric Chanudet) [2102378]
- scsi: ufs: Reduce power management code duplication (Eric Chanudet) [2102378]
- scsi: ufs: Fix memory corruption by ufshcd_read_desc_param() (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Make host mode parameters configurable (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Add support for host control mode (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Do not send umap_all in host control mode (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Limit the number of in-flight map requests (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Add "cold" regions timer (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Add HPB dev reset response (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Region inactivation in host mode (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Make eviction depend on region's reads (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Add reads counter (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Transform set_dirty to iterate_rgn (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Add host control mode support to rsp_upiu (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Cache HPB Control mode on init (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Add HPB 2.0 support (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Prepare HPB read for cached sub-region (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: L2P map management for HPB read (Eric Chanudet) [2102378]
- scsi: ufs: ufshpb: Introduce Host Performance Buffer feature (Eric Chanudet) [2102378]
- scsi: ufs: Allow async suspend/resume callbacks (Eric Chanudet) [2102378]
- scsi: ufs: core: Use scsi_get_lba() to get LBA (Eric Chanudet) [2102378]
- scsi: ufs: Refactor ufshcd_is_intr_aggr_allowed() (Eric Chanudet) [2102378]
- redhat: config: disable qcom eDP phy driver (Eric Chanudet) [2102384]
- phy: qcom-qmp: rename error labels (Eric Chanudet) [2102384]
- phy: qcom-qmp: fix pipe-clock imbalance on power-on failure (Eric Chanudet) [2102384]
- phy: qcom-qmp: switch to explicit reset helpers (Eric Chanudet) [2102384]
- phy: qcom-qmp: fix reset-controller leak on probe errors (Eric Chanudet) [2102384]
- phy: qcom-qmp: fix struct clk leak on probe errors (Eric Chanudet) [2102384]
- phy: qcom-qmp: fix phy-descriptor kernel-doc typo (Eric Chanudet) [2102384]
- phy: qcom-qmp: Add support for SDX65 QMP PHY (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qmp: Add SDX65 USB PHY binding (Eric Chanudet) [2102384]
- phy: qcom-qmp: Add SM6350 UFS PHY support (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qmp: Add SM6350 UFS PHY bindings (Eric Chanudet) [2102384]
- phy: qcom-qmp: add sc8280xp UFS PHY (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qmp: add sc8180x and sc8280xp ufs compatibles (Eric Chanudet) [2102384]
- phy: qcom-snps: Add sc8280xp support (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,usb-snps-femto-v2: Add sc8180x and sc8280xp (Eric Chanudet) [2102384]
- phy: qcom: Program SSC only if supported by sink (Eric Chanudet) [2102384]
- phy: qcom: Add support for eDP PHY on sc7280 (Eric Chanudet) [2102384]
- dt-bindings: phy: Add eDP PHY compatible for sc7280 (Eric Chanudet) [2102384]
- dt-bindings: phy: convert Qualcomm USB HS phy to yaml (Eric Chanudet) [2102384]
- phy: qcom-qusb2: Add compatible for MSM8953 (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qusb2: Document msm8953 compatible (Eric Chanudet) [2102384]
- drivers: phy: qcom: ipq806x-usb: conver latch function to pool macro (Eric Chanudet) [2102384]
- drivers: phy: qcom: ipq806x-usb: convert to BITFIELD macro (Eric Chanudet) [2102384]
- phy: qcom-qmp: Add SM8450 PCIe1 PHY support (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qmp: Add SM8450 PCIe PHY bindings (Eric Chanudet) [2102384]
- phy: qcom-qmp: Add SM8450 PCIe0 PHY support (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qmp: Add SM8450 PCIe PHY bindings (Eric Chanudet) [2102384]
- phy: qcom-qmp: Add SM8450 USB QMP PHYs (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qmp: Add SM8450 USB3 PHY (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,usb-snps-femto-v2: Add bindings for SM8450 (Eric Chanudet) [2102384]
- phy: qcom: use struct_size instead of sizeof (Eric Chanudet) [2102384]
- phy: qcom-qmp: Add SM8450 UFS QMP Phy (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qmp: Add SM8450 UFS phy compatible (Eric Chanudet) [2102384]
- phy: qcom: Introduce new eDP PHY driver (Eric Chanudet) [2102384]
- dt-bindings: phy: Introduce Qualcomm eDP PHY binding (Eric Chanudet) [2102384]
- phy: qualcomm: ipq806x-usb: Fix kernel-doc style (Eric Chanudet) [2102384]
- phy: qualcomm: usb-hsic: Fix the kernel-doc warn (Eric Chanudet) [2102384]
- phy: qualcomm: qmp: Add missing struct documentation (Eric Chanudet) [2102384]
- phy: qcom-snps: Correct the FSEL_MASK (Eric Chanudet) [2102384]
- phy: qcom-qmp: another fix for the sc8180x PCIe definition (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qmp: IPQ6018 and IPQ8074 PCIe PHY require no supply (Eric Chanudet) [2102384]
- phy: qcom-qusb2: Fix a memory leak on probe (Eric Chanudet) [2102384]
- phy: qcom-qmp: Add QCM2290 USB3 PHY support (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qmp: Add QCM2290 USB3 PHY (Eric Chanudet) [2102384]
- phy: qcom-qusb2: Add missing vdd supply (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qusb2: Add missing vdd-supply (Eric Chanudet) [2102384]
- dt-bindings: arm: qcom: Drop qcom,mtp (Eric Chanudet) [2102384]
- dt-bindings: arm: qcom: Document alcatel,idol347 board (Eric Chanudet) [2102384]
- phy: qcom-qmp: Make use of the helper function devm_add_action_or_reset() (Eric Chanudet) [2102384]
- phy: qcom-qusb2: Add compatible for QCM2290 (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qusb2: Add compatible for QCM2290 (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qmp: Update maintainer email (Eric Chanudet) [2102384]
- phy: qcom-qmp: Add support for SM6115 UFS phy (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qmp: Add SM6115 UFS PHY bindings (Eric Chanudet) [2102384]
- phy: qmp: Provide unique clock names for DP clocks (Eric Chanudet) [2102384]
- phy: qcom-qmp: add USB3 PHY support for IPQ6018 (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qmp: Add IPQ6018 USB3 PHY (Eric Chanudet) [2102384]
- phy: qcom: qmp: Add SC8180x USB/DP combo (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qmp-usb3-dp: Add support for sc8180x (Eric Chanudet) [2102384]
- phy: qualcomm: phy-qcom-usb-hs: repair non-kernel-doc comment (Eric Chanudet) [2102384]
- phy: qcom-qmp: Fix sc8180x PCIe definition (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qmp-usb3-dp: Add support for SC7280 (Eric Chanudet) [2102384]
- phy: qcom-qmp: Add sc8180x PCIe support (Eric Chanudet) [2102384]
- dt-bindings: phy: qcom,qmp: Add sc8180x PCIe compatible (Eric Chanudet) [2102384]
- clk: qcom: add sc8280xp GCC driver (Adrien Thierry) [2103761]
- dt-bindings: clock: Add Qualcomm SC8280XP GCC bindings (Adrien Thierry) [2103761]
- redhat: config: qcom_scm download off by default (Eric Chanudet) [2101563]
- redhat: config: disable sm8450 by default (Eric Chanudet) [2101563]
- pinctrl: qcom: spmi-gpio: Add pm6125 compatible (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom-pmic-gpio: Add pm6125 compatible (Eric Chanudet) [2101563]
- pinctrl: qcom: Update clock voting as optional (Eric Chanudet) [2101563]
- pinctrl: qcom: Add SC7280 lpass pin configuration (Eric Chanudet) [2101563]
- pinctrl: qcom: Extract chip specific LPASS LPI code (Eric Chanudet) [2101563]
- pinctrl: qcom: Update lpi pin group custiom functions with framework generic functions (Eric Chanudet) [2101563]
- pinctrl: qcom: Update macro name to LPI specific (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom: Add sc7280 lpass lpi pinctrl bindings (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom: Update lpass lpi file name to SoC specific (Eric Chanudet) [2101563]
- pinctrl: qcom-pmic-gpio: Add support for pmx65 (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom-pmic-gpio: Add pmx65 support (Eric Chanudet) [2101563]
- pinctrl: qcom: sm6350: fix order of UFS & SDC pins (Eric Chanudet) [2101563]
- pinctrl: qcom: sm8150: Specify PDC map (Eric Chanudet) [2101563]
- dt-bindings: qcom,pdc: Add compatible for SM8150 (Eric Chanudet) [2101563]
- pinctrl: qcom-pmic-gpio: Add support for pm8450 (Eric Chanudet) [2101563]
- pinctrl: qcom: Introduce sc8280xp TLMM driver (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom: Add sc8280xp TLMM binding (Eric Chanudet) [2101563]
- pinctrl: qcom: cleanup comments (Eric Chanudet) [2101563]
- pinctrl: qcom: qcm2290: Add GPIO wakeirq map (Eric Chanudet) [2101563]
- pinctrl: qcom: print egpio mode in debugfs (Eric Chanudet) [2101563]
- pinctrl: qcom: sm8450: Add egpio support (Eric Chanudet) [2101563]
- pinctrl: qcom: spmi-mpp: Add PM8226 compatible (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom,pmic-mpp: Document PM8226 compatible (Eric Chanudet) [2101563]
- pinctrl: qcom: Return -EINVAL for setting affinity if no IRQ parent (Eric Chanudet) [2101563]
- pinctrl: qcom: Add SM8450 pinctrl driver (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom: Add SM8450 pinctrl bindings (Eric Chanudet) [2101563]
- pinctrl: spmi-gpio: Add support for PM2250 (Eric Chanudet) [2101563]
- dt-bindings: qcom,pmic-gpio: Add pm2250 compatible string (Eric Chanudet) [2101563]
- pinctrl: qcom: sc7280: Add egpio support (Eric Chanudet) [2101563]
- pinctrl: qcom: Add egpio feature support (Eric Chanudet) [2101563]
- pinctrl: qcom-pmic-gpio: Add support for pm8019 (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom,pmic-gpio: Add compatible for PM8019 (Eric Chanudet) [2101563]
- pinctrl: qcom: Add SDX65 pincontrol driver (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom: Add SDX65 pinctrl bindings (Eric Chanudet) [2101563]
- pinctrl: qcom: sm8350: Correct UFS and SDC offsets (Eric Chanudet) [2101563]
- pinctrl: qcom: sdm845: Enable dual edge errata (Eric Chanudet) [2101563]
- pinctrl: qcom: fix unmet dependencies on GPIOLIB for GPIOLIB_IRQCHIP (Eric Chanudet) [2101563]
- pinctrl: qcom: spmi-mpp: add support for hierarchical IRQ chip (Eric Chanudet) [2101563]
- pinctrl: qcom: spmi-mpp: hardcode IRQ counts (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom,pmic-mpp: switch to #interrupt-cells (Eric Chanudet) [2101563]
- pinctrl: qcom: ssbi-mpp: add support for hierarchical IRQ chip (Eric Chanudet) [2101563]
- pinctrl: qcom: ssbi-mpp: hardcode IRQ counts (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom,pmic-mpp: Convert qcom pmic mpp bindings to YAML (Eric Chanudet) [2101563]
- iommu/arm: fix ARM_SMMU_QCOM compilation (Eric Chanudet) [2101563]
- pinctrl: qcom: spmi-gpio: Add compatible for PM6350 (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom,pmic-gpio: Add compatible for PM6350 (Eric Chanudet) [2101563]
- qcom_scm: hide Kconfig symbol (Eric Chanudet) [2101563]
- firmware: include drivers/firmware/Kconfig unconditionally (Eric Chanudet) [2101563]
- firmware: qcom_scm: QCOM_SCM should depend on ARCH_QCOM (Eric Chanudet) [2101563]
- firmware: qcom_scm: Allow qcom_scm driver to be loadable as a permenent module (Eric Chanudet) [2101563]
- pinctrl: qcom: spmi-gpio: add support to enable/disable output (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom-pmic-gpio: Add output-{enable,disable} properties (Eric Chanudet) [2101563]
- pinctrl: qcom: Add QCM2290 pinctrl driver (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom: Add QCM2290 pinctrl bindings (Eric Chanudet) [2101563]
- pinctrl: qcom: Add SM6350 pinctrl driver (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom: Add SM6350 pinctrl bindings (Eric Chanudet) [2101563]
- pinctrl: qcom: sc7280: Add PM suspend callbacks (Eric Chanudet) [2101563]
- pinctrl: qcom: msm8226: fill in more functions (Eric Chanudet) [2101563]
- pinctrl: qcom: spmi-gpio: correct parent irqspec translation (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom-pmic-gpio: Remove the interrupts property (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom-pmic-gpio: Convert qcom pmic gpio bindings to YAML (Eric Chanudet) [2101563]
- pinctrl: qcom: spmi-gpio: Add pmc8180 & pmc8180c (Eric Chanudet) [2101563]
- drivers: qcom: pinctrl: Add pinctrl driver for sm6115 (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom: Add SM6115 pinctrl bindings (Eric Chanudet) [2101563]
- pinctrl: qcom/pinctrl-spmi-gpio: Add compatible for pmic-gpio on SA8155p-adp (Eric Chanudet) [2101563]
- pinctrl: qcom/pinctrl-spmi-gpio: Arrange compatibles alphabetically (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom,pmic-gpio: Add compatible for SA8155p-adp (Eric Chanudet) [2101563]
- dt-bindings: pinctrl: qcom,pmic-gpio: Arrange compatibles alphabetically (Eric Chanudet) [2101563]
- pinctrl: qcom: Add MDM9607 pinctrl driver (Eric Chanudet) [2101563]
Resolves: rhbz#2117020, rhbz#2108804, rhbz#2108329, rhbz#2106892, rhbz#2105458, rhbz#2105057, rhbz#2102378, rhbz#2102384, rhbz#2103761, rhbz#2101563

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-08-24 21:59:46 +00:00
Herton R. Krzesinski
08a6260c86 kernel-5.14.0-151.el9
* Mon Aug 22 2022 Herton R. Krzesinski <herton@redhat.com> [5.14.0-151.el9]
- crypto: ccp - Fix device IRQ counting by using platform_irq_count() (Al Stone) [2071840]
- amd-xgbe: Use platform_irq_count() (Al Stone) [2071840]
- MAINTAINERS: adjust file entry for of_net.c after (Al Stone) [2071840]
- rhel: CONFIG_OPEN_DICE is not set (Al Stone) [2071840]
- of/irq: fix typo in comment (Al Stone) [2071840]
- powerpc/powermac: constify device_node in of_irq_parse_oldworld() (Al Stone) [2071840]
- of/fdt: Ignore disabled memory nodes (Al Stone) [2071840]
- of: overlay: do not free changeset when of_overlay_apply returns error (Al Stone) [2071840]
- of: overlay: unittest: add tests for overlay notifiers (Al Stone) [2071840]
- of: overlay: add entry to of_overlay_action_name[] (Al Stone) [2071840]
- of: overlay: rework overlay apply and remove kfree()s (Al Stone) [2071840]
- of: overlay: rename variables to be consistent (Al Stone) [2071840]
- of: overlay: do not break notify on NOTIFY_{OK|STOP} (Al Stone) [2071840]
- mtd: phram: Allow probing via reserved-memory (Al Stone) [2071840]
- of: Create platform devices for OF framebuffers (Al Stone) [2071840]
- of: of_property_read_string return -ENODATA when !length (Al Stone) [2071840]
- of/irq: Use interrupts-extended to find parent (Al Stone) [2071840]
- device property: Convert device_{dma_supported,get_dma_attr} to fwnode (Al Stone) [2071840]
- of/platform: Drop static setup of IRQ resource from DT core (Al Stone) [2071840]
- cma: factor out minimum alignment requirement (Al Stone) [2071840]
- Revert "of: base: Introduce of_alias_get_alias_list() to check alias IDs" (Al Stone) [2071840]
- of: unittest: print pass messages at PR_INFO level (Al Stone) [2071840]
- misc: open-dice: Add driver to expose DICE data to userspace (Al Stone) [2071840]
- of: unittest: update text of expected warnings (Al Stone) [2071840]
- of: Check 'of_node_reused' flag on of_match_device() (Al Stone) [2071840]
- of: property: define of_property_read_u{8,16,32,64}_array() unconditionally (Al Stone) [2071840]
- of: base: make small of_parse_phandle() variants static inline (Al Stone) [2071840]
- mm: kmemleak: alloc gray object for reserved region with direct map (Al Stone) [2071840]
- of: unittest: remove unneeded semicolon (Al Stone) [2071840]
- of: base: Improve argument length mismatch error (Al Stone) [2071840]
- of: base: Fix phandle argument length mismatch error message (Al Stone) [2071840]
- of: unittest: re-implement overlay tracking (Al Stone) [2071840]
- of: unittest: change references to obsolete overlay id (Al Stone) [2071840]
- of: Move simple-framebuffer device handling from simplefb to of (Al Stone) [2071840]
- of: unittest: 64 bit dma address test requires arch support (Al Stone) [2071840]
- of: unittest: fix warning on PowerPC frame size warning (Al Stone) [2071840]
- of/irq: Add a quirk for controllers with their own definition of interrupt-map (Al Stone) [2071840]
- of: base: Skip CPU nodes with "fail"/"fail-..." status (Al Stone) [2071840]
- of: property: fw_devlink: Fixup behaviour when 'node_not_dev' is set (Al Stone) [2071840]
- of/irq: Don't ignore interrupt-controller when interrupt-map failed (Al Stone) [2071840]
- of: Support using 'mask' in making device bus id (Al Stone) [2071840]
- memblock: use memblock_free for freeing virtual pointers (Al Stone) [2071840]
- memblock: rename memblock_free to memblock_phys_free (Al Stone) [2071840]
- xen/x86: free_p2m_page: use memblock_free_ptr() to free a virtual pointer (Al Stone) [2071840]
- memblock: drop memblock_free_early_nid() and memblock_free_early() (Al Stone) [2071840]
- of/irq: Allow matching of an interrupt-map local to an interrupt controller (Al Stone) [2071840]
- of: unittest: document intentional interrupt-map provider build warning (Al Stone) [2071840]
- of: unittest: fix EXPECT text for gpio hog errors (Al Stone) [2071840]
- of/unittest: Disable new dtc node_name_vs_property_name and interrupt_map warnings (Al Stone) [2071840]
- x86/of: Kill unused early_init_dt_scan_chosen_arch() (Al Stone) [2071840]
- of/unittest: Add of_node_put() before return (Al Stone) [2071840]
- of: make of_node_check_flag() device_node parameter const (Al Stone) [2071840]
- of: kobj: make of_node_is_(initialized|attached) parameters const (Al Stone) [2071840]
- of: Add of_get_cpu_hwid() to read hardware ID from CPU nodes (Al Stone) [2071840]
- ARM: 9119/1: amba: Properly handle device probe without IRQ domain (Al Stone) [2071840]
- ARM: 9120/1: Revert "amba: make use of -1 IRQs warn" (Al Stone) [2071840]
- soc: qcom: smem: Support reserved-memory description (Al Stone) [2071840]
- net: of: fix stub of_net helpers for CONFIG_NET=n (Al Stone) [2071840]
- of: net: add a helper for loading netdev->dev_addr (Al Stone) [2071840]
- of: net: move of_net under net/ (Al Stone) [2071840]
- of: remove duplicate declarations of __of_*_sysfs() functions (Al Stone) [2071840]
- fbdev: simplefb: fix Kconfig dependencies (Al Stone) [2071840]
- of, numa: Fetch empty NUMA node ID from distance map (Al Stone) [2071840]
- of: restricted dma: Fix condition for rmem init (Al Stone) [2071840]
- of: property: Disable fw_devlink DT support for X86 (Al Stone) [2071840]
- of: Don't allow __of_attached_node_sysfs() without CONFIG_SYSFS (Al Stone) [2071840]
- of: restricted dma: Don't fail device probe on rmem init failure (Al Stone) [2071840]
- of: Move of_dma_set_restricted_buffer() into device.c (Al Stone) [2071840]
- of: property: fw_devlink: Add support for "leds" and "backlight" (Al Stone) [2071840]
- of: property: fw_devlink: Add support for "resets" and "pwms" (Al Stone) [2071840]
- gpiolib: constify passed device_node pointer (Al Stone) [2071840]
- of: unify of_count_phandle_with_args() arguments with !CONFIG_OF (Al Stone) [2071840]
- of: Return success from of_dma_set_restricted_buffer() when !OF_ADDRESS (Al Stone) [2071840]
- of: kexec: Remove FDT_PROP_* definitions (Al Stone) [2071840]
- of: base: remove unnecessary for loop (Al Stone) [2071840]
- of: Add plumbing for restricted DMA pool (Al Stone) [2071840]
- of: Add stub for of_add_property() (Al Stone) [2071840]
Resolves: rhbz#2071840

Signed-off-by: Herton R. Krzesinski <herton@redhat.com>
2022-08-22 14:19:18 +00:00