From 59aef63ce25f13bde98aa6e7c453a678b6dfbf0c Mon Sep 17 00:00:00 2001 From: Nicolas Morey-Chaisemartin Date: Wed, 9 Oct 2019 12:00:04 +0200 Subject: [PATCH rdma-core 01/13] ABI Files --- ABI/.gitignore | 0 ABI/efa.dump | 2632 ++++++ ABI/ibmad.dump | 8459 +++++++++++++++++++ ABI/ibnetdisc.dump | 1192 +++ ABI/ibumad.dump | 1503 ++++ ABI/ibverbs.dump | 19009 +++++++++++++++++++++++++++++++++++++++++++ ABI/mlx4.dump | 3186 ++++++++ ABI/mlx5.dump | 10427 ++++++++++++++++++++++++ ABI/rdmacm.dump | 6043 ++++++++++++++ 9 files changed, 52451 insertions(+) create mode 100644 ABI/.gitignore create mode 100644 ABI/efa.dump create mode 100644 ABI/ibmad.dump create mode 100644 ABI/ibnetdisc.dump create mode 100644 ABI/ibumad.dump create mode 100644 ABI/ibverbs.dump create mode 100644 ABI/mlx4.dump create mode 100644 ABI/mlx5.dump create mode 100644 ABI/rdmacm.dump diff --git a/ABI/.gitignore b/ABI/.gitignore new file mode 100644 index 00000000..e69de29b diff --git a/ABI/efa.dump b/ABI/efa.dump new file mode 100644 index 00000000..6e15d211 --- /dev/null +++ b/ABI/efa.dump @@ -0,0 +1,2632 @@ +$VAR1 = { + 'ABI_DUMPER_VERSION' => '0.99.12', + 'ABI_DUMP_VERSION' => '3.2', + 'Arch' => 'x86_64', + 'Compiler' => 'clang version 7.0.0 (tags/RELEASE_700/final)', + 'Headers' => { + 'efadv.h' => 1, + 'int-ll64.h' => 1, + 'pthreadtypes.h' => 1, + 'stddef.h' => 1, + 'stdint.h' => 1, + 'types.h' => 1, + 'verbs.h' => 1 + }, + 'Language' => 'C', + 'LibraryName' => 'libefa.so.1.1.26.0', + 'LibraryVersion' => 'efa', + 'NameSpaces' => {}, + 'Needed' => { + 'libc.so.6' => 1, + 'libibverbs.so.1' => 1, + 'libpthread.so.0' => 1 + }, + 'Sources' => { + 'verbs.c' => 1 + }, + 'SymbolInfo' => { + '33452' => { + 'Line' => '90', + 'Param' => { + '0' => { + 'name' => 'ibvctx', + 'type' => '1493' + }, + '1' => { + 'name' => 'attr', + 'type' => '44328' + }, + '2' => { + 'name' => 'inlen', + 'type' => '214' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '809', + 'ShortName' => 'efadv_query_device', + 'Source' => 'verbs.c' + }, + '37465' => { + 'Line' => '866', + 'Param' => { + '0' => { + 'name' => 'ibvpd', + 'type' => '2405' + }, + '1' => { + 'name' => 'attr', + 'type' => '12847' + }, + '2' => { + 'name' => 'driver_qp_type', + 'type' => '214' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '2498', + 'ShortName' => 'efadv_create_driver_qp', + 'Source' => 'verbs.c' + }, + '37588' => { + 'Line' => '896', + 'Param' => { + '0' => { + 'name' => 'ibvctx', + 'type' => '1493' + }, + '1' => { + 'name' => 'attr_ex', + 'type' => '10376' + }, + '2' => { + 'name' => 'efa_attr', + 'type' => '35457' + }, + '3' => { + 'name' => 'inlen', + 'type' => '214' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '2498', + 'ShortName' => 'efadv_create_qp_ex', + 'Source' => 'verbs.c' + }, + '40506' => { + 'Line' => '1499', + 'Param' => { + '0' => { + 'name' => 'ibvah', + 'type' => '5076' + }, + '1' => { + 'name' => 'attr', + 'type' => '48245' + }, + '2' => { + 'name' => 'inlen', + 'type' => '214' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '809', + 'ShortName' => 'efadv_query_ah', + 'Source' => 'verbs.c' + } + }, + 'SymbolVersion' => { + '_ITM_deregisterTMCloneTable' => 'verbs_uninit_context@@IBVERBS_PRIVATE_25', + '_ITM_registerTMCloneTable' => 'verbs_uninit_context@@IBVERBS_PRIVATE_25', + '__gmon_start__' => 'verbs_uninit_context@@IBVERBS_PRIVATE_25', + 'efadv_create_driver_qp' => 'efadv_create_driver_qp@@EFA_1.0', + 'efadv_create_qp_ex' => 'efadv_create_qp_ex@@EFA_1.1', + 'efadv_query_ah' => 'efadv_query_ah@@EFA_1.1', + 'efadv_query_device' => 'efadv_query_device@@EFA_1.1' + }, + 'Symbols' => { + 'libefa.so.1.1.26.0' => { + 'efadv_create_driver_qp@@EFA_1.0' => 1, + 'efadv_create_qp_ex@@EFA_1.1' => 1, + 'efadv_query_ah@@EFA_1.1' => 1, + 'efadv_query_device@@EFA_1.1' => 1 + } + }, + 'Target' => 'unix', + 'TypeInfo' => { + '1' => { + 'Name' => 'void', + 'Type' => 'Intrinsic' + }, + '10327' => { + 'BaseType' => '10332', + 'Name' => 'struct ibv_xrcd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10332' => { + 'Header' => 'verbs.h', + 'Line' => '617', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1493' + } + }, + 'Name' => 'struct ibv_xrcd', + 'Size' => '8', + 'Type' => 'Struct' + }, + '10376' => { + 'BaseType' => '10381', + 'Name' => 'struct ibv_qp_init_attr_ex*', + 'Size' => '136', + 'Type' => 'Pointer' + }, + '10381' => { + 'Header' => 'verbs.h', + 'Line' => '929', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '340' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '2682' + }, + '10' => { + 'name' => 'create_flags', + 'offset' => '80', + 'type' => '214' + }, + '11' => { + 'name' => 'max_tso_header', + 'offset' => '84', + 'type' => '359' + }, + '12' => { + 'name' => 'rwq_ind_tbl', + 'offset' => '88', + 'type' => '7290' + }, + '13' => { + 'name' => 'rx_hash_conf', + 'offset' => '96', + 'type' => '10674' + }, + '14' => { + 'name' => 'source_qpn', + 'offset' => '120', + 'type' => '214' + }, + '15' => { + 'name' => 'send_ops_flags', + 'offset' => '128', + 'type' => '341' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '16', + 'type' => '2682' + }, + '3' => { + 'name' => 'srq', + 'offset' => '24', + 'type' => '3272' + }, + '4' => { + 'name' => 'cap', + 'offset' => '32', + 'type' => '10599' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '52', + 'type' => '3440' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '56', + 'type' => '809' + }, + '7' => { + 'name' => 'comp_mask', + 'offset' => '60', + 'type' => '214' + }, + '8' => { + 'name' => 'pd', + 'offset' => '64', + 'type' => '2405' + }, + '9' => { + 'name' => 'xrcd', + 'offset' => '72', + 'type' => '10327' + } + }, + 'Name' => 'struct ibv_qp_init_attr_ex', + 'Size' => '136', + 'Type' => 'Struct' + }, + '10599' => { + 'Header' => 'verbs.h', + 'Line' => '870', + 'Memb' => { + '0' => { + 'name' => 'max_send_wr', + 'offset' => '0', + 'type' => '214' + }, + '1' => { + 'name' => 'max_recv_wr', + 'offset' => '4', + 'type' => '214' + }, + '2' => { + 'name' => 'max_send_sge', + 'offset' => '8', + 'type' => '214' + }, + '3' => { + 'name' => 'max_recv_sge', + 'offset' => '12', + 'type' => '214' + }, + '4' => { + 'name' => 'max_inline_data', + 'offset' => '16', + 'type' => '214' + } + }, + 'Name' => 'struct ibv_qp_cap', + 'Size' => '20', + 'Type' => 'Struct' + }, + '10674' => { + 'Header' => 'verbs.h', + 'Line' => '920', + 'Memb' => { + '0' => { + 'name' => 'rx_hash_function', + 'offset' => '0', + 'type' => '377' + }, + '1' => { + 'name' => 'rx_hash_key_len', + 'offset' => '1', + 'type' => '377' + }, + '2' => { + 'name' => 'rx_hash_key', + 'offset' => '8', + 'type' => '10736' + }, + '3' => { + 'name' => 'rx_hash_fields_mask', + 'offset' => '16', + 'type' => '341' + } + }, + 'Name' => 'struct ibv_rx_hash_conf', + 'Size' => '24', + 'Type' => 'Struct' + }, + '10736' => { + 'BaseType' => '377', + 'Name' => 'uint8_t*', + 'Size' => '1', + 'Type' => 'Pointer' + }, + '12569' => { + 'BaseType' => '377', + 'Name' => 'uint8_t[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '12847' => { + 'BaseType' => '12852', + 'Name' => 'struct ibv_qp_init_attr*', + 'Size' => '64', + 'Type' => 'Pointer' + }, + '12852' => { + 'Header' => 'verbs.h', + 'Line' => '878', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '340' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '2682' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '16', + 'type' => '2682' + }, + '3' => { + 'name' => 'srq', + 'offset' => '24', + 'type' => '3272' + }, + '4' => { + 'name' => 'cap', + 'offset' => '32', + 'type' => '10599' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '52', + 'type' => '3440' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '56', + 'type' => '809' + } + }, + 'Name' => 'struct ibv_qp_init_attr', + 'Size' => '64', + 'Type' => 'Struct' + }, + '1493' => { + 'BaseType' => '1498', + 'Name' => 'struct ibv_context*', + 'Size' => '328', + 'Type' => 'Pointer' + }, + '1498' => { + 'Header' => 'verbs.h', + 'Line' => '1935', + 'Memb' => { + '0' => { + 'name' => 'device', + 'offset' => '0', + 'type' => '1605' + }, + '1' => { + 'name' => 'ops', + 'offset' => '8', + 'type' => '1831' + }, + '2' => { + 'name' => 'cmd_fd', + 'offset' => '264', + 'type' => '809' + }, + '3' => { + 'name' => 'async_fd', + 'offset' => '268', + 'type' => '809' + }, + '4' => { + 'name' => 'num_comp_vectors', + 'offset' => '272', + 'type' => '809' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '280', + 'type' => '2868' + }, + '6' => { + 'name' => 'abi_compat', + 'offset' => '320', + 'type' => '340' + } + }, + 'Name' => 'struct ibv_context', + 'Size' => '328', + 'Type' => 'Struct' + }, + '1605' => { + 'BaseType' => '1610', + 'Name' => 'struct ibv_device*', + 'Size' => '664', + 'Type' => 'Pointer' + }, + '1610' => { + 'Header' => 'verbs.h', + 'Line' => '1878', + 'Memb' => { + '0' => { + 'name' => '_ops', + 'offset' => '0', + 'type' => '1713' + }, + '1' => { + 'name' => 'node_type', + 'offset' => '16', + 'type' => '748' + }, + '2' => { + 'name' => 'transport_type', + 'offset' => '20', + 'type' => '1782' + }, + '3' => { + 'name' => 'name', + 'offset' => '24', + 'type' => '681' + }, + '4' => { + 'name' => 'dev_name', + 'offset' => '88', + 'type' => '681' + }, + '5' => { + 'name' => 'dev_path', + 'offset' => '152', + 'type' => '681' + }, + '6' => { + 'name' => 'ibdev_path', + 'offset' => '408', + 'type' => '681' + } + }, + 'Name' => 'struct ibv_device', + 'Size' => '664', + 'Type' => 'Struct' + }, + '1713' => { + 'Header' => 'verbs.h', + 'Line' => '1868', + 'Memb' => { + '0' => { + 'name' => '_dummy1', + 'offset' => '0', + 'type' => '1749' + }, + '1' => { + 'name' => '_dummy2', + 'offset' => '8', + 'type' => '1770' + } + }, + 'Name' => 'struct _ibv_device_ops', + 'Size' => '16', + 'Type' => 'Struct' + }, + '1749' => { + 'Name' => 'struct ibv_context*(*)(struct ibv_device*, int)', + 'Param' => { + '0' => { + 'type' => '1605' + }, + '1' => { + 'type' => '809' + } + }, + 'Return' => '1493', + 'Type' => 'FuncPtr' + }, + '1770' => { + 'Name' => 'void(*)(struct ibv_context*)', + 'Param' => { + '0' => { + 'type' => '1493' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '1782' => { + 'BaseType' => '809', + 'Header' => 'verbs.h', + 'Line' => '90', + 'Memb' => { + '0' => { + 'name' => 'IBV_TRANSPORT_UNKNOWN', + 'value' => '-1' + }, + '1' => { + 'name' => 'IBV_TRANSPORT_IB', + 'value' => '0' + }, + '2' => { + 'name' => 'IBV_TRANSPORT_IWARP', + 'value' => '1' + }, + '3' => { + 'name' => 'IBV_TRANSPORT_USNIC', + 'value' => '2' + }, + '4' => { + 'name' => 'IBV_TRANSPORT_USNIC_UDP', + 'value' => '3' + }, + '5' => { + 'name' => 'IBV_TRANSPORT_UNSPECIFIED', + 'value' => '4' + } + }, + 'Name' => 'enum ibv_transport_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '1831' => { + 'Header' => 'verbs.h', + 'Line' => '1893', + 'Memb' => { + '0' => { + 'name' => '_compat_query_device', + 'offset' => '0', + 'type' => '2258' + }, + '1' => { + 'name' => '_compat_query_port', + 'offset' => '8', + 'type' => '2268' + }, + '10' => { + 'name' => '_compat_create_cq', + 'offset' => '80', + 'type' => '2258' + }, + '11' => { + 'name' => 'poll_cq', + 'offset' => '88', + 'type' => '3746' + }, + '12' => { + 'name' => 'req_notify_cq', + 'offset' => '96', + 'type' => '4282' + }, + '13' => { + 'name' => '_compat_cq_event', + 'offset' => '104', + 'type' => '2258' + }, + '14' => { + 'name' => '_compat_resize_cq', + 'offset' => '112', + 'type' => '2258' + }, + '15' => { + 'name' => '_compat_destroy_cq', + 'offset' => '120', + 'type' => '2258' + }, + '16' => { + 'name' => '_compat_create_srq', + 'offset' => '128', + 'type' => '2258' + }, + '17' => { + 'name' => '_compat_modify_srq', + 'offset' => '136', + 'type' => '2258' + }, + '18' => { + 'name' => '_compat_query_srq', + 'offset' => '144', + 'type' => '2258' + }, + '19' => { + 'name' => '_compat_destroy_srq', + 'offset' => '152', + 'type' => '2258' + }, + '2' => { + 'name' => '_compat_alloc_pd', + 'offset' => '16', + 'type' => '2258' + }, + '20' => { + 'name' => 'post_srq_recv', + 'offset' => '160', + 'type' => '4303' + }, + '21' => { + 'name' => '_compat_create_qp', + 'offset' => '168', + 'type' => '2258' + }, + '22' => { + 'name' => '_compat_query_qp', + 'offset' => '176', + 'type' => '2258' + }, + '23' => { + 'name' => '_compat_modify_qp', + 'offset' => '184', + 'type' => '2258' + }, + '24' => { + 'name' => '_compat_destroy_qp', + 'offset' => '192', + 'type' => '2258' + }, + '25' => { + 'name' => 'post_send', + 'offset' => '200', + 'type' => '4455' + }, + '26' => { + 'name' => 'post_recv', + 'offset' => '208', + 'type' => '5135' + }, + '27' => { + 'name' => '_compat_create_ah', + 'offset' => '216', + 'type' => '2258' + }, + '28' => { + 'name' => '_compat_destroy_ah', + 'offset' => '224', + 'type' => '2258' + }, + '29' => { + 'name' => '_compat_attach_mcast', + 'offset' => '232', + 'type' => '2258' + }, + '3' => { + 'name' => '_compat_dealloc_pd', + 'offset' => '24', + 'type' => '2258' + }, + '30' => { + 'name' => '_compat_detach_mcast', + 'offset' => '240', + 'type' => '2258' + }, + '31' => { + 'name' => '_compat_async_event', + 'offset' => '248', + 'type' => '2258' + }, + '4' => { + 'name' => '_compat_reg_mr', + 'offset' => '32', + 'type' => '2258' + }, + '5' => { + 'name' => '_compat_rereg_mr', + 'offset' => '40', + 'type' => '2258' + }, + '6' => { + 'name' => '_compat_dereg_mr', + 'offset' => '48', + 'type' => '2258' + }, + '7' => { + 'name' => 'alloc_mw', + 'offset' => '56', + 'type' => '2304' + }, + '8' => { + 'name' => 'bind_mw', + 'offset' => '64', + 'type' => '2472' + }, + '9' => { + 'name' => 'dealloc_mw', + 'offset' => '72', + 'type' => '3730' + } + }, + 'Name' => 'struct ibv_context_ops', + 'Size' => '256', + 'Type' => 'Struct' + }, + '207' => { + 'Name' => 'char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '214' => { + 'BaseType' => '225', + 'Header' => 'stdint.h', + 'Line' => '51', + 'Name' => 'uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '225' => { + 'Name' => 'unsigned int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '2258' => { + 'Name' => 'void*(*)()', + 'Return' => '340', + 'Type' => 'FuncPtr' + }, + '2268' => { + 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct _compat_ibv_port_attr*)', + 'Param' => { + '0' => { + 'type' => '1493' + }, + '1' => { + 'type' => '377' + }, + '2' => { + 'type' => '2294' + } + }, + 'Return' => '809', + 'Type' => 'FuncPtr' + }, + '2294' => { + 'BaseType' => '2299', + 'Name' => 'struct _compat_ibv_port_attr*', + 'Type' => 'Pointer' + }, + '2299' => { + 'Name' => 'struct _compat_ibv_port_attr', + 'Type' => 'Struct' + }, + '2304' => { + 'Name' => 'struct ibv_mw*(*)(struct ibv_pd*, enum ibv_mw_type)', + 'Param' => { + '0' => { + 'type' => '2405' + }, + '1' => { + 'type' => '2446' + } + }, + 'Return' => '2325', + 'Type' => 'FuncPtr' + }, + '2325' => { + 'BaseType' => '2330', + 'Name' => 'struct ibv_mw*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '2330' => { + 'Header' => 'verbs.h', + 'Line' => '644', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1493' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '2405' + }, + '2' => { + 'name' => 'rkey', + 'offset' => '16', + 'type' => '214' + }, + '3' => { + 'name' => 'handle', + 'offset' => '20', + 'type' => '214' + }, + '4' => { + 'name' => 'type', + 'offset' => '24', + 'type' => '2446' + } + }, + 'Name' => 'struct ibv_mw', + 'Size' => '32', + 'Type' => 'Struct' + }, + '2405' => { + 'BaseType' => '2410', + 'Name' => 'struct ibv_pd*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '2410' => { + 'Header' => 'verbs.h', + 'Line' => '592', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1493' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '214' + } + }, + 'Name' => 'struct ibv_pd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '2446' => { + 'BaseType' => '225', + 'Header' => 'verbs.h', + 'Line' => '639', + 'Memb' => { + '0' => { + 'name' => 'IBV_MW_TYPE_1', + 'value' => '1' + }, + '1' => { + 'name' => 'IBV_MW_TYPE_2', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_mw_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '2472' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_mw*, struct ibv_mw_bind*)', + 'Param' => { + '0' => { + 'type' => '2498' + }, + '1' => { + 'type' => '2325' + }, + '2' => { + 'type' => '3497' + } + }, + 'Return' => '809', + 'Type' => 'FuncPtr' + }, + '2498' => { + 'BaseType' => '2503', + 'Name' => 'struct ibv_qp*', + 'Size' => '160', + 'Type' => 'Pointer' + }, + '2503' => { + 'Header' => 'verbs.h', + 'Line' => '1217', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1493' + }, + '1' => { + 'name' => 'qp_context', + 'offset' => '8', + 'type' => '340' + }, + '10' => { + 'name' => 'mutex', + 'offset' => '64', + 'type' => '2868' + }, + '11' => { + 'name' => 'cond', + 'offset' => '104', + 'type' => '3093' + }, + '12' => { + 'name' => 'events_completed', + 'offset' => '152', + 'type' => '214' + }, + '2' => { + 'name' => 'pd', + 'offset' => '16', + 'type' => '2405' + }, + '3' => { + 'name' => 'send_cq', + 'offset' => '24', + 'type' => '2682' + }, + '4' => { + 'name' => 'recv_cq', + 'offset' => '32', + 'type' => '2682' + }, + '5' => { + 'name' => 'srq', + 'offset' => '40', + 'type' => '3272' + }, + '6' => { + 'name' => 'handle', + 'offset' => '48', + 'type' => '214' + }, + '7' => { + 'name' => 'qp_num', + 'offset' => '52', + 'type' => '214' + }, + '8' => { + 'name' => 'state', + 'offset' => '56', + 'type' => '3378' + }, + '9' => { + 'name' => 'qp_type', + 'offset' => '60', + 'type' => '3440' + } + }, + 'Name' => 'struct ibv_qp', + 'Size' => '160', + 'Type' => 'Struct' + }, + '2682' => { + 'BaseType' => '2687', + 'Name' => 'struct ibv_cq*', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '2687' => { + 'Header' => 'verbs.h', + 'Line' => '1408', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1493' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '2814' + }, + '2' => { + 'name' => 'cq_context', + 'offset' => '16', + 'type' => '340' + }, + '3' => { + 'name' => 'handle', + 'offset' => '24', + 'type' => '214' + }, + '4' => { + 'name' => 'cqe', + 'offset' => '28', + 'type' => '809' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '32', + 'type' => '2868' + }, + '6' => { + 'name' => 'cond', + 'offset' => '72', + 'type' => '3093' + }, + '7' => { + 'name' => 'comp_events_completed', + 'offset' => '120', + 'type' => '214' + }, + '8' => { + 'name' => 'async_events_completed', + 'offset' => '124', + 'type' => '214' + } + }, + 'Name' => 'struct ibv_cq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '2814' => { + 'BaseType' => '2819', + 'Name' => 'struct ibv_comp_channel*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '2819' => { + 'Header' => 'verbs.h', + 'Line' => '1402', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1493' + }, + '1' => { + 'name' => 'fd', + 'offset' => '8', + 'type' => '809' + }, + '2' => { + 'name' => 'refcnt', + 'offset' => '12', + 'type' => '809' + } + }, + 'Name' => 'struct ibv_comp_channel', + 'Size' => '16', + 'Type' => 'Struct' + }, + '2868' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '128', + 'Memb' => { + '0' => { + 'name' => '__data', + 'offset' => '0', + 'type' => '2920' + }, + '1' => { + 'name' => '__size', + 'offset' => '0', + 'type' => '681' + }, + '2' => { + 'name' => '__align', + 'offset' => '0', + 'type' => '860' + } + }, + 'Name' => 'union pthread_mutex_t', + 'Size' => '40', + 'Type' => 'Union' + }, + '2920' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '92', + 'Memb' => { + '0' => { + 'name' => '__lock', + 'offset' => '0', + 'type' => '809' + }, + '1' => { + 'name' => '__count', + 'offset' => '4', + 'type' => '225' + }, + '2' => { + 'name' => '__owner', + 'offset' => '8', + 'type' => '809' + }, + '3' => { + 'name' => '__nusers', + 'offset' => '12', + 'type' => '225' + }, + '4' => { + 'name' => '__kind', + 'offset' => '16', + 'type' => '809' + }, + '5' => { + 'name' => '__spins', + 'offset' => '20', + 'type' => '3025' + }, + '6' => { + 'name' => '__elision', + 'offset' => '22', + 'type' => '3025' + }, + '7' => { + 'name' => '__list', + 'offset' => '24', + 'type' => '3032' + } + }, + 'Name' => 'struct __pthread_mutex_s', + 'Size' => '40', + 'Type' => 'Struct' + }, + '3025' => { + 'Name' => 'short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '3032' => { + 'BaseType' => '3043', + 'Header' => 'pthreadtypes.h', + 'Line' => '79', + 'Name' => '__pthread_list_t', + 'Size' => '16', + 'Type' => 'Typedef' + }, + '3043' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '75', + 'Memb' => { + '0' => { + 'name' => '__prev', + 'offset' => '0', + 'type' => '3076' + }, + '1' => { + 'name' => '__next', + 'offset' => '8', + 'type' => '3076' + } + }, + 'Name' => 'struct __pthread_internal_list', + 'Size' => '16', + 'Type' => 'Struct' + }, + '3076' => { + 'BaseType' => '3043', + 'Name' => 'struct __pthread_internal_list*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '3093' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '154', + 'Memb' => { + '0' => { + 'name' => '__data', + 'offset' => '0', + 'type' => '3120' + }, + '1' => { + 'name' => '__size', + 'offset' => '0', + 'type' => '681' + }, + '2' => { + 'name' => '__align', + 'offset' => '0', + 'type' => '3265' + } + }, + 'Name' => 'union pthread_cond_t', + 'Size' => '48', + 'Type' => 'Union' + }, + '3120' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '141', + 'Memb' => { + '0' => { + 'name' => '__lock', + 'offset' => '0', + 'type' => '809' + }, + '1' => { + 'name' => '__futex', + 'offset' => '4', + 'type' => '225' + }, + '2' => { + 'name' => '__total_seq', + 'offset' => '8', + 'type' => '3246' + }, + '3' => { + 'name' => '__wakeup_seq', + 'offset' => '16', + 'type' => '3246' + }, + '4' => { + 'name' => '__woken_seq', + 'offset' => '24', + 'type' => '3246' + }, + '5' => { + 'name' => '__mutex', + 'offset' => '32', + 'type' => '340' + }, + '6' => { + 'name' => '__nwaiters', + 'offset' => '40', + 'type' => '225' + }, + '7' => { + 'name' => '__broadcast_seq', + 'offset' => '44', + 'type' => '225' + } + }, + 'Name' => 'anon-struct-pthreadtypes.h-141', + 'Size' => '48', + 'Type' => 'Struct' + }, + '3246' => { + 'Name' => 'unsigned long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '3265' => { + 'Name' => 'long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '3272' => { + 'BaseType' => '3277', + 'Name' => 'struct ibv_srq*', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '3277' => { + 'Header' => 'verbs.h', + 'Line' => '1177', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1493' + }, + '1' => { + 'name' => 'srq_context', + 'offset' => '8', + 'type' => '340' + }, + '2' => { + 'name' => 'pd', + 'offset' => '16', + 'type' => '2405' + }, + '3' => { + 'name' => 'handle', + 'offset' => '24', + 'type' => '214' + }, + '4' => { + 'name' => 'mutex', + 'offset' => '32', + 'type' => '2868' + }, + '5' => { + 'name' => 'cond', + 'offset' => '72', + 'type' => '3093' + }, + '6' => { + 'name' => 'events_completed', + 'offset' => '120', + 'type' => '214' + } + }, + 'Name' => 'struct ibv_srq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '3378' => { + 'BaseType' => '225', + 'Header' => 'verbs.h', + 'Line' => '998', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_QPS_INIT', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_QPS_RTR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_QPS_RTS', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_QPS_SQD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_QPS_SQE', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_QPS_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_QPS_UNKNOWN', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_qp_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '340' => { + 'BaseType' => '1', + 'Name' => 'void*', + 'Type' => 'Pointer' + }, + '341' => { + 'BaseType' => '352', + 'Header' => 'stdint.h', + 'Line' => '55', + 'Name' => 'uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '3440' => { + 'BaseType' => '225', + 'Header' => 'verbs.h', + 'Line' => '860', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPT_RC', + 'value' => '2' + }, + '1' => { + 'name' => 'IBV_QPT_UC', + 'value' => '3' + }, + '2' => { + 'name' => 'IBV_QPT_UD', + 'value' => '4' + }, + '3' => { + 'name' => 'IBV_QPT_RAW_PACKET', + 'value' => '8' + }, + '4' => { + 'name' => 'IBV_QPT_XRC_SEND', + 'value' => '9' + }, + '5' => { + 'name' => 'IBV_QPT_XRC_RECV', + 'value' => '10' + }, + '6' => { + 'name' => 'IBV_QPT_DRIVER', + 'value' => '255' + } + }, + 'Name' => 'enum ibv_qp_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '3497' => { + 'BaseType' => '3502', + 'Name' => 'struct ibv_mw_bind*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '3502' => { + 'Header' => 'verbs.h', + 'Line' => '1171', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '341' + }, + '1' => { + 'name' => 'send_flags', + 'offset' => '8', + 'type' => '225' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '16', + 'type' => '3551' + } + }, + 'Name' => 'struct ibv_mw_bind', + 'Size' => '48', + 'Type' => 'Struct' + }, + '352' => { + 'Name' => 'unsigned long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '35457' => { + 'BaseType' => '35462', + 'Name' => 'struct efadv_qp_init_attr*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '35462' => { + 'Header' => 'efadv.h', + 'Line' => '27', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '341' + }, + '1' => { + 'name' => 'driver_qp_type', + 'offset' => '8', + 'type' => '214' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '12', + 'type' => '12569' + } + }, + 'Name' => 'struct efadv_qp_init_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '3551' => { + 'Header' => 'verbs.h', + 'Line' => '585', + 'Memb' => { + '0' => { + 'name' => 'mr', + 'offset' => '0', + 'type' => '3613' + }, + '1' => { + 'name' => 'addr', + 'offset' => '8', + 'type' => '341' + }, + '2' => { + 'name' => 'length', + 'offset' => '16', + 'type' => '341' + }, + '3' => { + 'name' => 'mw_access_flags', + 'offset' => '24', + 'type' => '225' + } + }, + 'Name' => 'struct ibv_mw_bind_info', + 'Size' => '32', + 'Type' => 'Struct' + }, + '359' => { + 'BaseType' => '370', + 'Header' => 'stdint.h', + 'Line' => '49', + 'Name' => 'uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '3613' => { + 'BaseType' => '3618', + 'Name' => 'struct ibv_mr*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '3618' => { + 'Header' => 'verbs.h', + 'Line' => '629', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1493' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '2405' + }, + '2' => { + 'name' => 'addr', + 'offset' => '16', + 'type' => '340' + }, + '3' => { + 'name' => 'length', + 'offset' => '24', + 'type' => '3719' + }, + '4' => { + 'name' => 'handle', + 'offset' => '32', + 'type' => '214' + }, + '5' => { + 'name' => 'lkey', + 'offset' => '36', + 'type' => '214' + }, + '6' => { + 'name' => 'rkey', + 'offset' => '40', + 'type' => '214' + } + }, + 'Name' => 'struct ibv_mr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '370' => { + 'Name' => 'unsigned short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '3719' => { + 'BaseType' => '352', + 'Header' => 'stddef.h', + 'Line' => '62', + 'Name' => 'size_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '3730' => { + 'Name' => 'int(*)(struct ibv_mw*)', + 'Param' => { + '0' => { + 'type' => '2325' + } + }, + 'Return' => '809', + 'Type' => 'FuncPtr' + }, + '3746' => { + 'Name' => 'int(*)(struct ibv_cq*, int, struct ibv_wc*)', + 'Param' => { + '0' => { + 'type' => '2682' + }, + '1' => { + 'type' => '809' + }, + '2' => { + 'type' => '3772' + } + }, + 'Return' => '809', + 'Type' => 'FuncPtr' + }, + '377' => { + 'BaseType' => '388', + 'Header' => 'stdint.h', + 'Line' => '48', + 'Name' => 'uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '3772' => { + 'BaseType' => '3777', + 'Name' => 'struct ibv_wc*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '3777' => { + 'Header' => 'verbs.h', + 'Line' => '553', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '341' + }, + '1' => { + 'name' => 'status', + 'offset' => '8', + 'type' => '3984' + }, + '10' => { + 'name' => 'slid', + 'offset' => '42', + 'type' => '359' + }, + '11' => { + 'name' => 'sl', + 'offset' => '44', + 'type' => '377' + }, + '12' => { + 'name' => 'dlid_path_bits', + 'offset' => '45', + 'type' => '377' + }, + '2' => { + 'name' => 'opcode', + 'offset' => '12', + 'type' => '4142' + }, + '3' => { + 'name' => 'vendor_err', + 'offset' => '16', + 'type' => '214' + }, + '4' => { + 'name' => 'byte_len', + 'offset' => '20', + 'type' => '214' + }, + '5' => { + 'name' => 'unnamed0', + 'offset' => '24', + 'type' => '3860' + }, + '6' => { + 'name' => 'qp_num', + 'offset' => '28', + 'type' => '214' + }, + '7' => { + 'name' => 'src_qp', + 'offset' => '32', + 'type' => '214' + }, + '8' => { + 'name' => 'wc_flags', + 'offset' => '36', + 'type' => '225' + }, + '9' => { + 'name' => 'pkey_index', + 'offset' => '40', + 'type' => '359' + } + }, + 'Name' => 'struct ibv_wc', + 'Size' => '48', + 'Type' => 'Struct' + }, + '3860' => { + 'Header' => 'verbs.h', + 'Line' => '562', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '4260' + }, + '1' => { + 'name' => 'invalidated_rkey', + 'offset' => '0', + 'type' => '214' + } + }, + 'Name' => 'ibv_wc::anon-union-verbs.h-562', + 'NameSpace' => 'ibv_wc', + 'Size' => '4', + 'Type' => 'Union' + }, + '388' => { + 'Name' => 'unsigned char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '3984' => { + 'BaseType' => '225', + 'Header' => 'verbs.h', + 'Line' => '453', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SUCCESS', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_LOC_LEN_ERR', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_REM_ACCESS_ERR', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WC_REM_OP_ERR', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_WC_RETRY_EXC_ERR', + 'value' => '12' + }, + '13' => { + 'name' => 'IBV_WC_RNR_RETRY_EXC_ERR', + 'value' => '13' + }, + '14' => { + 'name' => 'IBV_WC_LOC_RDD_VIOL_ERR', + 'value' => '14' + }, + '15' => { + 'name' => 'IBV_WC_REM_INV_RD_REQ_ERR', + 'value' => '15' + }, + '16' => { + 'name' => 'IBV_WC_REM_ABORT_ERR', + 'value' => '16' + }, + '17' => { + 'name' => 'IBV_WC_INV_EECN_ERR', + 'value' => '17' + }, + '18' => { + 'name' => 'IBV_WC_INV_EEC_STATE_ERR', + 'value' => '18' + }, + '19' => { + 'name' => 'IBV_WC_FATAL_ERR', + 'value' => '19' + }, + '2' => { + 'name' => 'IBV_WC_LOC_QP_OP_ERR', + 'value' => '2' + }, + '20' => { + 'name' => 'IBV_WC_RESP_TIMEOUT_ERR', + 'value' => '20' + }, + '21' => { + 'name' => 'IBV_WC_GENERAL_ERR', + 'value' => '21' + }, + '22' => { + 'name' => 'IBV_WC_TM_ERR', + 'value' => '22' + }, + '23' => { + 'name' => 'IBV_WC_TM_RNDV_INCOMPLETE', + 'value' => '23' + }, + '3' => { + 'name' => 'IBV_WC_LOC_EEC_OP_ERR', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_LOC_PROT_ERR', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_WR_FLUSH_ERR', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_MW_BIND_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_BAD_RESP_ERR', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_LOC_ACCESS_ERR', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WC_REM_INV_REQ_ERR', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wc_status', + 'Size' => '4', + 'Type' => 'Enum' + }, + '4142' => { + 'BaseType' => '225', + 'Header' => 'verbs.h', + 'Line' => '481', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SEND', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_RDMA_WRITE', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_TM_ADD', + 'value' => '130' + }, + '11' => { + 'name' => 'IBV_WC_TM_DEL', + 'value' => '131' + }, + '12' => { + 'name' => 'IBV_WC_TM_SYNC', + 'value' => '132' + }, + '13' => { + 'name' => 'IBV_WC_TM_RECV', + 'value' => '133' + }, + '14' => { + 'name' => 'IBV_WC_TM_NO_TAG', + 'value' => '134' + }, + '15' => { + 'name' => 'IBV_WC_DRIVER1', + 'value' => '135' + }, + '2' => { + 'name' => 'IBV_WC_RDMA_READ', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WC_COMP_SWAP', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_FETCH_ADD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_BIND_MW', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_LOCAL_INV', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_TSO', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_RECV', + 'value' => '128' + }, + '9' => { + 'name' => 'IBV_WC_RECV_RDMA_WITH_IMM', + 'value' => '129' + } + }, + 'Name' => 'enum ibv_wc_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '4260' => { + 'BaseType' => '4271', + 'Header' => 'types.h', + 'Line' => '30', + 'Name' => '__be32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '4271' => { + 'BaseType' => '225', + 'Header' => 'int-ll64.h', + 'Line' => '26', + 'Name' => '__u32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '4282' => { + 'Name' => 'int(*)(struct ibv_cq*, int)', + 'Param' => { + '0' => { + 'type' => '2682' + }, + '1' => { + 'type' => '809' + } + }, + 'Return' => '809', + 'Type' => 'FuncPtr' + }, + '4303' => { + 'Name' => 'int(*)(struct ibv_srq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '3272' + }, + '1' => { + 'type' => '4329' + }, + '2' => { + 'type' => '4450' + } + }, + 'Return' => '809', + 'Type' => 'FuncPtr' + }, + '4329' => { + 'BaseType' => '4334', + 'Name' => 'struct ibv_recv_wr*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '4334' => { + 'Header' => 'verbs.h', + 'Line' => '1135', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '341' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '4329' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '16', + 'type' => '4396' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '24', + 'type' => '809' + } + }, + 'Name' => 'struct ibv_recv_wr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '4396' => { + 'BaseType' => '4401', + 'Name' => 'struct ibv_sge*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '4401' => { + 'Header' => 'verbs.h', + 'Line' => '1079', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '341' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '214' + }, + '2' => { + 'name' => 'lkey', + 'offset' => '12', + 'type' => '214' + } + }, + 'Name' => 'struct ibv_sge', + 'Size' => '16', + 'Type' => 'Struct' + }, + '44328' => { + 'BaseType' => '44333', + 'Name' => 'struct efadv_device_attr*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '44333' => { + 'Header' => 'efadv.h', + 'Line' => '38', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '341' + }, + '1' => { + 'name' => 'max_sq_wr', + 'offset' => '8', + 'type' => '214' + }, + '2' => { + 'name' => 'max_rq_wr', + 'offset' => '12', + 'type' => '214' + }, + '3' => { + 'name' => 'max_sq_sge', + 'offset' => '16', + 'type' => '359' + }, + '4' => { + 'name' => 'max_rq_sge', + 'offset' => '18', + 'type' => '359' + }, + '5' => { + 'name' => 'inline_buf_size', + 'offset' => '20', + 'type' => '359' + }, + '6' => { + 'name' => 'reserved', + 'offset' => '22', + 'type' => '12569' + } + }, + 'Name' => 'struct efadv_device_attr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '4450' => { + 'BaseType' => '4329', + 'Name' => 'struct ibv_recv_wr**', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '4455' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_send_wr*, struct ibv_send_wr**)', + 'Param' => { + '0' => { + 'type' => '2498' + }, + '1' => { + 'type' => '4481' + }, + '2' => { + 'type' => '5130' + } + }, + 'Return' => '809', + 'Type' => 'FuncPtr' + }, + '4481' => { + 'BaseType' => '4486', + 'Name' => 'struct ibv_send_wr*', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '4486' => { + 'Header' => 'verbs.h', + 'Line' => '1085', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '341' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '4481' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '16', + 'type' => '4396' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '24', + 'type' => '809' + }, + '4' => { + 'name' => 'opcode', + 'offset' => '28', + 'type' => '4990' + }, + '5' => { + 'name' => 'send_flags', + 'offset' => '32', + 'type' => '225' + }, + '6' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '4582' + }, + '7' => { + 'name' => 'wr', + 'offset' => '40', + 'type' => '4627' + }, + '8' => { + 'name' => 'qp_type', + 'offset' => '72', + 'type' => '4820' + }, + '9' => { + 'name' => 'unnamed1', + 'offset' => '80', + 'type' => '4867' + } + }, + 'Name' => 'struct ibv_send_wr', + 'Size' => '128', + 'Type' => 'Struct' + }, + '4582' => { + 'Header' => 'verbs.h', + 'Line' => '1095', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '4260' + }, + '1' => { + 'name' => 'invalidate_rkey', + 'offset' => '0', + 'type' => '214' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1095', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '4', + 'Type' => 'Union' + }, + '4627' => { + 'Header' => 'verbs.h', + 'Line' => '1099', + 'Memb' => { + '0' => { + 'name' => 'rdma', + 'offset' => '0', + 'type' => '4645' + }, + '1' => { + 'name' => 'atomic', + 'offset' => '0', + 'type' => '4690' + }, + '2' => { + 'name' => 'ud', + 'offset' => '0', + 'type' => '4761' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1099', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '32', + 'Type' => 'Union' + }, + '4645' => { + 'Header' => 'verbs.h', + 'Line' => '1100', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '341' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '214' + } + }, + 'Name' => 'anon-struct-verbs.h-1100', + 'Size' => '16', + 'Type' => 'Struct' + }, + '4690' => { + 'Header' => 'verbs.h', + 'Line' => '1104', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '341' + }, + '1' => { + 'name' => 'compare_add', + 'offset' => '8', + 'type' => '341' + }, + '2' => { + 'name' => 'swap', + 'offset' => '16', + 'type' => '341' + }, + '3' => { + 'name' => 'rkey', + 'offset' => '24', + 'type' => '214' + } + }, + 'Name' => 'anon-struct-verbs.h-1104', + 'Size' => '32', + 'Type' => 'Struct' + }, + '4761' => { + 'Header' => 'verbs.h', + 'Line' => '1110', + 'Memb' => { + '0' => { + 'name' => 'ah', + 'offset' => '0', + 'type' => '5076' + }, + '1' => { + 'name' => 'remote_qpn', + 'offset' => '8', + 'type' => '214' + }, + '2' => { + 'name' => 'remote_qkey', + 'offset' => '12', + 'type' => '214' + } + }, + 'Name' => 'anon-struct-verbs.h-1110', + 'Size' => '16', + 'Type' => 'Struct' + }, + '4820' => { + 'Header' => 'verbs.h', + 'Line' => '1116', + 'Memb' => { + '0' => { + 'name' => 'xrc', + 'offset' => '0', + 'type' => '4838' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1116', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '4', + 'Type' => 'Union' + }, + '48245' => { + 'BaseType' => '48250', + 'Name' => 'struct efadv_ah_attr*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '48250' => { + 'Header' => 'efadv.h', + 'Line' => '52', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '341' + }, + '1' => { + 'name' => 'ahn', + 'offset' => '8', + 'type' => '359' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '10', + 'type' => '12569' + } + }, + 'Name' => 'struct efadv_ah_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '4838' => { + 'Header' => 'verbs.h', + 'Line' => '1117', + 'Memb' => { + '0' => { + 'name' => 'remote_srqn', + 'offset' => '0', + 'type' => '214' + } + }, + 'Name' => 'anon-struct-verbs.h-1117', + 'Size' => '4', + 'Type' => 'Struct' + }, + '4867' => { + 'Header' => 'verbs.h', + 'Line' => '1121', + 'Memb' => { + '0' => { + 'name' => 'bind_mw', + 'offset' => '0', + 'type' => '4885' + }, + '1' => { + 'name' => 'tso', + 'offset' => '0', + 'type' => '4943' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1121', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '48', + 'Type' => 'Union' + }, + '4885' => { + 'Header' => 'verbs.h', + 'Line' => '1122', + 'Memb' => { + '0' => { + 'name' => 'mw', + 'offset' => '0', + 'type' => '2325' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '214' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '16', + 'type' => '3551' + } + }, + 'Name' => 'anon-struct-verbs.h-1122', + 'Size' => '48', + 'Type' => 'Struct' + }, + '4943' => { + 'Header' => 'verbs.h', + 'Line' => '1127', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '340' + }, + '1' => { + 'name' => 'hdr_sz', + 'offset' => '8', + 'type' => '359' + }, + '2' => { + 'name' => 'mss', + 'offset' => '10', + 'type' => '359' + } + }, + 'Name' => 'anon-struct-verbs.h-1127', + 'Size' => '16', + 'Type' => 'Struct' + }, + '4990' => { + 'BaseType' => '225', + 'Header' => 'verbs.h', + 'Line' => '1051', + 'Memb' => { + '0' => { + 'name' => 'IBV_WR_RDMA_WRITE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WR_RDMA_WRITE_WITH_IMM', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WR_TSO', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WR_DRIVER1', + 'value' => '11' + }, + '2' => { + 'name' => 'IBV_WR_SEND', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WR_SEND_WITH_IMM', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WR_RDMA_READ', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WR_ATOMIC_CMP_AND_SWP', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WR_ATOMIC_FETCH_AND_ADD', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WR_LOCAL_INV', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WR_BIND_MW', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WR_SEND_WITH_INV', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wr_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '5076' => { + 'BaseType' => '5081', + 'Name' => 'struct ibv_ah*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '5081' => { + 'Header' => 'verbs.h', + 'Line' => '1595', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1493' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '2405' + }, + '2' => { + 'name' => 'handle', + 'offset' => '16', + 'type' => '214' + } + }, + 'Name' => 'struct ibv_ah', + 'Size' => '24', + 'Type' => 'Struct' + }, + '5130' => { + 'BaseType' => '4481', + 'Name' => 'struct ibv_send_wr**', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '5135' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '2498' + }, + '1' => { + 'type' => '4329' + }, + '2' => { + 'type' => '4450' + } + }, + 'Return' => '809', + 'Type' => 'FuncPtr' + }, + '681' => { + 'BaseType' => '207', + 'Name' => 'char[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '7290' => { + 'BaseType' => '7295', + 'Name' => 'struct ibv_rwq_ind_table*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '7295' => { + 'Header' => 'verbs.h', + 'Line' => '839', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1493' + }, + '1' => { + 'name' => 'ind_tbl_handle', + 'offset' => '8', + 'type' => '809' + }, + '2' => { + 'name' => 'ind_tbl_num', + 'offset' => '12', + 'type' => '809' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '16', + 'type' => '214' + } + }, + 'Name' => 'struct ibv_rwq_ind_table', + 'Size' => '24', + 'Type' => 'Struct' + }, + '748' => { + 'BaseType' => '809', + 'Header' => 'verbs.h', + 'Line' => '79', + 'Memb' => { + '0' => { + 'name' => 'IBV_NODE_UNKNOWN', + 'value' => '-1' + }, + '1' => { + 'name' => 'IBV_NODE_CA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_NODE_SWITCH', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_NODE_ROUTER', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_NODE_RNIC', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_NODE_USNIC', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_NODE_USNIC_UDP', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_NODE_UNSPECIFIED', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_node_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '809' => { + 'Name' => 'int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '860' => { + 'Name' => 'long', + 'Size' => '8', + 'Type' => 'Intrinsic' + } + }, + 'UndefinedSymbols' => { + 'libefa.so.1.1.26.0' => { + '_ITM_deregisterTMCloneTable' => 0, + '_ITM_registerTMCloneTable' => 0, + '__assert_fail@GLIBC_2.2.5' => 0, + '__cxa_finalize@GLIBC_2.2.5' => 0, + '__errno_location@GLIBC_2.2.5' => 0, + '__gmon_start__' => 0, + '_verbs_init_and_alloc_context@IBVERBS_PRIVATE_25' => 0, + 'calloc@GLIBC_2.2.5' => 0, + 'free@GLIBC_2.2.5' => 0, + 'ibv_cmd_alloc_pd@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_ah@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_cq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_qp_ex@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_dealloc_pd@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_dereg_mr@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_ah@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_cq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_qp@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_get_context@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_modify_qp@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_query_device@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_query_device_ex@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_query_port@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_query_qp@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_reg_mr@IBVERBS_PRIVATE_25' => 0, + 'malloc@GLIBC_2.2.5' => 0, + 'memcpy@GLIBC_2.14' => 0, + 'memset@GLIBC_2.2.5' => 0, + 'mmap@GLIBC_2.2.5' => 0, + 'munmap@GLIBC_2.2.5' => 0, + 'pthread_spin_destroy@GLIBC_2.2.5' => 0, + 'pthread_spin_init@GLIBC_2.2.5' => 0, + 'pthread_spin_lock@GLIBC_2.2.5' => 0, + 'pthread_spin_unlock@GLIBC_2.2.5' => 0, + 'snprintf@GLIBC_2.2.5' => 0, + 'sysconf@GLIBC_2.2.5' => 0, + 'verbs_register_driver_25@IBVERBS_PRIVATE_25' => 0, + 'verbs_set_ops@IBVERBS_PRIVATE_25' => 0, + 'verbs_uninit_context@IBVERBS_PRIVATE_25' => 0 + } + }, + 'WordSize' => '8' + }; diff --git a/ABI/ibmad.dump b/ABI/ibmad.dump new file mode 100644 index 00000000..2e612fe0 --- /dev/null +++ b/ABI/ibmad.dump @@ -0,0 +1,8459 @@ +$VAR1 = { + 'ABI_DUMPER_VERSION' => '0.99.12', + 'ABI_DUMP_VERSION' => '3.2', + 'Arch' => 'x86_64', + 'Compiler' => 'clang version 7.0.0 (tags/RELEASE_700/final)', + 'Headers' => { + 'libio.h' => 1, + 'mad.h' => 1, + 'mad_internal.h' => 1, + 'stddef.h' => 1, + 'stdint.h' => 1, + 'stdio.h' => 1, + 'types.h' => 1 + }, + 'Language' => 'C', + 'LibraryName' => 'libibmad.so.5.3.26.0', + 'LibraryVersion' => 'ibmad', + 'NameSpaces' => {}, + 'Needed' => { + 'libc.so.6' => 1, + 'libibumad.so.3' => 1 + }, + 'Sources' => { + 'bm.c' => 1, + 'cc.c' => 1, + 'dump.c' => 1, + 'fields.c' => 1, + 'gs.c' => 1, + 'mad.c' => 1, + 'portid.c' => 1, + 'register.c' => 1, + 'resolve.c' => 1, + 'rpc.c' => 1, + 'sa.c' => 1, + 'serv.c' => 1, + 'smp.c' => 1, + 'vendor.c' => 1 + }, + 'SymbolInfo' => { + '10111' => { + 'Line' => '661', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_string', + 'Source' => 'dump.c' + }, + '10196' => { + 'Line' => '669', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_node_type', + 'Source' => 'dump.c' + }, + '10423' => { + 'Line' => '715', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_sltovl', + 'Source' => 'dump.c' + }, + '10644' => { + 'Line' => '730', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'num', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_vlarbitration', + 'Source' => 'dump.c' + }, + '10950' => { + 'Line' => '782', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + }, + '4' => { + 'name' => 'start', + 'type' => '263' + }, + '5' => { + 'name' => 'end', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_fields', + 'Source' => 'dump.c' + }, + '11170' => { + 'Line' => '788', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_nodedesc', + 'Source' => 'dump.c' + }, + '11257' => { + 'Line' => '796', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_nodeinfo', + 'Source' => 'dump.c' + }, + '11441' => { + 'Line' => '801', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_portinfo', + 'Source' => 'dump.c' + }, + '11729' => { + 'Line' => '813', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_portstates', + 'Source' => 'dump.c' + }, + '11911' => { + 'Line' => '818', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_switchinfo', + 'Source' => 'dump.c' + }, + '12095' => { + 'Line' => '823', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters', + 'Source' => 'dump.c' + }, + '12492' => { + 'Line' => '841', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_ext', + 'Source' => 'dump.c' + }, + '12781' => { + 'Line' => '853', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_xmt_sl', + 'Source' => 'dump.c' + }, + '13070' => { + 'Line' => '866', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_rcv_sl', + 'Source' => 'dump.c' + }, + '13359' => { + 'Line' => '879', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_xmt_disc', + 'Source' => 'dump.c' + }, + '13648' => { + 'Line' => '892', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_rcv_err', + 'Source' => 'dump.c' + }, + '13937' => { + 'Line' => '905', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_portsamples_control', + 'Source' => 'dump.c' + }, + '14121' => { + 'Line' => '910', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_portsamples_result', + 'Source' => 'dump.c' + }, + '14305' => { + 'Line' => '915', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_port_ext_speeds_counters_rsfec_active', + 'Source' => 'dump.c' + }, + '14489' => { + 'Line' => '922', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_port_ext_speeds_counters', + 'Source' => 'dump.c' + }, + '14673' => { + 'Line' => '927', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_port_op_rcv_counters', + 'Source' => 'dump.c' + }, + '14962' => { + 'Line' => '940', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_port_flow_ctl_counters', + 'Source' => 'dump.c' + }, + '15251' => { + 'Line' => '953', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_port_vl_op_packet', + 'Source' => 'dump.c' + }, + '15540' => { + 'Line' => '966', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_port_vl_op_data', + 'Source' => 'dump.c' + }, + '15829' => { + 'Line' => '979', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_port_vl_xmit_flow_ctl_update_errors', + 'Source' => 'dump.c' + }, + '16118' => { + 'Line' => '992', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_port_vl_xmit_wait_counters', + 'Source' => 'dump.c' + }, + '16407' => { + 'Line' => '1005', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_sw_port_vl_congestion', + 'Source' => 'dump.c' + }, + '16696' => { + 'Line' => '1018', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_rcv_con_ctrl', + 'Source' => 'dump.c' + }, + '16985' => { + 'Line' => '1032', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_sl_rcv_fecn', + 'Source' => 'dump.c' + }, + '17274' => { + 'Line' => '1045', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_sl_rcv_becn', + 'Source' => 'dump.c' + }, + '17563' => { + 'Line' => '1058', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_xmit_con_ctrl', + 'Source' => 'dump.c' + }, + '1772' => { + 'Line' => '44', + 'Param' => { + '0' => { + 'name' => 'rcvbuf', + 'type' => '550' + }, + '1' => { + 'name' => 'portid', + 'type' => '551' + }, + '2' => { + 'name' => 'attrid', + 'type' => '134' + }, + '3' => { + 'name' => 'mod', + 'type' => '134' + }, + '4' => { + 'name' => 'timeout', + 'type' => '134' + }, + '5' => { + 'name' => 'rstatus', + 'type' => '2405' + }, + '6' => { + 'name' => 'srcport', + 'type' => '2410' + }, + '7' => { + 'name' => 'cckey', + 'type' => '909' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp', + '7' => 'rsp' + }, + 'Return' => '550', + 'ShortName' => 'cc_query_status_via', + 'Source' => 'cc.c' + }, + '17855' => { + 'Line' => '1071', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_vl_xmit_time_cong', + 'Source' => 'dump.c' + }, + '18144' => { + 'Line' => '1084', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_mlnx_ext_port_info', + 'Source' => 'dump.c' + }, + '18328' => { + 'Line' => '1090', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestioninfo', + 'Source' => 'dump.c' + }, + '18512' => { + 'Line' => '1096', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestionkeyinfo', + 'Source' => 'dump.c' + }, + '18696' => { + 'Line' => '1102', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestionlog', + 'Source' => 'dump.c' + }, + '18880' => { + 'Line' => '1108', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestionlogswitch', + 'Source' => 'dump.c' + }, + '19064' => { + 'Line' => '1114', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestionlogentryswitch', + 'Source' => 'dump.c' + }, + '19248' => { + 'Line' => '1120', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestionlogca', + 'Source' => 'dump.c' + }, + '19432' => { + 'Line' => '1126', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestionlogentryca', + 'Source' => 'dump.c' + }, + '1944' => { + 'Line' => '79', + 'Param' => { + '0' => { + 'name' => 'payload', + 'type' => '550' + }, + '1' => { + 'name' => 'rcvbuf', + 'type' => '550' + }, + '2' => { + 'name' => 'portid', + 'type' => '551' + }, + '3' => { + 'name' => 'attrid', + 'type' => '134' + }, + '4' => { + 'name' => 'mod', + 'type' => '134' + }, + '5' => { + 'name' => 'timeout', + 'type' => '134' + }, + '6' => { + 'name' => 'rstatus', + 'type' => '2405' + }, + '7' => { + 'name' => 'srcport', + 'type' => '2410' + }, + '8' => { + 'name' => 'cckey', + 'type' => '909' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp', + '7' => 'rsp', + '8' => 'rsp' + }, + 'Return' => '550', + 'ShortName' => 'cc_config_status_via', + 'Source' => 'cc.c' + }, + '19616' => { + 'Line' => '1132', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_switchcongestionsetting', + 'Source' => 'dump.c' + }, + '19800' => { + 'Line' => '1138', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_switchportcongestionsettingelement', + 'Source' => 'dump.c' + }, + '19984' => { + 'Line' => '1144', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_cacongestionsetting', + 'Source' => 'dump.c' + }, + '20168' => { + 'Line' => '1150', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_cacongestionentry', + 'Source' => 'dump.c' + }, + '20352' => { + 'Line' => '1156', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestioncontroltable', + 'Source' => 'dump.c' + }, + '20527' => { + 'Line' => '1162', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestioncontroltableentry', + 'Source' => 'dump.c' + }, + '20711' => { + 'Line' => '1168', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_timestamp', + 'Source' => 'dump.c' + }, + '20886' => { + 'Line' => '1174', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_classportinfo', + 'Source' => 'dump.c' + }, + '21070' => { + 'Line' => '1180', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_portinfo_ext', + 'Source' => 'dump.c' + }, + '21359' => { + 'Line' => '1194', + 'Param' => { + '0' => { + 'name' => 'file', + 'type' => '21562' + }, + '1' => { + 'name' => 'msg', + 'type' => '22100' + }, + '2' => { + 'name' => 'p', + 'type' => '550' + }, + '3' => { + 'name' => 'size', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'xdump', + 'Source' => 'dump.c' + }, + '27351' => { + 'Line' => '1119', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '550' + }, + '1' => { + 'name' => 'base_offs', + 'type' => '263' + }, + '2' => { + 'name' => 'field', + 'type' => '2749' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '806', + 'ShortName' => 'mad_get_field', + 'Source' => 'fields.c' + }, + '27523' => { + 'Line' => '1124', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '550' + }, + '1' => { + 'name' => 'base_offs', + 'type' => '263' + }, + '2' => { + 'name' => 'field', + 'type' => '2749' + }, + '3' => { + 'name' => 'val', + 'type' => '806' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_set_field', + 'Source' => 'fields.c' + }, + '27870' => { + 'Line' => '1130', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '550' + }, + '1' => { + 'name' => 'base_offs', + 'type' => '263' + }, + '2' => { + 'name' => 'field', + 'type' => '2749' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '909', + 'ShortName' => 'mad_get_field64', + 'Source' => 'fields.c' + }, + '28081' => { + 'Line' => '1135', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '550' + }, + '1' => { + 'name' => 'base_offs', + 'type' => '263' + }, + '2' => { + 'name' => 'field', + 'type' => '2749' + }, + '3' => { + 'name' => 'val', + 'type' => '909' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_set_field64', + 'Source' => 'fields.c' + }, + '28289' => { + 'Line' => '1141', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '550' + }, + '1' => { + 'name' => 'base_offs', + 'type' => '263' + }, + '2' => { + 'name' => 'field', + 'type' => '2749' + }, + '3' => { + 'name' => 'val', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_set_array', + 'Source' => 'fields.c' + }, + '28499' => { + 'Line' => '1146', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '550' + }, + '1' => { + 'name' => 'base_offs', + 'type' => '263' + }, + '2' => { + 'name' => 'field', + 'type' => '2749' + }, + '3' => { + 'name' => 'val', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_get_array', + 'Source' => 'fields.c' + }, + '28639' => { + 'Line' => '1151', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '527' + }, + '1' => { + 'name' => 'field', + 'type' => '2749' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '1', + 'ShortName' => 'mad_decode_field', + 'Source' => 'fields.c' + }, + '28917' => { + 'Line' => '1170', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '527' + }, + '1' => { + 'name' => 'field', + 'type' => '2749' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '1', + 'ShortName' => 'mad_encode_field', + 'Source' => 'fields.c' + }, + '294' => { + 'Line' => '47', + 'Param' => { + '0' => { + 'name' => 'data', + 'type' => '550' + }, + '1' => { + 'name' => 'portid', + 'type' => '551' + }, + '2' => { + 'name' => 'call', + 'type' => '817' + }, + '3' => { + 'name' => 'srcport', + 'type' => '927' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '527', + 'ShortName' => 'bm_call_via', + 'Source' => 'bm.c' + }, + '29485' => { + 'Line' => '1244', + 'Param' => { + '0' => { + 'name' => 'field', + 'type' => '2749' + }, + '1' => { + 'name' => 'name', + 'type' => '22100' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '263', + 'ShortName' => 'mad_print_field', + 'Source' => 'fields.c' + }, + '29788' => { + 'Line' => '1251', + 'Param' => { + '0' => { + 'name' => 'field', + 'type' => '2749' + }, + '1' => { + 'name' => 'buf', + 'type' => '7594' + }, + '2' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '3' => { + 'name' => 'val', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '7594', + 'ShortName' => 'mad_dump_field', + 'Source' => 'fields.c' + }, + '30001' => { + 'Line' => '1258', + 'Param' => { + '0' => { + 'name' => 'field', + 'type' => '2749' + }, + '1' => { + 'name' => 'buf', + 'type' => '7594' + }, + '2' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '3' => { + 'name' => 'val', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '7594', + 'ShortName' => 'mad_dump_val', + 'Source' => 'fields.c' + }, + '30140' => { + 'Line' => '1265', + 'Param' => { + '0' => { + 'name' => 'field', + 'type' => '2749' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '22100', + 'ShortName' => 'mad_field_name', + 'Source' => 'fields.c' + }, + '35631' => { + 'Line' => '46', + 'Param' => { + '0' => { + 'name' => 'rcvbuf', + 'type' => '550' + }, + '1' => { + 'name' => 'dest', + 'type' => '551' + }, + '2' => { + 'name' => 'port', + 'type' => '263' + }, + '3' => { + 'name' => 'timeout', + 'type' => '134' + }, + '4' => { + 'name' => 'id', + 'type' => '134' + }, + '5' => { + 'name' => 'srcport', + 'type' => '2410' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9' + }, + 'Return' => '527', + 'ShortName' => 'pma_query_via', + 'Source' => 'gs.c' + }, + '35799' => { + 'Line' => '83', + 'Param' => { + '0' => { + 'name' => 'rcvbuf', + 'type' => '550' + }, + '1' => { + 'name' => 'dest', + 'type' => '551' + }, + '2' => { + 'name' => 'port', + 'type' => '263' + }, + '3' => { + 'name' => 'mask', + 'type' => '134' + }, + '4' => { + 'name' => 'timeout', + 'type' => '134' + }, + '5' => { + 'name' => 'id', + 'type' => '134' + }, + '6' => { + 'name' => 'srcport', + 'type' => '2410' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp' + }, + 'Return' => '527', + 'ShortName' => 'performance_reset_via', + 'Source' => 'gs.c' + }, + '36505' => { + 'Line' => '57', + 'Return' => '1', + 'ShortName' => 'mad_trid', + 'Source' => 'mad.c' + }, + '41878' => { + 'Line' => '71', + 'Param' => { + '0' => { + 'name' => 'srcport', + 'type' => '2410' + }, + '1' => { + 'name' => 'override_ms', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '263', + 'ShortName' => 'mad_get_timeout', + 'Source' => 'mad.c' + }, + '41930' => { + 'Line' => '77', + 'Param' => { + '0' => { + 'name' => 'srcport', + 'type' => '2410' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '263', + 'ShortName' => 'mad_get_retries', + 'Source' => 'mad.c' + }, + '42019' => { + 'Line' => '82', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '550' + }, + '1' => { + 'name' => 'rpc', + 'type' => '1521' + }, + '2' => { + 'name' => 'drpath', + 'type' => '42664' + }, + '3' => { + 'name' => 'data', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '550', + 'ShortName' => 'mad_encode', + 'Source' => 'mad.c' + }, + '42218' => { + 'Line' => '161', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '550' + }, + '1' => { + 'name' => 'rpc', + 'type' => '1521' + }, + '2' => { + 'name' => 'dport', + 'type' => '551' + }, + '3' => { + 'name' => 'rmpp', + 'type' => '42917' + }, + '4' => { + 'name' => 'data', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '263', + 'ShortName' => 'mad_build_pkt', + 'Source' => 'mad.c' + }, + '43488' => { + 'Line' => '55', + 'Param' => { + '0' => { + 'name' => 'portid', + 'type' => '551' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '7594', + 'ShortName' => 'portid2str', + 'Source' => 'portid.c' + }, + '43721' => { + 'Line' => '44', + 'Param' => { + '0' => { + 'name' => 'portid', + 'type' => '551' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '263', + 'ShortName' => 'portid2portnum', + 'Source' => 'portid.c' + }, + '43760' => { + 'Line' => '110', + 'Param' => { + '0' => { + 'name' => 'path', + 'type' => '42664' + }, + '1' => { + 'name' => 'dstr', + 'type' => '7594' + }, + '2' => { + 'name' => 'dstr_size', + 'type' => '22077' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '7594', + 'ShortName' => 'drpath2str', + 'Source' => 'portid.c' + }, + '44046' => { + 'Line' => '79', + 'Param' => { + '0' => { + 'name' => 'path', + 'type' => '42664' + }, + '1' => { + 'name' => 'routepath', + 'type' => '7594' + }, + '2' => { + 'name' => 'drslid', + 'type' => '263' + }, + '3' => { + 'name' => 'drdlid', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '263', + 'ShortName' => 'str2drpath', + 'Source' => 'portid.c' + }, + '44564' => { + 'Line' => '74', + 'Param' => { + '0' => { + 'name' => 'mgmt', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '263', + 'ShortName' => 'mad_class_agent', + 'Source' => 'register.c' + }, + '44759' => { + 'Line' => '102', + 'Param' => { + '0' => { + 'name' => 'mgmt', + 'type' => '263' + }, + '1' => { + 'name' => 'rmpp_version', + 'type' => '532' + }, + '2' => { + 'name' => 'srcport', + 'type' => '927' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '263', + 'ShortName' => 'mad_register_client_via', + 'Source' => 'register.c' + }, + '44950' => { + 'Line' => '97', + 'Param' => { + '0' => { + 'name' => 'mgmt', + 'type' => '263' + }, + '1' => { + 'name' => 'rmpp_version', + 'type' => '532' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '263', + 'ShortName' => 'mad_register_client', + 'Source' => 'register.c' + }, + '45118' => { + 'Line' => '119', + 'Param' => { + '0' => { + 'name' => 'mgmt', + 'type' => '263' + }, + '1' => { + 'name' => 'rmpp_version', + 'type' => '532' + }, + '2' => { + 'name' => 'method_mask', + 'type' => '45376' + }, + '3' => { + 'name' => 'class_oui', + 'type' => '806' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '263', + 'ShortName' => 'mad_register_server', + 'Source' => 'register.c' + }, + '45196' => { + 'Line' => '126', + 'Param' => { + '0' => { + 'name' => 'mgmt', + 'type' => '263' + }, + '1' => { + 'name' => 'rmpp_version', + 'type' => '532' + }, + '2' => { + 'name' => 'method_mask', + 'type' => '45376' + }, + '3' => { + 'name' => 'class_oui', + 'type' => '806' + }, + '4' => { + 'name' => 'srcport', + 'type' => '927' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '263', + 'ShortName' => 'mad_register_server_via', + 'Source' => 'register.c' + }, + '50763' => { + 'Line' => '48', + 'Param' => { + '0' => { + 'name' => 'sm_id', + 'type' => '551' + }, + '1' => { + 'name' => 'timeout', + 'type' => '263' + }, + '2' => { + 'name' => 'srcport', + 'type' => '2410' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '1', + 'ShortName' => 'ib_resolve_smlid_via', + 'Source' => 'resolve.c' + }, + '51080' => { + 'Line' => '70', + 'Param' => { + '0' => { + 'name' => 'sm_id', + 'type' => '551' + }, + '1' => { + 'name' => 'timeout', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '263', + 'ShortName' => 'ib_resolve_smlid', + 'Source' => 'resolve.c' + }, + '51256' => { + 'Line' => '75', + 'Param' => { + '0' => { + 'name' => 'portid', + 'type' => '551' + }, + '1' => { + 'name' => 'gid', + 'type' => '527' + }, + '2' => { + 'name' => 'sm_id', + 'type' => '551' + }, + '3' => { + 'name' => 'timeout', + 'type' => '263' + }, + '4' => { + 'name' => 'srcport', + 'type' => '2410' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '263', + 'ShortName' => 'ib_resolve_gid_via', + 'Source' => 'resolve.c' + }, + '51495' => { + 'Line' => '97', + 'Param' => { + '0' => { + 'name' => 'portid', + 'type' => '551' + }, + '1' => { + 'name' => 'guid', + 'type' => '7554' + }, + '2' => { + 'name' => 'sm_id', + 'type' => '551' + }, + '3' => { + 'name' => 'timeout', + 'type' => '263' + }, + '4' => { + 'name' => 'srcport', + 'type' => '2410' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '263', + 'ShortName' => 'ib_resolve_guid_via', + 'Source' => 'resolve.c' + }, + '51804' => { + 'Line' => '137', + 'Param' => { + '0' => { + 'name' => 'portid', + 'type' => '551' + }, + '1' => { + 'name' => 'addr_str', + 'type' => '7594' + }, + '2' => { + 'name' => 'dest_type', + 'type' => '50366' + }, + '3' => { + 'name' => 'sm_id', + 'type' => '551' + }, + '4' => { + 'name' => 'srcport', + 'type' => '2410' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '263', + 'ShortName' => 'ib_resolve_portid_str_via', + 'Source' => 'resolve.c' + }, + '52094' => { + 'Line' => '213', + 'Param' => { + '0' => { + 'name' => 'portid', + 'type' => '551' + }, + '1' => { + 'name' => 'portnum', + 'type' => '2405' + }, + '2' => { + 'name' => 'gid', + 'type' => '52441' + }, + '3' => { + 'name' => 'srcport', + 'type' => '2410' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '263', + 'ShortName' => 'ib_resolve_self_via', + 'Source' => 'resolve.c' + }, + '52247' => { + 'Line' => '206', + 'Param' => { + '0' => { + 'name' => 'portid', + 'type' => '551' + }, + '1' => { + 'name' => 'addr_str', + 'type' => '7594' + }, + '2' => { + 'name' => 'dest_type', + 'type' => '50366' + }, + '3' => { + 'name' => 'sm_id', + 'type' => '551' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '263', + 'ShortName' => 'ib_resolve_portid_str', + 'Source' => 'resolve.c' + }, + '52325' => { + 'Line' => '241', + 'Param' => { + '0' => { + 'name' => 'portid', + 'type' => '551' + }, + '1' => { + 'name' => 'portnum', + 'type' => '2405' + }, + '2' => { + 'name' => 'gid', + 'type' => '52441' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '263', + 'ShortName' => 'ib_resolve_self', + 'Source' => 'resolve.c' + }, + '52675' => { + 'Data' => 1, + 'Line' => '46', + 'Return' => '263', + 'ShortName' => 'ibdebug', + 'Source' => 'rpc.c' + }, + '57981' => { + 'Line' => '68', + 'Param' => { + '0' => { + 'name' => 'set', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'madrpc_show_errors', + 'Source' => 'rpc.c' + }, + '58016' => { + 'Line' => '73', + 'Param' => { + '0' => { + 'name' => 'madbuf', + 'type' => '550' + }, + '1' => { + 'name' => 'len', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '1', + 'ShortName' => 'madrpc_save_mad', + 'Source' => 'rpc.c' + }, + '58064' => { + 'Line' => '79', + 'Param' => { + '0' => { + 'name' => 'retries', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '263', + 'ShortName' => 'madrpc_set_retries', + 'Source' => 'rpc.c' + }, + '58105' => { + 'Line' => '86', + 'Param' => { + '0' => { + 'name' => 'timeout', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '263', + 'ShortName' => 'madrpc_set_timeout', + 'Source' => 'rpc.c' + }, + '58144' => { + 'Line' => '92', + 'Param' => { + '0' => { + 'name' => 'port', + 'type' => '927' + }, + '1' => { + 'name' => 'retries', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '1', + 'ShortName' => 'mad_rpc_set_retries', + 'Source' => 'rpc.c' + }, + '58192' => { + 'Line' => '97', + 'Param' => { + '0' => { + 'name' => 'port', + 'type' => '927' + }, + '1' => { + 'name' => 'timeout', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '1', + 'ShortName' => 'mad_rpc_set_timeout', + 'Source' => 'rpc.c' + }, + '58240' => { + 'Line' => '102', + 'Return' => '263', + 'ShortName' => 'madrpc_portid', + 'Source' => 'rpc.c' + }, + '58265' => { + 'Line' => '107', + 'Param' => { + '0' => { + 'name' => 'srcport', + 'type' => '927' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '263', + 'ShortName' => 'mad_rpc_portid', + 'Source' => 'rpc.c' + }, + '58304' => { + 'Line' => '112', + 'Param' => { + '0' => { + 'name' => 'port', + 'type' => '927' + }, + '1' => { + 'name' => 'class', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '263', + 'ShortName' => 'mad_rpc_class_agent', + 'Source' => 'rpc.c' + }, + '58646' => { + 'Line' => '212', + 'Param' => { + '0' => { + 'name' => 'port', + 'type' => '2410' + }, + '1' => { + 'name' => 'rpc', + 'type' => '1521' + }, + '2' => { + 'name' => 'dport', + 'type' => '551' + }, + '3' => { + 'name' => 'payload', + 'type' => '550' + }, + '4' => { + 'name' => 'rcvdata', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '550', + 'ShortName' => 'mad_rpc', + 'Source' => 'rpc.c' + }, + '59088' => { + 'Line' => '272', + 'Param' => { + '0' => { + 'name' => 'port', + 'type' => '2410' + }, + '1' => { + 'name' => 'rpc', + 'type' => '1521' + }, + '2' => { + 'name' => 'dport', + 'type' => '551' + }, + '3' => { + 'name' => 'rmpp', + 'type' => '42917' + }, + '4' => { + 'name' => 'data', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '550', + 'ShortName' => 'mad_rpc_rmpp', + 'Source' => 'rpc.c' + }, + '59306' => { + 'Line' => '333', + 'Param' => { + '0' => { + 'name' => 'rpc', + 'type' => '1521' + }, + '1' => { + 'name' => 'dport', + 'type' => '551' + }, + '2' => { + 'name' => 'payload', + 'type' => '550' + }, + '3' => { + 'name' => 'rcvdata', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '550', + 'ShortName' => 'madrpc', + 'Source' => 'rpc.c' + }, + '59397' => { + 'Line' => '338', + 'Param' => { + '0' => { + 'name' => 'rpc', + 'type' => '1521' + }, + '1' => { + 'name' => 'dport', + 'type' => '551' + }, + '2' => { + 'name' => 'rmpp', + 'type' => '42917' + }, + '3' => { + 'name' => 'data', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '550', + 'ShortName' => 'madrpc_rmpp', + 'Source' => 'rpc.c' + }, + '59488' => { + 'Line' => '345', + 'Param' => { + '0' => { + 'name' => 'dev_name', + 'type' => '7594' + }, + '1' => { + 'name' => 'dev_port', + 'type' => '263' + }, + '2' => { + 'name' => 'mgmt_classes', + 'type' => '2405' + }, + '3' => { + 'name' => 'num_classes', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'madrpc_init', + 'Source' => 'rpc.c' + }, + '59634' => { + 'Line' => '373', + 'Param' => { + '0' => { + 'name' => 'dev_name', + 'type' => '7594' + }, + '1' => { + 'name' => 'dev_port', + 'type' => '263' + }, + '2' => { + 'name' => 'mgmt_classes', + 'type' => '2405' + }, + '3' => { + 'name' => 'num_classes', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '927', + 'ShortName' => 'mad_rpc_open_port', + 'Source' => 'rpc.c' + }, + '59800' => { + 'Line' => '428', + 'Param' => { + '0' => { + 'name' => 'port', + 'type' => '927' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'mad_rpc_close_port', + 'Source' => 'rpc.c' + }, + '65411' => { + 'Line' => '44', + 'Param' => { + '0' => { + 'name' => 'ibmad_port', + 'type' => '2410' + }, + '1' => { + 'name' => 'rcvbuf', + 'type' => '550' + }, + '2' => { + 'name' => 'portid', + 'type' => '551' + }, + '3' => { + 'name' => 'sa', + 'type' => '66380' + }, + '4' => { + 'name' => 'timeout', + 'type' => '134' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '527', + 'ShortName' => 'sa_rpc_call', + 'Source' => 'sa.c' + }, + '65542' => { + 'Line' => '79', + 'Param' => { + '0' => { + 'name' => 'rcvbuf', + 'type' => '550' + }, + '1' => { + 'name' => 'portid', + 'type' => '551' + }, + '2' => { + 'name' => 'sa', + 'type' => '66380' + }, + '3' => { + 'name' => 'timeout', + 'type' => '134' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '527', + 'ShortName' => 'sa_call', + 'Source' => 'sa.c' + }, + '65628' => { + 'Line' => '111', + 'Param' => { + '0' => { + 'name' => 'srcport', + 'type' => '2410' + }, + '1' => { + 'name' => 'srcgid', + 'type' => '527' + }, + '2' => { + 'name' => 'destgid', + 'type' => '527' + }, + '3' => { + 'name' => 'sm_id', + 'type' => '551' + }, + '4' => { + 'name' => 'buf', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '263', + 'ShortName' => 'ib_path_query_via', + 'Source' => 'sa.c' + }, + '65774' => { + 'Line' => '139', + 'Param' => { + '0' => { + 'name' => 'srcgid', + 'type' => '527' + }, + '1' => { + 'name' => 'destgid', + 'type' => '527' + }, + '2' => { + 'name' => 'sm_id', + 'type' => '551' + }, + '3' => { + 'name' => 'buf', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '263', + 'ShortName' => 'ib_path_query', + 'Source' => 'sa.c' + }, + '65860' => { + 'Line' => '164', + 'Param' => { + '0' => { + 'name' => 'srcport', + 'type' => '2410' + }, + '1' => { + 'name' => 'guid', + 'type' => '909' + }, + '2' => { + 'name' => 'sm_id', + 'type' => '551' + }, + '3' => { + 'name' => 'buf', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '263', + 'ShortName' => 'ib_node_query_via', + 'Source' => 'sa.c' + }, + '71948' => { + 'Line' => '47', + 'Param' => { + '0' => { + 'name' => 'rpc', + 'type' => '1521' + }, + '1' => { + 'name' => 'dport', + 'type' => '551' + }, + '2' => { + 'name' => 'rmpp', + 'type' => '42917' + }, + '3' => { + 'name' => 'data', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '263', + 'ShortName' => 'mad_send', + 'Source' => 'serv.c' + }, + '72026' => { + 'Line' => '53', + 'Param' => { + '0' => { + 'name' => 'rpc', + 'type' => '1521' + }, + '1' => { + 'name' => 'dport', + 'type' => '551' + }, + '2' => { + 'name' => 'rmpp', + 'type' => '42917' + }, + '3' => { + 'name' => 'data', + 'type' => '550' + }, + '4' => { + 'name' => 'srcport', + 'type' => '927' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '263', + 'ShortName' => 'mad_send_via', + 'Source' => 'serv.c' + }, + '72156' => { + 'Line' => '82', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '550' + }, + '1' => { + 'name' => 'portid', + 'type' => '551' + }, + '2' => { + 'name' => 'rstatus', + 'type' => '806' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '263', + 'ShortName' => 'mad_respond', + 'Source' => 'serv.c' + }, + '72247' => { + 'Line' => '87', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '550' + }, + '1' => { + 'name' => 'portid', + 'type' => '551' + }, + '2' => { + 'name' => 'rstatus', + 'type' => '806' + }, + '3' => { + 'name' => 'srcport', + 'type' => '927' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '263', + 'ShortName' => 'mad_respond_via', + 'Source' => 'serv.c' + }, + '72561' => { + 'Line' => '166', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '550' + }, + '1' => { + 'name' => 'timeout', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '550', + 'ShortName' => 'mad_receive', + 'Source' => 'serv.c' + }, + '72686' => { + 'Line' => '171', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '550' + }, + '1' => { + 'name' => 'timeout', + 'type' => '263' + }, + '2' => { + 'name' => 'srcport', + 'type' => '927' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '550', + 'ShortName' => 'mad_receive_via', + 'Source' => 'serv.c' + }, + '72866' => { + 'Line' => '188', + 'Return' => '550', + 'ShortName' => 'mad_alloc', + 'Source' => 'serv.c' + }, + '72927' => { + 'Line' => '193', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '550' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'mad_free', + 'Source' => 'serv.c' + }, + '74423' => { + 'Line' => '45', + 'Param' => { + '0' => { + 'name' => 'srcport', + 'type' => '927' + }, + '1' => { + 'name' => 'mkey', + 'type' => '909' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '1', + 'ShortName' => 'smp_mkey_set', + 'Source' => 'smp.c' + }, + '74471' => { + 'Line' => '50', + 'Param' => { + '0' => { + 'name' => 'srcport', + 'type' => '2410' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '909', + 'ShortName' => 'smp_mkey_get', + 'Source' => 'smp.c' + }, + '74510' => { + 'Line' => '55', + 'Param' => { + '0' => { + 'name' => 'data', + 'type' => '550' + }, + '1' => { + 'name' => 'portid', + 'type' => '551' + }, + '2' => { + 'name' => 'attrid', + 'type' => '134' + }, + '3' => { + 'name' => 'mod', + 'type' => '134' + }, + '4' => { + 'name' => 'timeout', + 'type' => '134' + }, + '5' => { + 'name' => 'rstatus', + 'type' => '2405' + }, + '6' => { + 'name' => 'srcport', + 'type' => '2410' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp' + }, + 'Return' => '527', + 'ShortName' => 'smp_set_status_via', + 'Source' => 'smp.c' + }, + '74671' => { + 'Line' => '87', + 'Param' => { + '0' => { + 'name' => 'data', + 'type' => '550' + }, + '1' => { + 'name' => 'portid', + 'type' => '551' + }, + '2' => { + 'name' => 'attrid', + 'type' => '134' + }, + '3' => { + 'name' => 'mod', + 'type' => '134' + }, + '4' => { + 'name' => 'timeout', + 'type' => '134' + }, + '5' => { + 'name' => 'srcport', + 'type' => '2410' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9' + }, + 'Return' => '1', + 'ShortName' => 'smp_set_via', + 'Source' => 'smp.c' + }, + '75228' => { + 'Line' => '95', + 'Param' => { + '0' => { + 'name' => 'data', + 'type' => '550' + }, + '1' => { + 'name' => 'portid', + 'type' => '551' + }, + '2' => { + 'name' => 'attrid', + 'type' => '134' + }, + '3' => { + 'name' => 'mod', + 'type' => '134' + }, + '4' => { + 'name' => 'timeout', + 'type' => '134' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '527', + 'ShortName' => 'smp_set', + 'Source' => 'smp.c' + }, + '75403' => { + 'Line' => '101', + 'Param' => { + '0' => { + 'name' => 'rcvbuf', + 'type' => '550' + }, + '1' => { + 'name' => 'portid', + 'type' => '551' + }, + '2' => { + 'name' => 'attrid', + 'type' => '134' + }, + '3' => { + 'name' => 'mod', + 'type' => '134' + }, + '4' => { + 'name' => 'timeout', + 'type' => '134' + }, + '5' => { + 'name' => 'rstatus', + 'type' => '2405' + }, + '6' => { + 'name' => 'srcport', + 'type' => '2410' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp' + }, + 'Return' => '527', + 'ShortName' => 'smp_query_status_via', + 'Source' => 'smp.c' + }, + '75564' => { + 'Line' => '133', + 'Param' => { + '0' => { + 'name' => 'rcvbuf', + 'type' => '550' + }, + '1' => { + 'name' => 'portid', + 'type' => '551' + }, + '2' => { + 'name' => 'attrid', + 'type' => '134' + }, + '3' => { + 'name' => 'mod', + 'type' => '134' + }, + '4' => { + 'name' => 'timeout', + 'type' => '134' + }, + '5' => { + 'name' => 'srcport', + 'type' => '2410' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9' + }, + 'Return' => '1', + 'ShortName' => 'smp_query_via', + 'Source' => 'smp.c' + }, + '75717' => { + 'Line' => '141', + 'Param' => { + '0' => { + 'name' => 'rcvbuf', + 'type' => '550' + }, + '1' => { + 'name' => 'portid', + 'type' => '551' + }, + '2' => { + 'name' => 'attrid', + 'type' => '134' + }, + '3' => { + 'name' => 'mod', + 'type' => '134' + }, + '4' => { + 'name' => 'timeout', + 'type' => '134' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '527', + 'ShortName' => 'smp_query', + 'Source' => 'smp.c' + }, + '7606' => { + 'Line' => '43', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_int', + 'Source' => 'dump.c' + }, + '76550' => { + 'Line' => '52', + 'Param' => { + '0' => { + 'name' => 'data', + 'type' => '550' + }, + '1' => { + 'name' => 'portid', + 'type' => '551' + }, + '2' => { + 'name' => 'call', + 'type' => '77193' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '527', + 'ShortName' => 'ib_vendor_call', + 'Source' => 'vendor.c' + }, + '76691' => { + 'Line' => '58', + 'Param' => { + '0' => { + 'name' => 'data', + 'type' => '550' + }, + '1' => { + 'name' => 'portid', + 'type' => '551' + }, + '2' => { + 'name' => 'call', + 'type' => '77193' + }, + '3' => { + 'name' => 'srcport', + 'type' => '927' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '527', + 'ShortName' => 'ib_vendor_call_via', + 'Source' => 'vendor.c' + }, + '7688' => { + 'Line' => '68', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_uint', + 'Source' => 'dump.c' + }, + '7770' => { + 'Line' => '93', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_hex', + 'Source' => 'dump.c' + }, + '7852' => { + 'Line' => '129', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_rhex', + 'Source' => 'dump.c' + }, + '7934' => { + 'Line' => '165', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkwidth', + 'Source' => 'dump.c' + }, + '8031' => { + 'Line' => '215', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkwidthsup', + 'Source' => 'dump.c' + }, + '8210' => { + 'Line' => '242', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkwidthen', + 'Source' => 'dump.c' + }, + '8301' => { + 'Line' => '249', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkspeed', + 'Source' => 'dump.c' + }, + '8396' => { + 'Line' => '307', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkspeedsup', + 'Source' => 'dump.c' + }, + '8580' => { + 'Line' => '314', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkspeeden', + 'Source' => 'dump.c' + }, + '8677' => { + 'Line' => '321', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkspeedext', + 'Source' => 'dump.c' + }, + '8778' => { + 'Line' => '373', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkspeedextsup', + 'Source' => 'dump.c' + }, + '8962' => { + 'Line' => '380', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkspeedexten', + 'Source' => 'dump.c' + }, + '9059' => { + 'Line' => '391', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_portstate', + 'Source' => 'dump.c' + }, + '9158' => { + 'Line' => '416', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkdowndefstate', + 'Source' => 'dump.c' + }, + '9257' => { + 'Line' => '436', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_physportstate', + 'Source' => 'dump.c' + }, + '9356' => { + 'Line' => '470', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_mtu', + 'Source' => 'dump.c' + }, + '9455' => { + 'Line' => '495', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_vlcap', + 'Source' => 'dump.c' + }, + '9554' => { + 'Line' => '520', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_opervls', + 'Source' => 'dump.c' + }, + '9653' => { + 'Line' => '548', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_portcapmask', + 'Source' => 'dump.c' + }, + '9772' => { + 'Line' => '621', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_portcapmask2', + 'Source' => 'dump.c' + }, + '9891' => { + 'Line' => '644', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_bitfield', + 'Source' => 'dump.c' + }, + '9976' => { + 'Line' => '649', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '7594' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '263' + }, + '2' => { + 'name' => 'val', + 'type' => '550' + }, + '3' => { + 'name' => 'valsz', + 'type' => '263' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_array', + 'Source' => 'dump.c' + } + }, + 'SymbolVersion' => { + '_ITM_deregisterTMCloneTable' => 'umad_status@@IBUMAD_1.0', + '_ITM_registerTMCloneTable' => 'umad_status@@IBUMAD_1.0', + '__gmon_start__' => 'umad_status@@IBUMAD_1.0', + 'bm_call_via' => 'bm_call_via@@IBMAD_1.3', + 'cc_config_status_via' => 'cc_config_status_via@@IBMAD_1.3', + 'cc_query_status_via' => 'cc_query_status_via@@IBMAD_1.3', + 'drpath2str' => 'drpath2str@@IBMAD_1.3', + 'ib_node_query_via' => 'ib_node_query_via@@IBMAD_1.3', + 'ib_path_query' => 'ib_path_query@@IBMAD_1.3', + 'ib_path_query_via' => 'ib_path_query_via@@IBMAD_1.3', + 'ib_resolve_gid_via' => 'ib_resolve_gid_via@@IBMAD_1.3', + 'ib_resolve_guid_via' => 'ib_resolve_guid_via@@IBMAD_1.3', + 'ib_resolve_portid_str' => 'ib_resolve_portid_str@@IBMAD_1.3', + 'ib_resolve_portid_str_via' => 'ib_resolve_portid_str_via@@IBMAD_1.3', + 'ib_resolve_self' => 'ib_resolve_self@@IBMAD_1.3', + 'ib_resolve_self_via' => 'ib_resolve_self_via@@IBMAD_1.3', + 'ib_resolve_smlid' => 'ib_resolve_smlid@@IBMAD_1.3', + 'ib_resolve_smlid_via' => 'ib_resolve_smlid_via@@IBMAD_1.3', + 'ib_vendor_call' => 'ib_vendor_call@@IBMAD_1.3', + 'ib_vendor_call_via' => 'ib_vendor_call_via@@IBMAD_1.3', + 'ibdebug' => 'ibdebug@@IBMAD_1.3', + 'mad_alloc' => 'mad_alloc@@IBMAD_1.3', + 'mad_build_pkt' => 'mad_build_pkt@@IBMAD_1.3', + 'mad_class_agent' => 'mad_class_agent@@IBMAD_1.3', + 'mad_decode_field' => 'mad_decode_field@@IBMAD_1.3', + 'mad_dump_array' => 'mad_dump_array@@IBMAD_1.3', + 'mad_dump_bitfield' => 'mad_dump_bitfield@@IBMAD_1.3', + 'mad_dump_cc_cacongestionentry' => 'mad_dump_cc_cacongestionentry@@IBMAD_1.3', + 'mad_dump_cc_cacongestionsetting' => 'mad_dump_cc_cacongestionsetting@@IBMAD_1.3', + 'mad_dump_cc_congestioncontroltable' => 'mad_dump_cc_congestioncontroltable@@IBMAD_1.3', + 'mad_dump_cc_congestioncontroltableentry' => 'mad_dump_cc_congestioncontroltableentry@@IBMAD_1.3', + 'mad_dump_cc_congestioninfo' => 'mad_dump_cc_congestioninfo@@IBMAD_1.3', + 'mad_dump_cc_congestionkeyinfo' => 'mad_dump_cc_congestionkeyinfo@@IBMAD_1.3', + 'mad_dump_cc_congestionlog' => 'mad_dump_cc_congestionlog@@IBMAD_1.3', + 'mad_dump_cc_congestionlogca' => 'mad_dump_cc_congestionlogca@@IBMAD_1.3', + 'mad_dump_cc_congestionlogentryca' => 'mad_dump_cc_congestionlogentryca@@IBMAD_1.3', + 'mad_dump_cc_congestionlogentryswitch' => 'mad_dump_cc_congestionlogentryswitch@@IBMAD_1.3', + 'mad_dump_cc_congestionlogswitch' => 'mad_dump_cc_congestionlogswitch@@IBMAD_1.3', + 'mad_dump_cc_switchcongestionsetting' => 'mad_dump_cc_switchcongestionsetting@@IBMAD_1.3', + 'mad_dump_cc_switchportcongestionsettingelement' => 'mad_dump_cc_switchportcongestionsettingelement@@IBMAD_1.3', + 'mad_dump_cc_timestamp' => 'mad_dump_cc_timestamp@@IBMAD_1.3', + 'mad_dump_classportinfo' => 'mad_dump_classportinfo@@IBMAD_1.3', + 'mad_dump_field' => 'mad_dump_field@@IBMAD_1.3', + 'mad_dump_fields' => 'mad_dump_fields@@IBMAD_1.3', + 'mad_dump_hex' => 'mad_dump_hex@@IBMAD_1.3', + 'mad_dump_int' => 'mad_dump_int@@IBMAD_1.3', + 'mad_dump_linkdowndefstate' => 'mad_dump_linkdowndefstate@@IBMAD_1.3', + 'mad_dump_linkspeed' => 'mad_dump_linkspeed@@IBMAD_1.3', + 'mad_dump_linkspeeden' => 'mad_dump_linkspeeden@@IBMAD_1.3', + 'mad_dump_linkspeedext' => 'mad_dump_linkspeedext@@IBMAD_1.3', + 'mad_dump_linkspeedexten' => 'mad_dump_linkspeedexten@@IBMAD_1.3', + 'mad_dump_linkspeedextsup' => 'mad_dump_linkspeedextsup@@IBMAD_1.3', + 'mad_dump_linkspeedsup' => 'mad_dump_linkspeedsup@@IBMAD_1.3', + 'mad_dump_linkwidth' => 'mad_dump_linkwidth@@IBMAD_1.3', + 'mad_dump_linkwidthen' => 'mad_dump_linkwidthen@@IBMAD_1.3', + 'mad_dump_linkwidthsup' => 'mad_dump_linkwidthsup@@IBMAD_1.3', + 'mad_dump_mlnx_ext_port_info' => 'mad_dump_mlnx_ext_port_info@@IBMAD_1.3', + 'mad_dump_mtu' => 'mad_dump_mtu@@IBMAD_1.3', + 'mad_dump_node_type' => 'mad_dump_node_type@@IBMAD_1.3', + 'mad_dump_nodedesc' => 'mad_dump_nodedesc@@IBMAD_1.3', + 'mad_dump_nodeinfo' => 'mad_dump_nodeinfo@@IBMAD_1.3', + 'mad_dump_opervls' => 'mad_dump_opervls@@IBMAD_1.3', + 'mad_dump_perfcounters' => 'mad_dump_perfcounters@@IBMAD_1.3', + 'mad_dump_perfcounters_ext' => 'mad_dump_perfcounters_ext@@IBMAD_1.3', + 'mad_dump_perfcounters_port_flow_ctl_counters' => 'mad_dump_perfcounters_port_flow_ctl_counters@@IBMAD_1.3', + 'mad_dump_perfcounters_port_op_rcv_counters' => 'mad_dump_perfcounters_port_op_rcv_counters@@IBMAD_1.3', + 'mad_dump_perfcounters_port_vl_op_data' => 'mad_dump_perfcounters_port_vl_op_data@@IBMAD_1.3', + 'mad_dump_perfcounters_port_vl_op_packet' => 'mad_dump_perfcounters_port_vl_op_packet@@IBMAD_1.3', + 'mad_dump_perfcounters_port_vl_xmit_flow_ctl_update_errors' => 'mad_dump_perfcounters_port_vl_xmit_flow_ctl_update_errors@@IBMAD_1.3', + 'mad_dump_perfcounters_port_vl_xmit_wait_counters' => 'mad_dump_perfcounters_port_vl_xmit_wait_counters@@IBMAD_1.3', + 'mad_dump_perfcounters_rcv_con_ctrl' => 'mad_dump_perfcounters_rcv_con_ctrl@@IBMAD_1.3', + 'mad_dump_perfcounters_rcv_err' => 'mad_dump_perfcounters_rcv_err@@IBMAD_1.3', + 'mad_dump_perfcounters_rcv_sl' => 'mad_dump_perfcounters_rcv_sl@@IBMAD_1.3', + 'mad_dump_perfcounters_sl_rcv_becn' => 'mad_dump_perfcounters_sl_rcv_becn@@IBMAD_1.3', + 'mad_dump_perfcounters_sl_rcv_fecn' => 'mad_dump_perfcounters_sl_rcv_fecn@@IBMAD_1.3', + 'mad_dump_perfcounters_sw_port_vl_congestion' => 'mad_dump_perfcounters_sw_port_vl_congestion@@IBMAD_1.3', + 'mad_dump_perfcounters_vl_xmit_time_cong' => 'mad_dump_perfcounters_vl_xmit_time_cong@@IBMAD_1.3', + 'mad_dump_perfcounters_xmit_con_ctrl' => 'mad_dump_perfcounters_xmit_con_ctrl@@IBMAD_1.3', + 'mad_dump_perfcounters_xmt_disc' => 'mad_dump_perfcounters_xmt_disc@@IBMAD_1.3', + 'mad_dump_perfcounters_xmt_sl' => 'mad_dump_perfcounters_xmt_sl@@IBMAD_1.3', + 'mad_dump_physportstate' => 'mad_dump_physportstate@@IBMAD_1.3', + 'mad_dump_port_ext_speeds_counters' => 'mad_dump_port_ext_speeds_counters@@IBMAD_1.3', + 'mad_dump_port_ext_speeds_counters_rsfec_active' => 'mad_dump_port_ext_speeds_counters_rsfec_active@@IBMAD_1.3', + 'mad_dump_portcapmask' => 'mad_dump_portcapmask@@IBMAD_1.3', + 'mad_dump_portcapmask2' => 'mad_dump_portcapmask2@@IBMAD_1.3', + 'mad_dump_portinfo' => 'mad_dump_portinfo@@IBMAD_1.3', + 'mad_dump_portinfo_ext' => 'mad_dump_portinfo_ext@@IBMAD_1.3', + 'mad_dump_portsamples_control' => 'mad_dump_portsamples_control@@IBMAD_1.3', + 'mad_dump_portsamples_result' => 'mad_dump_portsamples_result@@IBMAD_1.3', + 'mad_dump_portstate' => 'mad_dump_portstate@@IBMAD_1.3', + 'mad_dump_portstates' => 'mad_dump_portstates@@IBMAD_1.3', + 'mad_dump_rhex' => 'mad_dump_rhex@@IBMAD_1.3', + 'mad_dump_sltovl' => 'mad_dump_sltovl@@IBMAD_1.3', + 'mad_dump_string' => 'mad_dump_string@@IBMAD_1.3', + 'mad_dump_switchinfo' => 'mad_dump_switchinfo@@IBMAD_1.3', + 'mad_dump_uint' => 'mad_dump_uint@@IBMAD_1.3', + 'mad_dump_val' => 'mad_dump_val@@IBMAD_1.3', + 'mad_dump_vlarbitration' => 'mad_dump_vlarbitration@@IBMAD_1.3', + 'mad_dump_vlcap' => 'mad_dump_vlcap@@IBMAD_1.3', + 'mad_encode' => 'mad_encode@@IBMAD_1.3', + 'mad_encode_field' => 'mad_encode_field@@IBMAD_1.3', + 'mad_field_name' => 'mad_field_name@@IBMAD_1.3', + 'mad_free' => 'mad_free@@IBMAD_1.3', + 'mad_get_array' => 'mad_get_array@@IBMAD_1.3', + 'mad_get_field' => 'mad_get_field@@IBMAD_1.3', + 'mad_get_field64' => 'mad_get_field64@@IBMAD_1.3', + 'mad_get_retries' => 'mad_get_retries@@IBMAD_1.3', + 'mad_get_timeout' => 'mad_get_timeout@@IBMAD_1.3', + 'mad_print_field' => 'mad_print_field@@IBMAD_1.3', + 'mad_receive' => 'mad_receive@@IBMAD_1.3', + 'mad_receive_via' => 'mad_receive_via@@IBMAD_1.3', + 'mad_register_client' => 'mad_register_client@@IBMAD_1.3', + 'mad_register_client_via' => 'mad_register_client_via@@IBMAD_1.3', + 'mad_register_server' => 'mad_register_server@@IBMAD_1.3', + 'mad_register_server_via' => 'mad_register_server_via@@IBMAD_1.3', + 'mad_respond' => 'mad_respond@@IBMAD_1.3', + 'mad_respond_via' => 'mad_respond_via@@IBMAD_1.3', + 'mad_rpc' => 'mad_rpc@@IBMAD_1.3', + 'mad_rpc_class_agent' => 'mad_rpc_class_agent@@IBMAD_1.3', + 'mad_rpc_close_port' => 'mad_rpc_close_port@@IBMAD_1.3', + 'mad_rpc_open_port' => 'mad_rpc_open_port@@IBMAD_1.3', + 'mad_rpc_portid' => 'mad_rpc_portid@@IBMAD_1.3', + 'mad_rpc_rmpp' => 'mad_rpc_rmpp@@IBMAD_1.3', + 'mad_rpc_set_retries' => 'mad_rpc_set_retries@@IBMAD_1.3', + 'mad_rpc_set_timeout' => 'mad_rpc_set_timeout@@IBMAD_1.3', + 'mad_send' => 'mad_send@@IBMAD_1.3', + 'mad_send_via' => 'mad_send_via@@IBMAD_1.3', + 'mad_set_array' => 'mad_set_array@@IBMAD_1.3', + 'mad_set_field' => 'mad_set_field@@IBMAD_1.3', + 'mad_set_field64' => 'mad_set_field64@@IBMAD_1.3', + 'mad_trid' => 'mad_trid@@IBMAD_1.3', + 'madrpc' => 'madrpc@@IBMAD_1.3', + 'madrpc_init' => 'madrpc_init@@IBMAD_1.3', + 'madrpc_portid' => 'madrpc_portid@@IBMAD_1.3', + 'madrpc_rmpp' => 'madrpc_rmpp@@IBMAD_1.3', + 'madrpc_save_mad' => 'madrpc_save_mad@@IBMAD_1.3', + 'madrpc_set_retries' => 'madrpc_set_retries@@IBMAD_1.3', + 'madrpc_set_timeout' => 'madrpc_set_timeout@@IBMAD_1.3', + 'madrpc_show_errors' => 'madrpc_show_errors@@IBMAD_1.3', + 'performance_reset_via' => 'performance_reset_via@@IBMAD_1.3', + 'pma_query_via' => 'pma_query_via@@IBMAD_1.3', + 'portid2portnum' => 'portid2portnum@@IBMAD_1.3', + 'portid2str' => 'portid2str@@IBMAD_1.3', + 'sa_call' => 'sa_call@@IBMAD_1.3', + 'sa_rpc_call' => 'sa_rpc_call@@IBMAD_1.3', + 'smp_mkey_get' => 'smp_mkey_get@@IBMAD_1.3', + 'smp_mkey_set' => 'smp_mkey_set@@IBMAD_1.3', + 'smp_query' => 'smp_query@@IBMAD_1.3', + 'smp_query_status_via' => 'smp_query_status_via@@IBMAD_1.3', + 'smp_query_via' => 'smp_query_via@@IBMAD_1.3', + 'smp_set' => 'smp_set@@IBMAD_1.3', + 'smp_set_status_via' => 'smp_set_status_via@@IBMAD_1.3', + 'smp_set_via' => 'smp_set_via@@IBMAD_1.3', + 'str2drpath' => 'str2drpath@@IBMAD_1.3', + 'xdump' => 'xdump@@IBMAD_1.3' + }, + 'Symbols' => { + 'libibmad.so.5.3.26.0' => { + 'bm_call_via@@IBMAD_1.3' => 1, + 'cc_config_status_via@@IBMAD_1.3' => 1, + 'cc_query_status_via@@IBMAD_1.3' => 1, + 'drpath2str@@IBMAD_1.3' => 1, + 'ib_node_query_via@@IBMAD_1.3' => 1, + 'ib_path_query@@IBMAD_1.3' => 1, + 'ib_path_query_via@@IBMAD_1.3' => 1, + 'ib_resolve_gid_via@@IBMAD_1.3' => 1, + 'ib_resolve_guid_via@@IBMAD_1.3' => 1, + 'ib_resolve_portid_str@@IBMAD_1.3' => 1, + 'ib_resolve_portid_str_via@@IBMAD_1.3' => 1, + 'ib_resolve_self@@IBMAD_1.3' => 1, + 'ib_resolve_self_via@@IBMAD_1.3' => 1, + 'ib_resolve_smlid@@IBMAD_1.3' => 1, + 'ib_resolve_smlid_via@@IBMAD_1.3' => 1, + 'ib_vendor_call@@IBMAD_1.3' => 1, + 'ib_vendor_call_via@@IBMAD_1.3' => 1, + 'ibdebug@@IBMAD_1.3' => -4, + 'mad_alloc@@IBMAD_1.3' => 1, + 'mad_build_pkt@@IBMAD_1.3' => 1, + 'mad_class_agent@@IBMAD_1.3' => 1, + 'mad_decode_field@@IBMAD_1.3' => 1, + 'mad_dump_array@@IBMAD_1.3' => 1, + 'mad_dump_bitfield@@IBMAD_1.3' => 1, + 'mad_dump_cc_cacongestionentry@@IBMAD_1.3' => 1, + 'mad_dump_cc_cacongestionsetting@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestioncontroltable@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestioncontroltableentry@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestioninfo@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestionkeyinfo@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestionlog@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestionlogca@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestionlogentryca@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestionlogentryswitch@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestionlogswitch@@IBMAD_1.3' => 1, + 'mad_dump_cc_switchcongestionsetting@@IBMAD_1.3' => 1, + 'mad_dump_cc_switchportcongestionsettingelement@@IBMAD_1.3' => 1, + 'mad_dump_cc_timestamp@@IBMAD_1.3' => 1, + 'mad_dump_classportinfo@@IBMAD_1.3' => 1, + 'mad_dump_field@@IBMAD_1.3' => 1, + 'mad_dump_fields@@IBMAD_1.3' => 1, + 'mad_dump_hex@@IBMAD_1.3' => 1, + 'mad_dump_int@@IBMAD_1.3' => 1, + 'mad_dump_linkdowndefstate@@IBMAD_1.3' => 1, + 'mad_dump_linkspeed@@IBMAD_1.3' => 1, + 'mad_dump_linkspeeden@@IBMAD_1.3' => 1, + 'mad_dump_linkspeedext@@IBMAD_1.3' => 1, + 'mad_dump_linkspeedexten@@IBMAD_1.3' => 1, + 'mad_dump_linkspeedextsup@@IBMAD_1.3' => 1, + 'mad_dump_linkspeedsup@@IBMAD_1.3' => 1, + 'mad_dump_linkwidth@@IBMAD_1.3' => 1, + 'mad_dump_linkwidthen@@IBMAD_1.3' => 1, + 'mad_dump_linkwidthsup@@IBMAD_1.3' => 1, + 'mad_dump_mlnx_ext_port_info@@IBMAD_1.3' => 1, + 'mad_dump_mtu@@IBMAD_1.3' => 1, + 'mad_dump_node_type@@IBMAD_1.3' => 1, + 'mad_dump_nodedesc@@IBMAD_1.3' => 1, + 'mad_dump_nodeinfo@@IBMAD_1.3' => 1, + 'mad_dump_opervls@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_ext@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_port_flow_ctl_counters@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_port_op_rcv_counters@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_port_vl_op_data@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_port_vl_op_packet@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_port_vl_xmit_flow_ctl_update_errors@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_port_vl_xmit_wait_counters@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_rcv_con_ctrl@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_rcv_err@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_rcv_sl@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_sl_rcv_becn@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_sl_rcv_fecn@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_sw_port_vl_congestion@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_vl_xmit_time_cong@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_xmit_con_ctrl@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_xmt_disc@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_xmt_sl@@IBMAD_1.3' => 1, + 'mad_dump_physportstate@@IBMAD_1.3' => 1, + 'mad_dump_port_ext_speeds_counters@@IBMAD_1.3' => 1, + 'mad_dump_port_ext_speeds_counters_rsfec_active@@IBMAD_1.3' => 1, + 'mad_dump_portcapmask2@@IBMAD_1.3' => 1, + 'mad_dump_portcapmask@@IBMAD_1.3' => 1, + 'mad_dump_portinfo@@IBMAD_1.3' => 1, + 'mad_dump_portinfo_ext@@IBMAD_1.3' => 1, + 'mad_dump_portsamples_control@@IBMAD_1.3' => 1, + 'mad_dump_portsamples_result@@IBMAD_1.3' => 1, + 'mad_dump_portstate@@IBMAD_1.3' => 1, + 'mad_dump_portstates@@IBMAD_1.3' => 1, + 'mad_dump_rhex@@IBMAD_1.3' => 1, + 'mad_dump_sltovl@@IBMAD_1.3' => 1, + 'mad_dump_string@@IBMAD_1.3' => 1, + 'mad_dump_switchinfo@@IBMAD_1.3' => 1, + 'mad_dump_uint@@IBMAD_1.3' => 1, + 'mad_dump_val@@IBMAD_1.3' => 1, + 'mad_dump_vlarbitration@@IBMAD_1.3' => 1, + 'mad_dump_vlcap@@IBMAD_1.3' => 1, + 'mad_encode@@IBMAD_1.3' => 1, + 'mad_encode_field@@IBMAD_1.3' => 1, + 'mad_field_name@@IBMAD_1.3' => 1, + 'mad_free@@IBMAD_1.3' => 1, + 'mad_get_array@@IBMAD_1.3' => 1, + 'mad_get_field64@@IBMAD_1.3' => 1, + 'mad_get_field@@IBMAD_1.3' => 1, + 'mad_get_retries@@IBMAD_1.3' => 1, + 'mad_get_timeout@@IBMAD_1.3' => 1, + 'mad_print_field@@IBMAD_1.3' => 1, + 'mad_receive@@IBMAD_1.3' => 1, + 'mad_receive_via@@IBMAD_1.3' => 1, + 'mad_register_client@@IBMAD_1.3' => 1, + 'mad_register_client_via@@IBMAD_1.3' => 1, + 'mad_register_server@@IBMAD_1.3' => 1, + 'mad_register_server_via@@IBMAD_1.3' => 1, + 'mad_respond@@IBMAD_1.3' => 1, + 'mad_respond_via@@IBMAD_1.3' => 1, + 'mad_rpc@@IBMAD_1.3' => 1, + 'mad_rpc_class_agent@@IBMAD_1.3' => 1, + 'mad_rpc_close_port@@IBMAD_1.3' => 1, + 'mad_rpc_open_port@@IBMAD_1.3' => 1, + 'mad_rpc_portid@@IBMAD_1.3' => 1, + 'mad_rpc_rmpp@@IBMAD_1.3' => 1, + 'mad_rpc_set_retries@@IBMAD_1.3' => 1, + 'mad_rpc_set_timeout@@IBMAD_1.3' => 1, + 'mad_send@@IBMAD_1.3' => 1, + 'mad_send_via@@IBMAD_1.3' => 1, + 'mad_set_array@@IBMAD_1.3' => 1, + 'mad_set_field64@@IBMAD_1.3' => 1, + 'mad_set_field@@IBMAD_1.3' => 1, + 'mad_trid@@IBMAD_1.3' => 1, + 'madrpc@@IBMAD_1.3' => 1, + 'madrpc_init@@IBMAD_1.3' => 1, + 'madrpc_portid@@IBMAD_1.3' => 1, + 'madrpc_rmpp@@IBMAD_1.3' => 1, + 'madrpc_save_mad@@IBMAD_1.3' => 1, + 'madrpc_set_retries@@IBMAD_1.3' => 1, + 'madrpc_set_timeout@@IBMAD_1.3' => 1, + 'madrpc_show_errors@@IBMAD_1.3' => 1, + 'performance_reset_via@@IBMAD_1.3' => 1, + 'pma_query_via@@IBMAD_1.3' => 1, + 'portid2portnum@@IBMAD_1.3' => 1, + 'portid2str@@IBMAD_1.3' => 1, + 'sa_call@@IBMAD_1.3' => 1, + 'sa_rpc_call@@IBMAD_1.3' => 1, + 'smp_mkey_get@@IBMAD_1.3' => 1, + 'smp_mkey_set@@IBMAD_1.3' => 1, + 'smp_query@@IBMAD_1.3' => 1, + 'smp_query_status_via@@IBMAD_1.3' => 1, + 'smp_query_via@@IBMAD_1.3' => 1, + 'smp_set@@IBMAD_1.3' => 1, + 'smp_set_status_via@@IBMAD_1.3' => 1, + 'smp_set_via@@IBMAD_1.3' => 1, + 'str2drpath@@IBMAD_1.3' => 1, + 'xdump@@IBMAD_1.3' => 1 + } + }, + 'Target' => 'unix', + 'TypeInfo' => { + '1' => { + 'Name' => 'void', + 'Type' => 'Intrinsic' + }, + '10938' => { + 'BaseType' => '7599', + 'Name' => 'char[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '1122' => { + 'Header' => 'mad.h', + 'Line' => '258', + 'Memb' => { + '0' => { + 'name' => 'id', + 'offset' => '0', + 'type' => '134' + }, + '1' => { + 'name' => 'mod', + 'offset' => '4', + 'type' => '134' + } + }, + 'Name' => 'struct ib_attr_t', + 'Size' => '8', + 'Type' => 'Struct' + }, + '134' => { + 'Name' => 'unsigned int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '1521' => { + 'BaseType' => '948', + 'Name' => 'ib_rpc_t*', + 'Size' => '72', + 'Type' => 'Pointer' + }, + '21562' => { + 'BaseType' => '21567', + 'Name' => 'FILE*', + 'Size' => '216', + 'Type' => 'Pointer' + }, + '21567' => { + 'BaseType' => '21578', + 'Header' => 'stdio.h', + 'Line' => '48', + 'Name' => 'FILE', + 'Size' => '216', + 'Type' => 'Typedef' + }, + '21578' => { + 'Header' => 'libio.h', + 'Line' => '241', + 'Memb' => { + '0' => { + 'name' => '_flags', + 'offset' => '0', + 'type' => '263' + }, + '1' => { + 'name' => '_IO_read_ptr', + 'offset' => '8', + 'type' => '7594' + }, + '10' => { + 'name' => '_IO_backup_base', + 'offset' => '80', + 'type' => '7594' + }, + '11' => { + 'name' => '_IO_save_end', + 'offset' => '88', + 'type' => '7594' + }, + '12' => { + 'name' => '_markers', + 'offset' => '96', + 'type' => '21955' + }, + '13' => { + 'name' => '_chain', + 'offset' => '104', + 'type' => '22005' + }, + '14' => { + 'name' => '_fileno', + 'offset' => '112', + 'type' => '263' + }, + '15' => { + 'name' => '_flags2', + 'offset' => '116', + 'type' => '263' + }, + '16' => { + 'name' => '_old_offset', + 'offset' => '120', + 'type' => '22010' + }, + '17' => { + 'name' => '_cur_column', + 'offset' => '128', + 'type' => '776' + }, + '18' => { + 'name' => '_vtable_offset', + 'offset' => '130', + 'type' => '22035' + }, + '19' => { + 'name' => '_shortbuf', + 'offset' => '131', + 'type' => '10938' + }, + '2' => { + 'name' => '_IO_read_end', + 'offset' => '16', + 'type' => '7594' + }, + '20' => { + 'name' => '_lock', + 'offset' => '136', + 'type' => '22054' + }, + '21' => { + 'name' => '_offset', + 'offset' => '144', + 'type' => '22066' + }, + '22' => { + 'name' => '__pad1', + 'offset' => '152', + 'type' => '550' + }, + '23' => { + 'name' => '__pad2', + 'offset' => '160', + 'type' => '550' + }, + '24' => { + 'name' => '__pad3', + 'offset' => '168', + 'type' => '550' + }, + '25' => { + 'name' => '__pad4', + 'offset' => '176', + 'type' => '550' + }, + '26' => { + 'name' => '__pad5', + 'offset' => '184', + 'type' => '22077' + }, + '27' => { + 'name' => '_mode', + 'offset' => '192', + 'type' => '263' + }, + '28' => { + 'name' => '_unused2', + 'offset' => '196', + 'type' => '10938' + }, + '3' => { + 'name' => '_IO_read_base', + 'offset' => '24', + 'type' => '7594' + }, + '4' => { + 'name' => '_IO_write_base', + 'offset' => '32', + 'type' => '7594' + }, + '5' => { + 'name' => '_IO_write_ptr', + 'offset' => '40', + 'type' => '7594' + }, + '6' => { + 'name' => '_IO_write_end', + 'offset' => '48', + 'type' => '7594' + }, + '7' => { + 'name' => '_IO_buf_base', + 'offset' => '56', + 'type' => '7594' + }, + '8' => { + 'name' => '_IO_buf_end', + 'offset' => '64', + 'type' => '7594' + }, + '9' => { + 'name' => '_IO_save_base', + 'offset' => '72', + 'type' => '7594' + } + }, + 'Name' => 'struct _IO_FILE', + 'Size' => '216', + 'Type' => 'Struct' + }, + '21955' => { + 'BaseType' => '21960', + 'Name' => 'struct _IO_marker*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '21960' => { + 'Header' => 'libio.h', + 'Line' => '156', + 'Memb' => { + '0' => { + 'name' => '_next', + 'offset' => '0', + 'type' => '21955' + }, + '1' => { + 'name' => '_sbuf', + 'offset' => '8', + 'type' => '22005' + }, + '2' => { + 'name' => '_pos', + 'offset' => '16', + 'type' => '263' + } + }, + 'Name' => 'struct _IO_marker', + 'Size' => '24', + 'Type' => 'Struct' + }, + '22005' => { + 'BaseType' => '21578', + 'Name' => 'struct _IO_FILE*', + 'Size' => '216', + 'Type' => 'Pointer' + }, + '22010' => { + 'BaseType' => '22021', + 'Header' => 'types.h', + 'Line' => '131', + 'Name' => '__off_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '22021' => { + 'Name' => 'long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '22035' => { + 'Name' => 'signed char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '22054' => { + 'BaseType' => '22059', + 'Name' => '_IO_lock_t*', + 'Type' => 'Pointer' + }, + '22059' => { + 'Header' => 'libio.h', + 'Line' => '150', + 'Name' => '_IO_lock_t', + 'Type' => 'Typedef' + }, + '22066' => { + 'BaseType' => '22021', + 'Header' => 'types.h', + 'Line' => '132', + 'Name' => '__off64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '22077' => { + 'BaseType' => '920', + 'Header' => 'stddef.h', + 'Line' => '62', + 'Name' => 'size_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '22100' => { + 'BaseType' => '22105', + 'Name' => 'char const*', + 'Size' => '1', + 'Type' => 'Pointer' + }, + '22105' => { + 'BaseType' => '7599', + 'Name' => 'char const', + 'Size' => '1', + 'Type' => 'Const' + }, + '2405' => { + 'BaseType' => '263', + 'Name' => 'int*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '2410' => { + 'BaseType' => '2415', + 'Name' => 'struct ibmad_port const*', + 'Size' => '1048', + 'Type' => 'Pointer' + }, + '2415' => { + 'BaseType' => '932', + 'Name' => 'struct ibmad_port const', + 'Size' => '1048', + 'Type' => 'Const' + }, + '2493' => { + 'BaseType' => '263', + 'Name' => 'int[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '263' => { + 'Name' => 'int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '2749' => { + 'BaseType' => '134', + 'Header' => 'mad.h', + 'Line' => '330', + 'Memb' => { + '0' => { + 'name' => 'IB_NO_FIELD', + 'value' => '0' + }, + '1' => { + 'name' => 'IB_GID_PREFIX_F', + 'value' => '1' + }, + '10' => { + 'name' => 'IB_DRSMP_HOPPTR_F', + 'value' => '10' + }, + '100' => { + 'name' => 'IB_SW_OPT_SLTOVL_MAPPING_F', + 'value' => '97' + }, + '101' => { + 'name' => 'IB_SW_LIDS_PER_PORT_F', + 'value' => '98' + }, + '102' => { + 'name' => 'IB_SW_PARTITION_ENFORCE_CAP_F', + 'value' => '99' + }, + '103' => { + 'name' => 'IB_SW_PARTITION_ENF_INB_F', + 'value' => '100' + }, + '104' => { + 'name' => 'IB_SW_PARTITION_ENF_OUTB_F', + 'value' => '101' + }, + '105' => { + 'name' => 'IB_SW_FILTER_RAW_INB_F', + 'value' => '102' + }, + '106' => { + 'name' => 'IB_SW_FILTER_RAW_OUTB_F', + 'value' => '103' + }, + '107' => { + 'name' => 'IB_SW_ENHANCED_PORT0_F', + 'value' => '104' + }, + '108' => { + 'name' => 'IB_SW_MCAST_FDB_TOP_F', + 'value' => '105' + }, + '109' => { + 'name' => 'IB_SW_LAST_F', + 'value' => '106' + }, + '11' => { + 'name' => 'IB_DRSMP_STATUS_F', + 'value' => '11' + }, + '110' => { + 'name' => 'IB_LINEAR_FORW_TBL_F', + 'value' => '107' + }, + '111' => { + 'name' => 'IB_MULTICAST_FORW_TBL_F', + 'value' => '108' + }, + '112' => { + 'name' => 'IB_NODE_DESC_F', + 'value' => '109' + }, + '113' => { + 'name' => 'IB_NOTICE_IS_GENERIC_F', + 'value' => '110' + }, + '114' => { + 'name' => 'IB_NOTICE_TYPE_F', + 'value' => '111' + }, + '115' => { + 'name' => 'IB_NOTICE_PRODUCER_F', + 'value' => '112' + }, + '116' => { + 'name' => 'IB_NOTICE_TRAP_NUMBER_F', + 'value' => '113' + }, + '117' => { + 'name' => 'IB_NOTICE_ISSUER_LID_F', + 'value' => '114' + }, + '118' => { + 'name' => 'IB_NOTICE_TOGGLE_F', + 'value' => '115' + }, + '119' => { + 'name' => 'IB_NOTICE_COUNT_F', + 'value' => '116' + }, + '12' => { + 'name' => 'IB_DRSMP_DIRECTION_F', + 'value' => '12' + }, + '120' => { + 'name' => 'IB_NOTICE_DATA_DETAILS_F', + 'value' => '117' + }, + '121' => { + 'name' => 'IB_NOTICE_DATA_LID_F', + 'value' => '118' + }, + '122' => { + 'name' => 'IB_NOTICE_DATA_144_LID_F', + 'value' => '119' + }, + '123' => { + 'name' => 'IB_NOTICE_DATA_144_CAPMASK_F', + 'value' => '120' + }, + '124' => { + 'name' => 'IB_PC_FIRST_F', + 'value' => '121' + }, + '125' => { + 'name' => 'IB_PC_PORT_SELECT_F', + 'value' => '121' + }, + '126' => { + 'name' => 'IB_PC_COUNTER_SELECT_F', + 'value' => '122' + }, + '127' => { + 'name' => 'IB_PC_ERR_SYM_F', + 'value' => '123' + }, + '128' => { + 'name' => 'IB_PC_LINK_RECOVERS_F', + 'value' => '124' + }, + '129' => { + 'name' => 'IB_PC_LINK_DOWNED_F', + 'value' => '125' + }, + '13' => { + 'name' => 'IB_MAD_TRID_F', + 'value' => '13' + }, + '130' => { + 'name' => 'IB_PC_ERR_RCV_F', + 'value' => '126' + }, + '131' => { + 'name' => 'IB_PC_ERR_PHYSRCV_F', + 'value' => '127' + }, + '132' => { + 'name' => 'IB_PC_ERR_SWITCH_REL_F', + 'value' => '128' + }, + '133' => { + 'name' => 'IB_PC_XMT_DISCARDS_F', + 'value' => '129' + }, + '134' => { + 'name' => 'IB_PC_ERR_XMTCONSTR_F', + 'value' => '130' + }, + '135' => { + 'name' => 'IB_PC_ERR_RCVCONSTR_F', + 'value' => '131' + }, + '136' => { + 'name' => 'IB_PC_COUNTER_SELECT2_F', + 'value' => '132' + }, + '137' => { + 'name' => 'IB_PC_ERR_LOCALINTEG_F', + 'value' => '133' + }, + '138' => { + 'name' => 'IB_PC_ERR_EXCESS_OVR_F', + 'value' => '134' + }, + '139' => { + 'name' => 'IB_PC_VL15_DROPPED_F', + 'value' => '135' + }, + '14' => { + 'name' => 'IB_MAD_ATTRID_F', + 'value' => '14' + }, + '140' => { + 'name' => 'IB_PC_XMT_BYTES_F', + 'value' => '136' + }, + '141' => { + 'name' => 'IB_PC_RCV_BYTES_F', + 'value' => '137' + }, + '142' => { + 'name' => 'IB_PC_XMT_PKTS_F', + 'value' => '138' + }, + '143' => { + 'name' => 'IB_PC_RCV_PKTS_F', + 'value' => '139' + }, + '144' => { + 'name' => 'IB_PC_XMT_WAIT_F', + 'value' => '140' + }, + '145' => { + 'name' => 'IB_PC_LAST_F', + 'value' => '141' + }, + '146' => { + 'name' => 'IB_SMINFO_GUID_F', + 'value' => '142' + }, + '147' => { + 'name' => 'IB_SMINFO_KEY_F', + 'value' => '143' + }, + '148' => { + 'name' => 'IB_SMINFO_ACT_F', + 'value' => '144' + }, + '149' => { + 'name' => 'IB_SMINFO_PRIO_F', + 'value' => '145' + }, + '15' => { + 'name' => 'IB_MAD_ATTRMOD_F', + 'value' => '15' + }, + '150' => { + 'name' => 'IB_SMINFO_STATE_F', + 'value' => '146' + }, + '151' => { + 'name' => 'IB_SA_RMPP_VERS_F', + 'value' => '147' + }, + '152' => { + 'name' => 'IB_SA_RMPP_TYPE_F', + 'value' => '148' + }, + '153' => { + 'name' => 'IB_SA_RMPP_RESP_F', + 'value' => '149' + }, + '154' => { + 'name' => 'IB_SA_RMPP_FLAGS_F', + 'value' => '150' + }, + '155' => { + 'name' => 'IB_SA_RMPP_STATUS_F', + 'value' => '151' + }, + '156' => { + 'name' => 'IB_SA_RMPP_D1_F', + 'value' => '152' + }, + '157' => { + 'name' => 'IB_SA_RMPP_SEGNUM_F', + 'value' => '153' + }, + '158' => { + 'name' => 'IB_SA_RMPP_D2_F', + 'value' => '154' + }, + '159' => { + 'name' => 'IB_SA_RMPP_LEN_F', + 'value' => '155' + }, + '16' => { + 'name' => 'IB_MAD_MKEY_F', + 'value' => '16' + }, + '160' => { + 'name' => 'IB_SA_RMPP_NEWWIN_F', + 'value' => '156' + }, + '161' => { + 'name' => 'IB_SA_MP_NPATH_F', + 'value' => '157' + }, + '162' => { + 'name' => 'IB_SA_MP_NSRC_F', + 'value' => '158' + }, + '163' => { + 'name' => 'IB_SA_MP_NDEST_F', + 'value' => '159' + }, + '164' => { + 'name' => 'IB_SA_MP_GID0_F', + 'value' => '160' + }, + '165' => { + 'name' => 'IB_SA_PR_DGID_F', + 'value' => '161' + }, + '166' => { + 'name' => 'IB_SA_PR_SGID_F', + 'value' => '162' + }, + '167' => { + 'name' => 'IB_SA_PR_DLID_F', + 'value' => '163' + }, + '168' => { + 'name' => 'IB_SA_PR_SLID_F', + 'value' => '164' + }, + '169' => { + 'name' => 'IB_SA_PR_NPATH_F', + 'value' => '165' + }, + '17' => { + 'name' => 'IB_DRSMP_DRDLID_F', + 'value' => '17' + }, + '170' => { + 'name' => 'IB_SA_PR_SL_F', + 'value' => '166' + }, + '171' => { + 'name' => 'IB_SA_MCM_MGID_F', + 'value' => '167' + }, + '172' => { + 'name' => 'IB_SA_MCM_PORTGID_F', + 'value' => '168' + }, + '173' => { + 'name' => 'IB_SA_MCM_QKEY_F', + 'value' => '169' + }, + '174' => { + 'name' => 'IB_SA_MCM_MLID_F', + 'value' => '170' + }, + '175' => { + 'name' => 'IB_SA_MCM_SL_F', + 'value' => '171' + }, + '176' => { + 'name' => 'IB_SA_MCM_MTU_F', + 'value' => '172' + }, + '177' => { + 'name' => 'IB_SA_MCM_RATE_F', + 'value' => '173' + }, + '178' => { + 'name' => 'IB_SA_MCM_TCLASS_F', + 'value' => '174' + }, + '179' => { + 'name' => 'IB_SA_MCM_PKEY_F', + 'value' => '175' + }, + '18' => { + 'name' => 'IB_DRSMP_DRSLID_F', + 'value' => '18' + }, + '180' => { + 'name' => 'IB_SA_MCM_FLOW_LABEL_F', + 'value' => '176' + }, + '181' => { + 'name' => 'IB_SA_MCM_JOIN_STATE_F', + 'value' => '177' + }, + '182' => { + 'name' => 'IB_SA_MCM_PROXY_JOIN_F', + 'value' => '178' + }, + '183' => { + 'name' => 'IB_SA_SR_ID_F', + 'value' => '179' + }, + '184' => { + 'name' => 'IB_SA_SR_GID_F', + 'value' => '180' + }, + '185' => { + 'name' => 'IB_SA_SR_PKEY_F', + 'value' => '181' + }, + '186' => { + 'name' => 'IB_SA_SR_LEASE_F', + 'value' => '182' + }, + '187' => { + 'name' => 'IB_SA_SR_KEY_F', + 'value' => '183' + }, + '188' => { + 'name' => 'IB_SA_SR_NAME_F', + 'value' => '184' + }, + '189' => { + 'name' => 'IB_SA_SR_DATA_F', + 'value' => '185' + }, + '19' => { + 'name' => 'IB_SA_MKEY_F', + 'value' => '19' + }, + '190' => { + 'name' => 'IB_ATS_SM_NODE_ADDR_F', + 'value' => '186' + }, + '191' => { + 'name' => 'IB_ATS_SM_MAGIC_KEY_F', + 'value' => '187' + }, + '192' => { + 'name' => 'IB_ATS_SM_NODE_TYPE_F', + 'value' => '188' + }, + '193' => { + 'name' => 'IB_ATS_SM_NODE_NAME_F', + 'value' => '189' + }, + '194' => { + 'name' => 'IB_SLTOVL_MAPPING_TABLE_F', + 'value' => '190' + }, + '195' => { + 'name' => 'IB_VL_ARBITRATION_TABLE_F', + 'value' => '191' + }, + '196' => { + 'name' => 'IB_VEND2_OUI_F', + 'value' => '192' + }, + '197' => { + 'name' => 'IB_VEND2_DATA_F', + 'value' => '193' + }, + '198' => { + 'name' => 'IB_PC_EXT_FIRST_F', + 'value' => '194' + }, + '199' => { + 'name' => 'IB_PC_EXT_PORT_SELECT_F', + 'value' => '194' + }, + '2' => { + 'name' => 'IB_GID_GUID_F', + 'value' => '2' + }, + '20' => { + 'name' => 'IB_SA_ATTROFFS_F', + 'value' => '20' + }, + '200' => { + 'name' => 'IB_PC_EXT_COUNTER_SELECT_F', + 'value' => '195' + }, + '201' => { + 'name' => 'IB_PC_EXT_XMT_BYTES_F', + 'value' => '196' + }, + '202' => { + 'name' => 'IB_PC_EXT_RCV_BYTES_F', + 'value' => '197' + }, + '203' => { + 'name' => 'IB_PC_EXT_XMT_PKTS_F', + 'value' => '198' + }, + '204' => { + 'name' => 'IB_PC_EXT_RCV_PKTS_F', + 'value' => '199' + }, + '205' => { + 'name' => 'IB_PC_EXT_XMT_UPKTS_F', + 'value' => '200' + }, + '206' => { + 'name' => 'IB_PC_EXT_RCV_UPKTS_F', + 'value' => '201' + }, + '207' => { + 'name' => 'IB_PC_EXT_XMT_MPKTS_F', + 'value' => '202' + }, + '208' => { + 'name' => 'IB_PC_EXT_RCV_MPKTS_F', + 'value' => '203' + }, + '209' => { + 'name' => 'IB_PC_EXT_LAST_F', + 'value' => '204' + }, + '21' => { + 'name' => 'IB_SA_COMPMASK_F', + 'value' => '21' + }, + '210' => { + 'name' => 'IB_GUID_GUID0_F', + 'value' => '205' + }, + '211' => { + 'name' => 'IB_CPI_BASEVER_F', + 'value' => '206' + }, + '212' => { + 'name' => 'IB_CPI_CLASSVER_F', + 'value' => '207' + }, + '213' => { + 'name' => 'IB_CPI_CAPMASK_F', + 'value' => '208' + }, + '214' => { + 'name' => 'IB_CPI_CAPMASK2_F', + 'value' => '209' + }, + '215' => { + 'name' => 'IB_CPI_RESP_TIME_VALUE_F', + 'value' => '210' + }, + '216' => { + 'name' => 'IB_CPI_REDIRECT_GID_F', + 'value' => '211' + }, + '217' => { + 'name' => 'IB_CPI_REDIRECT_TC_F', + 'value' => '212' + }, + '218' => { + 'name' => 'IB_CPI_REDIRECT_SL_F', + 'value' => '213' + }, + '219' => { + 'name' => 'IB_CPI_REDIRECT_FL_F', + 'value' => '214' + }, + '22' => { + 'name' => 'IB_SA_DATA_F', + 'value' => '22' + }, + '220' => { + 'name' => 'IB_CPI_REDIRECT_LID_F', + 'value' => '215' + }, + '221' => { + 'name' => 'IB_CPI_REDIRECT_PKEY_F', + 'value' => '216' + }, + '222' => { + 'name' => 'IB_CPI_REDIRECT_QP_F', + 'value' => '217' + }, + '223' => { + 'name' => 'IB_CPI_REDIRECT_QKEY_F', + 'value' => '218' + }, + '224' => { + 'name' => 'IB_CPI_TRAP_GID_F', + 'value' => '219' + }, + '225' => { + 'name' => 'IB_CPI_TRAP_TC_F', + 'value' => '220' + }, + '226' => { + 'name' => 'IB_CPI_TRAP_SL_F', + 'value' => '221' + }, + '227' => { + 'name' => 'IB_CPI_TRAP_FL_F', + 'value' => '222' + }, + '228' => { + 'name' => 'IB_CPI_TRAP_LID_F', + 'value' => '223' + }, + '229' => { + 'name' => 'IB_CPI_TRAP_PKEY_F', + 'value' => '224' + }, + '23' => { + 'name' => 'IB_SM_DATA_F', + 'value' => '23' + }, + '230' => { + 'name' => 'IB_CPI_TRAP_HL_F', + 'value' => '225' + }, + '231' => { + 'name' => 'IB_CPI_TRAP_QP_F', + 'value' => '226' + }, + '232' => { + 'name' => 'IB_CPI_TRAP_QKEY_F', + 'value' => '227' + }, + '233' => { + 'name' => 'IB_PC_XMT_DATA_SL_FIRST_F', + 'value' => '228' + }, + '234' => { + 'name' => 'IB_PC_XMT_DATA_SL0_F', + 'value' => '228' + }, + '235' => { + 'name' => 'IB_PC_XMT_DATA_SL1_F', + 'value' => '229' + }, + '236' => { + 'name' => 'IB_PC_XMT_DATA_SL2_F', + 'value' => '230' + }, + '237' => { + 'name' => 'IB_PC_XMT_DATA_SL3_F', + 'value' => '231' + }, + '238' => { + 'name' => 'IB_PC_XMT_DATA_SL4_F', + 'value' => '232' + }, + '239' => { + 'name' => 'IB_PC_XMT_DATA_SL5_F', + 'value' => '233' + }, + '24' => { + 'name' => 'IB_GS_DATA_F', + 'value' => '24' + }, + '240' => { + 'name' => 'IB_PC_XMT_DATA_SL6_F', + 'value' => '234' + }, + '241' => { + 'name' => 'IB_PC_XMT_DATA_SL7_F', + 'value' => '235' + }, + '242' => { + 'name' => 'IB_PC_XMT_DATA_SL8_F', + 'value' => '236' + }, + '243' => { + 'name' => 'IB_PC_XMT_DATA_SL9_F', + 'value' => '237' + }, + '244' => { + 'name' => 'IB_PC_XMT_DATA_SL10_F', + 'value' => '238' + }, + '245' => { + 'name' => 'IB_PC_XMT_DATA_SL11_F', + 'value' => '239' + }, + '246' => { + 'name' => 'IB_PC_XMT_DATA_SL12_F', + 'value' => '240' + }, + '247' => { + 'name' => 'IB_PC_XMT_DATA_SL13_F', + 'value' => '241' + }, + '248' => { + 'name' => 'IB_PC_XMT_DATA_SL14_F', + 'value' => '242' + }, + '249' => { + 'name' => 'IB_PC_XMT_DATA_SL15_F', + 'value' => '243' + }, + '25' => { + 'name' => 'IB_DRSMP_PATH_F', + 'value' => '25' + }, + '250' => { + 'name' => 'IB_PC_XMT_DATA_SL_LAST_F', + 'value' => '244' + }, + '251' => { + 'name' => 'IB_PC_RCV_DATA_SL_FIRST_F', + 'value' => '245' + }, + '252' => { + 'name' => 'IB_PC_RCV_DATA_SL0_F', + 'value' => '245' + }, + '253' => { + 'name' => 'IB_PC_RCV_DATA_SL1_F', + 'value' => '246' + }, + '254' => { + 'name' => 'IB_PC_RCV_DATA_SL2_F', + 'value' => '247' + }, + '255' => { + 'name' => 'IB_PC_RCV_DATA_SL3_F', + 'value' => '248' + }, + '256' => { + 'name' => 'IB_PC_RCV_DATA_SL4_F', + 'value' => '249' + }, + '257' => { + 'name' => 'IB_PC_RCV_DATA_SL5_F', + 'value' => '250' + }, + '258' => { + 'name' => 'IB_PC_RCV_DATA_SL6_F', + 'value' => '251' + }, + '259' => { + 'name' => 'IB_PC_RCV_DATA_SL7_F', + 'value' => '252' + }, + '26' => { + 'name' => 'IB_DRSMP_RPATH_F', + 'value' => '26' + }, + '260' => { + 'name' => 'IB_PC_RCV_DATA_SL8_F', + 'value' => '253' + }, + '261' => { + 'name' => 'IB_PC_RCV_DATA_SL9_F', + 'value' => '254' + }, + '262' => { + 'name' => 'IB_PC_RCV_DATA_SL10_F', + 'value' => '255' + }, + '263' => { + 'name' => 'IB_PC_RCV_DATA_SL11_F', + 'value' => '256' + }, + '264' => { + 'name' => 'IB_PC_RCV_DATA_SL12_F', + 'value' => '257' + }, + '265' => { + 'name' => 'IB_PC_RCV_DATA_SL13_F', + 'value' => '258' + }, + '266' => { + 'name' => 'IB_PC_RCV_DATA_SL14_F', + 'value' => '259' + }, + '267' => { + 'name' => 'IB_PC_RCV_DATA_SL15_F', + 'value' => '260' + }, + '268' => { + 'name' => 'IB_PC_RCV_DATA_SL_LAST_F', + 'value' => '261' + }, + '269' => { + 'name' => 'IB_PC_XMT_INACT_DISC_F', + 'value' => '262' + }, + '27' => { + 'name' => 'IB_PORT_FIRST_F', + 'value' => '27' + }, + '270' => { + 'name' => 'IB_PC_XMT_NEIGH_MTU_DISC_F', + 'value' => '263' + }, + '271' => { + 'name' => 'IB_PC_XMT_SW_LIFE_DISC_F', + 'value' => '264' + }, + '272' => { + 'name' => 'IB_PC_XMT_SW_HOL_DISC_F', + 'value' => '265' + }, + '273' => { + 'name' => 'IB_PC_XMT_DISC_LAST_F', + 'value' => '266' + }, + '274' => { + 'name' => 'IB_PC_RCV_LOCAL_PHY_ERR_F', + 'value' => '267' + }, + '275' => { + 'name' => 'IB_PC_RCV_MALFORMED_PKT_ERR_F', + 'value' => '268' + }, + '276' => { + 'name' => 'IB_PC_RCV_BUF_OVR_ERR_F', + 'value' => '269' + }, + '277' => { + 'name' => 'IB_PC_RCV_DLID_MAP_ERR_F', + 'value' => '270' + }, + '278' => { + 'name' => 'IB_PC_RCV_VL_MAP_ERR_F', + 'value' => '271' + }, + '279' => { + 'name' => 'IB_PC_RCV_LOOPING_ERR_F', + 'value' => '272' + }, + '28' => { + 'name' => 'IB_PORT_MKEY_F', + 'value' => '27' + }, + '280' => { + 'name' => 'IB_PC_RCV_ERR_LAST_F', + 'value' => '273' + }, + '281' => { + 'name' => 'IB_PSC_OPCODE_F', + 'value' => '274' + }, + '282' => { + 'name' => 'IB_PSC_PORT_SELECT_F', + 'value' => '275' + }, + '283' => { + 'name' => 'IB_PSC_TICK_F', + 'value' => '276' + }, + '284' => { + 'name' => 'IB_PSC_COUNTER_WIDTH_F', + 'value' => '277' + }, + '285' => { + 'name' => 'IB_PSC_COUNTER_MASK0_F', + 'value' => '278' + }, + '286' => { + 'name' => 'IB_PSC_COUNTER_MASKS1TO9_F', + 'value' => '279' + }, + '287' => { + 'name' => 'IB_PSC_COUNTER_MASKS10TO14_F', + 'value' => '280' + }, + '288' => { + 'name' => 'IB_PSC_SAMPLE_MECHS_F', + 'value' => '281' + }, + '289' => { + 'name' => 'IB_PSC_SAMPLE_STATUS_F', + 'value' => '282' + }, + '29' => { + 'name' => 'IB_PORT_GID_PREFIX_F', + 'value' => '28' + }, + '290' => { + 'name' => 'IB_PSC_OPTION_MASK_F', + 'value' => '283' + }, + '291' => { + 'name' => 'IB_PSC_VENDOR_MASK_F', + 'value' => '284' + }, + '292' => { + 'name' => 'IB_PSC_SAMPLE_START_F', + 'value' => '285' + }, + '293' => { + 'name' => 'IB_PSC_SAMPLE_INTVL_F', + 'value' => '286' + }, + '294' => { + 'name' => 'IB_PSC_TAG_F', + 'value' => '287' + }, + '295' => { + 'name' => 'IB_PSC_COUNTER_SEL0_F', + 'value' => '288' + }, + '296' => { + 'name' => 'IB_PSC_COUNTER_SEL1_F', + 'value' => '289' + }, + '297' => { + 'name' => 'IB_PSC_COUNTER_SEL2_F', + 'value' => '290' + }, + '298' => { + 'name' => 'IB_PSC_COUNTER_SEL3_F', + 'value' => '291' + }, + '299' => { + 'name' => 'IB_PSC_COUNTER_SEL4_F', + 'value' => '292' + }, + '3' => { + 'name' => 'IB_MAD_METHOD_F', + 'value' => '3' + }, + '30' => { + 'name' => 'IB_PORT_LID_F', + 'value' => '29' + }, + '300' => { + 'name' => 'IB_PSC_COUNTER_SEL5_F', + 'value' => '293' + }, + '301' => { + 'name' => 'IB_PSC_COUNTER_SEL6_F', + 'value' => '294' + }, + '302' => { + 'name' => 'IB_PSC_COUNTER_SEL7_F', + 'value' => '295' + }, + '303' => { + 'name' => 'IB_PSC_COUNTER_SEL8_F', + 'value' => '296' + }, + '304' => { + 'name' => 'IB_PSC_COUNTER_SEL9_F', + 'value' => '297' + }, + '305' => { + 'name' => 'IB_PSC_COUNTER_SEL10_F', + 'value' => '298' + }, + '306' => { + 'name' => 'IB_PSC_COUNTER_SEL11_F', + 'value' => '299' + }, + '307' => { + 'name' => 'IB_PSC_COUNTER_SEL12_F', + 'value' => '300' + }, + '308' => { + 'name' => 'IB_PSC_COUNTER_SEL13_F', + 'value' => '301' + }, + '309' => { + 'name' => 'IB_PSC_COUNTER_SEL14_F', + 'value' => '302' + }, + '31' => { + 'name' => 'IB_PORT_SMLID_F', + 'value' => '30' + }, + '310' => { + 'name' => 'IB_PSC_SAMPLES_ONLY_OPT_MASK_F', + 'value' => '303' + }, + '311' => { + 'name' => 'IB_PSC_LAST_F', + 'value' => '304' + }, + '312' => { + 'name' => 'IB_GI_GUID0_F', + 'value' => '305' + }, + '313' => { + 'name' => 'IB_GI_GUID1_F', + 'value' => '306' + }, + '314' => { + 'name' => 'IB_GI_GUID2_F', + 'value' => '307' + }, + '315' => { + 'name' => 'IB_GI_GUID3_F', + 'value' => '308' + }, + '316' => { + 'name' => 'IB_GI_GUID4_F', + 'value' => '309' + }, + '317' => { + 'name' => 'IB_GI_GUID5_F', + 'value' => '310' + }, + '318' => { + 'name' => 'IB_GI_GUID6_F', + 'value' => '311' + }, + '319' => { + 'name' => 'IB_GI_GUID7_F', + 'value' => '312' + }, + '32' => { + 'name' => 'IB_PORT_CAPMASK_F', + 'value' => '31' + }, + '320' => { + 'name' => 'IB_SA_GIR_LID_F', + 'value' => '313' + }, + '321' => { + 'name' => 'IB_SA_GIR_BLOCKNUM_F', + 'value' => '314' + }, + '322' => { + 'name' => 'IB_SA_GIR_GUID0_F', + 'value' => '315' + }, + '323' => { + 'name' => 'IB_SA_GIR_GUID1_F', + 'value' => '316' + }, + '324' => { + 'name' => 'IB_SA_GIR_GUID2_F', + 'value' => '317' + }, + '325' => { + 'name' => 'IB_SA_GIR_GUID3_F', + 'value' => '318' + }, + '326' => { + 'name' => 'IB_SA_GIR_GUID4_F', + 'value' => '319' + }, + '327' => { + 'name' => 'IB_SA_GIR_GUID5_F', + 'value' => '320' + }, + '328' => { + 'name' => 'IB_SA_GIR_GUID6_F', + 'value' => '321' + }, + '329' => { + 'name' => 'IB_SA_GIR_GUID7_F', + 'value' => '322' + }, + '33' => { + 'name' => 'IB_PORT_DIAG_F', + 'value' => '32' + }, + '330' => { + 'name' => 'IB_PORT_CAPMASK2_F', + 'value' => '323' + }, + '331' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_ACTIVE_F', + 'value' => '324' + }, + '332' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_SUPPORTED_F', + 'value' => '325' + }, + '333' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_ENABLED_F', + 'value' => '326' + }, + '334' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_LAST_F', + 'value' => '327' + }, + '335' => { + 'name' => 'IB_PESC_PORT_SELECT_F', + 'value' => '328' + }, + '336' => { + 'name' => 'IB_PESC_COUNTER_SELECT_F', + 'value' => '329' + }, + '337' => { + 'name' => 'IB_PESC_SYNC_HDR_ERR_CTR_F', + 'value' => '330' + }, + '338' => { + 'name' => 'IB_PESC_UNK_BLOCK_CTR_F', + 'value' => '331' + }, + '339' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE0_F', + 'value' => '332' + }, + '34' => { + 'name' => 'IB_PORT_MKEY_LEASE_F', + 'value' => '33' + }, + '340' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE1_F', + 'value' => '333' + }, + '341' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE2_F', + 'value' => '334' + }, + '342' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE3_F', + 'value' => '335' + }, + '343' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE4_F', + 'value' => '336' + }, + '344' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE5_F', + 'value' => '337' + }, + '345' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE6_F', + 'value' => '338' + }, + '346' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE7_F', + 'value' => '339' + }, + '347' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE8_F', + 'value' => '340' + }, + '348' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE9_F', + 'value' => '341' + }, + '349' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE10_F', + 'value' => '342' + }, + '35' => { + 'name' => 'IB_PORT_LOCAL_PORT_F', + 'value' => '34' + }, + '350' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE11_F', + 'value' => '343' + }, + '351' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE0_F', + 'value' => '344' + }, + '352' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE1_F', + 'value' => '345' + }, + '353' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE2_F', + 'value' => '346' + }, + '354' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE3_F', + 'value' => '347' + }, + '355' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE4_F', + 'value' => '348' + }, + '356' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE5_F', + 'value' => '349' + }, + '357' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE6_F', + 'value' => '350' + }, + '358' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE7_F', + 'value' => '351' + }, + '359' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE8_F', + 'value' => '352' + }, + '36' => { + 'name' => 'IB_PORT_LINK_WIDTH_ENABLED_F', + 'value' => '35' + }, + '360' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE9_F', + 'value' => '353' + }, + '361' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE10_F', + 'value' => '354' + }, + '362' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE11_F', + 'value' => '355' + }, + '363' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE0_F', + 'value' => '356' + }, + '364' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE1_F', + 'value' => '357' + }, + '365' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE2_F', + 'value' => '358' + }, + '366' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE3_F', + 'value' => '359' + }, + '367' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE4_F', + 'value' => '360' + }, + '368' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE5_F', + 'value' => '361' + }, + '369' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE6_F', + 'value' => '362' + }, + '37' => { + 'name' => 'IB_PORT_LINK_WIDTH_SUPPORTED_F', + 'value' => '36' + }, + '370' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE7_F', + 'value' => '363' + }, + '371' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE8_F', + 'value' => '364' + }, + '372' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE9_F', + 'value' => '365' + }, + '373' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE10_F', + 'value' => '366' + }, + '374' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE11_F', + 'value' => '367' + }, + '375' => { + 'name' => 'IB_PESC_LAST_F', + 'value' => '368' + }, + '376' => { + 'name' => 'IB_PC_PORT_OP_RCV_COUNTERS_FIRST_F', + 'value' => '369' + }, + '377' => { + 'name' => 'IB_PC_PORT_OP_RCV_PKTS_F', + 'value' => '369' + }, + '378' => { + 'name' => 'IB_PC_PORT_OP_RCV_DATA_F', + 'value' => '370' + }, + '379' => { + 'name' => 'IB_PC_PORT_OP_RCV_COUNTERS_LAST_F', + 'value' => '371' + }, + '38' => { + 'name' => 'IB_PORT_LINK_WIDTH_ACTIVE_F', + 'value' => '37' + }, + '380' => { + 'name' => 'IB_PC_PORT_FLOW_CTL_COUNTERS_FIRST_F', + 'value' => '372' + }, + '381' => { + 'name' => 'IB_PC_PORT_XMIT_FLOW_PKTS_F', + 'value' => '372' + }, + '382' => { + 'name' => 'IB_PC_PORT_RCV_FLOW_PKTS_F', + 'value' => '373' + }, + '383' => { + 'name' => 'IB_PC_PORT_FLOW_CTL_COUNTERS_LAST_F', + 'value' => '374' + }, + '384' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS_FIRST_F', + 'value' => '375' + }, + '385' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS0_F', + 'value' => '375' + }, + '386' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS1_F', + 'value' => '376' + }, + '387' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS2_F', + 'value' => '377' + }, + '388' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS3_F', + 'value' => '378' + }, + '389' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS4_F', + 'value' => '379' + }, + '39' => { + 'name' => 'IB_PORT_LINK_SPEED_SUPPORTED_F', + 'value' => '38' + }, + '390' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS5_F', + 'value' => '380' + }, + '391' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS6_F', + 'value' => '381' + }, + '392' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS7_F', + 'value' => '382' + }, + '393' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS8_F', + 'value' => '383' + }, + '394' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS9_F', + 'value' => '384' + }, + '395' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS10_F', + 'value' => '385' + }, + '396' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS11_F', + 'value' => '386' + }, + '397' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS12_F', + 'value' => '387' + }, + '398' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS13_F', + 'value' => '388' + }, + '399' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS14_F', + 'value' => '389' + }, + '4' => { + 'name' => 'IB_MAD_RESPONSE_F', + 'value' => '4' + }, + '40' => { + 'name' => 'IB_PORT_STATE_F', + 'value' => '39' + }, + '400' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS15_F', + 'value' => '390' + }, + '401' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS_LAST_F', + 'value' => '391' + }, + '402' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA_FIRST_F', + 'value' => '392' + }, + '403' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA0_F', + 'value' => '392' + }, + '404' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA1_F', + 'value' => '393' + }, + '405' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA2_F', + 'value' => '394' + }, + '406' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA3_F', + 'value' => '395' + }, + '407' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA4_F', + 'value' => '396' + }, + '408' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA5_F', + 'value' => '397' + }, + '409' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA6_F', + 'value' => '398' + }, + '41' => { + 'name' => 'IB_PORT_PHYS_STATE_F', + 'value' => '40' + }, + '410' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA7_F', + 'value' => '399' + }, + '411' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA8_F', + 'value' => '400' + }, + '412' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA9_F', + 'value' => '401' + }, + '413' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA10_F', + 'value' => '402' + }, + '414' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA11_F', + 'value' => '403' + }, + '415' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA12_F', + 'value' => '404' + }, + '416' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA13_F', + 'value' => '405' + }, + '417' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA14_F', + 'value' => '406' + }, + '418' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA15_F', + 'value' => '407' + }, + '419' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA_LAST_F', + 'value' => '408' + }, + '42' => { + 'name' => 'IB_PORT_LINK_DOWN_DEF_F', + 'value' => '41' + }, + '420' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS_FIRST_F', + 'value' => '409' + }, + '421' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS0_F', + 'value' => '409' + }, + '422' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS1_F', + 'value' => '410' + }, + '423' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS2_F', + 'value' => '411' + }, + '424' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS3_F', + 'value' => '412' + }, + '425' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS4_F', + 'value' => '413' + }, + '426' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS5_F', + 'value' => '414' + }, + '427' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS6_F', + 'value' => '415' + }, + '428' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS7_F', + 'value' => '416' + }, + '429' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS8_F', + 'value' => '417' + }, + '43' => { + 'name' => 'IB_PORT_MKEY_PROT_BITS_F', + 'value' => '42' + }, + '430' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS9_F', + 'value' => '418' + }, + '431' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS10_F', + 'value' => '419' + }, + '432' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS11_F', + 'value' => '420' + }, + '433' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS12_F', + 'value' => '421' + }, + '434' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS13_F', + 'value' => '422' + }, + '435' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS14_F', + 'value' => '423' + }, + '436' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS15_F', + 'value' => '424' + }, + '437' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS_LAST_F', + 'value' => '425' + }, + '438' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT_COUNTERS_FIRST_F', + 'value' => '426' + }, + '439' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT0_F', + 'value' => '426' + }, + '44' => { + 'name' => 'IB_PORT_LMC_F', + 'value' => '43' + }, + '440' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT1_F', + 'value' => '427' + }, + '441' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT2_F', + 'value' => '428' + }, + '442' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT3_F', + 'value' => '429' + }, + '443' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT4_F', + 'value' => '430' + }, + '444' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT5_F', + 'value' => '431' + }, + '445' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT6_F', + 'value' => '432' + }, + '446' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT7_F', + 'value' => '433' + }, + '447' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT8_F', + 'value' => '434' + }, + '448' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT9_F', + 'value' => '435' + }, + '449' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT10_F', + 'value' => '436' + }, + '45' => { + 'name' => 'IB_PORT_LINK_SPEED_ACTIVE_F', + 'value' => '44' + }, + '450' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT11_F', + 'value' => '437' + }, + '451' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT12_F', + 'value' => '438' + }, + '452' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT13_F', + 'value' => '439' + }, + '453' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT14_F', + 'value' => '440' + }, + '454' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT15_F', + 'value' => '441' + }, + '455' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT_COUNTERS_LAST_F', + 'value' => '442' + }, + '456' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION_FIRST_F', + 'value' => '443' + }, + '457' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION0_F', + 'value' => '443' + }, + '458' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION1_F', + 'value' => '444' + }, + '459' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION2_F', + 'value' => '445' + }, + '46' => { + 'name' => 'IB_PORT_LINK_SPEED_ENABLED_F', + 'value' => '45' + }, + '460' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION3_F', + 'value' => '446' + }, + '461' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION4_F', + 'value' => '447' + }, + '462' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION5_F', + 'value' => '448' + }, + '463' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION6_F', + 'value' => '449' + }, + '464' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION7_F', + 'value' => '450' + }, + '465' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION8_F', + 'value' => '451' + }, + '466' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION9_F', + 'value' => '452' + }, + '467' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION10_F', + 'value' => '453' + }, + '468' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION11_F', + 'value' => '454' + }, + '469' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION12_F', + 'value' => '455' + }, + '47' => { + 'name' => 'IB_PORT_NEIGHBOR_MTU_F', + 'value' => '46' + }, + '470' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION13_F', + 'value' => '456' + }, + '471' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION14_F', + 'value' => '457' + }, + '472' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION15_F', + 'value' => '458' + }, + '473' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION_LAST_F', + 'value' => '459' + }, + '474' => { + 'name' => 'IB_PC_RCV_CON_CTRL_FIRST_F', + 'value' => '460' + }, + '475' => { + 'name' => 'IB_PC_RCV_CON_CTRL_PKT_RCV_FECN_F', + 'value' => '460' + }, + '476' => { + 'name' => 'IB_PC_RCV_CON_CTRL_PKT_RCV_BECN_F', + 'value' => '461' + }, + '477' => { + 'name' => 'IB_PC_RCV_CON_CTRL_LAST_F', + 'value' => '462' + }, + '478' => { + 'name' => 'IB_PC_SL_RCV_FECN_FIRST_F', + 'value' => '463' + }, + '479' => { + 'name' => 'IB_PC_SL_RCV_FECN0_F', + 'value' => '463' + }, + '48' => { + 'name' => 'IB_PORT_SMSL_F', + 'value' => '47' + }, + '480' => { + 'name' => 'IB_PC_SL_RCV_FECN1_F', + 'value' => '464' + }, + '481' => { + 'name' => 'IB_PC_SL_RCV_FECN2_F', + 'value' => '465' + }, + '482' => { + 'name' => 'IB_PC_SL_RCV_FECN3_F', + 'value' => '466' + }, + '483' => { + 'name' => 'IB_PC_SL_RCV_FECN4_F', + 'value' => '467' + }, + '484' => { + 'name' => 'IB_PC_SL_RCV_FECN5_F', + 'value' => '468' + }, + '485' => { + 'name' => 'IB_PC_SL_RCV_FECN6_F', + 'value' => '469' + }, + '486' => { + 'name' => 'IB_PC_SL_RCV_FECN7_F', + 'value' => '470' + }, + '487' => { + 'name' => 'IB_PC_SL_RCV_FECN8_F', + 'value' => '471' + }, + '488' => { + 'name' => 'IB_PC_SL_RCV_FECN9_F', + 'value' => '472' + }, + '489' => { + 'name' => 'IB_PC_SL_RCV_FECN10_F', + 'value' => '473' + }, + '49' => { + 'name' => 'IB_PORT_VL_CAP_F', + 'value' => '48' + }, + '490' => { + 'name' => 'IB_PC_SL_RCV_FECN11_F', + 'value' => '474' + }, + '491' => { + 'name' => 'IB_PC_SL_RCV_FECN12_F', + 'value' => '475' + }, + '492' => { + 'name' => 'IB_PC_SL_RCV_FECN13_F', + 'value' => '476' + }, + '493' => { + 'name' => 'IB_PC_SL_RCV_FECN14_F', + 'value' => '477' + }, + '494' => { + 'name' => 'IB_PC_SL_RCV_FECN15_F', + 'value' => '478' + }, + '495' => { + 'name' => 'IB_PC_SL_RCV_FECN_LAST_F', + 'value' => '479' + }, + '496' => { + 'name' => 'IB_PC_SL_RCV_BECN_FIRST_F', + 'value' => '480' + }, + '497' => { + 'name' => 'IB_PC_SL_RCV_BECN0_F', + 'value' => '480' + }, + '498' => { + 'name' => 'IB_PC_SL_RCV_BECN1_F', + 'value' => '481' + }, + '499' => { + 'name' => 'IB_PC_SL_RCV_BECN2_F', + 'value' => '482' + }, + '5' => { + 'name' => 'IB_MAD_CLASSVER_F', + 'value' => '5' + }, + '50' => { + 'name' => 'IB_PORT_INIT_TYPE_F', + 'value' => '49' + }, + '500' => { + 'name' => 'IB_PC_SL_RCV_BECN3_F', + 'value' => '483' + }, + '501' => { + 'name' => 'IB_PC_SL_RCV_BECN4_F', + 'value' => '484' + }, + '502' => { + 'name' => 'IB_PC_SL_RCV_BECN5_F', + 'value' => '485' + }, + '503' => { + 'name' => 'IB_PC_SL_RCV_BECN6_F', + 'value' => '486' + }, + '504' => { + 'name' => 'IB_PC_SL_RCV_BECN7_F', + 'value' => '487' + }, + '505' => { + 'name' => 'IB_PC_SL_RCV_BECN8_F', + 'value' => '488' + }, + '506' => { + 'name' => 'IB_PC_SL_RCV_BECN9_F', + 'value' => '489' + }, + '507' => { + 'name' => 'IB_PC_SL_RCV_BECN10_F', + 'value' => '490' + }, + '508' => { + 'name' => 'IB_PC_SL_RCV_BECN11_F', + 'value' => '491' + }, + '509' => { + 'name' => 'IB_PC_SL_RCV_BECN12_F', + 'value' => '492' + }, + '51' => { + 'name' => 'IB_PORT_VL_HIGH_LIMIT_F', + 'value' => '50' + }, + '510' => { + 'name' => 'IB_PC_SL_RCV_BECN13_F', + 'value' => '493' + }, + '511' => { + 'name' => 'IB_PC_SL_RCV_BECN14_F', + 'value' => '494' + }, + '512' => { + 'name' => 'IB_PC_SL_RCV_BECN15_F', + 'value' => '495' + }, + '513' => { + 'name' => 'IB_PC_SL_RCV_BECN_LAST_F', + 'value' => '496' + }, + '514' => { + 'name' => 'IB_PC_XMIT_CON_CTRL_FIRST_F', + 'value' => '497' + }, + '515' => { + 'name' => 'IB_PC_XMIT_CON_CTRL_TIME_CONG_F', + 'value' => '497' + }, + '516' => { + 'name' => 'IB_PC_XMIT_CON_CTRL_LAST_F', + 'value' => '498' + }, + '517' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG_FIRST_F', + 'value' => '499' + }, + '518' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG0_F', + 'value' => '499' + }, + '519' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG1_F', + 'value' => '500' + }, + '52' => { + 'name' => 'IB_PORT_VL_ARBITRATION_HIGH_CAP_F', + 'value' => '51' + }, + '520' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG2_F', + 'value' => '501' + }, + '521' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG3_F', + 'value' => '502' + }, + '522' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG4_F', + 'value' => '503' + }, + '523' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG5_F', + 'value' => '504' + }, + '524' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG6_F', + 'value' => '505' + }, + '525' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG7_F', + 'value' => '506' + }, + '526' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG8_F', + 'value' => '507' + }, + '527' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG9_F', + 'value' => '508' + }, + '528' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG10_F', + 'value' => '509' + }, + '529' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG11_F', + 'value' => '510' + }, + '53' => { + 'name' => 'IB_PORT_VL_ARBITRATION_LOW_CAP_F', + 'value' => '52' + }, + '530' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG12_F', + 'value' => '511' + }, + '531' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG13_F', + 'value' => '512' + }, + '532' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG14_F', + 'value' => '513' + }, + '533' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG_LAST_F', + 'value' => '514' + }, + '534' => { + 'name' => 'IB_MLNX_EXT_PORT_STATE_CHG_ENABLE_F', + 'value' => '515' + }, + '535' => { + 'name' => 'IB_MLNX_EXT_PORT_LINK_SPEED_SUPPORTED_F', + 'value' => '516' + }, + '536' => { + 'name' => 'IB_MLNX_EXT_PORT_LINK_SPEED_ENABLED_F', + 'value' => '517' + }, + '537' => { + 'name' => 'IB_MLNX_EXT_PORT_LINK_SPEED_ACTIVE_F', + 'value' => '518' + }, + '538' => { + 'name' => 'IB_MLNX_EXT_PORT_LAST_F', + 'value' => '519' + }, + '539' => { + 'name' => 'IB_CC_CCKEY_F', + 'value' => '520' + }, + '54' => { + 'name' => 'IB_PORT_INIT_TYPE_REPLY_F', + 'value' => '53' + }, + '540' => { + 'name' => 'IB_CC_CONGESTION_INFO_FIRST_F', + 'value' => '521' + }, + '541' => { + 'name' => 'IB_CC_CONGESTION_INFO_F', + 'value' => '521' + }, + '542' => { + 'name' => 'IB_CC_CONGESTION_INFO_CONTROL_TABLE_CAP_F', + 'value' => '522' + }, + '543' => { + 'name' => 'IB_CC_CONGESTION_INFO_LAST_F', + 'value' => '523' + }, + '544' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_FIRST_F', + 'value' => '524' + }, + '545' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_CC_KEY_F', + 'value' => '524' + }, + '546' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_CC_KEY_PROTECT_BIT_F', + 'value' => '525' + }, + '547' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_CC_KEY_LEASE_PERIOD_F', + 'value' => '526' + }, + '548' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_CC_KEY_VIOLATIONS_F', + 'value' => '527' + }, + '549' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_LAST_F', + 'value' => '528' + }, + '55' => { + 'name' => 'IB_PORT_MTU_CAP_F', + 'value' => '54' + }, + '550' => { + 'name' => 'IB_CC_CONGESTION_LOG_FIRST_F', + 'value' => '529' + }, + '551' => { + 'name' => 'IB_CC_CONGESTION_LOG_LOGTYPE_F', + 'value' => '529' + }, + '552' => { + 'name' => 'IB_CC_CONGESTION_LOG_CONGESTION_FLAGS_F', + 'value' => '530' + }, + '553' => { + 'name' => 'IB_CC_CONGESTION_LOG_LAST_F', + 'value' => '531' + }, + '554' => { + 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_FIRST_F', + 'value' => '532' + }, + '555' => { + 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_LOG_EVENTS_COUNTER_F', + 'value' => '532' + }, + '556' => { + 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_CURRENT_TIME_STAMP_F', + 'value' => '533' + }, + '557' => { + 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_PORTMAP_F', + 'value' => '534' + }, + '558' => { + 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_LAST_F', + 'value' => '535' + }, + '559' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_FIRST_F', + 'value' => '536' + }, + '56' => { + 'name' => 'IB_PORT_VL_STALL_COUNT_F', + 'value' => '55' + }, + '560' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_SLID_F', + 'value' => '536' + }, + '561' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_DLID_F', + 'value' => '537' + }, + '562' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_SL_F', + 'value' => '538' + }, + '563' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_TIMESTAMP_F', + 'value' => '539' + }, + '564' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_LAST_F', + 'value' => '540' + }, + '565' => { + 'name' => 'IB_CC_CONGESTION_LOG_CA_FIRST_F', + 'value' => '541' + }, + '566' => { + 'name' => 'IB_CC_CONGESTION_LOG_CA_THRESHOLD_EVENT_COUNTER_F', + 'value' => '541' + }, + '567' => { + 'name' => 'IB_CC_CONGESTION_LOG_CA_THRESHOLD_CONGESTION_EVENT_MAP_F', + 'value' => '542' + }, + '568' => { + 'name' => 'IB_CC_CONGESTION_LOG_CA_CURRENT_TIMESTAMP_F', + 'value' => '543' + }, + '569' => { + 'name' => 'IB_CC_CONGESTION_LOG_CA_LAST_F', + 'value' => '544' + }, + '57' => { + 'name' => 'IB_PORT_HOQ_LIFE_F', + 'value' => '56' + }, + '570' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_FIRST_F', + 'value' => '545' + }, + '571' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_LOCAL_QP_CN_ENTRY_F', + 'value' => '545' + }, + '572' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_SL_CN_ENTRY_F', + 'value' => '546' + }, + '573' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_SERVICE_TYPE_CN_ENTRY_F', + 'value' => '547' + }, + '574' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_REMOTE_QP_NUMBER_CN_ENTRY_F', + 'value' => '548' + }, + '575' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_LOCAL_LID_CN_F', + 'value' => '549' + }, + '576' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_REMOTE_LID_CN_ENTRY_F', + 'value' => '550' + }, + '577' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_TIMESTAMP_CN_ENTRY_F', + 'value' => '551' + }, + '578' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_LAST_F', + 'value' => '552' + }, + '579' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_FIRST_F', + 'value' => '553' + }, + '58' => { + 'name' => 'IB_PORT_OPER_VLS_F', + 'value' => '57' + }, + '580' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_CONTROL_MAP_F', + 'value' => '553' + }, + '581' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_VICTIM_MASK_F', + 'value' => '554' + }, + '582' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_CREDIT_MASK_F', + 'value' => '555' + }, + '583' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_THRESHOLD_F', + 'value' => '556' + }, + '584' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_PACKET_SIZE_F', + 'value' => '557' + }, + '585' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_CS_THRESHOLD_F', + 'value' => '558' + }, + '586' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_CS_RETURN_DELAY_F', + 'value' => '559' + }, + '587' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_MARKING_RATE_F', + 'value' => '560' + }, + '588' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_LAST_F', + 'value' => '561' + }, + '589' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_FIRST_F', + 'value' => '562' + }, + '59' => { + 'name' => 'IB_PORT_PART_EN_INB_F', + 'value' => '58' + }, + '590' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_VALID_F', + 'value' => '562' + }, + '591' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_CONTROL_TYPE_F', + 'value' => '563' + }, + '592' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_THRESHOLD_F', + 'value' => '564' + }, + '593' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_PACKET_SIZE_F', + 'value' => '565' + }, + '594' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_CONG_PARM_MARKING_RATE_F', + 'value' => '566' + }, + '595' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_LAST_F', + 'value' => '567' + }, + '596' => { + 'name' => 'IB_CC_CA_CONGESTION_SETTING_FIRST_F', + 'value' => '568' + }, + '597' => { + 'name' => 'IB_CC_CA_CONGESTION_SETTING_PORT_CONTROL_F', + 'value' => '568' + }, + '598' => { + 'name' => 'IB_CC_CA_CONGESTION_SETTING_CONTROL_MAP_F', + 'value' => '569' + }, + '599' => { + 'name' => 'IB_CC_CA_CONGESTION_SETTING_LAST_F', + 'value' => '570' + }, + '6' => { + 'name' => 'IB_MAD_MGMTCLASS_F', + 'value' => '6' + }, + '60' => { + 'name' => 'IB_PORT_PART_EN_OUTB_F', + 'value' => '59' + }, + '600' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_FIRST_F', + 'value' => '571' + }, + '601' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_CCTI_TIMER_F', + 'value' => '571' + }, + '602' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_CCTI_INCREASE_F', + 'value' => '572' + }, + '603' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_TRIGGER_THRESHOLD_F', + 'value' => '573' + }, + '604' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_CCTI_MIN_F', + 'value' => '574' + }, + '605' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_LAST_F', + 'value' => '575' + }, + '606' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_FIRST_F', + 'value' => '576' + }, + '607' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_CCTI_LIMIT_F', + 'value' => '576' + }, + '608' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_LAST_F', + 'value' => '577' + }, + '609' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_ENTRY_FIRST_F', + 'value' => '578' + }, + '61' => { + 'name' => 'IB_PORT_FILTER_RAW_INB_F', + 'value' => '60' + }, + '610' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_ENTRY_CCT_SHIFT_F', + 'value' => '578' + }, + '611' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_ENTRY_CCT_MULTIPLIER_F', + 'value' => '579' + }, + '612' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_ENTRY_LAST_F', + 'value' => '580' + }, + '613' => { + 'name' => 'IB_CC_TIMESTAMP_FIRST_F', + 'value' => '581' + }, + '614' => { + 'name' => 'IB_CC_TIMESTAMP_F', + 'value' => '581' + }, + '615' => { + 'name' => 'IB_CC_TIMESTAMP_LAST_F', + 'value' => '582' + }, + '616' => { + 'name' => 'IB_SA_NR_FIRST_F', + 'value' => '583' + }, + '617' => { + 'name' => 'IB_SA_NR_LID_F', + 'value' => '583' + }, + '618' => { + 'name' => 'IB_SA_NR_BASEVER_F', + 'value' => '584' + }, + '619' => { + 'name' => 'IB_SA_NR_CLASSVER_F', + 'value' => '585' + }, + '62' => { + 'name' => 'IB_PORT_FILTER_RAW_OUTB_F', + 'value' => '61' + }, + '620' => { + 'name' => 'IB_SA_NR_TYPE_F', + 'value' => '586' + }, + '621' => { + 'name' => 'IB_SA_NR_NPORTS_F', + 'value' => '587' + }, + '622' => { + 'name' => 'IB_SA_NR_SYSTEM_GUID_F', + 'value' => '588' + }, + '623' => { + 'name' => 'IB_SA_NR_GUID_F', + 'value' => '589' + }, + '624' => { + 'name' => 'IB_SA_NR_PORT_GUID_F', + 'value' => '590' + }, + '625' => { + 'name' => 'IB_SA_NR_PARTITION_CAP_F', + 'value' => '591' + }, + '626' => { + 'name' => 'IB_SA_NR_DEVID_F', + 'value' => '592' + }, + '627' => { + 'name' => 'IB_SA_NR_REVISION_F', + 'value' => '593' + }, + '628' => { + 'name' => 'IB_SA_NR_LOCAL_PORT_F', + 'value' => '594' + }, + '629' => { + 'name' => 'IB_SA_NR_VENDORID_F', + 'value' => '595' + }, + '63' => { + 'name' => 'IB_PORT_MKEY_VIOL_F', + 'value' => '62' + }, + '630' => { + 'name' => 'IB_SA_NR_NODEDESC_F', + 'value' => '596' + }, + '631' => { + 'name' => 'IB_SA_NR_LAST_F', + 'value' => '597' + }, + '632' => { + 'name' => 'IB_PSR_TAG_F', + 'value' => '598' + }, + '633' => { + 'name' => 'IB_PSR_SAMPLE_STATUS_F', + 'value' => '599' + }, + '634' => { + 'name' => 'IB_PSR_COUNTER0_F', + 'value' => '600' + }, + '635' => { + 'name' => 'IB_PSR_COUNTER1_F', + 'value' => '601' + }, + '636' => { + 'name' => 'IB_PSR_COUNTER2_F', + 'value' => '602' + }, + '637' => { + 'name' => 'IB_PSR_COUNTER3_F', + 'value' => '603' + }, + '638' => { + 'name' => 'IB_PSR_COUNTER4_F', + 'value' => '604' + }, + '639' => { + 'name' => 'IB_PSR_COUNTER5_F', + 'value' => '605' + }, + '64' => { + 'name' => 'IB_PORT_PKEY_VIOL_F', + 'value' => '63' + }, + '640' => { + 'name' => 'IB_PSR_COUNTER6_F', + 'value' => '606' + }, + '641' => { + 'name' => 'IB_PSR_COUNTER7_F', + 'value' => '607' + }, + '642' => { + 'name' => 'IB_PSR_COUNTER8_F', + 'value' => '608' + }, + '643' => { + 'name' => 'IB_PSR_COUNTER9_F', + 'value' => '609' + }, + '644' => { + 'name' => 'IB_PSR_COUNTER10_F', + 'value' => '610' + }, + '645' => { + 'name' => 'IB_PSR_COUNTER11_F', + 'value' => '611' + }, + '646' => { + 'name' => 'IB_PSR_COUNTER12_F', + 'value' => '612' + }, + '647' => { + 'name' => 'IB_PSR_COUNTER13_F', + 'value' => '613' + }, + '648' => { + 'name' => 'IB_PSR_COUNTER14_F', + 'value' => '614' + }, + '649' => { + 'name' => 'IB_PSR_LAST_F', + 'value' => '615' + }, + '65' => { + 'name' => 'IB_PORT_QKEY_VIOL_F', + 'value' => '64' + }, + '650' => { + 'name' => 'IB_PORT_EXT_FIRST_F', + 'value' => '616' + }, + '651' => { + 'name' => 'IB_PORT_EXT_CAPMASK_F', + 'value' => '616' + }, + '652' => { + 'name' => 'IB_PORT_EXT_FEC_MODE_ACTIVE_F', + 'value' => '617' + }, + '653' => { + 'name' => 'IB_PORT_EXT_FDR_FEC_MODE_SUPPORTED_F', + 'value' => '618' + }, + '654' => { + 'name' => 'IB_PORT_EXT_FDR_FEC_MODE_ENABLED_F', + 'value' => '619' + }, + '655' => { + 'name' => 'IB_PORT_EXT_EDR_FEC_MODE_SUPPORTED_F', + 'value' => '620' + }, + '656' => { + 'name' => 'IB_PORT_EXT_EDR_FEC_MODE_ENABLED_F', + 'value' => '621' + }, + '657' => { + 'name' => 'IB_PORT_EXT_LAST_F', + 'value' => '622' + }, + '658' => { + 'name' => 'IB_PESC_RSFEC_FIRST_F', + 'value' => '623' + }, + '659' => { + 'name' => 'IB_PESC_RSFEC_PORT_SELECT_F', + 'value' => '623' + }, + '66' => { + 'name' => 'IB_PORT_GUID_CAP_F', + 'value' => '65' + }, + '660' => { + 'name' => 'IB_PESC_RSFEC_COUNTER_SELECT_F', + 'value' => '624' + }, + '661' => { + 'name' => 'IB_PESC_RSFEC_SYNC_HDR_ERR_CTR_F', + 'value' => '625' + }, + '662' => { + 'name' => 'IB_PESC_RSFEC_UNK_BLOCK_CTR_F', + 'value' => '626' + }, + '663' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE0_F', + 'value' => '627' + }, + '664' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE1_F', + 'value' => '628' + }, + '665' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE2_F', + 'value' => '629' + }, + '666' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE3_F', + 'value' => '630' + }, + '667' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE4_F', + 'value' => '631' + }, + '668' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE5_F', + 'value' => '632' + }, + '669' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE6_F', + 'value' => '633' + }, + '67' => { + 'name' => 'IB_PORT_CLIENT_REREG_F', + 'value' => '66' + }, + '670' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE7_F', + 'value' => '634' + }, + '671' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE8_F', + 'value' => '635' + }, + '672' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE9_F', + 'value' => '636' + }, + '673' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE10_F', + 'value' => '637' + }, + '674' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE11_F', + 'value' => '638' + }, + '675' => { + 'name' => 'IB_PESC_PORT_FEC_CORR_BLOCK_CTR_F', + 'value' => '639' + }, + '676' => { + 'name' => 'IB_PESC_PORT_FEC_UNCORR_BLOCK_CTR_F', + 'value' => '640' + }, + '677' => { + 'name' => 'IB_PESC_PORT_FEC_CORR_SYMBOL_CTR_F', + 'value' => '641' + }, + '678' => { + 'name' => 'IB_PESC_RSFEC_LAST_F', + 'value' => '642' + }, + '679' => { + 'name' => 'IB_PC_EXT_COUNTER_SELECT2_F', + 'value' => '643' + }, + '68' => { + 'name' => 'IB_PORT_MCAST_PKEY_SUPR_ENAB_F', + 'value' => '67' + }, + '680' => { + 'name' => 'IB_PC_EXT_ERR_SYM_F', + 'value' => '644' + }, + '681' => { + 'name' => 'IB_PC_EXT_LINK_RECOVERS_F', + 'value' => '645' + }, + '682' => { + 'name' => 'IB_PC_EXT_LINK_DOWNED_F', + 'value' => '646' + }, + '683' => { + 'name' => 'IB_PC_EXT_ERR_RCV_F', + 'value' => '647' + }, + '684' => { + 'name' => 'IB_PC_EXT_ERR_PHYSRCV_F', + 'value' => '648' + }, + '685' => { + 'name' => 'IB_PC_EXT_ERR_SWITCH_REL_F', + 'value' => '649' + }, + '686' => { + 'name' => 'IB_PC_EXT_XMT_DISCARDS_F', + 'value' => '650' + }, + '687' => { + 'name' => 'IB_PC_EXT_ERR_XMTCONSTR_F', + 'value' => '651' + }, + '688' => { + 'name' => 'IB_PC_EXT_ERR_RCVCONSTR_F', + 'value' => '652' + }, + '689' => { + 'name' => 'IB_PC_EXT_ERR_LOCALINTEG_F', + 'value' => '653' + }, + '69' => { + 'name' => 'IB_PORT_SUBN_TIMEOUT_F', + 'value' => '68' + }, + '690' => { + 'name' => 'IB_PC_EXT_ERR_EXCESS_OVR_F', + 'value' => '654' + }, + '691' => { + 'name' => 'IB_PC_EXT_VL15_DROPPED_F', + 'value' => '655' + }, + '692' => { + 'name' => 'IB_PC_EXT_XMT_WAIT_F', + 'value' => '656' + }, + '693' => { + 'name' => 'IB_PC_EXT_QP1_DROP_F', + 'value' => '657' + }, + '694' => { + 'name' => 'IB_PC_EXT_ERR_LAST_F', + 'value' => '658' + }, + '695' => { + 'name' => 'IB_PC_QP1_DROP_F', + 'value' => '659' + }, + '696' => { + 'name' => 'IB_PORT_EXT_HDR_FEC_MODE_SUPPORTED_F', + 'value' => '660' + }, + '697' => { + 'name' => 'IB_PORT_EXT_HDR_FEC_MODE_ENABLED_F', + 'value' => '661' + }, + '698' => { + 'name' => 'IB_PORT_EXT_HDR_FEC_MODE_LAST_F', + 'value' => '662' + }, + '699' => { + 'name' => 'IB_FIELD_LAST_', + 'value' => '663' + }, + '7' => { + 'name' => 'IB_MAD_BASEVER_F', + 'value' => '7' + }, + '70' => { + 'name' => 'IB_PORT_RESP_TIME_VAL_F', + 'value' => '69' + }, + '71' => { + 'name' => 'IB_PORT_LOCAL_PHYS_ERR_F', + 'value' => '70' + }, + '72' => { + 'name' => 'IB_PORT_OVERRUN_ERR_F', + 'value' => '71' + }, + '73' => { + 'name' => 'IB_PORT_MAX_CREDIT_HINT_F', + 'value' => '72' + }, + '74' => { + 'name' => 'IB_PORT_LINK_ROUND_TRIP_F', + 'value' => '73' + }, + '75' => { + 'name' => 'IB_PORT_LAST_F', + 'value' => '74' + }, + '76' => { + 'name' => 'IB_NODE_FIRST_F', + 'value' => '75' + }, + '77' => { + 'name' => 'IB_NODE_BASE_VERS_F', + 'value' => '75' + }, + '78' => { + 'name' => 'IB_NODE_CLASS_VERS_F', + 'value' => '76' + }, + '79' => { + 'name' => 'IB_NODE_TYPE_F', + 'value' => '77' + }, + '8' => { + 'name' => 'IB_MAD_STATUS_F', + 'value' => '8' + }, + '80' => { + 'name' => 'IB_NODE_NPORTS_F', + 'value' => '78' + }, + '81' => { + 'name' => 'IB_NODE_SYSTEM_GUID_F', + 'value' => '79' + }, + '82' => { + 'name' => 'IB_NODE_GUID_F', + 'value' => '80' + }, + '83' => { + 'name' => 'IB_NODE_PORT_GUID_F', + 'value' => '81' + }, + '84' => { + 'name' => 'IB_NODE_PARTITION_CAP_F', + 'value' => '82' + }, + '85' => { + 'name' => 'IB_NODE_DEVID_F', + 'value' => '83' + }, + '86' => { + 'name' => 'IB_NODE_REVISION_F', + 'value' => '84' + }, + '87' => { + 'name' => 'IB_NODE_LOCAL_PORT_F', + 'value' => '85' + }, + '88' => { + 'name' => 'IB_NODE_VENDORID_F', + 'value' => '86' + }, + '89' => { + 'name' => 'IB_NODE_LAST_F', + 'value' => '87' + }, + '9' => { + 'name' => 'IB_DRSMP_HOPCNT_F', + 'value' => '9' + }, + '90' => { + 'name' => 'IB_SW_FIRST_F', + 'value' => '88' + }, + '91' => { + 'name' => 'IB_SW_LINEAR_FDB_CAP_F', + 'value' => '88' + }, + '92' => { + 'name' => 'IB_SW_RANDOM_FDB_CAP_F', + 'value' => '89' + }, + '93' => { + 'name' => 'IB_SW_MCAST_FDB_CAP_F', + 'value' => '90' + }, + '94' => { + 'name' => 'IB_SW_LINEAR_FDB_TOP_F', + 'value' => '91' + }, + '95' => { + 'name' => 'IB_SW_DEF_PORT_F', + 'value' => '92' + }, + '96' => { + 'name' => 'IB_SW_DEF_MCAST_PRIM_F', + 'value' => '93' + }, + '97' => { + 'name' => 'IB_SW_DEF_MCAST_NOT_PRIM_F', + 'value' => '94' + }, + '98' => { + 'name' => 'IB_SW_LIFE_TIME_F', + 'value' => '95' + }, + '99' => { + 'name' => 'IB_SW_STATE_CHANGE_F', + 'value' => '96' + } + }, + 'Name' => 'enum MAD_FIELDS', + 'Size' => '4', + 'Type' => 'Enum' + }, + '42664' => { + 'BaseType' => '682', + 'Name' => 'ib_dr_path_t*', + 'Size' => '72', + 'Type' => 'Pointer' + }, + '42917' => { + 'BaseType' => '42922', + 'Name' => 'ib_rmpp_hdr_t*', + 'Size' => '20', + 'Type' => 'Pointer' + }, + '42922' => { + 'Header' => 'mad.h', + 'Line' => '1361', + 'Memb' => { + '0' => { + 'name' => 'type', + 'offset' => '0', + 'type' => '263' + }, + '1' => { + 'name' => 'flags', + 'offset' => '4', + 'type' => '263' + }, + '2' => { + 'name' => 'status', + 'offset' => '8', + 'type' => '263' + }, + '3' => { + 'name' => 'd1', + 'offset' => '12', + 'type' => '42991' + }, + '4' => { + 'name' => 'd2', + 'offset' => '16', + 'type' => '43036' + } + }, + 'Name' => 'struct ib_rmpp_hdr_t', + 'Size' => '20', + 'Type' => 'Struct' + }, + '42991' => { + 'Header' => 'mad.h', + 'Line' => '1352', + 'Memb' => { + '0' => { + 'name' => 'u', + 'offset' => '0', + 'type' => '806' + }, + '1' => { + 'name' => 'segnum', + 'offset' => '0', + 'type' => '806' + } + }, + 'Name' => 'anon-struct-mad.h-1348::anon-union-mad.h-1352', + 'NameSpace' => 'anon-struct-mad.h-1348', + 'Size' => '4', + 'Type' => 'Union' + }, + '43036' => { + 'Header' => 'mad.h', + 'Line' => '1356', + 'Memb' => { + '0' => { + 'name' => 'u', + 'offset' => '0', + 'type' => '806' + }, + '1' => { + 'name' => 'len', + 'offset' => '0', + 'type' => '806' + }, + '2' => { + 'name' => 'newwin', + 'offset' => '0', + 'type' => '806' + } + }, + 'Name' => 'anon-struct-mad.h-1348::anon-union-mad.h-1356', + 'NameSpace' => 'anon-struct-mad.h-1348', + 'Size' => '4', + 'Type' => 'Union' + }, + '45376' => { + 'BaseType' => '22021', + 'Name' => 'long*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '50366' => { + 'BaseType' => '134', + 'Header' => 'mad.h', + 'Line' => '1407', + 'Memb' => { + '0' => { + 'name' => 'IB_DEST_LID', + 'value' => '0' + }, + '1' => { + 'name' => 'IB_DEST_DRPATH', + 'value' => '1' + }, + '2' => { + 'name' => 'IB_DEST_GUID', + 'value' => '2' + }, + '3' => { + 'name' => 'IB_DEST_DRSLID', + 'value' => '3' + }, + '4' => { + 'name' => 'IB_DEST_GID', + 'value' => '4' + } + }, + 'Name' => 'enum MAD_DEST', + 'Size' => '4', + 'Type' => 'Enum' + }, + '52441' => { + 'BaseType' => '783', + 'Name' => 'ibmad_gid_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '527' => { + 'BaseType' => '532', + 'Name' => 'uint8_t*', + 'Size' => '1', + 'Type' => 'Pointer' + }, + '532' => { + 'BaseType' => '543', + 'Header' => 'stdint.h', + 'Line' => '48', + 'Name' => 'uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '543' => { + 'Name' => 'unsigned char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '550' => { + 'BaseType' => '1', + 'Name' => 'void*', + 'Type' => 'Pointer' + }, + '551' => { + 'BaseType' => '556', + 'Name' => 'ib_portid_t*', + 'Size' => '112', + 'Type' => 'Pointer' + }, + '556' => { + 'BaseType' => '568', + 'Header' => 'mad.h', + 'Line' => '317', + 'Name' => 'ib_portid_t', + 'Size' => '112', + 'Type' => 'Typedef' + }, + '568' => { + 'Header' => 'mad.h', + 'Line' => '308', + 'Memb' => { + '0' => { + 'name' => 'lid', + 'offset' => '0', + 'type' => '263' + }, + '1' => { + 'name' => 'drpath', + 'offset' => '4', + 'type' => '682' + }, + '2' => { + 'name' => 'grh_present', + 'offset' => '76', + 'type' => '263' + }, + '3' => { + 'name' => 'gid', + 'offset' => '80', + 'type' => '783' + }, + '4' => { + 'name' => 'qp', + 'offset' => '96', + 'type' => '806' + }, + '5' => { + 'name' => 'qkey', + 'offset' => '100', + 'type' => '806' + }, + '6' => { + 'name' => 'sl', + 'offset' => '104', + 'type' => '532' + }, + '7' => { + 'name' => 'pkey_idx', + 'offset' => '108', + 'type' => '134' + } + }, + 'Name' => 'struct portid', + 'Size' => '112', + 'Type' => 'Struct' + }, + '66380' => { + 'BaseType' => '66385', + 'Name' => 'ib_sa_call_t*', + 'Size' => '56', + 'Type' => 'Pointer' + }, + '66385' => { + 'BaseType' => '66397', + 'Header' => 'mad.h', + 'Line' => '1376', + 'Name' => 'ib_sa_call_t', + 'Size' => '56', + 'Type' => 'Typedef' + }, + '66397' => { + 'Header' => 'mad.h', + 'Line' => '1367', + 'Memb' => { + '0' => { + 'name' => 'attrid', + 'offset' => '0', + 'type' => '134' + }, + '1' => { + 'name' => 'mod', + 'offset' => '4', + 'type' => '134' + }, + '2' => { + 'name' => 'mask', + 'offset' => '8', + 'type' => '909' + }, + '3' => { + 'name' => 'method', + 'offset' => '16', + 'type' => '134' + }, + '4' => { + 'name' => 'trid', + 'offset' => '24', + 'type' => '909' + }, + '5' => { + 'name' => 'recsz', + 'offset' => '32', + 'type' => '134' + }, + '6' => { + 'name' => 'rmpp', + 'offset' => '36', + 'type' => '42922' + } + }, + 'Name' => 'struct ib_sa_call', + 'Size' => '56', + 'Type' => 'Struct' + }, + '682' => { + 'Header' => 'mad.h', + 'Line' => '253', + 'Memb' => { + '0' => { + 'name' => 'cnt', + 'offset' => '0', + 'type' => '263' + }, + '1' => { + 'name' => 'p', + 'offset' => '4', + 'type' => '746' + }, + '2' => { + 'name' => 'drslid', + 'offset' => '68', + 'type' => '765' + }, + '3' => { + 'name' => 'drdlid', + 'offset' => '70', + 'type' => '765' + } + }, + 'Name' => 'struct ib_dr_path_t', + 'Size' => '72', + 'Type' => 'Struct' + }, + '746' => { + 'BaseType' => '532', + 'Name' => 'uint8_t[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '7554' => { + 'BaseType' => '909', + 'Name' => 'uint64_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7594' => { + 'BaseType' => '7599', + 'Name' => 'char*', + 'Size' => '1', + 'Type' => 'Pointer' + }, + '7599' => { + 'Name' => 'char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '765' => { + 'BaseType' => '776', + 'Header' => 'stdint.h', + 'Line' => '49', + 'Name' => 'uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '77193' => { + 'BaseType' => '77198', + 'Name' => 'ib_vendor_call_t*', + 'Size' => '44', + 'Type' => 'Pointer' + }, + '77198' => { + 'BaseType' => '77210', + 'Header' => 'mad.h', + 'Line' => '1386', + 'Name' => 'ib_vendor_call_t', + 'Size' => '44', + 'Type' => 'Typedef' + }, + '77210' => { + 'Header' => 'mad.h', + 'Line' => '1378', + 'Memb' => { + '0' => { + 'name' => 'method', + 'offset' => '0', + 'type' => '134' + }, + '1' => { + 'name' => 'mgmt_class', + 'offset' => '4', + 'type' => '134' + }, + '2' => { + 'name' => 'attrid', + 'offset' => '8', + 'type' => '134' + }, + '3' => { + 'name' => 'mod', + 'offset' => '12', + 'type' => '134' + }, + '4' => { + 'name' => 'oui', + 'offset' => '16', + 'type' => '806' + }, + '5' => { + 'name' => 'timeout', + 'offset' => '20', + 'type' => '134' + }, + '6' => { + 'name' => 'rmpp', + 'offset' => '24', + 'type' => '42922' + } + }, + 'Name' => 'struct ib_vendor_call', + 'Size' => '44', + 'Type' => 'Struct' + }, + '776' => { + 'Name' => 'unsigned short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '783' => { + 'BaseType' => '746', + 'Header' => 'mad.h', + 'Line' => '243', + 'Name' => 'ibmad_gid_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '806' => { + 'BaseType' => '134', + 'Header' => 'stdint.h', + 'Line' => '51', + 'Name' => 'uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '817' => { + 'BaseType' => '822', + 'Name' => 'ib_bm_call_t*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '822' => { + 'BaseType' => '834', + 'Header' => 'mad.h', + 'Line' => '1394', + 'Name' => 'ib_bm_call_t', + 'Size' => '24', + 'Type' => 'Typedef' + }, + '834' => { + 'Header' => 'mad.h', + 'Line' => '1388', + 'Memb' => { + '0' => { + 'name' => 'method', + 'offset' => '0', + 'type' => '134' + }, + '1' => { + 'name' => 'attrid', + 'offset' => '4', + 'type' => '134' + }, + '2' => { + 'name' => 'mod', + 'offset' => '8', + 'type' => '134' + }, + '3' => { + 'name' => 'timeout', + 'offset' => '12', + 'type' => '134' + }, + '4' => { + 'name' => 'bkey', + 'offset' => '16', + 'type' => '909' + } + }, + 'Name' => 'struct ib_bm_call', + 'Size' => '24', + 'Type' => 'Struct' + }, + '909' => { + 'BaseType' => '920', + 'Header' => 'stdint.h', + 'Line' => '55', + 'Name' => 'uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '920' => { + 'Name' => 'unsigned long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '927' => { + 'BaseType' => '932', + 'Name' => 'struct ibmad_port*', + 'Type' => 'Pointer' + }, + '932' => { + 'Header' => 'mad_internal.h', + 'Line' => '39', + 'Memb' => { + '0' => { + 'name' => 'port_id', + 'offset' => '0', + 'type' => '263' + }, + '1' => { + 'name' => 'class_agents', + 'offset' => '4', + 'type' => '2493' + }, + '2' => { + 'name' => 'timeout', + 'offset' => '1028', + 'type' => '263' + }, + '3' => { + 'name' => 'retries', + 'offset' => '1032', + 'type' => '263' + }, + '4' => { + 'name' => 'smp_mkey', + 'offset' => '1040', + 'type' => '909' + } + }, + 'Name' => 'struct ibmad_port', + 'Size' => '1048', + 'Type' => 'Struct' + }, + '948' => { + 'Header' => 'mad.h', + 'Line' => '273', + 'Memb' => { + '0' => { + 'name' => 'mgtclass', + 'offset' => '0', + 'type' => '263' + }, + '1' => { + 'name' => 'method', + 'offset' => '4', + 'type' => '263' + }, + '10' => { + 'name' => 'timeout', + 'offset' => '60', + 'type' => '263' + }, + '11' => { + 'name' => 'oui', + 'offset' => '64', + 'type' => '806' + }, + '2' => { + 'name' => 'attr', + 'offset' => '8', + 'type' => '1122' + }, + '3' => { + 'name' => 'rstatus', + 'offset' => '16', + 'type' => '806' + }, + '4' => { + 'name' => 'dataoffs', + 'offset' => '20', + 'type' => '263' + }, + '5' => { + 'name' => 'datasz', + 'offset' => '24', + 'type' => '263' + }, + '6' => { + 'name' => 'mkey', + 'offset' => '32', + 'type' => '909' + }, + '7' => { + 'name' => 'trid', + 'offset' => '40', + 'type' => '909' + }, + '8' => { + 'name' => 'mask', + 'offset' => '48', + 'type' => '909' + }, + '9' => { + 'name' => 'recsz', + 'offset' => '56', + 'type' => '134' + } + }, + 'Name' => 'struct ib_rpc_t', + 'Size' => '72', + 'Type' => 'Struct' + } + }, + 'UndefinedSymbols' => { + 'libibmad.so.5.3.26.0' => { + '_ITM_deregisterTMCloneTable' => 0, + '_ITM_registerTMCloneTable' => 0, + '__cxa_finalize@GLIBC_2.2.5' => 0, + '__errno_location@GLIBC_2.2.5' => 0, + '__gmon_start__' => 0, + '__strdup@GLIBC_2.2.5' => 0, + 'calloc@GLIBC_2.2.5' => 0, + 'exit@GLIBC_2.2.5' => 0, + 'fprintf@GLIBC_2.2.5' => 0, + 'fputc@GLIBC_2.2.5' => 0, + 'fputs@GLIBC_2.2.5' => 0, + 'free@GLIBC_2.2.5' => 0, + 'getpid@GLIBC_2.2.5' => 0, + 'inet_ntop@GLIBC_2.2.5' => 0, + 'inet_pton@GLIBC_2.2.5' => 0, + 'malloc@GLIBC_2.2.5' => 0, + 'memcpy@GLIBC_2.14' => 0, + 'memset@GLIBC_2.2.5' => 0, + 'printf@GLIBC_2.2.5' => 0, + 'random@GLIBC_2.2.5' => 0, + 'snprintf@GLIBC_2.2.5' => 0, + 'sprintf@GLIBC_2.2.5' => 0, + 'srandom@GLIBC_2.2.5' => 0, + 'stderr@GLIBC_2.2.5' => 0, + 'strchr@GLIBC_2.2.5' => 0, + 'strerror@GLIBC_2.2.5' => 0, + 'strlen@GLIBC_2.2.5' => 0, + 'strncpy@GLIBC_2.2.5' => 0, + 'strtol@GLIBC_2.2.5' => 0, + 'strtoull@GLIBC_2.2.5' => 0, + 'time@GLIBC_2.2.5' => 0, + 'umad_addr_dump@IBUMAD_1.0' => 0, + 'umad_close_port@IBUMAD_1.0' => 0, + 'umad_get_mad@IBUMAD_1.0' => 0, + 'umad_get_mad_addr@IBUMAD_1.0' => 0, + 'umad_init@IBUMAD_1.0' => 0, + 'umad_open_port@IBUMAD_1.0' => 0, + 'umad_recv@IBUMAD_1.0' => 0, + 'umad_register@IBUMAD_1.0' => 0, + 'umad_register_oui@IBUMAD_1.0' => 0, + 'umad_send@IBUMAD_1.0' => 0, + 'umad_set_addr@IBUMAD_1.0' => 0, + 'umad_set_grh@IBUMAD_1.0' => 0, + 'umad_set_pkey@IBUMAD_1.0' => 0, + 'umad_size@IBUMAD_1.0' => 0, + 'umad_status@IBUMAD_1.0' => 0 + } + }, + 'WordSize' => '8' + }; diff --git a/ABI/ibnetdisc.dump b/ABI/ibnetdisc.dump new file mode 100644 index 00000000..e42c0968 --- /dev/null +++ b/ABI/ibnetdisc.dump @@ -0,0 +1,1192 @@ +$VAR1 = { + 'ABI_DUMPER_VERSION' => '0.99.12', + 'ABI_DUMP_VERSION' => '3.2', + 'Arch' => 'x86_64', + 'Compiler' => 'clang version 7.0.0 (tags/RELEASE_700/final)', + 'Headers' => { + 'ibnetdisc.h' => 1, + 'mad.h' => 1, + 'stddef.h' => 1, + 'stdint.h' => 1 + }, + 'Language' => 'C', + 'LibraryName' => 'libibnetdisc.so.5.0.26.0', + 'LibraryVersion' => 'ibnetdisc', + 'NameSpaces' => {}, + 'Needed' => { + 'libc.so.6' => 1, + 'libibmad.so.5' => 1, + 'libibumad.so.3' => 1 + }, + 'Sources' => { + 'chassis.c' => 1, + 'ibnetdisc.c' => 1, + 'ibnetdisc_cache.c' => 1 + }, + 'SymbolInfo' => { + '20310' => { + 'Line' => '617', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '6876' + }, + '1' => { + 'name' => 'guid', + 'type' => '6099' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '1', + 'ShortName' => 'ibnd_find_node_guid', + 'Source' => 'ibnetdisc.c' + }, + '20366' => { + 'Line' => '634', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '6876' + }, + '1' => { + 'name' => 'dr_str', + 'type' => '5691' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '6117', + 'ShortName' => 'ibnd_find_node_dr', + 'Source' => 'ibnetdisc.c' + }, + '20441' => { + 'Line' => '960', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '6876' + }, + '1' => { + 'name' => 'dr_str', + 'type' => '5691' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '7062', + 'ShortName' => 'ibnd_find_port_dr', + 'Source' => 'ibnetdisc.c' + }, + '21130' => { + 'Line' => '767', + 'Param' => { + '0' => { + 'name' => 'ca_name', + 'type' => '5691' + }, + '1' => { + 'name' => 'ca_port', + 'type' => '629' + }, + '2' => { + 'name' => 'from', + 'type' => '19604' + }, + '3' => { + 'name' => 'cfg', + 'type' => '18812' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '6876', + 'ShortName' => 'ibnd_discover_fabric', + 'Source' => 'ibnetdisc.c' + }, + '21530' => { + 'Line' => '854', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '6876' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'ibnd_destroy_fabric', + 'Source' => 'ibnetdisc.c' + }, + '21779' => { + 'Line' => '879', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '6876' + }, + '1' => { + 'name' => 'func', + 'type' => '25535' + }, + '2' => { + 'name' => 'user_data', + 'type' => '5696' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '1', + 'ShortName' => 'ibnd_iter_nodes', + 'Source' => 'ibnetdisc.c' + }, + '21866' => { + 'Line' => '898', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '6876' + }, + '1' => { + 'name' => 'func', + 'type' => '25535' + }, + '2' => { + 'name' => 'node_type', + 'type' => '629' + }, + '3' => { + 'name' => 'user_data', + 'type' => '5696' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'ibnd_iter_nodes_type', + 'Source' => 'ibnetdisc.c' + }, + '21985' => { + 'Line' => '933', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '6876' + }, + '1' => { + 'name' => 'lid', + 'type' => '6637' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '7062', + 'ShortName' => 'ibnd_find_port_lid', + 'Source' => 'ibnetdisc.c' + }, + '22060' => { + 'Line' => '943', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '6876' + }, + '1' => { + 'name' => 'guid', + 'type' => '6099' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '7062', + 'ShortName' => 'ibnd_find_port_guid', + 'Source' => 'ibnetdisc.c' + }, + '22151' => { + 'Line' => '1000', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '6876' + }, + '1' => { + 'name' => 'func', + 'type' => '25563' + }, + '2' => { + 'name' => 'user_data', + 'type' => '5696' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '1', + 'ShortName' => 'ibnd_iter_ports', + 'Source' => 'ibnetdisc.c' + }, + '28551' => { + 'Line' => '620', + 'Param' => { + '0' => { + 'name' => 'file', + 'type' => '80' + }, + '1' => { + 'name' => 'flags', + 'type' => '5536' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '6876', + 'ShortName' => 'ibnd_load_fabric', + 'Source' => 'ibnetdisc_cache.c' + }, + '31396' => { + 'Line' => '878', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '6876' + }, + '1' => { + 'name' => 'file', + 'type' => '80' + }, + '2' => { + 'name' => 'flags', + 'type' => '5536' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '629', + 'ShortName' => 'ibnd_cache_fabric', + 'Source' => 'ibnetdisc_cache.c' + }, + '5697' => { + 'Line' => '59', + 'Param' => { + '0' => { + 'name' => 'node', + 'type' => '6117' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '80', + 'ShortName' => 'ibnd_get_chassis_type', + 'Source' => 'chassis.c' + }, + '5753' => { + 'Line' => '95', + 'Param' => { + '0' => { + 'name' => 'node', + 'type' => '6117' + }, + '1' => { + 'name' => 'str', + 'type' => '5691' + }, + '2' => { + 'name' => 'size', + 'type' => '12292' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '5691', + 'ShortName' => 'ibnd_get_chassis_slot_str', + 'Source' => 'chassis.c' + }, + '5839' => { + 'Line' => '139', + 'Param' => { + '0' => { + 'name' => 'guid', + 'type' => '6099' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'ibnd_is_xsigo_guid', + 'Source' => 'chassis.c' + }, + '5868' => { + 'Line' => '155', + 'Param' => { + '0' => { + 'name' => 'guid', + 'type' => '6099' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'ibnd_is_xsigo_hca', + 'Source' => 'chassis.c' + }, + '5897' => { + 'Line' => '164', + 'Param' => { + '0' => { + 'name' => 'guid', + 'type' => '6099' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '629', + 'ShortName' => 'ibnd_is_xsigo_tca', + 'Source' => 'chassis.c' + }, + '7078' => { + 'Line' => '249', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '6876' + }, + '1' => { + 'name' => 'chassisnum', + 'type' => '5679' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '6099', + 'ShortName' => 'ibnd_get_chassis_guid', + 'Source' => 'chassis.c' + } + }, + 'SymbolVersion' => { + '_ITM_deregisterTMCloneTable' => 'write@@GLIBC_2.2.5', + '_ITM_registerTMCloneTable' => 'write@@GLIBC_2.2.5', + '__gmon_start__' => 'write@@GLIBC_2.2.5', + 'ibnd_cache_fabric' => 'ibnd_cache_fabric@@IBNETDISC_1.0', + 'ibnd_destroy_fabric' => 'ibnd_destroy_fabric@@IBNETDISC_1.0', + 'ibnd_discover_fabric' => 'ibnd_discover_fabric@@IBNETDISC_1.0', + 'ibnd_find_node_dr' => 'ibnd_find_node_dr@@IBNETDISC_1.0', + 'ibnd_find_node_guid' => 'ibnd_find_node_guid@@IBNETDISC_1.0', + 'ibnd_find_port_dr' => 'ibnd_find_port_dr@@IBNETDISC_1.0', + 'ibnd_find_port_guid' => 'ibnd_find_port_guid@@IBNETDISC_1.0', + 'ibnd_find_port_lid' => 'ibnd_find_port_lid@@IBNETDISC_1.0', + 'ibnd_get_chassis_guid' => 'ibnd_get_chassis_guid@@IBNETDISC_1.0', + 'ibnd_get_chassis_slot_str' => 'ibnd_get_chassis_slot_str@@IBNETDISC_1.0', + 'ibnd_get_chassis_type' => 'ibnd_get_chassis_type@@IBNETDISC_1.0', + 'ibnd_is_xsigo_guid' => 'ibnd_is_xsigo_guid@@IBNETDISC_1.0', + 'ibnd_is_xsigo_hca' => 'ibnd_is_xsigo_hca@@IBNETDISC_1.0', + 'ibnd_is_xsigo_tca' => 'ibnd_is_xsigo_tca@@IBNETDISC_1.0', + 'ibnd_iter_nodes' => 'ibnd_iter_nodes@@IBNETDISC_1.0', + 'ibnd_iter_nodes_type' => 'ibnd_iter_nodes_type@@IBNETDISC_1.0', + 'ibnd_iter_ports' => 'ibnd_iter_ports@@IBNETDISC_1.0', + 'ibnd_load_fabric' => 'ibnd_load_fabric@@IBNETDISC_1.0' + }, + 'Symbols' => { + 'libibnetdisc.so.5.0.26.0' => { + 'ibnd_cache_fabric@@IBNETDISC_1.0' => 1, + 'ibnd_destroy_fabric@@IBNETDISC_1.0' => 1, + 'ibnd_discover_fabric@@IBNETDISC_1.0' => 1, + 'ibnd_find_node_dr@@IBNETDISC_1.0' => 1, + 'ibnd_find_node_guid@@IBNETDISC_1.0' => 1, + 'ibnd_find_port_dr@@IBNETDISC_1.0' => 1, + 'ibnd_find_port_guid@@IBNETDISC_1.0' => 1, + 'ibnd_find_port_lid@@IBNETDISC_1.0' => 1, + 'ibnd_get_chassis_guid@@IBNETDISC_1.0' => 1, + 'ibnd_get_chassis_slot_str@@IBNETDISC_1.0' => 1, + 'ibnd_get_chassis_type@@IBNETDISC_1.0' => 1, + 'ibnd_is_xsigo_guid@@IBNETDISC_1.0' => 1, + 'ibnd_is_xsigo_hca@@IBNETDISC_1.0' => 1, + 'ibnd_is_xsigo_tca@@IBNETDISC_1.0' => 1, + 'ibnd_iter_nodes@@IBNETDISC_1.0' => 1, + 'ibnd_iter_nodes_type@@IBNETDISC_1.0' => 1, + 'ibnd_iter_ports@@IBNETDISC_1.0' => 1, + 'ibnd_load_fabric@@IBNETDISC_1.0' => 1 + } + }, + 'Target' => 'unix', + 'TypeInfo' => { + '1' => { + 'Name' => 'void', + 'Type' => 'Intrinsic' + }, + '12292' => { + 'BaseType' => '6110', + 'Header' => 'stddef.h', + 'Line' => '62', + 'Name' => 'size_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '18812' => { + 'BaseType' => '18817', + 'Name' => 'struct ibnd_config*', + 'Size' => '88', + 'Type' => 'Pointer' + }, + '18817' => { + 'Header' => 'ibnetdisc.h', + 'Line' => '145', + 'Memb' => { + '0' => { + 'name' => 'max_smps', + 'offset' => '0', + 'type' => '5536' + }, + '1' => { + 'name' => 'show_progress', + 'offset' => '4', + 'type' => '5536' + }, + '2' => { + 'name' => 'max_hops', + 'offset' => '8', + 'type' => '5536' + }, + '3' => { + 'name' => 'debug', + 'offset' => '12', + 'type' => '5536' + }, + '4' => { + 'name' => 'timeout_ms', + 'offset' => '16', + 'type' => '5536' + }, + '5' => { + 'name' => 'retries', + 'offset' => '20', + 'type' => '5536' + }, + '6' => { + 'name' => 'flags', + 'offset' => '24', + 'type' => '6678' + }, + '7' => { + 'name' => 'mkey', + 'offset' => '32', + 'type' => '6099' + }, + '8' => { + 'name' => 'pad', + 'offset' => '40', + 'type' => '6614' + } + }, + 'Name' => 'struct ibnd_config', + 'Size' => '88', + 'Type' => 'Struct' + }, + '19604' => { + 'BaseType' => '6424', + 'Name' => 'ib_portid_t*', + 'Size' => '112', + 'Type' => 'Pointer' + }, + '25535' => { + 'BaseType' => '25546', + 'Header' => 'ibnetdisc.h', + 'Line' => '214', + 'Name' => 'ibnd_iter_node_func_t', + 'Type' => 'Typedef' + }, + '25546' => { + 'Name' => 'void(*)(ibnd_node_t*, void*)', + 'Param' => { + '0' => { + 'type' => '6117' + }, + '1' => { + 'type' => '5696' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '25563' => { + 'BaseType' => '25574', + 'Header' => 'ibnetdisc.h', + 'Line' => '227', + 'Name' => 'ibnd_iter_port_func_t', + 'Type' => 'Typedef' + }, + '25574' => { + 'Name' => 'void(*)(ibnd_port_t*, void*)', + 'Param' => { + '0' => { + 'type' => '7062' + }, + '1' => { + 'type' => '5696' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '5536' => { + 'Name' => 'unsigned int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '5679' => { + 'Name' => 'unsigned char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '5691' => { + 'BaseType' => '90', + 'Name' => 'char*', + 'Size' => '1', + 'Type' => 'Pointer' + }, + '5696' => { + 'BaseType' => '1', + 'Name' => 'void*', + 'Type' => 'Pointer' + }, + '5984' => { + 'BaseType' => '5989', + 'Name' => 'ibnd_chassis_t*', + 'Size' => '480', + 'Type' => 'Pointer' + }, + '5989' => { + 'BaseType' => '6000', + 'Header' => 'ibnetdisc.h', + 'Line' => '138', + 'Name' => 'ibnd_chassis_t', + 'Size' => '480', + 'Type' => 'Typedef' + }, + '6000' => { + 'Header' => 'ibnetdisc.h', + 'Line' => '124', + 'Memb' => { + '0' => { + 'name' => 'next', + 'offset' => '0', + 'type' => '6094' + }, + '1' => { + 'name' => 'chassisguid', + 'offset' => '8', + 'type' => '6099' + }, + '2' => { + 'name' => 'chassisnum', + 'offset' => '16', + 'type' => '5679' + }, + '3' => { + 'name' => 'nodecount', + 'offset' => '17', + 'type' => '5679' + }, + '4' => { + 'name' => 'nodes', + 'offset' => '24', + 'type' => '6117' + }, + '5' => { + 'name' => 'spinenode', + 'offset' => '32', + 'type' => '6852' + }, + '6' => { + 'name' => 'linenode', + 'offset' => '184', + 'type' => '6852' + } + }, + 'Name' => 'struct ibnd_chassis', + 'Size' => '480', + 'Type' => 'Struct' + }, + '6094' => { + 'BaseType' => '6000', + 'Name' => 'struct ibnd_chassis*', + 'Size' => '480', + 'Type' => 'Pointer' + }, + '6099' => { + 'BaseType' => '6110', + 'Header' => 'stdint.h', + 'Line' => '55', + 'Name' => 'uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '6110' => { + 'Name' => 'unsigned long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '6117' => { + 'BaseType' => '6122', + 'Name' => 'ibnd_node_t*', + 'Size' => '408', + 'Type' => 'Pointer' + }, + '6122' => { + 'BaseType' => '6133', + 'Header' => 'ibnetdisc.h', + 'Line' => '99', + 'Name' => 'ibnd_node_t', + 'Size' => '408', + 'Type' => 'Typedef' + }, + '6133' => { + 'Header' => 'ibnetdisc.h', + 'Line' => '54', + 'Memb' => { + '0' => { + 'name' => 'next', + 'offset' => '0', + 'type' => '6419' + }, + '1' => { + 'name' => 'path_portid', + 'offset' => '8', + 'type' => '6424' + }, + '10' => { + 'name' => 'nodedesc', + 'offset' => '272', + 'type' => '6689' + }, + '11' => { + 'name' => 'ports', + 'offset' => '336', + 'type' => '6701' + }, + '12' => { + 'name' => 'next_chassis_node', + 'offset' => '344', + 'type' => '6419' + }, + '13' => { + 'name' => 'chassis', + 'offset' => '352', + 'type' => '6094' + }, + '14' => { + 'name' => 'ch_type', + 'offset' => '360', + 'type' => '5679' + }, + '15' => { + 'name' => 'ch_type_str', + 'offset' => '361', + 'type' => '6689' + }, + '16' => { + 'name' => 'ch_anafanum', + 'offset' => '381', + 'type' => '5679' + }, + '17' => { + 'name' => 'ch_slotnum', + 'offset' => '382', + 'type' => '5679' + }, + '18' => { + 'name' => 'ch_slot', + 'offset' => '383', + 'type' => '5679' + }, + '19' => { + 'name' => 'ch_found', + 'offset' => '384', + 'type' => '5679' + }, + '2' => { + 'name' => 'smalid', + 'offset' => '120', + 'type' => '6637' + }, + '20' => { + 'name' => 'htnext', + 'offset' => '392', + 'type' => '6419' + }, + '21' => { + 'name' => 'type_next', + 'offset' => '400', + 'type' => '6419' + }, + '3' => { + 'name' => 'smalmc', + 'offset' => '122', + 'type' => '6626' + }, + '4' => { + 'name' => 'smaenhsp0', + 'offset' => '124', + 'type' => '629' + }, + '5' => { + 'name' => 'switchinfo', + 'offset' => '128', + 'type' => '6614' + }, + '6' => { + 'name' => 'guid', + 'offset' => '192', + 'type' => '6099' + }, + '7' => { + 'name' => 'type', + 'offset' => '200', + 'type' => '629' + }, + '8' => { + 'name' => 'numports', + 'offset' => '204', + 'type' => '629' + }, + '9' => { + 'name' => 'info', + 'offset' => '208', + 'type' => '6614' + } + }, + 'Name' => 'struct ibnd_node', + 'Size' => '408', + 'Type' => 'Struct' + }, + '629' => { + 'Name' => 'int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '6419' => { + 'BaseType' => '6133', + 'Name' => 'struct ibnd_node*', + 'Size' => '408', + 'Type' => 'Pointer' + }, + '6424' => { + 'BaseType' => '6436', + 'Header' => 'mad.h', + 'Line' => '317', + 'Name' => 'ib_portid_t', + 'Size' => '112', + 'Type' => 'Typedef' + }, + '6436' => { + 'Header' => 'mad.h', + 'Line' => '308', + 'Memb' => { + '0' => { + 'name' => 'lid', + 'offset' => '0', + 'type' => '629' + }, + '1' => { + 'name' => 'drpath', + 'offset' => '4', + 'type' => '6550' + }, + '2' => { + 'name' => 'grh_present', + 'offset' => '76', + 'type' => '629' + }, + '3' => { + 'name' => 'gid', + 'offset' => '80', + 'type' => '6655' + }, + '4' => { + 'name' => 'qp', + 'offset' => '96', + 'type' => '6678' + }, + '5' => { + 'name' => 'qkey', + 'offset' => '100', + 'type' => '6678' + }, + '6' => { + 'name' => 'sl', + 'offset' => '104', + 'type' => '6626' + }, + '7' => { + 'name' => 'pkey_idx', + 'offset' => '108', + 'type' => '5536' + } + }, + 'Name' => 'struct portid', + 'Size' => '112', + 'Type' => 'Struct' + }, + '6550' => { + 'Header' => 'mad.h', + 'Line' => '253', + 'Memb' => { + '0' => { + 'name' => 'cnt', + 'offset' => '0', + 'type' => '629' + }, + '1' => { + 'name' => 'p', + 'offset' => '4', + 'type' => '6614' + }, + '2' => { + 'name' => 'drslid', + 'offset' => '68', + 'type' => '6637' + }, + '3' => { + 'name' => 'drdlid', + 'offset' => '70', + 'type' => '6637' + } + }, + 'Name' => 'struct ib_dr_path_t', + 'Size' => '72', + 'Type' => 'Struct' + }, + '6614' => { + 'BaseType' => '6626', + 'Name' => 'uint8_t[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '6626' => { + 'BaseType' => '5679', + 'Header' => 'stdint.h', + 'Line' => '48', + 'Name' => 'uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '6637' => { + 'BaseType' => '6648', + 'Header' => 'stdint.h', + 'Line' => '49', + 'Name' => 'uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '6648' => { + 'Name' => 'unsigned short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '6655' => { + 'BaseType' => '6614', + 'Header' => 'mad.h', + 'Line' => '243', + 'Name' => 'ibmad_gid_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '6678' => { + 'BaseType' => '5536', + 'Header' => 'stdint.h', + 'Line' => '51', + 'Name' => 'uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '6689' => { + 'BaseType' => '90', + 'Name' => 'char[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '6701' => { + 'BaseType' => '6706', + 'Name' => 'struct ibnd_port**', + 'Size' => '176', + 'Type' => 'Pointer' + }, + '6706' => { + 'BaseType' => '6711', + 'Name' => 'struct ibnd_port*', + 'Size' => '176', + 'Type' => 'Pointer' + }, + '6711' => { + 'Header' => 'ibnetdisc.h', + 'Line' => '104', + 'Memb' => { + '0' => { + 'name' => 'guid', + 'offset' => '0', + 'type' => '6099' + }, + '1' => { + 'name' => 'portnum', + 'offset' => '8', + 'type' => '629' + }, + '2' => { + 'name' => 'ext_portnum', + 'offset' => '12', + 'type' => '629' + }, + '3' => { + 'name' => 'node', + 'offset' => '16', + 'type' => '6117' + }, + '4' => { + 'name' => 'remoteport', + 'offset' => '24', + 'type' => '6706' + }, + '5' => { + 'name' => 'base_lid', + 'offset' => '32', + 'type' => '6637' + }, + '6' => { + 'name' => 'lmc', + 'offset' => '34', + 'type' => '6626' + }, + '7' => { + 'name' => 'info', + 'offset' => '35', + 'type' => '6614' + }, + '8' => { + 'name' => 'ext_info', + 'offset' => '99', + 'type' => '6614' + }, + '9' => { + 'name' => 'htnext', + 'offset' => '168', + 'type' => '6706' + } + }, + 'Name' => 'struct ibnd_port', + 'Size' => '176', + 'Type' => 'Struct' + }, + '6852' => { + 'BaseType' => '6117', + 'Name' => 'ibnd_node_t*[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '6876' => { + 'BaseType' => '6881', + 'Name' => 'ibnd_fabric_t*', + 'Size' => '2256', + 'Type' => 'Pointer' + }, + '6881' => { + 'BaseType' => '6892', + 'Header' => 'ibnetdisc.h', + 'Line' => '182', + 'Name' => 'ibnd_fabric_t', + 'Size' => '2256', + 'Type' => 'Typedef' + }, + '6892' => { + 'Header' => 'ibnetdisc.h', + 'Line' => '161', + 'Memb' => { + '0' => { + 'name' => 'from_node', + 'offset' => '0', + 'type' => '6117' + }, + '1' => { + 'name' => 'from_portnum', + 'offset' => '8', + 'type' => '629' + }, + '10' => { + 'name' => 'routers', + 'offset' => '2248', + 'type' => '6117' + }, + '2' => { + 'name' => 'nodes', + 'offset' => '16', + 'type' => '6117' + }, + '3' => { + 'name' => 'chassis', + 'offset' => '24', + 'type' => '5984' + }, + '4' => { + 'name' => 'maxhops_discovered', + 'offset' => '32', + 'type' => '5536' + }, + '5' => { + 'name' => 'total_mads_used', + 'offset' => '36', + 'type' => '5536' + }, + '6' => { + 'name' => 'nodestbl', + 'offset' => '40', + 'type' => '6852' + }, + '7' => { + 'name' => 'portstbl', + 'offset' => '1136', + 'type' => '7050' + }, + '8' => { + 'name' => 'switches', + 'offset' => '2232', + 'type' => '6117' + }, + '9' => { + 'name' => 'ch_adapters', + 'offset' => '2240', + 'type' => '6117' + } + }, + 'Name' => 'struct ibnd_fabric', + 'Size' => '2256', + 'Type' => 'Struct' + }, + '7050' => { + 'BaseType' => '7062', + 'Name' => 'ibnd_port_t*[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '7062' => { + 'BaseType' => '7067', + 'Name' => 'ibnd_port_t*', + 'Size' => '176', + 'Type' => 'Pointer' + }, + '7067' => { + 'BaseType' => '6711', + 'Header' => 'ibnetdisc.h', + 'Line' => '119', + 'Name' => 'ibnd_port_t', + 'Size' => '176', + 'Type' => 'Typedef' + }, + '80' => { + 'BaseType' => '85', + 'Name' => 'char const*', + 'Size' => '1', + 'Type' => 'Pointer' + }, + '85' => { + 'BaseType' => '90', + 'Name' => 'char const', + 'Size' => '1', + 'Type' => 'Const' + }, + '90' => { + 'Name' => 'char', + 'Size' => '1', + 'Type' => 'Intrinsic' + } + }, + 'UndefinedSymbols' => { + 'libibnetdisc.so.5.0.26.0' => { + '_ITM_deregisterTMCloneTable' => 0, + '_ITM_registerTMCloneTable' => 0, + '__assert_fail@GLIBC_2.2.5' => 0, + '__cxa_finalize@GLIBC_2.2.5' => 0, + '__errno_location@GLIBC_2.2.5' => 0, + '__gmon_start__' => 0, + '__xstat@GLIBC_2.2.5' => 0, + 'calloc@GLIBC_2.2.5' => 0, + 'close@GLIBC_2.2.5' => 0, + 'fprintf@GLIBC_2.2.5' => 0, + 'free@GLIBC_2.2.5' => 0, + 'ib_resolve_self_via@IBMAD_1.3' => 0, + 'ibdebug@IBMAD_1.3' => 0, + 'lseek@GLIBC_2.2.5' => 0, + 'mad_build_pkt@IBMAD_1.3' => 0, + 'mad_decode_field@IBMAD_1.3' => 0, + 'mad_dump_node_type@IBMAD_1.3' => 0, + 'mad_dump_val@IBMAD_1.3' => 0, + 'mad_get_field64@IBMAD_1.3' => 0, + 'mad_get_field@IBMAD_1.3' => 0, + 'mad_rpc_close_port@IBMAD_1.3' => 0, + 'mad_rpc_open_port@IBMAD_1.3' => 0, + 'mad_rpc_set_retries@IBMAD_1.3' => 0, + 'mad_rpc_set_timeout@IBMAD_1.3' => 0, + 'mad_trid@IBMAD_1.3' => 0, + 'malloc@GLIBC_2.2.5' => 0, + 'memset@GLIBC_2.2.5' => 0, + 'open@GLIBC_2.2.5' => 0, + 'portid2str@IBMAD_1.3' => 0, + 'printf@GLIBC_2.2.5' => 0, + 'read@GLIBC_2.2.5' => 0, + 'smp_mkey_set@IBMAD_1.3' => 0, + 'snprintf@GLIBC_2.2.5' => 0, + 'stderr@GLIBC_2.2.5' => 0, + 'str2drpath@IBMAD_1.3' => 0, + 'strerror@GLIBC_2.2.5' => 0, + 'strncpy@GLIBC_2.2.5' => 0, + 'strtol@GLIBC_2.2.5' => 0, + 'umad_close_port@IBUMAD_1.0' => 0, + 'umad_get_mad@IBUMAD_1.0' => 0, + 'umad_init@IBUMAD_1.0' => 0, + 'umad_open_port@IBUMAD_1.0' => 0, + 'umad_recv@IBUMAD_1.0' => 0, + 'umad_register@IBUMAD_1.0' => 0, + 'umad_send@IBUMAD_1.0' => 0, + 'umad_size@IBUMAD_1.0' => 0, + 'umad_status@IBUMAD_1.0' => 0, + 'unlink@GLIBC_2.2.5' => 0, + 'write@GLIBC_2.2.5' => 0 + } + }, + 'WordSize' => '8' + }; diff --git a/ABI/ibumad.dump b/ABI/ibumad.dump new file mode 100644 index 00000000..3b71a34b --- /dev/null +++ b/ABI/ibumad.dump @@ -0,0 +1,1503 @@ +$VAR1 = { + 'ABI_DUMPER_VERSION' => '0.99.12', + 'ABI_DUMP_VERSION' => '3.2', + 'Arch' => 'x86_64', + 'Compiler' => 'clang version 7.0.0 (tags/RELEASE_700/final)', + 'Headers' => { + 'int-ll64.h' => 1, + 'stdint.h' => 1, + 'types.h' => 1, + 'umad.h' => 1 + }, + 'Language' => 'C', + 'LibraryName' => 'libibumad.so.3.1.26.0', + 'LibraryVersion' => 'ibumad', + 'NameSpaces' => {}, + 'Needed' => { + 'libc.so.6' => 1 + }, + 'Sources' => { + 'umad.c' => 1, + 'umad_str.c' => 1 + }, + 'SymbolInfo' => { + '10250' => { + 'Line' => '342', + 'Param' => { + '0' => { + 'name' => 'mgmt_class', + 'type' => '1207' + }, + '1' => { + 'name' => 'attr_id', + 'type' => '89' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '67', + 'ShortName' => 'umad_attribute_str', + 'Source' => 'umad_str.c' + }, + '2278' => { + 'Line' => '558', + 'Return' => '142', + 'ShortName' => 'umad_init', + 'Source' => 'umad.c' + }, + '2304' => { + 'Line' => '564', + 'Return' => '142', + 'ShortName' => 'umad_done', + 'Source' => 'umad.c' + }, + '2393' => { + 'Line' => '584', + 'Param' => { + '0' => { + 'name' => 'cas', + 'type' => '9021' + }, + '1' => { + 'name' => 'max', + 'type' => '142' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '142', + 'ShortName' => 'umad_get_cas_names', + 'Source' => 'umad.c' + }, + '3163' => { + 'Line' => '614', + 'Param' => { + '0' => { + 'name' => 'ca_name', + 'type' => '67' + }, + '1' => { + 'name' => 'portguids', + 'type' => '1058' + }, + '2' => { + 'name' => 'max', + 'type' => '142' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '142', + 'ShortName' => 'umad_get_ca_portguids', + 'Source' => 'umad.c' + }, + '3377' => { + 'Line' => '1267', + 'Param' => { + '0' => { + 'name' => 'head', + 'type' => '3423' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'umad_free_ca_device_list', + 'Source' => 'umad.c' + }, + '4217' => { + 'Line' => '730', + 'Param' => { + '0' => { + 'name' => 'ca_name', + 'type' => '67' + }, + '1' => { + 'name' => 'ca', + 'type' => '2760' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '142', + 'ShortName' => 'umad_get_ca', + 'Source' => 'umad.c' + }, + '4529' => { + 'Line' => '653', + 'Param' => { + '0' => { + 'name' => 'ca_name', + 'type' => '67' + }, + '1' => { + 'name' => 'portnum', + 'type' => '142' + }, + '2' => { + 'name' => 'path', + 'type' => '1041' + }, + '3' => { + 'name' => 'max', + 'type' => '142' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '142', + 'ShortName' => 'umad_get_issm_path', + 'Source' => 'umad.c' + }, + '4922' => { + 'Line' => '680', + 'Param' => { + '0' => { + 'name' => 'ca_name', + 'type' => '67' + }, + '1' => { + 'name' => 'portnum', + 'type' => '142' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '142', + 'ShortName' => 'umad_open_port', + 'Source' => 'umad.c' + }, + '5088' => { + 'Line' => '755', + 'Param' => { + '0' => { + 'name' => 'ca', + 'type' => '2760' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '142', + 'ShortName' => 'umad_release_ca', + 'Source' => 'umad.c' + }, + '5215' => { + 'Line' => '770', + 'Param' => { + '0' => { + 'name' => 'ca_name', + 'type' => '67' + }, + '1' => { + 'name' => 'portnum', + 'type' => '142' + }, + '2' => { + 'name' => 'port', + 'type' => '2929' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '142', + 'ShortName' => 'umad_get_port', + 'Source' => 'umad.c' + }, + '5607' => { + 'Line' => '793', + 'Param' => { + '0' => { + 'name' => 'port', + 'type' => '2929' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '142', + 'ShortName' => 'umad_release_port', + 'Source' => 'umad.c' + }, + '5696' => { + 'Line' => '808', + 'Param' => { + '0' => { + 'name' => 'fd', + 'type' => '142' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '142', + 'ShortName' => 'umad_close_port', + 'Source' => 'umad.c' + }, + '5739' => { + 'Line' => '815', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '42' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '42', + 'ShortName' => 'umad_get_mad', + 'Source' => 'umad.c' + }, + '5780' => { + 'Line' => '821', + 'Return' => '1', + 'ShortName' => 'umad_size', + 'Source' => 'umad.c' + }, + '5799' => { + 'Line' => '827', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '42' + }, + '1' => { + 'name' => 'mad_addr', + 'type' => '42' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '142', + 'ShortName' => 'umad_set_grh', + 'Source' => 'umad.c' + }, + '5928' => { + 'Line' => '845', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '42' + }, + '1' => { + 'name' => 'pkey_index', + 'type' => '142' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '142', + 'ShortName' => 'umad_set_pkey', + 'Source' => 'umad.c' + }, + '5997' => { + 'Line' => '855', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '42' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '142', + 'ShortName' => 'umad_get_pkey', + 'Source' => 'umad.c' + }, + '6052' => { + 'Line' => '865', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '42' + }, + '1' => { + 'name' => 'dlid', + 'type' => '142' + }, + '2' => { + 'name' => 'dqp', + 'type' => '142' + }, + '3' => { + 'name' => 'sl', + 'type' => '142' + }, + '4' => { + 'name' => 'qkey', + 'type' => '142' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '142', + 'ShortName' => 'umad_set_addr', + 'Source' => 'umad.c' + }, + '6175' => { + 'Line' => '879', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '42' + }, + '1' => { + 'name' => 'dlid', + 'type' => '89' + }, + '2' => { + 'name' => 'dqp', + 'type' => '2041' + }, + '3' => { + 'name' => 'sl', + 'type' => '142' + }, + '4' => { + 'name' => 'qkey', + 'type' => '2041' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '142', + 'ShortName' => 'umad_set_addr_net', + 'Source' => 'umad.c' + }, + '6298' => { + 'Line' => '1201', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '42' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'umad_dump', + 'Source' => 'umad.c' + }, + '6345' => { + 'Line' => '893', + 'Param' => { + '0' => { + 'name' => 'fd', + 'type' => '142' + }, + '1' => { + 'name' => 'agentid', + 'type' => '142' + }, + '2' => { + 'name' => 'umad', + 'type' => '42' + }, + '3' => { + 'name' => 'length', + 'type' => '142' + }, + '4' => { + 'name' => 'timeout_ms', + 'type' => '142' + }, + '5' => { + 'name' => 'retries', + 'type' => '142' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9' + }, + 'Return' => '142', + 'ShortName' => 'umad_send', + 'Source' => 'umad.c' + }, + '6711' => { + 'Line' => '938', + 'Param' => { + '0' => { + 'name' => 'fd', + 'type' => '142' + }, + '1' => { + 'name' => 'umad', + 'type' => '42' + }, + '2' => { + 'name' => 'length', + 'type' => '9031' + }, + '3' => { + 'name' => 'timeout_ms', + 'type' => '142' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '142', + 'ShortName' => 'umad_recv', + 'Source' => 'umad.c' + }, + '6955' => { + 'Line' => '985', + 'Param' => { + '0' => { + 'name' => 'fd', + 'type' => '142' + }, + '1' => { + 'name' => 'timeout_ms', + 'type' => '142' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '142', + 'ShortName' => 'umad_poll', + 'Source' => 'umad.c' + }, + '7071' => { + 'Line' => '991', + 'Param' => { + '0' => { + 'name' => 'fd', + 'type' => '142' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '142', + 'ShortName' => 'umad_get_fd', + 'Source' => 'umad.c' + }, + '7114' => { + 'Line' => '997', + 'Param' => { + '0' => { + 'name' => 'fd', + 'type' => '142' + }, + '1' => { + 'name' => 'mgmt_class', + 'type' => '142' + }, + '2' => { + 'name' => 'rmpp_version', + 'type' => '1207' + }, + '3' => { + 'name' => 'oui', + 'type' => '9058' + }, + '4' => { + 'name' => 'method_mask', + 'type' => '9063' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '142', + 'ShortName' => 'umad_register_oui', + 'Source' => 'umad.c' + }, + '7278' => { + 'Line' => '1036', + 'Param' => { + '0' => { + 'name' => 'fd', + 'type' => '142' + }, + '1' => { + 'name' => 'mgmt_class', + 'type' => '142' + }, + '2' => { + 'name' => 'mgmt_version', + 'type' => '142' + }, + '3' => { + 'name' => 'rmpp_version', + 'type' => '1207' + }, + '4' => { + 'name' => 'method_mask', + 'type' => '9063' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '142', + 'ShortName' => 'umad_register', + 'Source' => 'umad.c' + }, + '7473' => { + 'Line' => '1071', + 'Param' => { + '0' => { + 'name' => 'port_fd', + 'type' => '142' + }, + '1' => { + 'name' => 'attr', + 'type' => '9185' + }, + '2' => { + 'name' => 'agent_id', + 'type' => '9294' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '142', + 'ShortName' => 'umad_register2', + 'Source' => 'umad.c' + }, + '7639' => { + 'Line' => '1154', + 'Param' => { + '0' => { + 'name' => 'fd', + 'type' => '142' + }, + '1' => { + 'name' => 'agentid', + 'type' => '142' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '142', + 'ShortName' => 'umad_unregister', + 'Source' => 'umad.c' + }, + '7698' => { + 'Line' => '1160', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '42' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '142', + 'ShortName' => 'umad_status', + 'Source' => 'umad.c' + }, + '7753' => { + 'Line' => '1167', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '42' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '9016', + 'ShortName' => 'umad_get_mad_addr', + 'Source' => 'umad.c' + }, + '7808' => { + 'Line' => '1174', + 'Param' => { + '0' => { + 'name' => 'level', + 'type' => '142' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '142', + 'ShortName' => 'umad_debug', + 'Source' => 'umad.c' + }, + '7851' => { + 'Line' => '1181', + 'Param' => { + '0' => { + 'name' => 'addr', + 'type' => '9016' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'umad_addr_dump', + 'Source' => 'umad.c' + }, + '8059' => { + 'Line' => '1210', + 'Return' => '3423', + 'ShortName' => 'umad_get_ca_device_list', + 'Source' => 'umad.c' + }, + '9690' => { + 'Line' => '45', + 'Param' => { + '0' => { + 'name' => 'mgmt_class', + 'type' => '1207' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '67', + 'ShortName' => 'umad_class_str', + 'Source' => 'umad_str.c' + }, + '9814' => { + 'Line' => '134', + 'Param' => { + '0' => { + 'name' => 'mgmt_class', + 'type' => '1207' + }, + '1' => { + 'name' => 'method', + 'type' => '1207' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '67', + 'ShortName' => 'umad_method_str', + 'Source' => 'umad_str.c' + }, + '9920' => { + 'Line' => '142', + 'Param' => { + '0' => { + 'name' => '_status', + 'type' => '89' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '67', + 'ShortName' => 'umad_common_mad_status_str', + 'Source' => 'umad_str.c' + }, + '9976' => { + 'Line' => '165', + 'Param' => { + '0' => { + 'name' => '_status', + 'type' => '89' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '67', + 'ShortName' => 'umad_sa_mad_status_str', + 'Source' => 'umad_str.c' + } + }, + 'SymbolVersion' => { + '_ITM_deregisterTMCloneTable' => 'write@@GLIBC_2.2.5', + '_ITM_registerTMCloneTable' => 'write@@GLIBC_2.2.5', + '__gmon_start__' => 'write@@GLIBC_2.2.5', + 'umad_addr_dump' => 'umad_addr_dump@@IBUMAD_1.0', + 'umad_attribute_str' => 'umad_attribute_str@@IBUMAD_1.0', + 'umad_class_str' => 'umad_class_str@@IBUMAD_1.0', + 'umad_close_port' => 'umad_close_port@@IBUMAD_1.0', + 'umad_common_mad_status_str' => 'umad_common_mad_status_str@@IBUMAD_1.0', + 'umad_debug' => 'umad_debug@@IBUMAD_1.0', + 'umad_done' => 'umad_done@@IBUMAD_1.0', + 'umad_dump' => 'umad_dump@@IBUMAD_1.0', + 'umad_free_ca_device_list' => 'umad_free_ca_device_list@@IBUMAD_1.1', + 'umad_get_ca' => 'umad_get_ca@@IBUMAD_1.0', + 'umad_get_ca_device_list' => 'umad_get_ca_device_list@@IBUMAD_1.1', + 'umad_get_ca_portguids' => 'umad_get_ca_portguids@@IBUMAD_1.0', + 'umad_get_cas_names' => 'umad_get_cas_names@@IBUMAD_1.0', + 'umad_get_fd' => 'umad_get_fd@@IBUMAD_1.0', + 'umad_get_issm_path' => 'umad_get_issm_path@@IBUMAD_1.0', + 'umad_get_mad' => 'umad_get_mad@@IBUMAD_1.0', + 'umad_get_mad_addr' => 'umad_get_mad_addr@@IBUMAD_1.0', + 'umad_get_pkey' => 'umad_get_pkey@@IBUMAD_1.0', + 'umad_get_port' => 'umad_get_port@@IBUMAD_1.0', + 'umad_init' => 'umad_init@@IBUMAD_1.0', + 'umad_method_str' => 'umad_method_str@@IBUMAD_1.0', + 'umad_open_port' => 'umad_open_port@@IBUMAD_1.0', + 'umad_poll' => 'umad_poll@@IBUMAD_1.0', + 'umad_recv' => 'umad_recv@@IBUMAD_1.0', + 'umad_register' => 'umad_register@@IBUMAD_1.0', + 'umad_register2' => 'umad_register2@@IBUMAD_1.0', + 'umad_register_oui' => 'umad_register_oui@@IBUMAD_1.0', + 'umad_release_ca' => 'umad_release_ca@@IBUMAD_1.0', + 'umad_release_port' => 'umad_release_port@@IBUMAD_1.0', + 'umad_sa_mad_status_str' => 'umad_sa_mad_status_str@@IBUMAD_1.0', + 'umad_send' => 'umad_send@@IBUMAD_1.0', + 'umad_set_addr' => 'umad_set_addr@@IBUMAD_1.0', + 'umad_set_addr_net' => 'umad_set_addr_net@@IBUMAD_1.0', + 'umad_set_grh' => 'umad_set_grh@@IBUMAD_1.0', + 'umad_set_pkey' => 'umad_set_pkey@@IBUMAD_1.0', + 'umad_size' => 'umad_size@@IBUMAD_1.0', + 'umad_status' => 'umad_status@@IBUMAD_1.0', + 'umad_unregister' => 'umad_unregister@@IBUMAD_1.0' + }, + 'Symbols' => { + 'libibumad.so.3.1.26.0' => { + 'umad_addr_dump@@IBUMAD_1.0' => 1, + 'umad_attribute_str@@IBUMAD_1.0' => 1, + 'umad_class_str@@IBUMAD_1.0' => 1, + 'umad_close_port@@IBUMAD_1.0' => 1, + 'umad_common_mad_status_str@@IBUMAD_1.0' => 1, + 'umad_debug@@IBUMAD_1.0' => 1, + 'umad_done@@IBUMAD_1.0' => 1, + 'umad_dump@@IBUMAD_1.0' => 1, + 'umad_free_ca_device_list@@IBUMAD_1.1' => 1, + 'umad_get_ca@@IBUMAD_1.0' => 1, + 'umad_get_ca_device_list@@IBUMAD_1.1' => 1, + 'umad_get_ca_portguids@@IBUMAD_1.0' => 1, + 'umad_get_cas_names@@IBUMAD_1.0' => 1, + 'umad_get_fd@@IBUMAD_1.0' => 1, + 'umad_get_issm_path@@IBUMAD_1.0' => 1, + 'umad_get_mad@@IBUMAD_1.0' => 1, + 'umad_get_mad_addr@@IBUMAD_1.0' => 1, + 'umad_get_pkey@@IBUMAD_1.0' => 1, + 'umad_get_port@@IBUMAD_1.0' => 1, + 'umad_init@@IBUMAD_1.0' => 1, + 'umad_method_str@@IBUMAD_1.0' => 1, + 'umad_open_port@@IBUMAD_1.0' => 1, + 'umad_poll@@IBUMAD_1.0' => 1, + 'umad_recv@@IBUMAD_1.0' => 1, + 'umad_register2@@IBUMAD_1.0' => 1, + 'umad_register@@IBUMAD_1.0' => 1, + 'umad_register_oui@@IBUMAD_1.0' => 1, + 'umad_release_ca@@IBUMAD_1.0' => 1, + 'umad_release_port@@IBUMAD_1.0' => 1, + 'umad_sa_mad_status_str@@IBUMAD_1.0' => 1, + 'umad_send@@IBUMAD_1.0' => 1, + 'umad_set_addr@@IBUMAD_1.0' => 1, + 'umad_set_addr_net@@IBUMAD_1.0' => 1, + 'umad_set_grh@@IBUMAD_1.0' => 1, + 'umad_set_pkey@@IBUMAD_1.0' => 1, + 'umad_size@@IBUMAD_1.0' => 1, + 'umad_status@@IBUMAD_1.0' => 1, + 'umad_unregister@@IBUMAD_1.0' => 1 + } + }, + 'Target' => 'unix', + 'TypeInfo' => { + '1' => { + 'Name' => 'void', + 'Type' => 'Intrinsic' + }, + '100' => { + 'BaseType' => '111', + 'Header' => 'int-ll64.h', + 'Line' => '23', + 'Name' => '__u16', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '1021' => { + 'BaseType' => '77', + 'Name' => 'char[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '1041' => { + 'BaseType' => '77', + 'Name' => 'char*', + 'Size' => '1', + 'Type' => 'Pointer' + }, + '1058' => { + 'BaseType' => '1063', + 'Name' => '__be64*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1063' => { + 'BaseType' => '1074', + 'Header' => 'types.h', + 'Line' => '32', + 'Name' => '__be64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '1074' => { + 'BaseType' => '1085', + 'Header' => 'int-ll64.h', + 'Line' => '30', + 'Name' => '__u64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '1085' => { + 'Name' => 'unsigned long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '1092' => { + 'BaseType' => '54', + 'Header' => 'stdint.h', + 'Line' => '55', + 'Name' => 'uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '111' => { + 'Name' => 'unsigned short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '1120' => { + 'Header' => 'umad.h', + 'Line' => '56', + 'Memb' => { + '0' => { + 'name' => 'raw', + 'offset' => '0', + 'type' => '1195' + }, + '1' => { + 'name' => 'raw_be16', + 'offset' => '0', + 'type' => '1225' + }, + '2' => { + 'name' => 'global', + 'offset' => '0', + 'type' => '1165' + } + }, + 'Name' => 'union umad_gid', + 'Size' => '16', + 'Type' => 'Union' + }, + '1165' => { + 'Header' => 'umad.h', + 'Line' => '59', + 'Memb' => { + '0' => { + 'name' => 'subnet_prefix', + 'offset' => '0', + 'type' => '1063' + }, + '1' => { + 'name' => 'interface_id', + 'offset' => '8', + 'type' => '1063' + } + }, + 'Name' => 'anon-struct-umad.h-59', + 'Size' => '16', + 'Type' => 'Struct' + }, + '1195' => { + 'BaseType' => '1207', + 'Name' => 'uint8_t[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '1207' => { + 'BaseType' => '1218', + 'Header' => 'stdint.h', + 'Line' => '48', + 'Name' => 'uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '1218' => { + 'Name' => 'unsigned char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '1225' => { + 'BaseType' => '89', + 'Name' => '__be16[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '1247' => { + 'Name' => 'unsigned int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '142' => { + 'Name' => 'int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '1828' => { + 'BaseType' => '1247', + 'Header' => 'stdint.h', + 'Line' => '51', + 'Name' => 'uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '1839' => { + 'BaseType' => '1850', + 'Header' => 'umad.h', + 'Line' => '84', + 'Name' => 'ib_mad_addr_t', + 'Size' => '44', + 'Type' => 'Typedef' + }, + '1850' => { + 'Header' => 'umad.h', + 'Line' => '67', + 'Memb' => { + '0' => { + 'name' => 'qpn', + 'offset' => '0', + 'type' => '2041' + }, + '1' => { + 'name' => 'qkey', + 'offset' => '4', + 'type' => '2041' + }, + '10' => { + 'name' => 'flow_label', + 'offset' => '32', + 'type' => '2041' + }, + '11' => { + 'name' => 'pkey_index', + 'offset' => '36', + 'type' => '2238' + }, + '12' => { + 'name' => 'reserved', + 'offset' => '38', + 'type' => '1195' + }, + '2' => { + 'name' => 'lid', + 'offset' => '8', + 'type' => '89' + }, + '3' => { + 'name' => 'sl', + 'offset' => '10', + 'type' => '1207' + }, + '4' => { + 'name' => 'path_bits', + 'offset' => '11', + 'type' => '1207' + }, + '5' => { + 'name' => 'grh_present', + 'offset' => '12', + 'type' => '1207' + }, + '6' => { + 'name' => 'gid_index', + 'offset' => '13', + 'type' => '1207' + }, + '7' => { + 'name' => 'hop_limit', + 'offset' => '14', + 'type' => '1207' + }, + '8' => { + 'name' => 'traffic_class', + 'offset' => '15', + 'type' => '1207' + }, + '9' => { + 'name' => 'unnamed0', + 'offset' => '16', + 'type' => '1974' + } + }, + 'Name' => 'struct ib_mad_addr', + 'Size' => '44', + 'Type' => 'Struct' + }, + '1974' => { + 'Header' => 'umad.h', + 'Line' => '77', + 'Memb' => { + '0' => { + 'name' => 'gid', + 'offset' => '0', + 'type' => '1195' + }, + '1' => { + 'name' => 'ib_gid', + 'offset' => '0', + 'type' => '1120' + } + }, + 'Name' => 'ib_mad_addr::anon-union-umad.h-77', + 'NameSpace' => 'ib_mad_addr', + 'Size' => '16', + 'Type' => 'Union' + }, + '2041' => { + 'BaseType' => '2052', + 'Header' => 'types.h', + 'Line' => '30', + 'Name' => '__be32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '2052' => { + 'BaseType' => '1247', + 'Header' => 'int-ll64.h', + 'Line' => '26', + 'Name' => '__u32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '2238' => { + 'BaseType' => '111', + 'Header' => 'stdint.h', + 'Line' => '49', + 'Name' => 'uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '2760' => { + 'BaseType' => '2765', + 'Name' => 'umad_ca_t*', + 'Size' => '208', + 'Type' => 'Pointer' + }, + '2765' => { + 'BaseType' => '2776', + 'Header' => 'umad.h', + 'Line' => '170', + 'Name' => 'umad_ca_t', + 'Size' => '208', + 'Type' => 'Typedef' + }, + '2776' => { + 'Header' => 'umad.h', + 'Line' => '160', + 'Memb' => { + '0' => { + 'name' => 'ca_name', + 'offset' => '0', + 'type' => '1021' + }, + '1' => { + 'name' => 'node_type', + 'offset' => '20', + 'type' => '1247' + }, + '2' => { + 'name' => 'numports', + 'offset' => '24', + 'type' => '142' + }, + '3' => { + 'name' => 'fw_ver', + 'offset' => '28', + 'type' => '1021' + }, + '4' => { + 'name' => 'ca_type', + 'offset' => '48', + 'type' => '1021' + }, + '5' => { + 'name' => 'hw_ver', + 'offset' => '88', + 'type' => '1021' + }, + '6' => { + 'name' => 'node_guid', + 'offset' => '112', + 'type' => '1063' + }, + '7' => { + 'name' => 'system_guid', + 'offset' => '120', + 'type' => '1063' + }, + '8' => { + 'name' => 'ports', + 'offset' => '128', + 'type' => '2917' + } + }, + 'Name' => 'struct umad_ca', + 'Size' => '208', + 'Type' => 'Struct' + }, + '2917' => { + 'BaseType' => '2929', + 'Name' => 'umad_port_t*[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '2929' => { + 'BaseType' => '2934', + 'Name' => 'umad_port_t*', + 'Size' => '112', + 'Type' => 'Pointer' + }, + '2934' => { + 'BaseType' => '2945', + 'Header' => 'umad.h', + 'Line' => '158', + 'Name' => 'umad_port_t', + 'Size' => '112', + 'Type' => 'Typedef' + }, + '2945' => { + 'Header' => 'umad.h', + 'Line' => '142', + 'Memb' => { + '0' => { + 'name' => 'ca_name', + 'offset' => '0', + 'type' => '1021' + }, + '1' => { + 'name' => 'portnum', + 'offset' => '20', + 'type' => '142' + }, + '10' => { + 'name' => 'gid_prefix', + 'offset' => '56', + 'type' => '1063' + }, + '11' => { + 'name' => 'port_guid', + 'offset' => '64', + 'type' => '1063' + }, + '12' => { + 'name' => 'pkeys_size', + 'offset' => '72', + 'type' => '1247' + }, + '13' => { + 'name' => 'pkeys', + 'offset' => '80', + 'type' => '3134' + }, + '14' => { + 'name' => 'link_layer', + 'offset' => '88', + 'type' => '1021' + }, + '2' => { + 'name' => 'base_lid', + 'offset' => '24', + 'type' => '1247' + }, + '3' => { + 'name' => 'lmc', + 'offset' => '28', + 'type' => '1247' + }, + '4' => { + 'name' => 'sm_lid', + 'offset' => '32', + 'type' => '1247' + }, + '5' => { + 'name' => 'sm_sl', + 'offset' => '36', + 'type' => '1247' + }, + '6' => { + 'name' => 'state', + 'offset' => '40', + 'type' => '1247' + }, + '7' => { + 'name' => 'phys_state', + 'offset' => '44', + 'type' => '1247' + }, + '8' => { + 'name' => 'rate', + 'offset' => '48', + 'type' => '1247' + }, + '9' => { + 'name' => 'capmask', + 'offset' => '52', + 'type' => '2041' + } + }, + 'Name' => 'struct umad_port', + 'Size' => '112', + 'Type' => 'Struct' + }, + '3134' => { + 'BaseType' => '2238', + 'Name' => 'uint16_t*', + 'Size' => '2', + 'Type' => 'Pointer' + }, + '3423' => { + 'BaseType' => '3428', + 'Name' => 'struct umad_device_node*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '3428' => { + 'Header' => 'umad.h', + 'Line' => '172', + 'Memb' => { + '0' => { + 'name' => 'next', + 'offset' => '0', + 'type' => '3423' + }, + '1' => { + 'name' => 'ca_name', + 'offset' => '8', + 'type' => '67' + } + }, + 'Name' => 'struct umad_device_node', + 'Size' => '16', + 'Type' => 'Struct' + }, + '4184' => { + 'Name' => 'long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '42' => { + 'BaseType' => '1', + 'Name' => 'void*', + 'Type' => 'Pointer' + }, + '54' => { + 'Name' => 'unsigned long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '67' => { + 'BaseType' => '72', + 'Name' => 'char const*', + 'Size' => '1', + 'Type' => 'Pointer' + }, + '72' => { + 'BaseType' => '77', + 'Name' => 'char const', + 'Size' => '1', + 'Type' => 'Const' + }, + '77' => { + 'Name' => 'char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '89' => { + 'BaseType' => '100', + 'Header' => 'types.h', + 'Line' => '28', + 'Name' => '__be16', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '9016' => { + 'BaseType' => '1839', + 'Name' => 'ib_mad_addr_t*', + 'Size' => '44', + 'Type' => 'Pointer' + }, + '9021' => { + 'BaseType' => '1021', + 'Name' => 'char[]*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '9031' => { + 'BaseType' => '142', + 'Name' => 'int*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '9058' => { + 'BaseType' => '1207', + 'Name' => 'uint8_t*', + 'Size' => '1', + 'Type' => 'Pointer' + }, + '9063' => { + 'BaseType' => '4184', + 'Name' => 'long*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '9185' => { + 'BaseType' => '9190', + 'Name' => 'struct umad_reg_attr*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '9190' => { + 'Header' => 'umad.h', + 'Line' => '223', + 'Memb' => { + '0' => { + 'name' => 'mgmt_class', + 'offset' => '0', + 'type' => '1207' + }, + '1' => { + 'name' => 'mgmt_class_version', + 'offset' => '1', + 'type' => '1207' + }, + '2' => { + 'name' => 'flags', + 'offset' => '4', + 'type' => '1828' + }, + '3' => { + 'name' => 'method_mask', + 'offset' => '8', + 'type' => '9271' + }, + '4' => { + 'name' => 'oui', + 'offset' => '24', + 'type' => '1828' + }, + '5' => { + 'name' => 'rmpp_version', + 'offset' => '28', + 'type' => '1207' + } + }, + 'Name' => 'struct umad_reg_attr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '9271' => { + 'BaseType' => '1092', + 'Name' => 'uint64_t[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '9294' => { + 'BaseType' => '1828', + 'Name' => 'uint32_t*', + 'Size' => '4', + 'Type' => 'Pointer' + } + }, + 'UndefinedSymbols' => { + 'libibumad.so.3.1.26.0' => { + '_ITM_deregisterTMCloneTable' => 0, + '_ITM_registerTMCloneTable' => 0, + '__ctype_b_loc@GLIBC_2.3' => 0, + '__cxa_finalize@GLIBC_2.2.5' => 0, + '__errno_location@GLIBC_2.2.5' => 0, + '__gmon_start__' => 0, + '__strdup@GLIBC_2.2.5' => 0, + '__strsep_g@GLIBC_2.2.5' => 0, + 'alphasort@GLIBC_2.2.5' => 0, + 'calloc@GLIBC_2.2.5' => 0, + 'close@GLIBC_2.2.5' => 0, + 'closedir@GLIBC_2.2.5' => 0, + 'fprintf@GLIBC_2.2.5' => 0, + 'free@GLIBC_2.2.5' => 0, + 'getpid@GLIBC_2.2.5' => 0, + 'ioctl@GLIBC_2.2.5' => 0, + 'open@GLIBC_2.2.5' => 0, + 'opendir@GLIBC_2.2.5' => 0, + 'poll@GLIBC_2.2.5' => 0, + 'read@GLIBC_2.2.5' => 0, + 'readdir@GLIBC_2.2.5' => 0, + 'scandir@GLIBC_2.2.5' => 0, + 'snprintf@GLIBC_2.2.5' => 0, + 'stderr@GLIBC_2.2.5' => 0, + 'strcmp@GLIBC_2.2.5' => 0, + 'strcpy@GLIBC_2.2.5' => 0, + 'strerror@GLIBC_2.2.5' => 0, + 'strlen@GLIBC_2.2.5' => 0, + 'strncmp@GLIBC_2.2.5' => 0, + 'strncpy@GLIBC_2.2.5' => 0, + 'strrchr@GLIBC_2.2.5' => 0, + 'strtol@GLIBC_2.2.5' => 0, + 'strtoul@GLIBC_2.2.5' => 0, + 'strtoull@GLIBC_2.2.5' => 0, + 'write@GLIBC_2.2.5' => 0 + } + }, + 'WordSize' => '8' + }; diff --git a/ABI/ibverbs.dump b/ABI/ibverbs.dump new file mode 100644 index 00000000..7bf6499b --- /dev/null +++ b/ABI/ibverbs.dump @@ -0,0 +1,19009 @@ +$VAR1 = { + 'ABI_DUMPER_VERSION' => '0.99.12', + 'ABI_DUMP_VERSION' => '3.2', + 'Arch' => 'x86_64', + 'Compiler' => 'clang version 7.0.0 (tags/RELEASE_700/final)', + 'Headers' => { + 'bitmap.h' => 1, + 'cmd_ioctl.h' => 1, + 'driver.h' => 1, + 'ib_user_ioctl_verbs.h' => 1, + 'ib_user_sa.h' => 1, + 'ib_user_verbs.h' => 1, + 'ibverbs.h' => 1, + 'int-ll64.h' => 1, + 'kern-abi.h' => 1, + 'list.h' => 1, + 'pthreadtypes.h' => 1, + 'rdma_user_ioctl_cmds.h' => 1, + 'sa.h' => 1, + 'stdatomic.h' => 1, + 'stddef.h' => 1, + 'stdint.h' => 1, + 'time.h' => 1, + 'types.h' => 1, + 'verbs.h' => 1 + }, + 'Language' => 'C', + 'LibraryName' => 'libibverbs.so.1.7.26.0', + 'LibraryVersion' => 'ibverbs', + 'NameSpaces' => {}, + 'Needed' => { + 'libc.so.6' => 1, + 'libdl.so.2' => 1, + 'libnl-3.so.200' => 1, + 'libnl-route-3.so.200' => 1, + 'libpthread.so.0' => 1 + }, + 'Sources' => { + 'cmd.c' => 1, + 'cmd_ah.c' => 1, + 'cmd_counters.c' => 1, + 'cmd_cq.c' => 1, + 'cmd_device.c' => 1, + 'cmd_dm.c' => 1, + 'cmd_flow.c' => 1, + 'cmd_flow_action.c' => 1, + 'cmd_ioctl.c' => 1, + 'cmd_mr.c' => 1, + 'cmd_mw.c' => 1, + 'cmd_pd.c' => 1, + 'cmd_rwq_ind.c' => 1, + 'cmd_xrcd.c' => 1, + 'compat-1_0.c' => 1, + 'device.c' => 1, + 'dummy_ops.c' => 1, + 'enum_strs.c' => 1, + 'init.c' => 1, + 'marshall.c' => 1, + 'memory.c' => 1, + 'sysfs.c' => 1, + 'verbs.c' => 1 + }, + 'SymbolInfo' => { + '100481' => { + 'Line' => '72', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '7447' + }, + '1' => { + 'name' => 'attr', + 'type' => '32708' + }, + '2' => { + 'name' => 'flow_action', + 'type' => '105739' + }, + '3' => { + 'name' => 'driver', + 'type' => '41574' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_create_flow_action_esp', + 'Source' => 'cmd_flow_action.c' + }, + '101719' => { + 'Line' => '101', + 'Param' => { + '0' => { + 'name' => 'flow_action', + 'type' => '105739' + }, + '1' => { + 'name' => 'attr', + 'type' => '32708' + }, + '2' => { + 'name' => 'driver', + 'type' => '41574' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_modify_flow_action_esp', + 'Source' => 'cmd_flow_action.c' + }, + '102028' => { + 'Line' => '120', + 'Param' => { + '0' => { + 'name' => 'action', + 'type' => '105739' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_destroy_flow_action', + 'Source' => 'cmd_flow_action.c' + }, + '119211' => { + 'Line' => '44', + 'Param' => { + '0' => { + 'name' => 'num_attrs', + 'type' => '213' + }, + '1' => { + 'name' => 'link', + 'type' => '41574' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '213', + 'ShortName' => '__ioctl_final_num_attrs', + 'Source' => 'cmd_ioctl.c' + }, + '119930' => { + 'Line' => '128', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'cmd', + 'type' => '41574' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '131', + 'ShortName' => 'execute_ioctl', + 'Source' => 'cmd_ioctl.c' + }, + '123274' => { + 'Line' => '38', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '8353' + }, + '1' => { + 'name' => 'advice', + 'type' => '795' + }, + '2' => { + 'name' => 'flags', + 'type' => '8394' + }, + '3' => { + 'name' => 'sg_list', + 'type' => '9934' + }, + '4' => { + 'name' => 'num_sge', + 'type' => '8394' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_advise_mr', + 'Source' => 'cmd_mr.c' + }, + '123775' => { + 'Line' => '57', + 'Param' => { + '0' => { + 'name' => 'vmr', + 'type' => '37668' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_dereg_mr', + 'Source' => 'cmd_mr.c' + }, + '129294' => { + 'Line' => '35', + 'Param' => { + '0' => { + 'name' => 'mw', + 'type' => '8273' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_dealloc_mw', + 'Source' => 'cmd_mw.c' + }, + '134774' => { + 'Line' => '35', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '8353' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_dealloc_pd', + 'Source' => 'cmd_pd.c' + }, + '140192' => { + 'Line' => '35', + 'Param' => { + '0' => { + 'name' => 'rwq_ind_table', + 'type' => '33676' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_destroy_rwq_ind_table', + 'Source' => 'cmd_rwq_ind.c' + }, + '145739' => { + 'Line' => '35', + 'Param' => { + '0' => { + 'name' => 'xrcd', + 'type' => '7374' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_close_xrcd', + 'Source' => 'cmd_xrcd.c' + }, + '163891' => { + 'Alias' => '__ibv_get_device_list_1_0', + 'Line' => '231', + 'Param' => { + '0' => { + 'name' => 'num', + 'type' => '26837' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '167275', + 'ShortName' => 'ibv_get_device_list', + 'Source' => 'compat-1_0.c' + }, + '163988' => { + 'Alias' => '__ibv_free_device_list_1_0', + 'Line' => '272', + 'Param' => { + '0' => { + 'name' => 'list', + 'type' => '167275' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'ibv_free_device_list', + 'Source' => 'compat-1_0.c' + }, + '164043' => { + 'Alias' => '__ibv_get_device_name_1_0', + 'Line' => '287', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '150677' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '167280', + 'ShortName' => 'ibv_get_device_name', + 'Source' => 'compat-1_0.c' + }, + '164086' => { + 'Alias' => '__ibv_get_device_guid_1_0', + 'Line' => '294', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '150677' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '3550', + 'ShortName' => 'ibv_get_device_guid', + 'Source' => 'compat-1_0.c' + }, + '164129' => { + 'Alias' => '__ibv_open_device_1_0', + 'Line' => '467', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '150677' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '150591', + 'ShortName' => 'ibv_open_device', + 'Source' => 'compat-1_0.c' + }, + '164857' => { + 'Alias' => '__ibv_close_device_1_0', + 'Line' => '496', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '150591' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_close_device', + 'Source' => 'compat-1_0.c' + }, + '164916' => { + 'Alias' => '__ibv_get_async_event_1_0', + 'Line' => '510', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '150591' + }, + '1' => { + 'name' => 'event', + 'type' => '36238' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_get_async_event', + 'Source' => 'compat-1_0.c' + }, + '164991' => { + 'Alias' => '__ibv_ack_async_event_1_0', + 'Line' => '549', + 'Param' => { + '0' => { + 'name' => 'event', + 'type' => '36238' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'ibv_ack_async_event', + 'Source' => 'compat-1_0.c' + }, + '165046' => { + 'Alias' => '__ibv_query_device_1_0', + 'Line' => '586', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '150591' + }, + '1' => { + 'name' => 'device_attr', + 'type' => '23943' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_query_device', + 'Source' => 'compat-1_0.c' + }, + '165219' => { + 'Alias' => '__ibv_query_port_1_0', + 'Line' => '594', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '150591' + }, + '1' => { + 'name' => 'port_num', + 'type' => '8231' + }, + '2' => { + 'name' => 'port_attr', + 'type' => '31846' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_query_port', + 'Source' => 'compat-1_0.c' + }, + '165377' => { + 'Alias' => '__ibv_query_gid_1_0', + 'Line' => '603', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '150591' + }, + '1' => { + 'name' => 'port_num', + 'type' => '8231' + }, + '2' => { + 'name' => 'index', + 'type' => '131' + }, + '3' => { + 'name' => 'gid', + 'type' => '157297' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_query_gid', + 'Source' => 'compat-1_0.c' + }, + '165462' => { + 'Alias' => '__ibv_query_pkey_1_0', + 'Line' => '612', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '150591' + }, + '1' => { + 'name' => 'port_num', + 'type' => '8231' + }, + '2' => { + 'name' => 'index', + 'type' => '131' + }, + '3' => { + 'name' => 'pkey', + 'type' => '167386' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_query_pkey', + 'Source' => 'compat-1_0.c' + }, + '165547' => { + 'Alias' => '__ibv_alloc_pd_1_0', + 'Line' => '621', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '150591' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '155659', + 'ShortName' => 'ibv_alloc_pd', + 'Source' => 'compat-1_0.c' + }, + '165622' => { + 'Alias' => '__ibv_dealloc_pd_1_0', + 'Line' => '644', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '155659' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_dealloc_pd', + 'Source' => 'compat-1_0.c' + }, + '165681' => { + 'Alias' => '__ibv_reg_mr_1_0', + 'Line' => '658', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '155659' + }, + '1' => { + 'name' => 'addr', + 'type' => '6673' + }, + '2' => { + 'name' => 'length', + 'type' => '9544' + }, + '3' => { + 'name' => 'access', + 'type' => '131' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '167290', + 'ShortName' => 'ibv_reg_mr', + 'Source' => 'compat-1_0.c' + }, + '165804' => { + 'Alias' => '__ibv_dereg_mr_1_0', + 'Line' => '685', + 'Param' => { + '0' => { + 'name' => 'mr', + 'type' => '167290' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_dereg_mr', + 'Source' => 'compat-1_0.c' + }, + '165863' => { + 'Alias' => '__ibv_create_cq_1_0', + 'Line' => '699', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '150591' + }, + '1' => { + 'name' => 'cqe', + 'type' => '131' + }, + '2' => { + 'name' => 'cq_context', + 'type' => '6673' + }, + '3' => { + 'name' => 'channel', + 'type' => '8747' + }, + '4' => { + 'name' => 'comp_vector', + 'type' => '131' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '150469', + 'ShortName' => 'ibv_create_cq', + 'Source' => 'compat-1_0.c' + }, + '166002' => { + 'Alias' => '__ibv_resize_cq_1_0', + 'Line' => '728', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '150469' + }, + '1' => { + 'name' => 'cqe', + 'type' => '131' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_resize_cq', + 'Source' => 'compat-1_0.c' + }, + '166059' => { + 'Alias' => '__ibv_destroy_cq_1_0', + 'Line' => '735', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '150469' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_destroy_cq', + 'Source' => 'compat-1_0.c' + }, + '166118' => { + 'Alias' => '__ibv_get_cq_event_1_0', + 'Line' => '749', + 'Param' => { + '0' => { + 'name' => 'channel', + 'type' => '8747' + }, + '1' => { + 'name' => 'cq', + 'type' => '167402' + }, + '2' => { + 'name' => 'cq_context', + 'type' => '99666' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_get_cq_event', + 'Source' => 'compat-1_0.c' + }, + '166241' => { + 'Alias' => '__ibv_ack_cq_events_1_0', + 'Line' => '769', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '150469' + }, + '1' => { + 'name' => 'nevents', + 'type' => '213' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '1', + 'ShortName' => 'ibv_ack_cq_events', + 'Source' => 'compat-1_0.c' + }, + '166294' => { + 'Alias' => '__ibv_create_srq_1_0', + 'Line' => '777', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '155659' + }, + '1' => { + 'name' => 'srq_init_attr', + 'type' => '37370' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '155549', + 'ShortName' => 'ibv_create_srq', + 'Source' => 'compat-1_0.c' + }, + '166385' => { + 'Alias' => '__ibv_modify_srq_1_0', + 'Line' => '805', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '155549' + }, + '1' => { + 'name' => 'srq_attr', + 'type' => '38217' + }, + '2' => { + 'name' => 'srq_attr_mask', + 'type' => '131' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_modify_srq', + 'Source' => 'compat-1_0.c' + }, + '166456' => { + 'Alias' => '__ibv_query_srq_1_0', + 'Line' => '814', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '155549' + }, + '1' => { + 'name' => 'srq_attr', + 'type' => '38217' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_query_srq', + 'Source' => 'compat-1_0.c' + }, + '166513' => { + 'Alias' => '__ibv_destroy_srq_1_0', + 'Line' => '822', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '155549' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_destroy_srq', + 'Source' => 'compat-1_0.c' + }, + '166572' => { + 'Alias' => '__ibv_create_qp_1_0', + 'Line' => '836', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '155659' + }, + '1' => { + 'name' => 'qp_init_attr', + 'type' => '167412' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '156680', + 'ShortName' => 'ibv_create_qp', + 'Source' => 'compat-1_0.c' + }, + '166679' => { + 'Alias' => '__ibv_query_qp_1_0', + 'Line' => '881', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '156680' + }, + '1' => { + 'name' => 'attr', + 'type' => '37838' + }, + '2' => { + 'name' => 'attr_mask', + 'type' => '131' + }, + '3' => { + 'name' => 'init_attr', + 'type' => '167412' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_query_qp', + 'Source' => 'compat-1_0.c' + }, + '166802' => { + 'Alias' => '__ibv_modify_qp_1_0', + 'Line' => '904', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '156680' + }, + '1' => { + 'name' => 'attr', + 'type' => '37838' + }, + '2' => { + 'name' => 'attr_mask', + 'type' => '131' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_modify_qp', + 'Source' => 'compat-1_0.c' + }, + '166873' => { + 'Alias' => '__ibv_destroy_qp_1_0', + 'Line' => '913', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '156680' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_destroy_qp', + 'Source' => 'compat-1_0.c' + }, + '166932' => { + 'Alias' => '__ibv_create_ah_1_0', + 'Line' => '927', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '155659' + }, + '1' => { + 'name' => 'attr', + 'type' => '36497' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '157136', + 'ShortName' => 'ibv_create_ah', + 'Source' => 'compat-1_0.c' + }, + '167023' => { + 'Alias' => '__ibv_destroy_ah_1_0', + 'Line' => '951', + 'Param' => { + '0' => { + 'name' => 'ah', + 'type' => '157136' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_destroy_ah', + 'Source' => 'compat-1_0.c' + }, + '167082' => { + 'Alias' => '__ibv_attach_mcast_1_0', + 'Line' => '965', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '156680' + }, + '1' => { + 'name' => 'gid', + 'type' => '157297' + }, + '2' => { + 'name' => 'lid', + 'type' => '9809' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_attach_mcast', + 'Source' => 'compat-1_0.c' + }, + '167153' => { + 'Alias' => '__ibv_detach_mcast_1_0', + 'Line' => '972', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '156680' + }, + '1' => { + 'name' => 'gid', + 'type' => '157297' + }, + '2' => { + 'name' => 'lid', + 'type' => '9809' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_detach_mcast', + 'Source' => 'compat-1_0.c' + }, + '167224' => { + 'Alias' => '__ibv_register_driver_1_1', + 'Line' => '979', + 'Param' => { + '0' => { + 'name' => 'name', + 'type' => '167280' + }, + '1' => { + 'name' => 'init_func', + 'type' => '167510' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '1', + 'ShortName' => 'ibv_register_driver', + 'Source' => 'compat-1_0.c' + }, + '167585' => { + 'Alias' => '__ibv_get_device_list_1_1', + 'Line' => '54', + 'Param' => { + '0' => { + 'name' => 'num', + 'type' => '26837' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '167381', + 'ShortName' => 'ibv_get_device_list', + 'Source' => 'device.c' + }, + '182151' => { + 'Alias' => '__ibv_free_device_list_1_1', + 'Line' => '98', + 'Param' => { + '0' => { + 'name' => 'list', + 'type' => '167381' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'ibv_free_device_list', + 'Source' => 'device.c' + }, + '182203' => { + 'Alias' => '__ibv_get_device_name_1_1', + 'Line' => '109', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '7559' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '167280', + 'ShortName' => 'ibv_get_device_name', + 'Source' => 'device.c' + }, + '182242' => { + 'Alias' => '__ibv_get_device_guid_1_1', + 'Line' => '116', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '7559' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '3550', + 'ShortName' => 'ibv_get_device_guid', + 'Source' => 'device.c' + }, + '182391' => { + 'Line' => '153', + 'Param' => { + '0' => { + 'name' => 'value', + 'type' => '10613' + }, + '1' => { + 'name' => 'len', + 'type' => '9544' + }, + '2' => { + 'name' => 'sysfs_dev', + 'type' => '173158' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_get_fw_ver', + 'Source' => 'device.c' + }, + '182500' => { + 'Line' => '173', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '8615' + }, + '1' => { + 'name' => 'context', + 'type' => '7447' + }, + '2' => { + 'name' => 'channel', + 'type' => '8747' + }, + '3' => { + 'name' => 'cq_context', + 'type' => '6673' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '1', + 'ShortName' => 'verbs_init_cq', + 'Source' => 'device.c' + }, + '183575' => { + 'Line' => '295', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '7559' + }, + '1' => { + 'name' => 'cmd_fd', + 'type' => '131' + }, + '2' => { + 'name' => 'alloc_size', + 'type' => '9544' + }, + '3' => { + 'name' => 'context_offset', + 'type' => '31257' + }, + '4' => { + 'name' => 'driver_id', + 'type' => '8394' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '6673', + 'ShortName' => '_verbs_init_and_alloc_context', + 'Source' => 'device.c' + }, + '183736' => { + 'Line' => '335', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '7559' + }, + '1' => { + 'name' => 'private_data', + 'type' => '6673' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '1', + 'ShortName' => 'verbs_open_device', + 'Source' => 'device.c' + }, + '183905' => { + 'Alias' => '__ibv_open_device_1_1', + 'Line' => '363', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '7559' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '7447', + 'ShortName' => 'ibv_open_device', + 'Source' => 'device.c' + }, + '184044' => { + 'Line' => '370', + 'Param' => { + '0' => { + 'name' => 'context_ex', + 'type' => '31257' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'verbs_uninit_context', + 'Source' => 'device.c' + }, + '184083' => { + 'Alias' => '__ibv_close_device_1_1', + 'Line' => '378', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_close_device', + 'Source' => 'device.c' + }, + '184200' => { + 'Alias' => '__ibv_get_async_event_1_1', + 'Line' => '389', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'event', + 'type' => '36238' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_get_async_event', + 'Source' => 'device.c' + }, + '184330' => { + 'Alias' => '__ibv_ack_async_event_1_1', + 'Line' => '435', + 'Param' => { + '0' => { + 'name' => 'event', + 'type' => '36238' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'ibv_ack_async_event', + 'Source' => 'device.c' + }, + '201117' => { + 'Line' => '522', + 'Param' => { + '0' => { + 'name' => 'vctx', + 'type' => '31257' + }, + '1' => { + 'name' => 'ops', + 'type' => '184190' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '1', + 'ShortName' => 'verbs_set_ops', + 'Source' => 'dummy_ops.c' + }, + '204952' => { + 'Line' => '35', + 'Param' => { + '0' => { + 'name' => 'node_type', + 'type' => '70' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '167280', + 'ShortName' => 'ibv_node_type_str', + 'Source' => 'enum_strs.c' + }, + '205055' => { + 'Line' => '53', + 'Param' => { + '0' => { + 'name' => 'port_state', + 'type' => '701' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '167280', + 'ShortName' => 'ibv_port_state_str', + 'Source' => 'enum_strs.c' + }, + '205127' => { + 'Line' => '70', + 'Param' => { + '0' => { + 'name' => 'event', + 'type' => '980' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '167280', + 'ShortName' => 'ibv_event_type_str', + 'Source' => 'enum_strs.c' + }, + '205199' => { + 'Line' => '101', + 'Param' => { + '0' => { + 'name' => 'status', + 'type' => '339' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '167280', + 'ShortName' => 'ibv_wc_status_str', + 'Source' => 'enum_strs.c' + }, + '224287' => { + 'Line' => '220', + 'Param' => { + '0' => { + 'name' => 'ops', + 'type' => '172880' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'verbs_register_driver_25', + 'Source' => 'init.c' + }, + '226674' => { + 'Line' => '39', + 'Param' => { + '0' => { + 'name' => 'dst', + 'type' => '36497' + }, + '1' => { + 'name' => 'src', + 'type' => '227077' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '1', + 'ShortName' => 'ibv_copy_ah_attr_from_kern', + 'Source' => 'marshall.c' + }, + '227329' => { + 'Line' => '56', + 'Param' => { + '0' => { + 'name' => 'dst', + 'type' => '37838' + }, + '1' => { + 'name' => 'src', + 'type' => '227978' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '1', + 'ShortName' => 'ibv_copy_qp_attr_from_kern', + 'Source' => 'marshall.c' + }, + '227454' => { + 'Line' => '92', + 'Param' => { + '0' => { + 'name' => 'dst', + 'type' => '228408' + }, + '1' => { + 'name' => 'src', + 'type' => '228679' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '1', + 'ShortName' => 'ibv_copy_path_rec_from_kern', + 'Source' => 'marshall.c' + }, + '227502' => { + 'Line' => '117', + 'Param' => { + '0' => { + 'name' => 'dst', + 'type' => '228679' + }, + '1' => { + 'name' => 'src', + 'type' => '228408' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '1', + 'ShortName' => 'ibv_copy_path_rec_to_kern', + 'Source' => 'marshall.c' + }, + '230868' => { + 'Line' => '124', + 'Return' => '131', + 'ShortName' => 'ibv_fork_init', + 'Source' => 'memory.c' + }, + '231763' => { + 'Line' => '686', + 'Param' => { + '0' => { + 'name' => 'base', + 'type' => '6673' + }, + '1' => { + 'name' => 'size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_dontfork_range', + 'Source' => 'memory.c' + }, + '232587' => { + 'Line' => '696', + 'Param' => { + '0' => { + 'name' => 'base', + 'type' => '6673' + }, + '1' => { + 'name' => 'size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_dofork_range', + 'Source' => 'memory.c' + }, + '23785' => { + 'Line' => '50', + 'Param' => { + '0' => { + 'name' => 'context_ex', + 'type' => '31257' + }, + '1' => { + 'name' => 'cmd', + 'type' => '2551' + }, + '2' => { + 'name' => 'cmd_size', + 'type' => '9544' + }, + '3' => { + 'name' => 'resp', + 'type' => '2813' + }, + '4' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_get_context', + 'Source' => 'cmd.c' + }, + '239813' => { + 'Line' => '48', + 'Return' => '167280', + 'ShortName' => 'ibv_get_sysfs_path', + 'Source' => 'sysfs.c' + }, + '240103' => { + 'Line' => '108', + 'Param' => { + '0' => { + 'name' => 'dir', + 'type' => '167280' + }, + '1' => { + 'name' => 'file', + 'type' => '167280' + }, + '2' => { + 'name' => 'buf', + 'type' => '10613' + }, + '3' => { + 'name' => 'size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_read_sysfs_file', + 'Source' => 'sysfs.c' + }, + '240283' => { + 'Line' => '122', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '10613' + }, + '1' => { + 'name' => 'size', + 'type' => '9544' + }, + '2' => { + 'name' => 'sysfs_dev', + 'type' => '173158' + }, + '3' => { + 'name' => 'fnfmt', + 'type' => '167280' + }, + '4' => { + 'type' => '-1' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_read_ibdev_sysfs_file', + 'Source' => 'sysfs.c' + }, + '24442' => { + 'Line' => '114', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'device_attr', + 'type' => '23943' + }, + '2' => { + 'name' => 'raw_fw_ver', + 'type' => '24437' + }, + '3' => { + 'name' => 'cmd', + 'type' => '2890' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_query_device', + 'Source' => 'cmd.c' + }, + '24607' => { + 'Line' => '133', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'input', + 'type' => '38450' + }, + '2' => { + 'name' => 'attr', + 'type' => '38481' + }, + '3' => { + 'name' => 'attr_size', + 'type' => '9544' + }, + '4' => { + 'name' => 'raw_fw_ver', + 'type' => '24437' + }, + '5' => { + 'name' => 'cmd', + 'type' => '3617' + }, + '6' => { + 'name' => 'cmd_size', + 'type' => '9544' + }, + '7' => { + 'name' => 'resp', + 'type' => '3855' + }, + '8' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp', + '7' => 'rsp', + '8' => 'rsp' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_query_device_ex', + 'Source' => 'cmd.c' + }, + '24830' => { + 'Line' => '280', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'pd', + 'type' => '8353' + }, + '2' => { + 'name' => 'cmd', + 'type' => '4372' + }, + '3' => { + 'name' => 'cmd_size', + 'type' => '9544' + }, + '4' => { + 'name' => 'resp', + 'type' => '4526' + }, + '5' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_alloc_pd', + 'Source' => 'cmd.c' + }, + '24969' => { + 'Line' => '297', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'xrcd', + 'type' => '7374' + }, + '2' => { + 'name' => 'vxrcd_size', + 'type' => '131' + }, + '3' => { + 'name' => 'attr', + 'type' => '38344' + }, + '4' => { + 'name' => 'cmd', + 'type' => '4605' + }, + '5' => { + 'name' => 'cmd_size', + 'type' => '9544' + }, + '6' => { + 'name' => 'resp', + 'type' => '4809' + }, + '7' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp', + '7' => 'rsp' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_open_xrcd', + 'Source' => 'cmd.c' + }, + '25140' => { + 'Line' => '329', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '8353' + }, + '1' => { + 'name' => 'addr', + 'type' => '6673' + }, + '2' => { + 'name' => 'length', + 'type' => '9544' + }, + '3' => { + 'name' => 'hca_va', + 'type' => '9365' + }, + '4' => { + 'name' => 'access', + 'type' => '131' + }, + '5' => { + 'name' => 'vmr', + 'type' => '37668' + }, + '6' => { + 'name' => 'cmd', + 'type' => '4894' + }, + '7' => { + 'name' => 'cmd_size', + 'type' => '9544' + }, + '8' => { + 'name' => 'resp', + 'type' => '5179' + }, + '9' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp', + '7' => 'rsp', + '8' => 'rsp', + '9' => 'rsp' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_reg_mr', + 'Source' => 'cmd.c' + }, + '25343' => { + 'Line' => '367', + 'Param' => { + '0' => { + 'name' => 'vmr', + 'type' => '37668' + }, + '1' => { + 'name' => 'flags', + 'type' => '8394' + }, + '10' => { + 'name' => 'resp_sz', + 'type' => '9544' + }, + '2' => { + 'name' => 'addr', + 'type' => '6673' + }, + '3' => { + 'name' => 'length', + 'type' => '9544' + }, + '4' => { + 'name' => 'hca_va', + 'type' => '9365' + }, + '5' => { + 'name' => 'access', + 'type' => '131' + }, + '6' => { + 'name' => 'pd', + 'type' => '8353' + }, + '7' => { + 'name' => 'cmd', + 'type' => '5272' + }, + '8' => { + 'name' => 'cmd_sz', + 'type' => '9544' + }, + '9' => { + 'name' => 'resp', + 'type' => '5607' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '10' => 'rsp', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp', + '7' => 'rsp', + '8' => 'rsp', + '9' => 'rsp' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_rereg_mr', + 'Source' => 'cmd.c' + }, + '25562' => { + 'Line' => '396', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '8353' + }, + '1' => { + 'name' => 'type', + 'type' => '187' + }, + '2' => { + 'name' => 'mw', + 'type' => '8273' + }, + '3' => { + 'name' => 'cmd', + 'type' => '5688' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '9544' + }, + '5' => { + 'name' => 'resp', + 'type' => '5929' + }, + '6' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_alloc_mw', + 'Source' => 'cmd.c' + }, + '25717' => { + 'Line' => '421', + 'Param' => { + '0' => { + 'name' => 'ibcq', + 'type' => '8615' + }, + '1' => { + 'name' => 'ne', + 'type' => '131' + }, + '2' => { + 'name' => 'wc', + 'type' => '9597' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_poll_cq', + 'Source' => 'cmd.c' + }, + '257517' => { + 'Line' => '58', + 'Param' => { + '0' => { + 'name' => 'rate', + 'type' => '241101' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_rate_to_mult', + 'Source' => 'verbs.c' + }, + '257558' => { + 'Line' => '78', + 'Param' => { + '0' => { + 'name' => 'mult', + 'type' => '131' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '241101', + 'ShortName' => 'mult_to_ibv_rate', + 'Source' => 'verbs.c' + }, + '257599' => { + 'Line' => '98', + 'Param' => { + '0' => { + 'name' => 'rate', + 'type' => '241101' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_rate_to_mbps', + 'Source' => 'verbs.c' + }, + '257640' => { + 'Line' => '126', + 'Param' => { + '0' => { + 'name' => 'mbps', + 'type' => '131' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '241101', + 'ShortName' => 'mbps_to_ibv_rate', + 'Source' => 'verbs.c' + }, + '257737' => { + 'Alias' => '__ibv_query_device_1_1', + 'Line' => '154', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'device_attr', + 'type' => '23943' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_query_device', + 'Source' => 'verbs.c' + }, + '258128' => { + 'Alias' => '__ibv_query_port_1_1', + 'Line' => '209', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'port_num', + 'type' => '8231' + }, + '2' => { + 'name' => 'port_attr', + 'type' => '8242' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_query_port', + 'Source' => 'verbs.c' + }, + '258342' => { + 'Alias' => '__ibv_query_gid_1_1', + 'Line' => '219', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'port_num', + 'type' => '8231' + }, + '2' => { + 'name' => 'index', + 'type' => '131' + }, + '3' => { + 'name' => 'gid', + 'type' => '157297' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_query_gid', + 'Source' => 'verbs.c' + }, + '258487' => { + 'Alias' => '__ibv_query_pkey_1_1', + 'Line' => '243', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'port_num', + 'type' => '8231' + }, + '2' => { + 'name' => 'index', + 'type' => '131' + }, + '3' => { + 'name' => 'pkey', + 'type' => '167386' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_query_pkey', + 'Source' => 'verbs.c' + }, + '258663' => { + 'Alias' => '__ibv_get_pkey_index_1_5', + 'Line' => '263', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'port_num', + 'type' => '8231' + }, + '2' => { + 'name' => 'pkey', + 'type' => '18430' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_get_pkey_index', + 'Source' => 'verbs.c' + }, + '25868' => { + 'Line' => '467', + 'Param' => { + '0' => { + 'name' => 'ibcq', + 'type' => '8615' + }, + '1' => { + 'name' => 'solicited_only', + 'type' => '131' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_req_notify_cq', + 'Source' => 'cmd.c' + }, + '258786' => { + 'Alias' => '__ibv_alloc_pd_1_1', + 'Line' => '279', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '8353', + 'ShortName' => 'ibv_alloc_pd', + 'Source' => 'verbs.c' + }, + '258904' => { + 'Alias' => '__ibv_dealloc_pd_1_1', + 'Line' => '292', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '8353' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_dealloc_pd', + 'Source' => 'verbs.c' + }, + '259004' => { + 'Alias' => '__ibv_reg_mr_1_1', + 'Line' => '299', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '8353' + }, + '1' => { + 'name' => 'addr', + 'type' => '6673' + }, + '2' => { + 'name' => 'length', + 'type' => '9544' + }, + '3' => { + 'name' => 'access', + 'type' => '131' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '9438', + 'ShortName' => 'ibv_reg_mr', + 'Source' => 'verbs.c' + }, + '259170' => { + 'Line' => '322', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '8353' + }, + '1' => { + 'name' => 'addr', + 'type' => '6673' + }, + '2' => { + 'name' => 'length', + 'type' => '9544' + }, + '3' => { + 'name' => 'iova', + 'type' => '9365' + }, + '4' => { + 'name' => 'access', + 'type' => '131' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '9438', + 'ShortName' => 'ibv_reg_mr_iova', + 'Source' => 'verbs.c' + }, + '259352' => { + 'Alias' => '__ibv_rereg_mr_1_1', + 'Line' => '342', + 'Param' => { + '0' => { + 'name' => 'mr', + 'type' => '9438' + }, + '1' => { + 'name' => 'flags', + 'type' => '131' + }, + '2' => { + 'name' => 'pd', + 'type' => '8353' + }, + '3' => { + 'name' => 'addr', + 'type' => '6673' + }, + '4' => { + 'name' => 'length', + 'type' => '9544' + }, + '5' => { + 'name' => 'access', + 'type' => '131' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9' + }, + 'Return' => '131', + 'ShortName' => 'ibv_rereg_mr', + 'Source' => 'verbs.c' + }, + '25939' => { + 'Line' => '480', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '8615' + }, + '1' => { + 'name' => 'cqe', + 'type' => '131' + }, + '2' => { + 'name' => 'cmd', + 'type' => '6679' + }, + '3' => { + 'name' => 'cmd_size', + 'type' => '9544' + }, + '4' => { + 'name' => 'resp', + 'type' => '6883' + }, + '5' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_resize_cq', + 'Source' => 'cmd.c' + }, + '259598' => { + 'Alias' => '__ibv_dereg_mr_1_1', + 'Line' => '407', + 'Param' => { + '0' => { + 'name' => 'mr', + 'type' => '9438' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_dereg_mr', + 'Source' => 'verbs.c' + }, + '259764' => { + 'Line' => '423', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '8747', + 'ShortName' => 'ibv_create_comp_channel', + 'Source' => 'verbs.c' + }, + '259847' => { + 'Line' => '447', + 'Param' => { + '0' => { + 'name' => 'channel', + 'type' => '8747' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_destroy_comp_channel', + 'Source' => 'verbs.c' + }, + '259918' => { + 'Alias' => '__ibv_create_cq_1_1', + 'Line' => '470', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'cqe', + 'type' => '131' + }, + '2' => { + 'name' => 'cq_context', + 'type' => '6673' + }, + '3' => { + 'name' => 'channel', + 'type' => '8747' + }, + '4' => { + 'name' => 'comp_vector', + 'type' => '131' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '8615', + 'ShortName' => 'ibv_create_cq', + 'Source' => 'verbs.c' + }, + '260100' => { + 'Alias' => '__ibv_resize_cq_1_1', + 'Line' => '485', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '8615' + }, + '1' => { + 'name' => 'cqe', + 'type' => '131' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_resize_cq', + 'Source' => 'verbs.c' + }, + '260214' => { + 'Alias' => '__ibv_destroy_cq_1_1', + 'Line' => '492', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '8615' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_destroy_cq', + 'Source' => 'verbs.c' + }, + '260348' => { + 'Alias' => '__ibv_get_cq_event_1_1', + 'Line' => '512', + 'Param' => { + '0' => { + 'name' => 'channel', + 'type' => '8747' + }, + '1' => { + 'name' => 'cq', + 'type' => '264639' + }, + '2' => { + 'name' => 'cq_context', + 'type' => '99666' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_get_cq_event', + 'Source' => 'verbs.c' + }, + '260494' => { + 'Alias' => '__ibv_ack_cq_events_1_1', + 'Line' => '530', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '8615' + }, + '1' => { + 'name' => 'nevents', + 'type' => '213' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '1', + 'ShortName' => 'ibv_ack_cq_events', + 'Source' => 'verbs.c' + }, + '260549' => { + 'Alias' => '__ibv_create_srq_1_1', + 'Line' => '540', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '8353' + }, + '1' => { + 'name' => 'srq_init_attr', + 'type' => '37370' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '9205', + 'ShortName' => 'ibv_create_srq', + 'Source' => 'verbs.c' + }, + '260683' => { + 'Alias' => '__ibv_modify_srq_1_1', + 'Line' => '560', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '9205' + }, + '1' => { + 'name' => 'srq_attr', + 'type' => '38217' + }, + '2' => { + 'name' => 'srq_attr_mask', + 'type' => '131' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_modify_srq', + 'Source' => 'verbs.c' + }, + '26078' => { + 'Line' => '499', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '8353' + }, + '1' => { + 'name' => 'srq', + 'type' => '9205' + }, + '2' => { + 'name' => 'attr', + 'type' => '37370' + }, + '3' => { + 'name' => 'cmd', + 'type' => '6964' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '9544' + }, + '5' => { + 'name' => 'resp', + 'type' => '7245' + }, + '6' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_create_srq', + 'Source' => 'cmd.c' + }, + '260811' => { + 'Alias' => '__ibv_query_srq_1_1', + 'Line' => '569', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '9205' + }, + '1' => { + 'name' => 'srq_attr', + 'type' => '38217' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_query_srq', + 'Source' => 'verbs.c' + }, + '260925' => { + 'Alias' => '__ibv_destroy_srq_1_1', + 'Line' => '576', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '9205' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_destroy_srq', + 'Source' => 'verbs.c' + }, + '261025' => { + 'Alias' => '__ibv_create_qp_1_1', + 'Line' => '583', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '8353' + }, + '1' => { + 'name' => 'qp_init_attr', + 'type' => '36857' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '8431', + 'ShortName' => 'ibv_create_qp', + 'Source' => 'verbs.c' + }, + '261159' => { + 'Line' => '607', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '8431' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '39801', + 'ShortName' => 'ibv_qp_to_qp_ex', + 'Source' => 'verbs.c' + }, + '261214' => { + 'Alias' => '__ibv_query_qp_1_1', + 'Line' => '616', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '8431' + }, + '1' => { + 'name' => 'attr', + 'type' => '37838' + }, + '2' => { + 'name' => 'attr_mask', + 'type' => '131' + }, + '3' => { + 'name' => 'init_attr', + 'type' => '36857' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_query_qp', + 'Source' => 'verbs.c' + }, + '261380' => { + 'Alias' => '__ibv_modify_qp_1_1', + 'Line' => '634', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '8431' + }, + '1' => { + 'name' => 'attr', + 'type' => '37838' + }, + '2' => { + 'name' => 'attr_mask', + 'type' => '131' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_modify_qp', + 'Source' => 'verbs.c' + }, + '261530' => { + 'Alias' => '__ibv_destroy_qp_1_1', + 'Line' => '651', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '8431' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_destroy_qp', + 'Source' => 'verbs.c' + }, + '261630' => { + 'Alias' => '__ibv_create_ah_1_1', + 'Line' => '658', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '8353' + }, + '1' => { + 'name' => 'attr', + 'type' => '36497' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '10528', + 'ShortName' => 'ibv_create_ah', + 'Source' => 'verbs.c' + }, + '261764' => { + 'Line' => '677', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'port_num', + 'type' => '8231' + }, + '2' => { + 'name' => 'index', + 'type' => '213' + }, + '3' => { + 'name' => 'type', + 'type' => '264683' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_query_gid_type', + 'Source' => 'verbs.c' + }, + '26263' => { + 'Line' => '535', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'srq', + 'type' => '39270' + }, + '2' => { + 'name' => 'vsrq_sz', + 'type' => '131' + }, + '3' => { + 'name' => 'attr_ex', + 'type' => '37481' + }, + '4' => { + 'name' => 'cmd', + 'type' => '10628' + }, + '5' => { + 'name' => 'cmd_size', + 'type' => '9544' + }, + '6' => { + 'name' => 'resp', + 'type' => '7245' + }, + '7' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp', + '7' => 'rsp' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_create_srq_ex', + 'Source' => 'cmd.c' + }, + '262752' => { + 'Line' => '888', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'port_num', + 'type' => '8231' + }, + '2' => { + 'name' => 'wc', + 'type' => '9597' + }, + '3' => { + 'name' => 'grh', + 'type' => '262098' + }, + '4' => { + 'name' => 'ah_attr', + 'type' => '36497' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '131', + 'ShortName' => 'ibv_init_ah_from_wc', + 'Source' => 'verbs.c' + }, + '263502' => { + 'Line' => '916', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '8353' + }, + '1' => { + 'name' => 'wc', + 'type' => '9597' + }, + '2' => { + 'name' => 'grh', + 'type' => '262098' + }, + '3' => { + 'name' => 'port_num', + 'type' => '8231' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '10528', + 'ShortName' => 'ibv_create_ah_from_wc', + 'Source' => 'verbs.c' + }, + '263625' => { + 'Alias' => '__ibv_destroy_ah_1_1', + 'Line' => '929', + 'Param' => { + '0' => { + 'name' => 'ah', + 'type' => '10528' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_destroy_ah', + 'Source' => 'verbs.c' + }, + '263725' => { + 'Alias' => '__ibv_attach_mcast_1_1', + 'Line' => '936', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '8431' + }, + '1' => { + 'name' => 'gid', + 'type' => '36376' + }, + '2' => { + 'name' => 'lid', + 'type' => '9809' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_attach_mcast', + 'Source' => 'verbs.c' + }, + '263853' => { + 'Alias' => '__ibv_detach_mcast_1_1', + 'Line' => '943', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '8431' + }, + '1' => { + 'name' => 'gid', + 'type' => '36376' + }, + '2' => { + 'name' => 'lid', + 'type' => '9809' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_detach_mcast', + 'Source' => 'verbs.c' + }, + '264190' => { + 'Line' => '984', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'attr', + 'type' => '36497' + }, + '2' => { + 'name' => 'eth_mac', + 'type' => '37344' + }, + '3' => { + 'name' => 'vid', + 'type' => '257491' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_resolve_eth_l2_from_gid', + 'Source' => 'verbs.c' + }, + '26450' => { + 'Line' => '644', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '9205' + }, + '1' => { + 'name' => 'srq_attr', + 'type' => '38217' + }, + '2' => { + 'name' => 'srq_attr_mask', + 'type' => '131' + }, + '3' => { + 'name' => 'cmd', + 'type' => '11030' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_modify_srq', + 'Source' => 'cmd.c' + }, + '26692' => { + 'Line' => '662', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '9205' + }, + '1' => { + 'name' => 'srq_attr', + 'type' => '38217' + }, + '2' => { + 'name' => 'cmd', + 'type' => '11257' + }, + '3' => { + 'name' => 'cmd_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_query_srq', + 'Source' => 'cmd.c' + }, + '26842' => { + 'Line' => '683', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '9205' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_destroy_srq', + 'Source' => 'cmd.c' + }, + '26999' => { + 'Line' => '798', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'qp', + 'type' => '39356' + }, + '2' => { + 'name' => 'vqp_sz', + 'type' => '131' + }, + '3' => { + 'name' => 'qp_attr', + 'type' => '37059' + }, + '4' => { + 'name' => 'cmd', + 'type' => '11785' + }, + '5' => { + 'name' => 'cmd_size', + 'type' => '9544' + }, + '6' => { + 'name' => 'resp', + 'type' => '12337' + }, + '7' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp', + '7' => 'rsp' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_create_qp_ex2', + 'Source' => 'cmd.c' + }, + '27441' => { + 'Line' => '851', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'qp', + 'type' => '39356' + }, + '2' => { + 'name' => 'vqp_sz', + 'type' => '131' + }, + '3' => { + 'name' => 'attr_ex', + 'type' => '37059' + }, + '4' => { + 'name' => 'cmd', + 'type' => '12544' + }, + '5' => { + 'name' => 'cmd_size', + 'type' => '9544' + }, + '6' => { + 'name' => 'resp', + 'type' => '13050' + }, + '7' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp', + '7' => 'rsp' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_create_qp_ex', + 'Source' => 'cmd.c' + }, + '27711' => { + 'Line' => '897', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '8353' + }, + '1' => { + 'name' => 'qp', + 'type' => '8431' + }, + '2' => { + 'name' => 'attr', + 'type' => '36857' + }, + '3' => { + 'name' => 'cmd', + 'type' => '12544' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '9544' + }, + '5' => { + 'name' => 'resp', + 'type' => '13050' + }, + '6' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_create_qp', + 'Source' => 'cmd.c' + }, + '27926' => { + 'Line' => '955', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'qp', + 'type' => '39356' + }, + '2' => { + 'name' => 'vqp_sz', + 'type' => '131' + }, + '3' => { + 'name' => 'attr', + 'type' => '38243' + }, + '4' => { + 'name' => 'cmd', + 'type' => '13228' + }, + '5' => { + 'name' => 'cmd_size', + 'type' => '9544' + }, + '6' => { + 'name' => 'resp', + 'type' => '13050' + }, + '7' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp', + '7' => 'rsp' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_open_qp', + 'Source' => 'cmd.c' + }, + '28113' => { + 'Line' => '1005', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '8431' + }, + '1' => { + 'name' => 'attr', + 'type' => '37838' + }, + '2' => { + 'name' => 'attr_mask', + 'type' => '131' + }, + '3' => { + 'name' => 'init_attr', + 'type' => '36857' + }, + '4' => { + 'name' => 'cmd', + 'type' => '13542' + }, + '5' => { + 'name' => 'cmd_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_query_qp', + 'Source' => 'cmd.c' + }, + '28264' => { + 'Line' => '1178', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '8431' + }, + '1' => { + 'name' => 'attr', + 'type' => '37838' + }, + '2' => { + 'name' => 'attr_mask', + 'type' => '131' + }, + '3' => { + 'name' => 'cmd', + 'type' => '14381' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_modify_qp', + 'Source' => 'cmd.c' + }, + '28450' => { + 'Line' => '1195', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '8431' + }, + '1' => { + 'name' => 'attr', + 'type' => '37838' + }, + '2' => { + 'name' => 'attr_mask', + 'type' => '131' + }, + '3' => { + 'name' => 'cmd', + 'type' => '15170' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '9544' + }, + '5' => { + 'name' => 'resp', + 'type' => '15345' + }, + '6' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_modify_qp_ex', + 'Source' => 'cmd.c' + }, + '28589' => { + 'Line' => '1215', + 'Param' => { + '0' => { + 'name' => 'ibqp', + 'type' => '8431' + }, + '1' => { + 'name' => 'wr', + 'type' => '10019' + }, + '2' => { + 'name' => 'bad_wr', + 'type' => '10582' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_post_send', + 'Source' => 'cmd.c' + }, + '28820' => { + 'Line' => '1303', + 'Param' => { + '0' => { + 'name' => 'ibqp', + 'type' => '8431' + }, + '1' => { + 'name' => 'wr', + 'type' => '9867' + }, + '2' => { + 'name' => 'bad_wr', + 'type' => '9988' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_post_recv', + 'Source' => 'cmd.c' + }, + '29051' => { + 'Line' => '1362', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '9205' + }, + '1' => { + 'name' => 'wr', + 'type' => '9867' + }, + '2' => { + 'name' => 'bad_wr', + 'type' => '9988' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_post_srq_recv', + 'Source' => 'cmd.c' + }, + '29282' => { + 'Line' => '1421', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '8353' + }, + '1' => { + 'name' => 'ah', + 'type' => '10528' + }, + '2' => { + 'name' => 'attr', + 'type' => '36497' + }, + '3' => { + 'name' => 'resp', + 'type' => '17216' + }, + '4' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_create_ah', + 'Source' => 'cmd.c' + }, + '29417' => { + 'Line' => '1457', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '8431' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_destroy_qp', + 'Source' => 'cmd.c' + }, + '29512' => { + 'Line' => '1480', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '8431' + }, + '1' => { + 'name' => 'gid', + 'type' => '36376' + }, + '2' => { + 'name' => 'lid', + 'type' => '9809' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_attach_mcast', + 'Source' => 'cmd.c' + }, + '29599' => { + 'Line' => '1493', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '8431' + }, + '1' => { + 'name' => 'gid', + 'type' => '36376' + }, + '2' => { + 'name' => 'lid', + 'type' => '9809' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_detach_mcast', + 'Source' => 'cmd.c' + }, + '30046' => { + 'Line' => '1704', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '8431' + }, + '1' => { + 'name' => 'flow_id', + 'type' => '32310' + }, + '2' => { + 'name' => 'flow_attr', + 'type' => '36730' + }, + '3' => { + 'name' => 'ucmd', + 'type' => '6673' + }, + '4' => { + 'name' => 'ucmd_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_create_flow', + 'Source' => 'cmd.c' + }, + '30622' => { + 'Line' => '1763', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'wq_init_attr', + 'type' => '34171' + }, + '2' => { + 'name' => 'wq', + 'type' => '33823' + }, + '3' => { + 'name' => 'cmd', + 'type' => '22058' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '9544' + }, + '5' => { + 'name' => 'resp', + 'type' => '22385' + }, + '6' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_create_wq', + 'Source' => 'cmd.c' + }, + '30777' => { + 'Line' => '1810', + 'Param' => { + '0' => { + 'name' => 'wq', + 'type' => '33823' + }, + '1' => { + 'name' => 'attr', + 'type' => '34070' + }, + '2' => { + 'name' => 'cmd', + 'type' => '22504' + }, + '3' => { + 'name' => 'cmd_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_modify_wq', + 'Source' => 'cmd.c' + }, + '30884' => { + 'Line' => '1842', + 'Param' => { + '0' => { + 'name' => 'wq', + 'type' => '33823' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_destroy_wq', + 'Source' => 'cmd.c' + }, + '30979' => { + 'Line' => '1868', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'init_attr', + 'type' => '33764' + }, + '2' => { + 'name' => 'rwq_ind_table', + 'type' => '33676' + }, + '3' => { + 'name' => 'resp', + 'type' => '23172' + }, + '4' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_create_rwq_ind_table', + 'Source' => 'cmd.c' + }, + '31166' => { + 'Line' => '1913', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '8615' + }, + '1' => { + 'name' => 'attr', + 'type' => '33356' + }, + '2' => { + 'name' => 'cmd', + 'type' => '23265' + }, + '3' => { + 'name' => 'cmd_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_modify_cq', + 'Source' => 'cmd.c' + }, + '42' => { + 'Data' => 1, + 'Line' => '48', + 'Return' => '63', + 'ShortName' => 'verbs_allow_disassociate_destroy', + 'Source' => 'cmd.c' + }, + '42258' => { + 'Line' => '35', + 'Param' => { + '0' => { + 'name' => 'ah', + 'type' => '10528' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_destroy_ah', + 'Source' => 'cmd_ah.c' + }, + '47472' => { + 'Line' => '38', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'init_attr', + 'type' => '32385' + }, + '2' => { + 'name' => 'vcounters', + 'type' => '51899' + }, + '3' => { + 'name' => 'link', + 'type' => '41574' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_create_counters', + 'Source' => 'cmd_counters.c' + }, + '47891' => { + 'Line' => '64', + 'Param' => { + '0' => { + 'name' => 'vcounters', + 'type' => '51899' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_destroy_counters', + 'Source' => 'cmd_counters.c' + }, + '48279' => { + 'Line' => '79', + 'Param' => { + '0' => { + 'name' => 'vcounters', + 'type' => '51899' + }, + '1' => { + 'name' => 'counters_value', + 'type' => '24437' + }, + '2' => { + 'name' => 'ncounters', + 'type' => '8394' + }, + '3' => { + 'name' => 'flags', + 'type' => '8394' + }, + '4' => { + 'name' => 'link', + 'type' => '41574' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_read_counters', + 'Source' => 'cmd_counters.c' + }, + '58347' => { + 'Line' => '118', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'cqe', + 'type' => '131' + }, + '2' => { + 'name' => 'channel', + 'type' => '8747' + }, + '3' => { + 'name' => 'comp_vector', + 'type' => '131' + }, + '4' => { + 'name' => 'cq', + 'type' => '8615' + }, + '5' => { + 'name' => 'cmd', + 'type' => '61023' + }, + '6' => { + 'name' => 'cmd_size', + 'type' => '9544' + }, + '7' => { + 'name' => 'resp', + 'type' => '61033' + }, + '8' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp', + '7' => 'rsp', + '8' => 'rsp' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_create_cq', + 'Source' => 'cmd_cq.c' + }, + '60334' => { + 'Line' => '132', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'cq_attr', + 'type' => '35085' + }, + '2' => { + 'name' => 'cq', + 'type' => '34428' + }, + '3' => { + 'name' => 'cmd', + 'type' => '61816' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '9544' + }, + '5' => { + 'name' => 'resp', + 'type' => '61821' + }, + '6' => { + 'name' => 'resp_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9', + '6' => 'rsp' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_create_cq_ex', + 'Source' => 'cmd_cq.c' + }, + '60625' => { + 'Line' => '159', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '8615' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_destroy_cq', + 'Source' => 'cmd_cq.c' + }, + '64832' => { + 'Line' => '61', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '7447' + }, + '1' => { + 'name' => 'port_num', + 'type' => '8231' + }, + '2' => { + 'name' => 'port_attr', + 'type' => '31846' + }, + '3' => { + 'name' => 'cmd', + 'type' => '63060' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '9544' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_query_port', + 'Source' => 'cmd_device.c' + }, + '70562' => { + 'Line' => '35', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '7447' + }, + '1' => { + 'name' => 'dm_attr', + 'type' => '75668' + }, + '2' => { + 'name' => 'dm', + 'type' => '75723' + }, + '3' => { + 'name' => 'link', + 'type' => '41574' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_alloc_dm', + 'Source' => 'cmd_dm.c' + }, + '71132' => { + 'Line' => '61', + 'Param' => { + '0' => { + 'name' => 'dm', + 'type' => '75723' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_free_dm', + 'Source' => 'cmd_dm.c' + }, + '71457' => { + 'Line' => '76', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '8353' + }, + '1' => { + 'name' => 'dm', + 'type' => '75723' + }, + '2' => { + 'name' => 'offset', + 'type' => '9365' + }, + '3' => { + 'name' => 'length', + 'type' => '9544' + }, + '4' => { + 'name' => 'access', + 'type' => '213' + }, + '5' => { + 'name' => 'vmr', + 'type' => '37668' + }, + '6' => { + 'name' => 'link', + 'offset' => '16', + 'type' => '41574' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_reg_dm_mr', + 'Source' => 'cmd_dm.c' + }, + '95156' => { + 'Line' => '35', + 'Param' => { + '0' => { + 'name' => 'flow_id', + 'type' => '32310' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '131', + 'ShortName' => 'ibv_cmd_destroy_flow', + 'Source' => 'cmd_flow.c' + } + }, + 'SymbolVersion' => { + '_ITM_deregisterTMCloneTable' => 'write@@GLIBC_2.2.5', + '_ITM_registerTMCloneTable' => 'write@@GLIBC_2.2.5', + '__gmon_start__' => 'write@@GLIBC_2.2.5', + '__ibv_ack_async_event_1_0' => 'ibv_ack_async_event@IBVERBS_1.0', + '__ibv_ack_async_event_1_1' => 'ibv_ack_async_event@@IBVERBS_1.1', + '__ibv_ack_cq_events_1_0' => 'ibv_ack_cq_events@IBVERBS_1.0', + '__ibv_ack_cq_events_1_1' => 'ibv_ack_cq_events@@IBVERBS_1.1', + '__ibv_alloc_pd_1_0' => 'ibv_alloc_pd@IBVERBS_1.0', + '__ibv_alloc_pd_1_1' => 'ibv_alloc_pd@@IBVERBS_1.1', + '__ibv_attach_mcast_1_0' => 'ibv_attach_mcast@IBVERBS_1.0', + '__ibv_attach_mcast_1_1' => 'ibv_attach_mcast@@IBVERBS_1.1', + '__ibv_close_device_1_0' => 'ibv_close_device@IBVERBS_1.0', + '__ibv_close_device_1_1' => 'ibv_close_device@@IBVERBS_1.1', + '__ibv_create_ah_1_0' => 'ibv_create_ah@IBVERBS_1.0', + '__ibv_create_ah_1_1' => 'ibv_create_ah@@IBVERBS_1.1', + '__ibv_create_cq_1_0' => 'ibv_create_cq@IBVERBS_1.0', + '__ibv_create_cq_1_1' => 'ibv_create_cq@@IBVERBS_1.1', + '__ibv_create_qp_1_0' => 'ibv_create_qp@IBVERBS_1.0', + '__ibv_create_qp_1_1' => 'ibv_create_qp@@IBVERBS_1.1', + '__ibv_create_srq_1_0' => 'ibv_create_srq@IBVERBS_1.0', + '__ibv_create_srq_1_1' => 'ibv_create_srq@@IBVERBS_1.1', + '__ibv_dealloc_pd_1_0' => 'ibv_dealloc_pd@IBVERBS_1.0', + '__ibv_dealloc_pd_1_1' => 'ibv_dealloc_pd@@IBVERBS_1.1', + '__ibv_dereg_mr_1_0' => 'ibv_dereg_mr@IBVERBS_1.0', + '__ibv_dereg_mr_1_1' => 'ibv_dereg_mr@@IBVERBS_1.1', + '__ibv_destroy_ah_1_0' => 'ibv_destroy_ah@IBVERBS_1.0', + '__ibv_destroy_ah_1_1' => 'ibv_destroy_ah@@IBVERBS_1.1', + '__ibv_destroy_cq_1_0' => 'ibv_destroy_cq@IBVERBS_1.0', + '__ibv_destroy_cq_1_1' => 'ibv_destroy_cq@@IBVERBS_1.1', + '__ibv_destroy_qp_1_0' => 'ibv_destroy_qp@IBVERBS_1.0', + '__ibv_destroy_qp_1_1' => 'ibv_destroy_qp@@IBVERBS_1.1', + '__ibv_destroy_srq_1_0' => 'ibv_destroy_srq@IBVERBS_1.0', + '__ibv_destroy_srq_1_1' => 'ibv_destroy_srq@@IBVERBS_1.1', + '__ibv_detach_mcast_1_0' => 'ibv_detach_mcast@IBVERBS_1.0', + '__ibv_detach_mcast_1_1' => 'ibv_detach_mcast@@IBVERBS_1.1', + '__ibv_free_device_list_1_0' => 'ibv_free_device_list@IBVERBS_1.0', + '__ibv_free_device_list_1_1' => 'ibv_free_device_list@@IBVERBS_1.1', + '__ibv_get_async_event_1_0' => 'ibv_get_async_event@IBVERBS_1.0', + '__ibv_get_async_event_1_1' => 'ibv_get_async_event@@IBVERBS_1.1', + '__ibv_get_cq_event_1_0' => 'ibv_get_cq_event@IBVERBS_1.0', + '__ibv_get_cq_event_1_1' => 'ibv_get_cq_event@@IBVERBS_1.1', + '__ibv_get_device_guid_1_0' => 'ibv_get_device_guid@IBVERBS_1.0', + '__ibv_get_device_guid_1_1' => 'ibv_get_device_guid@@IBVERBS_1.1', + '__ibv_get_device_list_1_0' => 'ibv_get_device_list@IBVERBS_1.0', + '__ibv_get_device_list_1_1' => 'ibv_get_device_list@@IBVERBS_1.1', + '__ibv_get_device_name_1_0' => 'ibv_get_device_name@IBVERBS_1.0', + '__ibv_get_device_name_1_1' => 'ibv_get_device_name@@IBVERBS_1.1', + '__ibv_get_pkey_index_1_5' => 'ibv_get_pkey_index@@IBVERBS_1.5', + '__ibv_modify_qp_1_0' => 'ibv_modify_qp@IBVERBS_1.0', + '__ibv_modify_qp_1_1' => 'ibv_modify_qp@@IBVERBS_1.1', + '__ibv_modify_srq_1_0' => 'ibv_modify_srq@IBVERBS_1.0', + '__ibv_modify_srq_1_1' => 'ibv_modify_srq@@IBVERBS_1.1', + '__ibv_open_device_1_0' => 'ibv_open_device@IBVERBS_1.0', + '__ibv_open_device_1_1' => 'ibv_open_device@@IBVERBS_1.1', + '__ibv_query_device_1_0' => 'ibv_query_device@IBVERBS_1.0', + '__ibv_query_device_1_1' => 'ibv_query_device@@IBVERBS_1.1', + '__ibv_query_gid_1_0' => 'ibv_query_gid@IBVERBS_1.0', + '__ibv_query_gid_1_1' => 'ibv_query_gid@@IBVERBS_1.1', + '__ibv_query_pkey_1_0' => 'ibv_query_pkey@IBVERBS_1.0', + '__ibv_query_pkey_1_1' => 'ibv_query_pkey@@IBVERBS_1.1', + '__ibv_query_port_1_0' => 'ibv_query_port@IBVERBS_1.0', + '__ibv_query_port_1_1' => 'ibv_query_port@@IBVERBS_1.1', + '__ibv_query_qp_1_0' => 'ibv_query_qp@IBVERBS_1.0', + '__ibv_query_qp_1_1' => 'ibv_query_qp@@IBVERBS_1.1', + '__ibv_query_srq_1_0' => 'ibv_query_srq@IBVERBS_1.0', + '__ibv_query_srq_1_1' => 'ibv_query_srq@@IBVERBS_1.1', + '__ibv_reg_mr_1_0' => 'ibv_reg_mr@IBVERBS_1.0', + '__ibv_reg_mr_1_1' => 'ibv_reg_mr@@IBVERBS_1.1', + '__ibv_register_driver_1_1' => 'ibv_register_driver@IBVERBS_1.1', + '__ibv_rereg_mr_1_1' => 'ibv_rereg_mr@@IBVERBS_1.1', + '__ibv_resize_cq_1_0' => 'ibv_resize_cq@IBVERBS_1.0', + '__ibv_resize_cq_1_1' => 'ibv_resize_cq@@IBVERBS_1.1', + '__ioctl_final_num_attrs' => '__ioctl_final_num_attrs@@IBVERBS_PRIVATE_25', + '_verbs_init_and_alloc_context' => '_verbs_init_and_alloc_context@@IBVERBS_PRIVATE_25', + 'execute_ioctl' => 'execute_ioctl@@IBVERBS_PRIVATE_25', + 'ibv_cmd_advise_mr' => 'ibv_cmd_advise_mr@@IBVERBS_PRIVATE_25', + 'ibv_cmd_alloc_dm' => 'ibv_cmd_alloc_dm@@IBVERBS_PRIVATE_25', + 'ibv_cmd_alloc_mw' => 'ibv_cmd_alloc_mw@@IBVERBS_PRIVATE_25', + 'ibv_cmd_alloc_pd' => 'ibv_cmd_alloc_pd@@IBVERBS_PRIVATE_25', + 'ibv_cmd_attach_mcast' => 'ibv_cmd_attach_mcast@@IBVERBS_PRIVATE_25', + 'ibv_cmd_close_xrcd' => 'ibv_cmd_close_xrcd@@IBVERBS_PRIVATE_25', + 'ibv_cmd_create_ah' => 'ibv_cmd_create_ah@@IBVERBS_PRIVATE_25', + 'ibv_cmd_create_counters' => 'ibv_cmd_create_counters@@IBVERBS_PRIVATE_25', + 'ibv_cmd_create_cq' => 'ibv_cmd_create_cq@@IBVERBS_PRIVATE_25', + 'ibv_cmd_create_cq_ex' => 'ibv_cmd_create_cq_ex@@IBVERBS_PRIVATE_25', + 'ibv_cmd_create_flow' => 'ibv_cmd_create_flow@@IBVERBS_PRIVATE_25', + 'ibv_cmd_create_flow_action_esp' => 'ibv_cmd_create_flow_action_esp@@IBVERBS_PRIVATE_25', + 'ibv_cmd_create_qp' => 'ibv_cmd_create_qp@@IBVERBS_PRIVATE_25', + 'ibv_cmd_create_qp_ex' => 'ibv_cmd_create_qp_ex@@IBVERBS_PRIVATE_25', + 'ibv_cmd_create_qp_ex2' => 'ibv_cmd_create_qp_ex2@@IBVERBS_PRIVATE_25', + 'ibv_cmd_create_rwq_ind_table' => 'ibv_cmd_create_rwq_ind_table@@IBVERBS_PRIVATE_25', + 'ibv_cmd_create_srq' => 'ibv_cmd_create_srq@@IBVERBS_PRIVATE_25', + 'ibv_cmd_create_srq_ex' => 'ibv_cmd_create_srq_ex@@IBVERBS_PRIVATE_25', + 'ibv_cmd_create_wq' => 'ibv_cmd_create_wq@@IBVERBS_PRIVATE_25', + 'ibv_cmd_dealloc_mw' => 'ibv_cmd_dealloc_mw@@IBVERBS_PRIVATE_25', + 'ibv_cmd_dealloc_pd' => 'ibv_cmd_dealloc_pd@@IBVERBS_PRIVATE_25', + 'ibv_cmd_dereg_mr' => 'ibv_cmd_dereg_mr@@IBVERBS_PRIVATE_25', + 'ibv_cmd_destroy_ah' => 'ibv_cmd_destroy_ah@@IBVERBS_PRIVATE_25', + 'ibv_cmd_destroy_counters' => 'ibv_cmd_destroy_counters@@IBVERBS_PRIVATE_25', + 'ibv_cmd_destroy_cq' => 'ibv_cmd_destroy_cq@@IBVERBS_PRIVATE_25', + 'ibv_cmd_destroy_flow' => 'ibv_cmd_destroy_flow@@IBVERBS_PRIVATE_25', + 'ibv_cmd_destroy_flow_action' => 'ibv_cmd_destroy_flow_action@@IBVERBS_PRIVATE_25', + 'ibv_cmd_destroy_qp' => 'ibv_cmd_destroy_qp@@IBVERBS_PRIVATE_25', + 'ibv_cmd_destroy_rwq_ind_table' => 'ibv_cmd_destroy_rwq_ind_table@@IBVERBS_PRIVATE_25', + 'ibv_cmd_destroy_srq' => 'ibv_cmd_destroy_srq@@IBVERBS_PRIVATE_25', + 'ibv_cmd_destroy_wq' => 'ibv_cmd_destroy_wq@@IBVERBS_PRIVATE_25', + 'ibv_cmd_detach_mcast' => 'ibv_cmd_detach_mcast@@IBVERBS_PRIVATE_25', + 'ibv_cmd_free_dm' => 'ibv_cmd_free_dm@@IBVERBS_PRIVATE_25', + 'ibv_cmd_get_context' => 'ibv_cmd_get_context@@IBVERBS_PRIVATE_25', + 'ibv_cmd_modify_cq' => 'ibv_cmd_modify_cq@@IBVERBS_PRIVATE_25', + 'ibv_cmd_modify_flow_action_esp' => 'ibv_cmd_modify_flow_action_esp@@IBVERBS_PRIVATE_25', + 'ibv_cmd_modify_qp' => 'ibv_cmd_modify_qp@@IBVERBS_PRIVATE_25', + 'ibv_cmd_modify_qp_ex' => 'ibv_cmd_modify_qp_ex@@IBVERBS_PRIVATE_25', + 'ibv_cmd_modify_srq' => 'ibv_cmd_modify_srq@@IBVERBS_PRIVATE_25', + 'ibv_cmd_modify_wq' => 'ibv_cmd_modify_wq@@IBVERBS_PRIVATE_25', + 'ibv_cmd_open_qp' => 'ibv_cmd_open_qp@@IBVERBS_PRIVATE_25', + 'ibv_cmd_open_xrcd' => 'ibv_cmd_open_xrcd@@IBVERBS_PRIVATE_25', + 'ibv_cmd_poll_cq' => 'ibv_cmd_poll_cq@@IBVERBS_PRIVATE_25', + 'ibv_cmd_post_recv' => 'ibv_cmd_post_recv@@IBVERBS_PRIVATE_25', + 'ibv_cmd_post_send' => 'ibv_cmd_post_send@@IBVERBS_PRIVATE_25', + 'ibv_cmd_post_srq_recv' => 'ibv_cmd_post_srq_recv@@IBVERBS_PRIVATE_25', + 'ibv_cmd_query_device' => 'ibv_cmd_query_device@@IBVERBS_PRIVATE_25', + 'ibv_cmd_query_device_ex' => 'ibv_cmd_query_device_ex@@IBVERBS_PRIVATE_25', + 'ibv_cmd_query_port' => 'ibv_cmd_query_port@@IBVERBS_PRIVATE_25', + 'ibv_cmd_query_qp' => 'ibv_cmd_query_qp@@IBVERBS_PRIVATE_25', + 'ibv_cmd_query_srq' => 'ibv_cmd_query_srq@@IBVERBS_PRIVATE_25', + 'ibv_cmd_read_counters' => 'ibv_cmd_read_counters@@IBVERBS_PRIVATE_25', + 'ibv_cmd_reg_dm_mr' => 'ibv_cmd_reg_dm_mr@@IBVERBS_PRIVATE_25', + 'ibv_cmd_reg_mr' => 'ibv_cmd_reg_mr@@IBVERBS_PRIVATE_25', + 'ibv_cmd_req_notify_cq' => 'ibv_cmd_req_notify_cq@@IBVERBS_PRIVATE_25', + 'ibv_cmd_rereg_mr' => 'ibv_cmd_rereg_mr@@IBVERBS_PRIVATE_25', + 'ibv_cmd_resize_cq' => 'ibv_cmd_resize_cq@@IBVERBS_PRIVATE_25', + 'ibv_copy_ah_attr_from_kern' => 'ibv_copy_ah_attr_from_kern@@IBVERBS_1.1', + 'ibv_copy_path_rec_from_kern' => 'ibv_copy_path_rec_from_kern@@IBVERBS_1.0', + 'ibv_copy_path_rec_to_kern' => 'ibv_copy_path_rec_to_kern@@IBVERBS_1.0', + 'ibv_copy_qp_attr_from_kern' => 'ibv_copy_qp_attr_from_kern@@IBVERBS_1.0', + 'ibv_create_ah_from_wc' => 'ibv_create_ah_from_wc@@IBVERBS_1.1', + 'ibv_create_comp_channel' => 'ibv_create_comp_channel@@IBVERBS_1.0', + 'ibv_destroy_comp_channel' => 'ibv_destroy_comp_channel@@IBVERBS_1.0', + 'ibv_dofork_range' => 'ibv_dofork_range@@IBVERBS_1.1', + 'ibv_dontfork_range' => 'ibv_dontfork_range@@IBVERBS_1.1', + 'ibv_event_type_str' => 'ibv_event_type_str@@IBVERBS_1.1', + 'ibv_fork_init' => 'ibv_fork_init@@IBVERBS_1.1', + 'ibv_get_fw_ver' => 'ibv_get_fw_ver@@IBVERBS_PRIVATE_25', + 'ibv_get_sysfs_path' => 'ibv_get_sysfs_path@@IBVERBS_1.0', + 'ibv_init_ah_from_wc' => 'ibv_init_ah_from_wc@@IBVERBS_1.1', + 'ibv_node_type_str' => 'ibv_node_type_str@@IBVERBS_1.1', + 'ibv_port_state_str' => 'ibv_port_state_str@@IBVERBS_1.1', + 'ibv_qp_to_qp_ex' => 'ibv_qp_to_qp_ex@@IBVERBS_1.6', + 'ibv_query_gid_type' => 'ibv_query_gid_type@@IBVERBS_PRIVATE_25', + 'ibv_rate_to_mbps' => 'ibv_rate_to_mbps@@IBVERBS_1.1', + 'ibv_rate_to_mult' => 'ibv_rate_to_mult@@IBVERBS_1.0', + 'ibv_read_ibdev_sysfs_file' => 'ibv_read_ibdev_sysfs_file@@IBVERBS_PRIVATE_25', + 'ibv_read_sysfs_file' => 'ibv_read_sysfs_file@@IBVERBS_1.0', + 'ibv_reg_mr_iova' => 'ibv_reg_mr_iova@@IBVERBS_1.7', + 'ibv_resolve_eth_l2_from_gid' => 'ibv_resolve_eth_l2_from_gid@@IBVERBS_1.1', + 'ibv_wc_status_str' => 'ibv_wc_status_str@@IBVERBS_1.1', + 'mbps_to_ibv_rate' => 'mbps_to_ibv_rate@@IBVERBS_1.1', + 'mult_to_ibv_rate' => 'mult_to_ibv_rate@@IBVERBS_1.0', + 'nl_addr_build' => 'write@@GLIBC_2.2.5', + 'nl_addr_clone' => 'write@@GLIBC_2.2.5', + 'nl_addr_fill_sockaddr' => 'write@@GLIBC_2.2.5', + 'nl_addr_get_binary_addr' => 'write@@GLIBC_2.2.5', + 'nl_addr_get_family' => 'write@@GLIBC_2.2.5', + 'nl_addr_get_len' => 'write@@GLIBC_2.2.5', + 'nl_addr_get_prefixlen' => 'write@@GLIBC_2.2.5', + 'nl_addr_info' => 'write@@GLIBC_2.2.5', + 'nl_addr_put' => 'write@@GLIBC_2.2.5', + 'nl_addr_set_prefixlen' => 'write@@GLIBC_2.2.5', + 'nl_cache_free' => 'write@@GLIBC_2.2.5', + 'nl_cache_mngt_provide' => 'write@@GLIBC_2.2.5', + 'nl_cache_mngt_unprovide' => 'write@@GLIBC_2.2.5', + 'nl_cache_refill' => 'write@@GLIBC_2.2.5', + 'nl_connect' => 'write@@GLIBC_2.2.5', + 'nl_msg_parse' => 'write@@GLIBC_2.2.5', + 'nl_object_match_filter' => 'write@@GLIBC_2.2.5', + 'nl_recvmsgs_default' => 'write@@GLIBC_2.2.5', + 'nl_send_auto' => 'write@@GLIBC_2.2.5', + 'nl_send_simple' => 'write@@GLIBC_2.2.5', + 'nl_socket_add_membership' => 'write@@GLIBC_2.2.5', + 'nl_socket_alloc' => 'write@@GLIBC_2.2.5', + 'nl_socket_disable_auto_ack' => 'write@@GLIBC_2.2.5', + 'nl_socket_disable_msg_peek' => 'write@@GLIBC_2.2.5', + 'nl_socket_disable_seq_check' => 'write@@GLIBC_2.2.5', + 'nl_socket_free' => 'write@@GLIBC_2.2.5', + 'nl_socket_get_fd' => 'write@@GLIBC_2.2.5', + 'nl_socket_modify_cb' => 'write@@GLIBC_2.2.5', + 'nl_socket_modify_err_cb' => 'write@@GLIBC_2.2.5', + 'nla_get_string' => 'write@@GLIBC_2.2.5', + 'nla_get_u32' => 'write@@GLIBC_2.2.5', + 'nla_get_u64' => 'write@@GLIBC_2.2.5', + 'nla_get_u8' => 'write@@GLIBC_2.2.5', + 'nla_put' => 'write@@GLIBC_2.2.5', + 'nlmsg_alloc_simple' => 'write@@GLIBC_2.2.5', + 'nlmsg_append' => 'write@@GLIBC_2.2.5', + 'nlmsg_free' => 'write@@GLIBC_2.2.5', + 'nlmsg_hdr' => 'write@@GLIBC_2.2.5', + 'nlmsg_parse' => 'write@@GLIBC_2.2.5', + 'rtnl_link_alloc_cache' => 'write@@GLIBC_2.2.5', + 'rtnl_link_get' => 'write@@GLIBC_2.2.5', + 'rtnl_link_get_addr' => 'write@@GLIBC_2.2.5', + 'rtnl_link_is_vlan' => 'write@@GLIBC_2.2.5', + 'rtnl_link_put' => 'write@@GLIBC_2.2.5', + 'rtnl_link_vlan_get_id' => 'write@@GLIBC_2.2.5', + 'rtnl_neigh_alloc' => 'write@@GLIBC_2.2.5', + 'rtnl_neigh_alloc_cache' => 'write@@GLIBC_2.2.5', + 'rtnl_neigh_get' => 'write@@GLIBC_2.2.5', + 'rtnl_neigh_get_lladdr' => 'write@@GLIBC_2.2.5', + 'rtnl_neigh_put' => 'write@@GLIBC_2.2.5', + 'rtnl_neigh_set_dst' => 'write@@GLIBC_2.2.5', + 'rtnl_neigh_set_ifindex' => 'write@@GLIBC_2.2.5', + 'rtnl_route_alloc_cache' => 'write@@GLIBC_2.2.5', + 'rtnl_route_get_pref_src' => 'write@@GLIBC_2.2.5', + 'rtnl_route_get_type' => 'write@@GLIBC_2.2.5', + 'rtnl_route_nexthop_n' => 'write@@GLIBC_2.2.5', + 'rtnl_route_nh_get_gateway' => 'write@@GLIBC_2.2.5', + 'rtnl_route_nh_get_ifindex' => 'write@@GLIBC_2.2.5', + 'verbs_allow_disassociate_destroy' => 'verbs_allow_disassociate_destroy@@IBVERBS_PRIVATE_25', + 'verbs_init_cq' => 'verbs_init_cq@@IBVERBS_PRIVATE_25', + 'verbs_open_device' => 'verbs_open_device@@IBVERBS_PRIVATE_25', + 'verbs_register_driver_25' => 'verbs_register_driver_25@@IBVERBS_PRIVATE_25', + 'verbs_set_ops' => 'verbs_set_ops@@IBVERBS_PRIVATE_25', + 'verbs_uninit_context' => 'verbs_uninit_context@@IBVERBS_PRIVATE_25' + }, + 'Symbols' => { + 'libibverbs.so.1.7.26.0' => { + '__ioctl_final_num_attrs@@IBVERBS_PRIVATE_25' => 1, + '_verbs_init_and_alloc_context@@IBVERBS_PRIVATE_25' => 1, + 'execute_ioctl@@IBVERBS_PRIVATE_25' => 1, + 'ibv_ack_async_event@@IBVERBS_1.1' => 1, + 'ibv_ack_async_event@IBVERBS_1.0' => 1, + 'ibv_ack_cq_events@@IBVERBS_1.1' => 1, + 'ibv_ack_cq_events@IBVERBS_1.0' => 1, + 'ibv_alloc_pd@@IBVERBS_1.1' => 1, + 'ibv_alloc_pd@IBVERBS_1.0' => 1, + 'ibv_attach_mcast@@IBVERBS_1.1' => 1, + 'ibv_attach_mcast@IBVERBS_1.0' => 1, + 'ibv_close_device@@IBVERBS_1.1' => 1, + 'ibv_close_device@IBVERBS_1.0' => 1, + 'ibv_cmd_advise_mr@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_alloc_dm@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_alloc_mw@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_alloc_pd@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_attach_mcast@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_close_xrcd@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_create_ah@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_create_counters@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_create_cq@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_create_cq_ex@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_create_flow@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_create_flow_action_esp@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_create_qp@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_create_qp_ex2@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_create_qp_ex@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_create_rwq_ind_table@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_create_srq@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_create_srq_ex@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_create_wq@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_dealloc_mw@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_dealloc_pd@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_dereg_mr@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_destroy_ah@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_destroy_counters@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_destroy_cq@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_destroy_flow@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_destroy_flow_action@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_destroy_qp@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_destroy_rwq_ind_table@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_destroy_srq@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_destroy_wq@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_detach_mcast@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_free_dm@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_get_context@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_modify_cq@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_modify_flow_action_esp@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_modify_qp@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_modify_qp_ex@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_modify_srq@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_modify_wq@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_open_qp@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_open_xrcd@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_poll_cq@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_post_recv@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_post_send@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_post_srq_recv@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_query_device@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_query_device_ex@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_query_port@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_query_qp@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_query_srq@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_read_counters@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_reg_dm_mr@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_reg_mr@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_req_notify_cq@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_rereg_mr@@IBVERBS_PRIVATE_25' => 1, + 'ibv_cmd_resize_cq@@IBVERBS_PRIVATE_25' => 1, + 'ibv_copy_ah_attr_from_kern@@IBVERBS_1.1' => 1, + 'ibv_copy_path_rec_from_kern@@IBVERBS_1.0' => 1, + 'ibv_copy_path_rec_to_kern@@IBVERBS_1.0' => 1, + 'ibv_copy_qp_attr_from_kern@@IBVERBS_1.0' => 1, + 'ibv_create_ah@@IBVERBS_1.1' => 1, + 'ibv_create_ah@IBVERBS_1.0' => 1, + 'ibv_create_ah_from_wc@@IBVERBS_1.1' => 1, + 'ibv_create_comp_channel@@IBVERBS_1.0' => 1, + 'ibv_create_cq@@IBVERBS_1.1' => 1, + 'ibv_create_cq@IBVERBS_1.0' => 1, + 'ibv_create_qp@@IBVERBS_1.1' => 1, + 'ibv_create_qp@IBVERBS_1.0' => 1, + 'ibv_create_srq@@IBVERBS_1.1' => 1, + 'ibv_create_srq@IBVERBS_1.0' => 1, + 'ibv_dealloc_pd@@IBVERBS_1.1' => 1, + 'ibv_dealloc_pd@IBVERBS_1.0' => 1, + 'ibv_dereg_mr@@IBVERBS_1.1' => 1, + 'ibv_dereg_mr@IBVERBS_1.0' => 1, + 'ibv_destroy_ah@@IBVERBS_1.1' => 1, + 'ibv_destroy_ah@IBVERBS_1.0' => 1, + 'ibv_destroy_comp_channel@@IBVERBS_1.0' => 1, + 'ibv_destroy_cq@@IBVERBS_1.1' => 1, + 'ibv_destroy_cq@IBVERBS_1.0' => 1, + 'ibv_destroy_qp@@IBVERBS_1.1' => 1, + 'ibv_destroy_qp@IBVERBS_1.0' => 1, + 'ibv_destroy_srq@@IBVERBS_1.1' => 1, + 'ibv_destroy_srq@IBVERBS_1.0' => 1, + 'ibv_detach_mcast@@IBVERBS_1.1' => 1, + 'ibv_detach_mcast@IBVERBS_1.0' => 1, + 'ibv_dofork_range@@IBVERBS_1.1' => 1, + 'ibv_dontfork_range@@IBVERBS_1.1' => 1, + 'ibv_event_type_str@@IBVERBS_1.1' => 1, + 'ibv_fork_init@@IBVERBS_1.1' => 1, + 'ibv_free_device_list@@IBVERBS_1.1' => 1, + 'ibv_free_device_list@IBVERBS_1.0' => 1, + 'ibv_get_async_event@@IBVERBS_1.1' => 1, + 'ibv_get_async_event@IBVERBS_1.0' => 1, + 'ibv_get_cq_event@@IBVERBS_1.1' => 1, + 'ibv_get_cq_event@IBVERBS_1.0' => 1, + 'ibv_get_device_guid@@IBVERBS_1.1' => 1, + 'ibv_get_device_guid@IBVERBS_1.0' => 1, + 'ibv_get_device_list@@IBVERBS_1.1' => 1, + 'ibv_get_device_list@IBVERBS_1.0' => 1, + 'ibv_get_device_name@@IBVERBS_1.1' => 1, + 'ibv_get_device_name@IBVERBS_1.0' => 1, + 'ibv_get_fw_ver@@IBVERBS_PRIVATE_25' => 1, + 'ibv_get_pkey_index@@IBVERBS_1.5' => 1, + 'ibv_get_sysfs_path@@IBVERBS_1.0' => 1, + 'ibv_init_ah_from_wc@@IBVERBS_1.1' => 1, + 'ibv_modify_qp@@IBVERBS_1.1' => 1, + 'ibv_modify_qp@IBVERBS_1.0' => 1, + 'ibv_modify_srq@@IBVERBS_1.1' => 1, + 'ibv_modify_srq@IBVERBS_1.0' => 1, + 'ibv_node_type_str@@IBVERBS_1.1' => 1, + 'ibv_open_device@@IBVERBS_1.1' => 1, + 'ibv_open_device@IBVERBS_1.0' => 1, + 'ibv_port_state_str@@IBVERBS_1.1' => 1, + 'ibv_qp_to_qp_ex@@IBVERBS_1.6' => 1, + 'ibv_query_device@@IBVERBS_1.1' => 1, + 'ibv_query_device@IBVERBS_1.0' => 1, + 'ibv_query_gid@@IBVERBS_1.1' => 1, + 'ibv_query_gid@IBVERBS_1.0' => 1, + 'ibv_query_gid_type@@IBVERBS_PRIVATE_25' => 1, + 'ibv_query_pkey@@IBVERBS_1.1' => 1, + 'ibv_query_pkey@IBVERBS_1.0' => 1, + 'ibv_query_port@@IBVERBS_1.1' => 1, + 'ibv_query_port@IBVERBS_1.0' => 1, + 'ibv_query_qp@@IBVERBS_1.1' => 1, + 'ibv_query_qp@IBVERBS_1.0' => 1, + 'ibv_query_srq@@IBVERBS_1.1' => 1, + 'ibv_query_srq@IBVERBS_1.0' => 1, + 'ibv_rate_to_mbps@@IBVERBS_1.1' => 1, + 'ibv_rate_to_mult@@IBVERBS_1.0' => 1, + 'ibv_read_ibdev_sysfs_file@@IBVERBS_PRIVATE_25' => 1, + 'ibv_read_sysfs_file@@IBVERBS_1.0' => 1, + 'ibv_reg_mr@@IBVERBS_1.1' => 1, + 'ibv_reg_mr@IBVERBS_1.0' => 1, + 'ibv_reg_mr_iova@@IBVERBS_1.7' => 1, + 'ibv_register_driver@IBVERBS_1.1' => 1, + 'ibv_rereg_mr@@IBVERBS_1.1' => 1, + 'ibv_resize_cq@@IBVERBS_1.1' => 1, + 'ibv_resize_cq@IBVERBS_1.0' => 1, + 'ibv_resolve_eth_l2_from_gid@@IBVERBS_1.1' => 1, + 'ibv_wc_status_str@@IBVERBS_1.1' => 1, + 'mbps_to_ibv_rate@@IBVERBS_1.1' => 1, + 'mult_to_ibv_rate@@IBVERBS_1.0' => 1, + 'verbs_allow_disassociate_destroy@@IBVERBS_PRIVATE_25' => -1, + 'verbs_init_cq@@IBVERBS_PRIVATE_25' => 1, + 'verbs_open_device@@IBVERBS_PRIVATE_25' => 1, + 'verbs_register_driver_25@@IBVERBS_PRIVATE_25' => 1, + 'verbs_set_ops@@IBVERBS_PRIVATE_25' => 1, + 'verbs_uninit_context@@IBVERBS_PRIVATE_25' => 1 + } + }, + 'Target' => 'unix', + 'TypeInfo' => { + '-1' => { + 'Name' => '...', + 'Type' => 'Intrinsic' + }, + '1' => { + 'Name' => 'void', + 'Type' => 'Intrinsic' + }, + '10019' => { + 'BaseType' => '10024', + 'Name' => 'struct ibv_send_wr*', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '10024' => { + 'Header' => 'verbs.h', + 'Line' => '1085', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '9365' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '10019' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '16', + 'type' => '9934' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '24', + 'type' => '131' + }, + '4' => { + 'name' => 'opcode', + 'offset' => '28', + 'type' => '615' + }, + '5' => { + 'name' => 'send_flags', + 'offset' => '32', + 'type' => '213' + }, + '6' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '10120' + }, + '7' => { + 'name' => 'wr', + 'offset' => '40', + 'type' => '10165' + }, + '8' => { + 'name' => 'qp_type', + 'offset' => '72', + 'type' => '10358' + }, + '9' => { + 'name' => 'unnamed1', + 'offset' => '80', + 'type' => '10405' + } + }, + 'Name' => 'struct ibv_send_wr', + 'Size' => '128', + 'Type' => 'Struct' + }, + '10120' => { + 'Header' => 'verbs.h', + 'Line' => '1095', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '6491' + }, + '1' => { + 'name' => 'invalidate_rkey', + 'offset' => '0', + 'type' => '8394' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1095', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '4', + 'Type' => 'Union' + }, + '10165' => { + 'Header' => 'verbs.h', + 'Line' => '1099', + 'Memb' => { + '0' => { + 'name' => 'rdma', + 'offset' => '0', + 'type' => '10183' + }, + '1' => { + 'name' => 'atomic', + 'offset' => '0', + 'type' => '10228' + }, + '2' => { + 'name' => 'ud', + 'offset' => '0', + 'type' => '10299' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1099', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '32', + 'Type' => 'Union' + }, + '10183' => { + 'Header' => 'verbs.h', + 'Line' => '1100', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '9365' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '8394' + } + }, + 'Name' => 'anon-struct-verbs.h-1100', + 'Size' => '16', + 'Type' => 'Struct' + }, + '10228' => { + 'Header' => 'verbs.h', + 'Line' => '1104', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '9365' + }, + '1' => { + 'name' => 'compare_add', + 'offset' => '8', + 'type' => '9365' + }, + '2' => { + 'name' => 'swap', + 'offset' => '16', + 'type' => '9365' + }, + '3' => { + 'name' => 'rkey', + 'offset' => '24', + 'type' => '8394' + } + }, + 'Name' => 'anon-struct-verbs.h-1104', + 'Size' => '32', + 'Type' => 'Struct' + }, + '10299' => { + 'Header' => 'verbs.h', + 'Line' => '1110', + 'Memb' => { + '0' => { + 'name' => 'ah', + 'offset' => '0', + 'type' => '10528' + }, + '1' => { + 'name' => 'remote_qpn', + 'offset' => '8', + 'type' => '8394' + }, + '2' => { + 'name' => 'remote_qkey', + 'offset' => '12', + 'type' => '8394' + } + }, + 'Name' => 'anon-struct-verbs.h-1110', + 'Size' => '16', + 'Type' => 'Struct' + }, + '10358' => { + 'Header' => 'verbs.h', + 'Line' => '1116', + 'Memb' => { + '0' => { + 'name' => 'xrc', + 'offset' => '0', + 'type' => '10376' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1116', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '4', + 'Type' => 'Union' + }, + '10376' => { + 'Header' => 'verbs.h', + 'Line' => '1117', + 'Memb' => { + '0' => { + 'name' => 'remote_srqn', + 'offset' => '0', + 'type' => '8394' + } + }, + 'Name' => 'anon-struct-verbs.h-1117', + 'Size' => '4', + 'Type' => 'Struct' + }, + '10405' => { + 'Header' => 'verbs.h', + 'Line' => '1121', + 'Memb' => { + '0' => { + 'name' => 'bind_mw', + 'offset' => '0', + 'type' => '10423' + }, + '1' => { + 'name' => 'tso', + 'offset' => '0', + 'type' => '10481' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1121', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '48', + 'Type' => 'Union' + }, + '10423' => { + 'Header' => 'verbs.h', + 'Line' => '1122', + 'Memb' => { + '0' => { + 'name' => 'mw', + 'offset' => '0', + 'type' => '8273' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '8394' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '16', + 'type' => '9376' + } + }, + 'Name' => 'anon-struct-verbs.h-1122', + 'Size' => '48', + 'Type' => 'Struct' + }, + '10481' => { + 'Header' => 'verbs.h', + 'Line' => '1127', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '6673' + }, + '1' => { + 'name' => 'hdr_sz', + 'offset' => '8', + 'type' => '9809' + }, + '2' => { + 'name' => 'mss', + 'offset' => '10', + 'type' => '9809' + } + }, + 'Name' => 'anon-struct-verbs.h-1127', + 'Size' => '16', + 'Type' => 'Struct' + }, + '10528' => { + 'BaseType' => '10533', + 'Name' => 'struct ibv_ah*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '10533' => { + 'Header' => 'verbs.h', + 'Line' => '1595', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7447' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '8353' + }, + '2' => { + 'name' => 'handle', + 'offset' => '16', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_ah', + 'Size' => '24', + 'Type' => 'Struct' + }, + '105739' => { + 'BaseType' => '23687', + 'Name' => 'struct verbs_flow_action*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '10582' => { + 'BaseType' => '10019', + 'Name' => 'struct ibv_send_wr**', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '10587' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '8431' + }, + '1' => { + 'type' => '9867' + }, + '2' => { + 'type' => '9988' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '10613' => { + 'BaseType' => '7748', + 'Name' => 'char*', + 'Size' => '1', + 'Type' => 'Pointer' + }, + '10618' => { + 'BaseType' => '7424', + 'Name' => 'struct ibv_xrcd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10628' => { + 'BaseType' => '10633', + 'Name' => 'struct ibv_create_xsrq*', + 'Size' => '56', + 'Type' => 'Pointer' + }, + '10633' => { + 'Header' => 'kern-abi.h', + 'Line' => '184', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '10661' + } + }, + 'Name' => 'struct ibv_create_xsrq', + 'Size' => '56', + 'Type' => 'Struct' + }, + '10661' => { + 'Header' => 'kern-abi.h', + 'Line' => '184', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '10673' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '10827' + } + }, + 'Name' => 'ibv_create_xsrq::anon-union-kern-abi.h-184', + 'NameSpace' => 'ibv_create_xsrq', + 'Size' => '48', + 'Type' => 'Union' + }, + '10673' => { + 'Header' => 'kern-abi.h', + 'Line' => '184', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '2715' + }, + '10' => { + 'name' => 'driver_data', + 'offset' => '48', + 'type' => '2733' + }, + '2' => { + 'name' => 'srq_type', + 'offset' => '16', + 'type' => '2686' + }, + '3' => { + 'name' => 'pd_handle', + 'offset' => '20', + 'type' => '2686' + }, + '4' => { + 'name' => 'max_wr', + 'offset' => '24', + 'type' => '2686' + }, + '5' => { + 'name' => 'max_sge', + 'offset' => '28', + 'type' => '2686' + }, + '6' => { + 'name' => 'srq_limit', + 'offset' => '32', + 'type' => '2686' + }, + '7' => { + 'name' => 'max_num_tags', + 'offset' => '36', + 'type' => '2686' + }, + '8' => { + 'name' => 'xrcd_handle', + 'offset' => '40', + 'type' => '2686' + }, + '9' => { + 'name' => 'cq_handle', + 'offset' => '44', + 'type' => '2686' + } + }, + 'Name' => 'anon-struct-kern-abi.h-184', + 'Size' => '48', + 'Type' => 'Struct' + }, + '10827' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '1170', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '2715' + }, + '10' => { + 'name' => 'driver_data', + 'offset' => '48', + 'type' => '2733' + }, + '2' => { + 'name' => 'srq_type', + 'offset' => '16', + 'type' => '2686' + }, + '3' => { + 'name' => 'pd_handle', + 'offset' => '20', + 'type' => '2686' + }, + '4' => { + 'name' => 'max_wr', + 'offset' => '24', + 'type' => '2686' + }, + '5' => { + 'name' => 'max_sge', + 'offset' => '28', + 'type' => '2686' + }, + '6' => { + 'name' => 'srq_limit', + 'offset' => '32', + 'type' => '2686' + }, + '7' => { + 'name' => 'max_num_tags', + 'offset' => '36', + 'type' => '2686' + }, + '8' => { + 'name' => 'xrcd_handle', + 'offset' => '40', + 'type' => '2686' + }, + '9' => { + 'name' => 'cq_handle', + 'offset' => '44', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_create_xsrq', + 'Size' => '48', + 'Type' => 'Struct' + }, + '11030' => { + 'BaseType' => '11035', + 'Name' => 'struct ibv_modify_srq*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '11035' => { + 'Header' => 'kern-abi.h', + 'Line' => '195', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '11063' + } + }, + 'Name' => 'struct ibv_modify_srq', + 'Size' => '24', + 'Type' => 'Struct' + }, + '11063' => { + 'Header' => 'kern-abi.h', + 'Line' => '195', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '11075' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '11155' + } + }, + 'Name' => 'ibv_modify_srq::anon-union-kern-abi.h-195', + 'NameSpace' => 'ibv_modify_srq', + 'Size' => '16', + 'Type' => 'Union' + }, + '11075' => { + 'Header' => 'kern-abi.h', + 'Line' => '195', + 'Memb' => { + '0' => { + 'name' => 'srq_handle', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'attr_mask', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'max_wr', + 'offset' => '8', + 'type' => '2686' + }, + '3' => { + 'name' => 'srq_limit', + 'offset' => '12', + 'type' => '2686' + }, + '4' => { + 'name' => 'driver_data', + 'offset' => '16', + 'type' => '2733' + } + }, + 'Name' => 'anon-struct-kern-abi.h-195', + 'Size' => '16', + 'Type' => 'Struct' + }, + '1114' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '1607', + 'Memb' => { + '0' => { + 'name' => 'IBV_FLOW_ATTR_NORMAL', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_FLOW_ATTR_ALL_DEFAULT', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_FLOW_ATTR_MC_DEFAULT', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_FLOW_ATTR_SNIFFER', + 'value' => '3' + } + }, + 'Name' => 'enum ibv_flow_attr_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '11155' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '1192', + 'Memb' => { + '0' => { + 'name' => 'srq_handle', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'attr_mask', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'max_wr', + 'offset' => '8', + 'type' => '2686' + }, + '3' => { + 'name' => 'srq_limit', + 'offset' => '12', + 'type' => '2686' + }, + '4' => { + 'name' => 'driver_data', + 'offset' => '16', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_modify_srq', + 'Size' => '16', + 'Type' => 'Struct' + }, + '11257' => { + 'BaseType' => '11262', + 'Name' => 'struct ibv_query_srq*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '11262' => { + 'Header' => 'kern-abi.h', + 'Line' => '205', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '11290' + } + }, + 'Name' => 'struct ibv_query_srq', + 'Size' => '24', + 'Type' => 'Struct' + }, + '11290' => { + 'Header' => 'kern-abi.h', + 'Line' => '205', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '11302' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '11371' + } + }, + 'Name' => 'ibv_query_srq::anon-union-kern-abi.h-205', + 'NameSpace' => 'ibv_query_srq', + 'Size' => '16', + 'Type' => 'Union' + }, + '11302' => { + 'Header' => 'kern-abi.h', + 'Line' => '205', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'srq_handle', + 'offset' => '8', + 'type' => '2686' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '12', + 'type' => '2686' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '16', + 'type' => '2733' + } + }, + 'Name' => 'anon-struct-kern-abi.h-205', + 'Size' => '16', + 'Type' => 'Struct' + }, + '11371' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '1200', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'srq_handle', + 'offset' => '8', + 'type' => '2686' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '12', + 'type' => '2686' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '16', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_query_srq', + 'Size' => '16', + 'Type' => 'Struct' + }, + '1152' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '747', + 'Memb' => { + '0' => { + 'name' => 'IBV_SRQT_BASIC', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_SRQT_XRC', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_SRQT_TM', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_srq_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '11785' => { + 'BaseType' => '11790', + 'Name' => 'struct ibv_create_qp_ex*', + 'Size' => '88', + 'Type' => 'Pointer' + }, + '11790' => { + 'Header' => 'kern-abi.h', + 'Line' => '213', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '3705' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '24', + 'type' => '11818' + } + }, + 'Name' => 'struct ibv_create_qp_ex', + 'Size' => '88', + 'Type' => 'Struct' + }, + '11818' => { + 'Header' => 'kern-abi.h', + 'Line' => '213', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '11830' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '12066' + } + }, + 'Name' => 'ibv_create_qp_ex::anon-union-kern-abi.h-213', + 'NameSpace' => 'ibv_create_qp_ex', + 'Size' => '64', + 'Type' => 'Union' + }, + '11830' => { + 'Header' => 'kern-abi.h', + 'Line' => '213', + 'Memb' => { + '0' => { + 'name' => 'user_handle', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'pd_handle', + 'offset' => '8', + 'type' => '2686' + }, + '10' => { + 'name' => 'sq_sig_all', + 'offset' => '44', + 'type' => '3561' + }, + '11' => { + 'name' => 'qp_type', + 'offset' => '45', + 'type' => '3561' + }, + '12' => { + 'name' => 'is_srq', + 'offset' => '46', + 'type' => '3561' + }, + '13' => { + 'name' => 'reserved', + 'offset' => '47', + 'type' => '3561' + }, + '14' => { + 'name' => 'comp_mask', + 'offset' => '48', + 'type' => '2686' + }, + '15' => { + 'name' => 'create_flags', + 'offset' => '52', + 'type' => '2686' + }, + '16' => { + 'name' => 'rwq_ind_tbl_handle', + 'offset' => '56', + 'type' => '2686' + }, + '17' => { + 'name' => 'source_qpn', + 'offset' => '60', + 'type' => '2686' + }, + '2' => { + 'name' => 'send_cq_handle', + 'offset' => '12', + 'type' => '2686' + }, + '3' => { + 'name' => 'recv_cq_handle', + 'offset' => '16', + 'type' => '2686' + }, + '4' => { + 'name' => 'srq_handle', + 'offset' => '20', + 'type' => '2686' + }, + '5' => { + 'name' => 'max_send_wr', + 'offset' => '24', + 'type' => '2686' + }, + '6' => { + 'name' => 'max_recv_wr', + 'offset' => '28', + 'type' => '2686' + }, + '7' => { + 'name' => 'max_send_sge', + 'offset' => '32', + 'type' => '2686' + }, + '8' => { + 'name' => 'max_recv_sge', + 'offset' => '36', + 'type' => '2686' + }, + '9' => { + 'name' => 'max_inline_data', + 'offset' => '40', + 'type' => '2686' + } + }, + 'Name' => 'anon-struct-kern-abi.h-213', + 'Size' => '64', + 'Type' => 'Struct' + }, + '1184' => { + 'BaseType' => '213', + 'Header' => 'driver.h', + 'Line' => '89', + 'Memb' => { + '0' => { + 'name' => 'IBV_MR_TYPE_MR', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_MR_TYPE_NULL_MR', + 'value' => '1' + } + }, + 'Name' => 'enum ibv_mr_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '12066' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '602', + 'Memb' => { + '0' => { + 'name' => 'user_handle', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'pd_handle', + 'offset' => '8', + 'type' => '2686' + }, + '10' => { + 'name' => 'sq_sig_all', + 'offset' => '44', + 'type' => '3561' + }, + '11' => { + 'name' => 'qp_type', + 'offset' => '45', + 'type' => '3561' + }, + '12' => { + 'name' => 'is_srq', + 'offset' => '46', + 'type' => '3561' + }, + '13' => { + 'name' => 'reserved', + 'offset' => '47', + 'type' => '3561' + }, + '14' => { + 'name' => 'comp_mask', + 'offset' => '48', + 'type' => '2686' + }, + '15' => { + 'name' => 'create_flags', + 'offset' => '52', + 'type' => '2686' + }, + '16' => { + 'name' => 'rwq_ind_tbl_handle', + 'offset' => '56', + 'type' => '2686' + }, + '17' => { + 'name' => 'source_qpn', + 'offset' => '60', + 'type' => '2686' + }, + '2' => { + 'name' => 'send_cq_handle', + 'offset' => '12', + 'type' => '2686' + }, + '3' => { + 'name' => 'recv_cq_handle', + 'offset' => '16', + 'type' => '2686' + }, + '4' => { + 'name' => 'srq_handle', + 'offset' => '20', + 'type' => '2686' + }, + '5' => { + 'name' => 'max_send_wr', + 'offset' => '24', + 'type' => '2686' + }, + '6' => { + 'name' => 'max_recv_wr', + 'offset' => '28', + 'type' => '2686' + }, + '7' => { + 'name' => 'max_send_sge', + 'offset' => '32', + 'type' => '2686' + }, + '8' => { + 'name' => 'max_recv_sge', + 'offset' => '36', + 'type' => '2686' + }, + '9' => { + 'name' => 'max_inline_data', + 'offset' => '40', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_ex_create_qp', + 'Size' => '64', + 'Type' => 'Struct' + }, + '1209' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '1009', + 'Memb' => { + '0' => { + 'name' => 'IBV_MIG_MIGRATED', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_MIG_REARM', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_MIG_ARMED', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_mig_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '12337' => { + 'BaseType' => '12342', + 'Name' => 'struct ib_uverbs_ex_create_qp_resp*', + 'Size' => '40', + 'Type' => 'Pointer' + }, + '12342' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '646', + 'Memb' => { + '0' => { + 'name' => 'base', + 'offset' => '0', + 'type' => '12391' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '32', + 'type' => '2686' + }, + '2' => { + 'name' => 'response_length', + 'offset' => '36', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_ex_create_qp_resp', + 'Size' => '40', + 'Type' => 'Struct' + }, + '12391' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '634', + 'Memb' => { + '0' => { + 'name' => 'qp_handle', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'qpn', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'max_send_wr', + 'offset' => '8', + 'type' => '2686' + }, + '3' => { + 'name' => 'max_recv_wr', + 'offset' => '12', + 'type' => '2686' + }, + '4' => { + 'name' => 'max_send_sge', + 'offset' => '16', + 'type' => '2686' + }, + '5' => { + 'name' => 'max_recv_sge', + 'offset' => '20', + 'type' => '2686' + }, + '6' => { + 'name' => 'max_inline_data', + 'offset' => '24', + 'type' => '2686' + }, + '7' => { + 'name' => 'reserved', + 'offset' => '28', + 'type' => '2686' + }, + '8' => { + 'name' => 'driver_data', + 'offset' => '32', + 'type' => '4567' + } + }, + 'Name' => 'struct ib_uverbs_create_qp_resp', + 'Size' => '32', + 'Type' => 'Struct' + }, + '1241' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '133', + 'Memb' => { + '0' => { + 'name' => 'IBV_ATOMIC_NONE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_ATOMIC_HCA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_ATOMIC_GLOB', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_atomic_cap', + 'Size' => '4', + 'Type' => 'Enum' + }, + '12544' => { + 'BaseType' => '12549', + 'Name' => 'struct ibv_create_qp*', + 'Size' => '64', + 'Type' => 'Pointer' + }, + '12549' => { + 'Header' => 'kern-abi.h', + 'Line' => '182', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '12577' + } + }, + 'Name' => 'struct ibv_create_qp', + 'Size' => '64', + 'Type' => 'Struct' + }, + '12577' => { + 'Header' => 'kern-abi.h', + 'Line' => '182', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '12589' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '12803' + } + }, + 'Name' => 'ibv_create_qp::anon-union-kern-abi.h-182', + 'NameSpace' => 'ibv_create_qp', + 'Size' => '56', + 'Type' => 'Union' + }, + '12589' => { + 'Header' => 'kern-abi.h', + 'Line' => '182', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '2715' + }, + '10' => { + 'name' => 'max_inline_data', + 'offset' => '48', + 'type' => '2686' + }, + '11' => { + 'name' => 'sq_sig_all', + 'offset' => '52', + 'type' => '3561' + }, + '12' => { + 'name' => 'qp_type', + 'offset' => '53', + 'type' => '3561' + }, + '13' => { + 'name' => 'is_srq', + 'offset' => '54', + 'type' => '3561' + }, + '14' => { + 'name' => 'reserved', + 'offset' => '55', + 'type' => '3561' + }, + '15' => { + 'name' => 'driver_data', + 'offset' => '56', + 'type' => '2733' + }, + '2' => { + 'name' => 'pd_handle', + 'offset' => '16', + 'type' => '2686' + }, + '3' => { + 'name' => 'send_cq_handle', + 'offset' => '20', + 'type' => '2686' + }, + '4' => { + 'name' => 'recv_cq_handle', + 'offset' => '24', + 'type' => '2686' + }, + '5' => { + 'name' => 'srq_handle', + 'offset' => '28', + 'type' => '2686' + }, + '6' => { + 'name' => 'max_send_wr', + 'offset' => '32', + 'type' => '2686' + }, + '7' => { + 'name' => 'max_recv_wr', + 'offset' => '36', + 'type' => '2686' + }, + '8' => { + 'name' => 'max_send_sge', + 'offset' => '40', + 'type' => '2686' + }, + '9' => { + 'name' => 'max_recv_sge', + 'offset' => '44', + 'type' => '2686' + } + }, + 'Name' => 'anon-struct-kern-abi.h-182', + 'Size' => '56', + 'Type' => 'Struct' + }, + '12803' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '561', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '2715' + }, + '10' => { + 'name' => 'max_inline_data', + 'offset' => '48', + 'type' => '2686' + }, + '11' => { + 'name' => 'sq_sig_all', + 'offset' => '52', + 'type' => '3561' + }, + '12' => { + 'name' => 'qp_type', + 'offset' => '53', + 'type' => '3561' + }, + '13' => { + 'name' => 'is_srq', + 'offset' => '54', + 'type' => '3561' + }, + '14' => { + 'name' => 'reserved', + 'offset' => '55', + 'type' => '3561' + }, + '15' => { + 'name' => 'driver_data', + 'offset' => '56', + 'type' => '2733' + }, + '2' => { + 'name' => 'pd_handle', + 'offset' => '16', + 'type' => '2686' + }, + '3' => { + 'name' => 'send_cq_handle', + 'offset' => '20', + 'type' => '2686' + }, + '4' => { + 'name' => 'recv_cq_handle', + 'offset' => '24', + 'type' => '2686' + }, + '5' => { + 'name' => 'srq_handle', + 'offset' => '28', + 'type' => '2686' + }, + '6' => { + 'name' => 'max_send_wr', + 'offset' => '32', + 'type' => '2686' + }, + '7' => { + 'name' => 'max_recv_wr', + 'offset' => '36', + 'type' => '2686' + }, + '8' => { + 'name' => 'max_send_sge', + 'offset' => '40', + 'type' => '2686' + }, + '9' => { + 'name' => 'max_recv_sge', + 'offset' => '44', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_create_qp', + 'Size' => '56', + 'Type' => 'Struct' + }, + '13050' => { + 'BaseType' => '12391', + 'Name' => 'struct ib_uverbs_create_qp_resp*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '131' => { + 'Name' => 'int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '13228' => { + 'BaseType' => '13233', + 'Name' => 'struct ibv_open_qp*', + 'Size' => '40', + 'Type' => 'Pointer' + }, + '13233' => { + 'Header' => 'kern-abi.h', + 'Line' => '196', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '13261' + } + }, + 'Name' => 'struct ibv_open_qp', + 'Size' => '40', + 'Type' => 'Struct' + }, + '13261' => { + 'Header' => 'kern-abi.h', + 'Line' => '196', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '13273' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '13391' + } + }, + 'Name' => 'ibv_open_qp::anon-union-kern-abi.h-196', + 'NameSpace' => 'ibv_open_qp', + 'Size' => '32', + 'Type' => 'Union' + }, + '13273' => { + 'Header' => 'kern-abi.h', + 'Line' => '196', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '2715' + }, + '2' => { + 'name' => 'pd_handle', + 'offset' => '16', + 'type' => '2686' + }, + '3' => { + 'name' => 'qpn', + 'offset' => '20', + 'type' => '2686' + }, + '4' => { + 'name' => 'qp_type', + 'offset' => '24', + 'type' => '3561' + }, + '5' => { + 'name' => 'reserved', + 'offset' => '25', + 'type' => '3579' + }, + '6' => { + 'name' => 'driver_data', + 'offset' => '32', + 'type' => '2733' + } + }, + 'Name' => 'anon-struct-kern-abi.h-196', + 'Size' => '32', + 'Type' => 'Struct' + }, + '13391' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '623', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '2715' + }, + '2' => { + 'name' => 'pd_handle', + 'offset' => '16', + 'type' => '2686' + }, + '3' => { + 'name' => 'qpn', + 'offset' => '20', + 'type' => '2686' + }, + '4' => { + 'name' => 'qp_type', + 'offset' => '24', + 'type' => '3561' + }, + '5' => { + 'name' => 'reserved', + 'offset' => '25', + 'type' => '3579' + }, + '6' => { + 'name' => 'driver_data', + 'offset' => '32', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_open_qp', + 'Size' => '32', + 'Type' => 'Struct' + }, + '13542' => { + 'BaseType' => '13547', + 'Name' => 'struct ibv_query_qp*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '13547' => { + 'Header' => 'kern-abi.h', + 'Line' => '204', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '13575' + } + }, + 'Name' => 'struct ibv_query_qp', + 'Size' => '24', + 'Type' => 'Struct' + }, + '13575' => { + 'Header' => 'kern-abi.h', + 'Line' => '204', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '13587' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '13656' + } + }, + 'Name' => 'ibv_query_qp::anon-union-kern-abi.h-204', + 'NameSpace' => 'ibv_query_qp', + 'Size' => '16', + 'Type' => 'Union' + }, + '13587' => { + 'Header' => 'kern-abi.h', + 'Line' => '204', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'qp_handle', + 'offset' => '8', + 'type' => '2686' + }, + '2' => { + 'name' => 'attr_mask', + 'offset' => '12', + 'type' => '2686' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '16', + 'type' => '2733' + } + }, + 'Name' => 'anon-struct-kern-abi.h-204', + 'Size' => '16', + 'Type' => 'Struct' + }, + '13656' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '671', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'qp_handle', + 'offset' => '8', + 'type' => '2686' + }, + '2' => { + 'name' => 'attr_mask', + 'offset' => '12', + 'type' => '2686' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '16', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_query_qp', + 'Size' => '16', + 'Type' => 'Struct' + }, + '138' => { + 'BaseType' => '131', + 'Header' => 'verbs.h', + 'Line' => '90', + 'Memb' => { + '0' => { + 'name' => 'IBV_TRANSPORT_UNKNOWN', + 'value' => '-1' + }, + '1' => { + 'name' => 'IBV_TRANSPORT_IB', + 'value' => '0' + }, + '2' => { + 'name' => 'IBV_TRANSPORT_IWARP', + 'value' => '1' + }, + '3' => { + 'name' => 'IBV_TRANSPORT_USNIC', + 'value' => '2' + }, + '4' => { + 'name' => 'IBV_TRANSPORT_USNIC_UDP', + 'value' => '3' + }, + '5' => { + 'name' => 'IBV_TRANSPORT_UNSPECIFIED', + 'value' => '4' + } + }, + 'Name' => 'enum ibv_transport_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '14165' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '656', + 'Memb' => { + '0' => { + 'name' => 'dgid', + 'offset' => '0', + 'type' => '3579' + }, + '1' => { + 'name' => 'flow_label', + 'offset' => '16', + 'type' => '2686' + }, + '10' => { + 'name' => 'is_global', + 'offset' => '30', + 'type' => '3561' + }, + '11' => { + 'name' => 'port_num', + 'offset' => '31', + 'type' => '3561' + }, + '2' => { + 'name' => 'dlid', + 'offset' => '20', + 'type' => '2697' + }, + '3' => { + 'name' => 'reserved', + 'offset' => '22', + 'type' => '2697' + }, + '4' => { + 'name' => 'sgid_index', + 'offset' => '24', + 'type' => '3561' + }, + '5' => { + 'name' => 'hop_limit', + 'offset' => '25', + 'type' => '3561' + }, + '6' => { + 'name' => 'traffic_class', + 'offset' => '26', + 'type' => '3561' + }, + '7' => { + 'name' => 'sl', + 'offset' => '27', + 'type' => '3561' + }, + '8' => { + 'name' => 'src_path_bits', + 'offset' => '28', + 'type' => '3561' + }, + '9' => { + 'name' => 'static_rate', + 'offset' => '29', + 'type' => '3561' + } + }, + 'Name' => 'struct ib_uverbs_qp_dest', + 'Size' => '32', + 'Type' => 'Struct' + }, + '14381' => { + 'BaseType' => '14386', + 'Name' => 'struct ibv_modify_qp*', + 'Size' => '120', + 'Type' => 'Pointer' + }, + '14386' => { + 'Header' => 'kern-abi.h', + 'Line' => '194', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '14414' + } + }, + 'Name' => 'struct ibv_modify_qp', + 'Size' => '120', + 'Type' => 'Struct' + }, + '14414' => { + 'Header' => 'kern-abi.h', + 'Line' => '194', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '14426' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '14782' + } + }, + 'Name' => 'ibv_modify_qp::anon-union-kern-abi.h-194', + 'NameSpace' => 'ibv_modify_qp', + 'Size' => '112', + 'Type' => 'Union' + }, + '14426' => { + 'Header' => 'kern-abi.h', + 'Line' => '194', + 'Memb' => { + '0' => { + 'name' => 'dest', + 'offset' => '0', + 'type' => '14165' + }, + '1' => { + 'name' => 'alt_dest', + 'offset' => '32', + 'type' => '14165' + }, + '10' => { + 'name' => 'alt_pkey_index', + 'offset' => '94', + 'type' => '2697' + }, + '11' => { + 'name' => 'qp_state', + 'offset' => '96', + 'type' => '3561' + }, + '12' => { + 'name' => 'cur_qp_state', + 'offset' => '97', + 'type' => '3561' + }, + '13' => { + 'name' => 'path_mtu', + 'offset' => '98', + 'type' => '3561' + }, + '14' => { + 'name' => 'path_mig_state', + 'offset' => '99', + 'type' => '3561' + }, + '15' => { + 'name' => 'en_sqd_async_notify', + 'offset' => '100', + 'type' => '3561' + }, + '16' => { + 'name' => 'max_rd_atomic', + 'offset' => '101', + 'type' => '3561' + }, + '17' => { + 'name' => 'max_dest_rd_atomic', + 'offset' => '102', + 'type' => '3561' + }, + '18' => { + 'name' => 'min_rnr_timer', + 'offset' => '103', + 'type' => '3561' + }, + '19' => { + 'name' => 'port_num', + 'offset' => '104', + 'type' => '3561' + }, + '2' => { + 'name' => 'qp_handle', + 'offset' => '64', + 'type' => '2686' + }, + '20' => { + 'name' => 'timeout', + 'offset' => '105', + 'type' => '3561' + }, + '21' => { + 'name' => 'retry_cnt', + 'offset' => '106', + 'type' => '3561' + }, + '22' => { + 'name' => 'rnr_retry', + 'offset' => '107', + 'type' => '3561' + }, + '23' => { + 'name' => 'alt_port_num', + 'offset' => '108', + 'type' => '3561' + }, + '24' => { + 'name' => 'alt_timeout', + 'offset' => '109', + 'type' => '3561' + }, + '25' => { + 'name' => 'reserved', + 'offset' => '110', + 'type' => '3579' + }, + '26' => { + 'name' => 'driver_data', + 'offset' => '112', + 'type' => '2733' + }, + '3' => { + 'name' => 'attr_mask', + 'offset' => '68', + 'type' => '2686' + }, + '4' => { + 'name' => 'qkey', + 'offset' => '72', + 'type' => '2686' + }, + '5' => { + 'name' => 'rq_psn', + 'offset' => '76', + 'type' => '2686' + }, + '6' => { + 'name' => 'sq_psn', + 'offset' => '80', + 'type' => '2686' + }, + '7' => { + 'name' => 'dest_qp_num', + 'offset' => '84', + 'type' => '2686' + }, + '8' => { + 'name' => 'qp_access_flags', + 'offset' => '88', + 'type' => '2686' + }, + '9' => { + 'name' => 'pkey_index', + 'offset' => '92', + 'type' => '2697' + } + }, + 'Name' => 'anon-struct-kern-abi.h-194', + 'Size' => '112', + 'Type' => 'Struct' + }, + '14782' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '712', + 'Memb' => { + '0' => { + 'name' => 'dest', + 'offset' => '0', + 'type' => '14165' + }, + '1' => { + 'name' => 'alt_dest', + 'offset' => '32', + 'type' => '14165' + }, + '10' => { + 'name' => 'alt_pkey_index', + 'offset' => '94', + 'type' => '2697' + }, + '11' => { + 'name' => 'qp_state', + 'offset' => '96', + 'type' => '3561' + }, + '12' => { + 'name' => 'cur_qp_state', + 'offset' => '97', + 'type' => '3561' + }, + '13' => { + 'name' => 'path_mtu', + 'offset' => '98', + 'type' => '3561' + }, + '14' => { + 'name' => 'path_mig_state', + 'offset' => '99', + 'type' => '3561' + }, + '15' => { + 'name' => 'en_sqd_async_notify', + 'offset' => '100', + 'type' => '3561' + }, + '16' => { + 'name' => 'max_rd_atomic', + 'offset' => '101', + 'type' => '3561' + }, + '17' => { + 'name' => 'max_dest_rd_atomic', + 'offset' => '102', + 'type' => '3561' + }, + '18' => { + 'name' => 'min_rnr_timer', + 'offset' => '103', + 'type' => '3561' + }, + '19' => { + 'name' => 'port_num', + 'offset' => '104', + 'type' => '3561' + }, + '2' => { + 'name' => 'qp_handle', + 'offset' => '64', + 'type' => '2686' + }, + '20' => { + 'name' => 'timeout', + 'offset' => '105', + 'type' => '3561' + }, + '21' => { + 'name' => 'retry_cnt', + 'offset' => '106', + 'type' => '3561' + }, + '22' => { + 'name' => 'rnr_retry', + 'offset' => '107', + 'type' => '3561' + }, + '23' => { + 'name' => 'alt_port_num', + 'offset' => '108', + 'type' => '3561' + }, + '24' => { + 'name' => 'alt_timeout', + 'offset' => '109', + 'type' => '3561' + }, + '25' => { + 'name' => 'reserved', + 'offset' => '110', + 'type' => '3579' + }, + '26' => { + 'name' => 'driver_data', + 'offset' => '112', + 'type' => '2733' + }, + '3' => { + 'name' => 'attr_mask', + 'offset' => '68', + 'type' => '2686' + }, + '4' => { + 'name' => 'qkey', + 'offset' => '72', + 'type' => '2686' + }, + '5' => { + 'name' => 'rq_psn', + 'offset' => '76', + 'type' => '2686' + }, + '6' => { + 'name' => 'sq_psn', + 'offset' => '80', + 'type' => '2686' + }, + '7' => { + 'name' => 'dest_qp_num', + 'offset' => '84', + 'type' => '2686' + }, + '8' => { + 'name' => 'qp_access_flags', + 'offset' => '88', + 'type' => '2686' + }, + '9' => { + 'name' => 'pkey_index', + 'offset' => '92', + 'type' => '2697' + } + }, + 'Name' => 'struct ib_uverbs_modify_qp', + 'Size' => '112', + 'Type' => 'Struct' + }, + '150469' => { + 'BaseType' => '150474', + 'Name' => 'struct ibv_cq_1_0*', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '150474' => { + 'Line' => '137', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '150591' + }, + '1' => { + 'name' => 'cq_context', + 'offset' => '8', + 'type' => '6673' + }, + '2' => { + 'name' => 'handle', + 'offset' => '16', + 'type' => '8394' + }, + '3' => { + 'name' => 'cqe', + 'offset' => '20', + 'type' => '131' + }, + '4' => { + 'name' => 'mutex', + 'offset' => '24', + 'type' => '8801' + }, + '5' => { + 'name' => 'cond', + 'offset' => '64', + 'type' => '9033' + }, + '6' => { + 'name' => 'comp_events_completed', + 'offset' => '112', + 'type' => '8394' + }, + '7' => { + 'name' => 'async_events_completed', + 'offset' => '116', + 'type' => '8394' + }, + '8' => { + 'name' => 'real_cq', + 'offset' => '120', + 'type' => '8615' + } + }, + 'Name' => 'struct ibv_cq_1_0', + 'Size' => '128', + 'Source' => 'compat-1_0.c', + 'Type' => 'Struct' + }, + '150591' => { + 'BaseType' => '150596', + 'Name' => 'struct ibv_context_1_0*', + 'Size' => '248', + 'Type' => 'Pointer' + }, + '150596' => { + 'Line' => '218', + 'Memb' => { + '0' => { + 'name' => 'device', + 'offset' => '0', + 'type' => '150677' + }, + '1' => { + 'name' => 'ops', + 'offset' => '8', + 'type' => '153962' + }, + '2' => { + 'name' => 'cmd_fd', + 'offset' => '224', + 'type' => '131' + }, + '3' => { + 'name' => 'async_fd', + 'offset' => '228', + 'type' => '131' + }, + '4' => { + 'name' => 'num_comp_vectors', + 'offset' => '232', + 'type' => '131' + }, + '5' => { + 'name' => 'real_context', + 'offset' => '240', + 'type' => '7447' + } + }, + 'Name' => 'struct ibv_context_1_0', + 'Size' => '248', + 'Source' => 'compat-1_0.c', + 'Type' => 'Struct' + }, + '150677' => { + 'BaseType' => '150682', + 'Name' => 'struct ibv_device_1_0*', + 'Size' => '40', + 'Type' => 'Pointer' + }, + '150682' => { + 'Line' => '159', + 'Memb' => { + '0' => { + 'name' => 'obsolete_sysfs_dev', + 'offset' => '0', + 'type' => '6673' + }, + '1' => { + 'name' => 'obsolete_sysfs_ibdev', + 'offset' => '8', + 'type' => '6673' + }, + '2' => { + 'name' => 'real_device', + 'offset' => '16', + 'type' => '7559' + }, + '3' => { + 'name' => '_ops', + 'offset' => '24', + 'type' => '7667' + } + }, + 'Name' => 'struct ibv_device_1_0', + 'Size' => '40', + 'Source' => 'compat-1_0.c', + 'Type' => 'Struct' + }, + '15170' => { + 'BaseType' => '15175', + 'Name' => 'struct ibv_modify_qp_ex*', + 'Size' => '144', + 'Type' => 'Pointer' + }, + '15175' => { + 'Header' => 'kern-abi.h', + 'Line' => '220', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '3705' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '24', + 'type' => '15203' + } + }, + 'Name' => 'struct ibv_modify_qp_ex', + 'Size' => '144', + 'Type' => 'Struct' + }, + '15203' => { + 'Header' => 'kern-abi.h', + 'Line' => '220', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '15215' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '15270' + } + }, + 'Name' => 'ibv_modify_qp_ex::anon-union-kern-abi.h-220', + 'NameSpace' => 'ibv_modify_qp_ex', + 'Size' => '120', + 'Type' => 'Union' + }, + '15215' => { + 'Header' => 'kern-abi.h', + 'Line' => '220', + 'Memb' => { + '0' => { + 'name' => 'base', + 'offset' => '0', + 'type' => '14782' + }, + '1' => { + 'name' => 'rate_limit', + 'offset' => '112', + 'type' => '2686' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '116', + 'type' => '2686' + } + }, + 'Name' => 'anon-struct-kern-abi.h-220', + 'Size' => '120', + 'Type' => 'Struct' + }, + '15270' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '742', + 'Memb' => { + '0' => { + 'name' => 'base', + 'offset' => '0', + 'type' => '14782' + }, + '1' => { + 'name' => 'rate_limit', + 'offset' => '112', + 'type' => '2686' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '116', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_ex_modify_qp', + 'Size' => '120', + 'Type' => 'Struct' + }, + '15345' => { + 'BaseType' => '15350', + 'Name' => 'struct ib_uverbs_ex_modify_qp_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '15350' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '748', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'response_length', + 'offset' => '4', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_ex_modify_qp_resp', + 'Size' => '8', + 'Type' => 'Struct' + }, + '153962' => { + 'Line' => '166', + 'Memb' => { + '0' => { + 'name' => 'query_device', + 'offset' => '0', + 'type' => '38398' + }, + '1' => { + 'name' => 'query_port', + 'offset' => '8', + 'type' => '39109' + }, + '10' => { + 'name' => 'resize_cq', + 'offset' => '80', + 'type' => '9820' + }, + '11' => { + 'name' => 'destroy_cq', + 'offset' => '88', + 'type' => '37722' + }, + '12' => { + 'name' => 'create_srq', + 'offset' => '96', + 'type' => '37349' + }, + '13' => { + 'name' => 'modify_srq', + 'offset' => '104', + 'type' => '38191' + }, + '14' => { + 'name' => 'query_srq', + 'offset' => '112', + 'type' => '39166' + }, + '15' => { + 'name' => 'destroy_srq', + 'offset' => '120', + 'type' => '37770' + }, + '16' => { + 'name' => 'post_srq_recv', + 'offset' => '128', + 'type' => '155523' + }, + '17' => { + 'name' => 'create_qp', + 'offset' => '136', + 'type' => '36836' + }, + '18' => { + 'name' => 'query_qp', + 'offset' => '144', + 'type' => '39135' + }, + '19' => { + 'name' => 'modify_qp', + 'offset' => '152', + 'type' => '37812' + }, + '2' => { + 'name' => 'alloc_pd', + 'offset' => '16', + 'type' => '36205' + }, + '20' => { + 'name' => 'destroy_qp', + 'offset' => '160', + 'type' => '37754' + }, + '21' => { + 'name' => 'post_send', + 'offset' => '168', + 'type' => '156654' + }, + '22' => { + 'name' => 'post_recv', + 'offset' => '176', + 'type' => '157203' + }, + '23' => { + 'name' => 'create_ah', + 'offset' => '184', + 'type' => '36476' + }, + '24' => { + 'name' => 'destroy_ah', + 'offset' => '192', + 'type' => '37706' + }, + '25' => { + 'name' => 'attach_mcast', + 'offset' => '200', + 'type' => '157271' + }, + '26' => { + 'name' => 'detach_mcast', + 'offset' => '208', + 'type' => '157271' + }, + '3' => { + 'name' => 'dealloc_pd', + 'offset' => '24', + 'type' => '37636' + }, + '4' => { + 'name' => 'reg_mr', + 'offset' => '32', + 'type' => '155191' + }, + '5' => { + 'name' => 'dereg_mr', + 'offset' => '40', + 'type' => '155222' + }, + '6' => { + 'name' => 'create_cq', + 'offset' => '48', + 'type' => '36678' + }, + '7' => { + 'name' => 'poll_cq', + 'offset' => '56', + 'type' => '155269' + }, + '8' => { + 'name' => 'req_notify_cq', + 'offset' => '64', + 'type' => '155295' + }, + '9' => { + 'name' => 'cq_event', + 'offset' => '72', + 'type' => '36464' + } + }, + 'Name' => 'struct ibv_context_ops_1_0', + 'Size' => '216', + 'Source' => 'compat-1_0.c', + 'Type' => 'Struct' + }, + '155191' => { + 'Name' => 'struct ibv_mr*(*)(struct ibv_pd*, void*, size_t, int)', + 'Param' => { + '0' => { + 'type' => '8353' + }, + '1' => { + 'type' => '6673' + }, + '2' => { + 'type' => '9544' + }, + '3' => { + 'type' => '131' + } + }, + 'Return' => '9438', + 'Type' => 'FuncPtr' + }, + '155222' => { + 'Name' => 'int(*)(struct ibv_mr*)', + 'Param' => { + '0' => { + 'type' => '9438' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '155269' => { + 'Name' => 'int(*)(struct ibv_cq_1_0*, int, struct ibv_wc*)', + 'Param' => { + '0' => { + 'type' => '150469' + }, + '1' => { + 'type' => '131' + }, + '2' => { + 'type' => '9597' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '155295' => { + 'Name' => 'int(*)(struct ibv_cq_1_0*, int)', + 'Param' => { + '0' => { + 'type' => '150469' + }, + '1' => { + 'type' => '131' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '155523' => { + 'Name' => 'int(*)(struct ibv_srq_1_0*, struct ibv_recv_wr_1_0*, struct ibv_recv_wr_1_0**)', + 'Param' => { + '0' => { + 'type' => '155549' + }, + '1' => { + 'type' => '155709' + }, + '2' => { + 'type' => '155771' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '155549' => { + 'BaseType' => '155554', + 'Name' => 'struct ibv_srq_1_0*', + 'Size' => '136', + 'Type' => 'Pointer' + }, + '155554' => { + 'Line' => '61', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '150591' + }, + '1' => { + 'name' => 'srq_context', + 'offset' => '8', + 'type' => '6673' + }, + '2' => { + 'name' => 'pd', + 'offset' => '16', + 'type' => '155659' + }, + '3' => { + 'name' => 'handle', + 'offset' => '24', + 'type' => '8394' + }, + '4' => { + 'name' => 'mutex', + 'offset' => '32', + 'type' => '8801' + }, + '5' => { + 'name' => 'cond', + 'offset' => '72', + 'type' => '9033' + }, + '6' => { + 'name' => 'events_completed', + 'offset' => '120', + 'type' => '8394' + }, + '7' => { + 'name' => 'real_srq', + 'offset' => '128', + 'type' => '9205' + } + }, + 'Name' => 'struct ibv_srq_1_0', + 'Size' => '136', + 'Source' => 'compat-1_0.c', + 'Type' => 'Struct' + }, + '155659' => { + 'BaseType' => '155664', + 'Name' => 'struct ibv_pd_1_0*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '155664' => { + 'Line' => '44', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '150591' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '8394' + }, + '2' => { + 'name' => 'real_pd', + 'offset' => '16', + 'type' => '8353' + } + }, + 'Name' => 'struct ibv_pd_1_0', + 'Size' => '24', + 'Source' => 'compat-1_0.c', + 'Type' => 'Struct' + }, + '155709' => { + 'BaseType' => '155714', + 'Name' => 'struct ibv_recv_wr_1_0*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '155714' => { + 'Line' => '111', + 'Memb' => { + '0' => { + 'name' => 'next', + 'offset' => '0', + 'type' => '155709' + }, + '1' => { + 'name' => 'wr_id', + 'offset' => '8', + 'type' => '9365' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '16', + 'type' => '9934' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '24', + 'type' => '131' + } + }, + 'Name' => 'struct ibv_recv_wr_1_0', + 'Size' => '32', + 'Source' => 'compat-1_0.c', + 'Type' => 'Struct' + }, + '155771' => { + 'BaseType' => '155709', + 'Name' => 'struct ibv_recv_wr_1_0**', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '156654' => { + 'Name' => 'int(*)(struct ibv_qp_1_0*, struct ibv_send_wr_1_0*, struct ibv_send_wr_1_0**)', + 'Param' => { + '0' => { + 'type' => '156680' + }, + '1' => { + 'type' => '156862' + }, + '2' => { + 'type' => '157198' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '156680' => { + 'BaseType' => '156685', + 'Name' => 'struct ibv_qp_1_0*', + 'Size' => '168', + 'Type' => 'Pointer' + }, + '156685' => { + 'Line' => '118', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '150591' + }, + '1' => { + 'name' => 'qp_context', + 'offset' => '8', + 'type' => '6673' + }, + '10' => { + 'name' => 'mutex', + 'offset' => '64', + 'type' => '8801' + }, + '11' => { + 'name' => 'cond', + 'offset' => '104', + 'type' => '9033' + }, + '12' => { + 'name' => 'events_completed', + 'offset' => '152', + 'type' => '8394' + }, + '13' => { + 'name' => 'real_qp', + 'offset' => '160', + 'type' => '8431' + }, + '2' => { + 'name' => 'pd', + 'offset' => '16', + 'type' => '155659' + }, + '3' => { + 'name' => 'send_cq', + 'offset' => '24', + 'type' => '150469' + }, + '4' => { + 'name' => 'recv_cq', + 'offset' => '32', + 'type' => '150469' + }, + '5' => { + 'name' => 'srq', + 'offset' => '40', + 'type' => '155549' + }, + '6' => { + 'name' => 'handle', + 'offset' => '48', + 'type' => '8394' + }, + '7' => { + 'name' => 'qp_num', + 'offset' => '52', + 'type' => '8394' + }, + '8' => { + 'name' => 'state', + 'offset' => '56', + 'type' => '220' + }, + '9' => { + 'name' => 'qp_type', + 'offset' => '60', + 'type' => '282' + } + }, + 'Name' => 'struct ibv_qp_1_0', + 'Size' => '168', + 'Source' => 'compat-1_0.c', + 'Type' => 'Struct' + }, + '156862' => { + 'BaseType' => '156867', + 'Name' => 'struct ibv_send_wr_1_0*', + 'Size' => '72', + 'Type' => 'Pointer' + }, + '156867' => { + 'Line' => '84', + 'Memb' => { + '0' => { + 'name' => 'next', + 'offset' => '0', + 'type' => '156862' + }, + '1' => { + 'name' => 'wr_id', + 'offset' => '8', + 'type' => '9365' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '16', + 'type' => '9934' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '24', + 'type' => '131' + }, + '4' => { + 'name' => 'opcode', + 'offset' => '28', + 'type' => '615' + }, + '5' => { + 'name' => 'send_flags', + 'offset' => '32', + 'type' => '131' + }, + '6' => { + 'name' => 'imm_data', + 'offset' => '36', + 'type' => '6491' + }, + '7' => { + 'name' => 'wr', + 'offset' => '40', + 'type' => '156971' + } + }, + 'Name' => 'struct ibv_send_wr_1_0', + 'Size' => '72', + 'Source' => 'compat-1_0.c', + 'Type' => 'Struct' + }, + '156971' => { + 'Line' => '92', + 'Memb' => { + '0' => { + 'name' => 'rdma', + 'offset' => '0', + 'type' => '156987' + }, + '1' => { + 'name' => 'atomic', + 'offset' => '0', + 'type' => '157028' + }, + '2' => { + 'name' => 'ud', + 'offset' => '0', + 'type' => '157093' + } + }, + 'Name' => 'ibv_send_wr_1_0::anon-union-compat-1_0.c-92', + 'NameSpace' => 'ibv_send_wr_1_0', + 'Size' => '32', + 'Source' => 'compat-1_0.c', + 'Type' => 'Union' + }, + '156987' => { + 'Line' => '93', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '9365' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '8394' + } + }, + 'Name' => 'anon-struct-compat-1_0.c-93', + 'Size' => '16', + 'Source' => 'compat-1_0.c', + 'Type' => 'Struct' + }, + '157028' => { + 'Line' => '97', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '9365' + }, + '1' => { + 'name' => 'compare_add', + 'offset' => '8', + 'type' => '9365' + }, + '2' => { + 'name' => 'swap', + 'offset' => '16', + 'type' => '9365' + }, + '3' => { + 'name' => 'rkey', + 'offset' => '24', + 'type' => '8394' + } + }, + 'Name' => 'anon-struct-compat-1_0.c-97', + 'Size' => '32', + 'Source' => 'compat-1_0.c', + 'Type' => 'Struct' + }, + '157093' => { + 'Line' => '103', + 'Memb' => { + '0' => { + 'name' => 'ah', + 'offset' => '0', + 'type' => '157136' + }, + '1' => { + 'name' => 'remote_qpn', + 'offset' => '8', + 'type' => '8394' + }, + '2' => { + 'name' => 'remote_qkey', + 'offset' => '12', + 'type' => '8394' + } + }, + 'Name' => 'anon-struct-compat-1_0.c-103', + 'Size' => '16', + 'Source' => 'compat-1_0.c', + 'Type' => 'Struct' + }, + '157136' => { + 'BaseType' => '157141', + 'Name' => 'struct ibv_ah_1_0*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '157141' => { + 'Line' => '151', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '150591' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '155659' + }, + '2' => { + 'name' => 'handle', + 'offset' => '16', + 'type' => '8394' + }, + '3' => { + 'name' => 'real_ah', + 'offset' => '24', + 'type' => '10528' + } + }, + 'Name' => 'struct ibv_ah_1_0', + 'Size' => '32', + 'Source' => 'compat-1_0.c', + 'Type' => 'Struct' + }, + '157198' => { + 'BaseType' => '156862', + 'Name' => 'struct ibv_send_wr_1_0**', + 'Size' => '72', + 'Type' => 'Pointer' + }, + '157203' => { + 'Name' => 'int(*)(struct ibv_qp_1_0*, struct ibv_recv_wr_1_0*, struct ibv_recv_wr_1_0**)', + 'Param' => { + '0' => { + 'type' => '156680' + }, + '1' => { + 'type' => '155709' + }, + '2' => { + 'type' => '155771' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '157271' => { + 'Name' => 'int(*)(struct ibv_qp*, union ibv_gid*, uint16_t)', + 'Param' => { + '0' => { + 'type' => '8431' + }, + '1' => { + 'type' => '157297' + }, + '2' => { + 'type' => '9809' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '157297' => { + 'BaseType' => '36386', + 'Name' => 'union ibv_gid*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '167275' => { + 'BaseType' => '150677', + 'Name' => 'struct ibv_device_1_0**', + 'Size' => '40', + 'Type' => 'Pointer' + }, + '167280' => { + 'BaseType' => '167285', + 'Name' => 'char const*', + 'Size' => '1', + 'Type' => 'Pointer' + }, + '167285' => { + 'BaseType' => '7748', + 'Name' => 'char const', + 'Size' => '1', + 'Type' => 'Const' + }, + '167290' => { + 'BaseType' => '167295', + 'Name' => 'struct ibv_mr_1_0*', + 'Size' => '40', + 'Type' => 'Pointer' + }, + '167295' => { + 'Line' => '51', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '150591' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '155659' + }, + '2' => { + 'name' => 'handle', + 'offset' => '16', + 'type' => '8394' + }, + '3' => { + 'name' => 'lkey', + 'offset' => '20', + 'type' => '8394' + }, + '4' => { + 'name' => 'rkey', + 'offset' => '24', + 'type' => '8394' + }, + '5' => { + 'name' => 'real_mr', + 'offset' => '32', + 'type' => '9438' + } + }, + 'Name' => 'struct ibv_mr_1_0', + 'Size' => '40', + 'Source' => 'compat-1_0.c', + 'Type' => 'Struct' + }, + '167381' => { + 'BaseType' => '7559', + 'Name' => 'struct ibv_device**', + 'Size' => '664', + 'Type' => 'Pointer' + }, + '167386' => { + 'BaseType' => '18430', + 'Name' => '__be16*', + 'Size' => '2', + 'Type' => 'Pointer' + }, + '167402' => { + 'BaseType' => '150469', + 'Name' => 'struct ibv_cq_1_0**', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '167412' => { + 'BaseType' => '167417', + 'Name' => 'struct ibv_qp_init_attr_1_0*', + 'Size' => '64', + 'Type' => 'Pointer' + }, + '167417' => { + 'Line' => '74', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '6673' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '150469' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '16', + 'type' => '150469' + }, + '3' => { + 'name' => 'srq', + 'offset' => '24', + 'type' => '155549' + }, + '4' => { + 'name' => 'cap', + 'offset' => '32', + 'type' => '36963' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '52', + 'type' => '282' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '56', + 'type' => '131' + } + }, + 'Name' => 'struct ibv_qp_init_attr_1_0', + 'Size' => '64', + 'Source' => 'compat-1_0.c', + 'Type' => 'Struct' + }, + '167510' => { + 'BaseType' => '167521', + 'Line' => '228', + 'Name' => 'ibv_driver_init_func_1_1', + 'Source' => 'compat-1_0.c', + 'Type' => 'Typedef' + }, + '167521' => { + 'Name' => 'struct ibv_device*(*)(char const*, int)', + 'Param' => { + '0' => { + 'type' => '167280' + }, + '1' => { + 'type' => '131' + } + }, + 'Return' => '7559', + 'Type' => 'FuncPtr' + }, + '168064' => { + 'Header' => 'list.h', + 'Line' => '24', + 'Memb' => { + '0' => { + 'name' => 'next', + 'offset' => '0', + 'type' => '168097' + }, + '1' => { + 'name' => 'prev', + 'offset' => '8', + 'type' => '168097' + } + }, + 'Name' => 'struct list_node', + 'Size' => '16', + 'Type' => 'Struct' + }, + '168097' => { + 'BaseType' => '168064', + 'Name' => 'struct list_node*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '16897' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '512', + 'Memb' => { + '0' => { + 'name' => 'grh', + 'offset' => '0', + 'type' => '17011' + }, + '1' => { + 'name' => 'dlid', + 'offset' => '24', + 'type' => '2697' + }, + '2' => { + 'name' => 'sl', + 'offset' => '26', + 'type' => '3561' + }, + '3' => { + 'name' => 'src_path_bits', + 'offset' => '27', + 'type' => '3561' + }, + '4' => { + 'name' => 'static_rate', + 'offset' => '28', + 'type' => '3561' + }, + '5' => { + 'name' => 'is_global', + 'offset' => '29', + 'type' => '3561' + }, + '6' => { + 'name' => 'port_num', + 'offset' => '30', + 'type' => '3561' + }, + '7' => { + 'name' => 'reserved', + 'offset' => '31', + 'type' => '3561' + } + }, + 'Name' => 'struct ib_uverbs_ah_attr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '169831' => { + 'BaseType' => '169836', + 'Name' => 'struct verbs_device*', + 'Size' => '704', + 'Type' => 'Pointer' + }, + '169836' => { + 'Header' => 'driver.h', + 'Line' => '228', + 'Memb' => { + '0' => { + 'name' => 'device', + 'offset' => '0', + 'type' => '7564' + }, + '1' => { + 'name' => 'ops', + 'offset' => '664', + 'type' => '172880' + }, + '2' => { + 'name' => 'refcount', + 'offset' => '672', + 'type' => '182092' + }, + '3' => { + 'name' => 'entry', + 'offset' => '680', + 'type' => '168064' + }, + '4' => { + 'name' => 'sysfs', + 'offset' => '696', + 'type' => '173158' + } + }, + 'Name' => 'struct verbs_device', + 'Size' => '704', + 'Type' => 'Struct' + }, + '17011' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '503', + 'Memb' => { + '0' => { + 'name' => 'dgid', + 'offset' => '0', + 'type' => '3579' + }, + '1' => { + 'name' => 'flow_label', + 'offset' => '16', + 'type' => '2686' + }, + '2' => { + 'name' => 'sgid_index', + 'offset' => '20', + 'type' => '3561' + }, + '3' => { + 'name' => 'hop_limit', + 'offset' => '21', + 'type' => '3561' + }, + '4' => { + 'name' => 'traffic_class', + 'offset' => '22', + 'type' => '3561' + }, + '5' => { + 'name' => 'reserved', + 'offset' => '23', + 'type' => '3561' + } + }, + 'Name' => 'struct ib_uverbs_global_route', + 'Size' => '24', + 'Type' => 'Struct' + }, + '17216' => { + 'BaseType' => '17221', + 'Name' => 'struct ib_uverbs_create_ah_resp*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '17221' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '878', + 'Memb' => { + '0' => { + 'name' => 'ah_handle', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'driver_data', + 'offset' => '4', + 'type' => '4567' + } + }, + 'Name' => 'struct ib_uverbs_create_ah_resp', + 'Size' => '4', + 'Type' => 'Struct' + }, + '172880' => { + 'BaseType' => '172885', + 'Name' => 'struct verbs_device_ops const*', + 'Size' => '72', + 'Type' => 'Pointer' + }, + '172885' => { + 'BaseType' => '172890', + 'Name' => 'struct verbs_device_ops const', + 'Size' => '72', + 'Type' => 'Const' + }, + '172890' => { + 'Header' => 'driver.h', + 'Line' => '208', + 'Memb' => { + '0' => { + 'name' => 'name', + 'offset' => '0', + 'type' => '167280' + }, + '1' => { + 'name' => 'match_min_abi_version', + 'offset' => '8', + 'type' => '8394' + }, + '2' => { + 'name' => 'match_max_abi_version', + 'offset' => '12', + 'type' => '8394' + }, + '3' => { + 'name' => 'match_table', + 'offset' => '16', + 'type' => '173029' + }, + '4' => { + 'name' => 'static_providers', + 'offset' => '24', + 'type' => '173137' + }, + '5' => { + 'name' => 'match_device', + 'offset' => '32', + 'type' => '173142' + }, + '6' => { + 'name' => 'alloc_context', + 'offset' => '40', + 'type' => '173463' + }, + '7' => { + 'name' => 'free_context', + 'offset' => '48', + 'type' => '7724' + }, + '8' => { + 'name' => 'alloc_device', + 'offset' => '56', + 'type' => '182064' + }, + '9' => { + 'name' => 'uninit_device', + 'offset' => '64', + 'type' => '182080' + } + }, + 'Name' => 'struct verbs_device_ops', + 'Size' => '72', + 'Type' => 'Struct' + }, + '173029' => { + 'BaseType' => '173034', + 'Name' => 'struct verbs_match_ent const*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '173034' => { + 'BaseType' => '173039', + 'Name' => 'struct verbs_match_ent const', + 'Size' => '24', + 'Type' => 'Const' + }, + '173039' => { + 'Header' => 'driver.h', + 'Line' => '137', + 'Memb' => { + '0' => { + 'name' => 'driver_data', + 'offset' => '0', + 'type' => '6673' + }, + '1' => { + 'name' => 'u', + 'offset' => '8', + 'type' => '173071' + }, + '2' => { + 'name' => 'vendor', + 'offset' => '16', + 'type' => '9809' + }, + '3' => { + 'name' => 'device', + 'offset' => '18', + 'type' => '9809' + }, + '4' => { + 'name' => 'kind', + 'offset' => '20', + 'type' => '8231' + } + }, + 'Name' => 'struct verbs_match_ent', + 'Size' => '24', + 'Type' => 'Struct' + }, + '173071' => { + 'Header' => 'driver.h', + 'Line' => '139', + 'Memb' => { + '0' => { + 'name' => 'modalias', + 'offset' => '0', + 'type' => '167280' + }, + '1' => { + 'name' => 'driver_id', + 'offset' => '0', + 'type' => '9365' + } + }, + 'Name' => 'verbs_match_ent::anon-union-driver.h-139', + 'NameSpace' => 'verbs_match_ent', + 'Size' => '8', + 'Type' => 'Union' + }, + '173137' => { + 'BaseType' => '172880', + 'Name' => 'struct verbs_device_ops const**', + 'Size' => '72', + 'Type' => 'Pointer' + }, + '173142' => { + 'Name' => '_Bool(*)(struct verbs_sysfs_dev*)', + 'Param' => { + '0' => { + 'type' => '173158' + } + }, + 'Return' => '63', + 'Type' => 'FuncPtr' + }, + '173158' => { + 'BaseType' => '173163', + 'Name' => 'struct verbs_sysfs_dev*', + 'Size' => '1048', + 'Type' => 'Pointer' + }, + '173163' => { + 'Header' => 'driver.h', + 'Line' => '188', + 'Memb' => { + '0' => { + 'name' => 'entry', + 'offset' => '0', + 'type' => '168064' + }, + '1' => { + 'name' => 'provider_data', + 'offset' => '16', + 'type' => '6673' + }, + '10' => { + 'name' => 'node_guid', + 'offset' => '1008', + 'type' => '9365' + }, + '11' => { + 'name' => 'driver_id', + 'offset' => '1016', + 'type' => '8394' + }, + '12' => { + 'name' => 'node_type', + 'offset' => '1020', + 'type' => '70' + }, + '13' => { + 'name' => 'ibdev_idx', + 'offset' => '1024', + 'type' => '131' + }, + '14' => { + 'name' => 'abi_ver', + 'offset' => '1028', + 'type' => '8394' + }, + '15' => { + 'name' => 'time_created', + 'offset' => '1032', + 'type' => '34352' + }, + '2' => { + 'name' => 'match', + 'offset' => '24', + 'type' => '173029' + }, + '3' => { + 'name' => 'flags', + 'offset' => '32', + 'type' => '213' + }, + '4' => { + 'name' => 'sysfs_name', + 'offset' => '36', + 'type' => '7736' + }, + '5' => { + 'name' => 'sysfs_cdev', + 'offset' => '104', + 'type' => '173373' + }, + '6' => { + 'name' => 'ibdev_name', + 'offset' => '112', + 'type' => '7736' + }, + '7' => { + 'name' => 'ibdev_path', + 'offset' => '176', + 'type' => '7736' + }, + '8' => { + 'name' => 'modalias', + 'offset' => '432', + 'type' => '7736' + }, + '9' => { + 'name' => 'fw_ver', + 'offset' => '944', + 'type' => '7736' + } + }, + 'Name' => 'struct verbs_sysfs_dev', + 'Size' => '1048', + 'Type' => 'Struct' + }, + '173373' => { + 'BaseType' => '173384', + 'Header' => 'types.h', + 'Line' => '60', + 'Name' => 'dev_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '173384' => { + 'BaseType' => '4882', + 'Header' => 'types.h', + 'Line' => '124', + 'Name' => '__dev_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '173463' => { + 'Name' => 'struct verbs_context*(*)(struct ibv_device*, int, void*)', + 'Param' => { + '0' => { + 'type' => '7559' + }, + '1' => { + 'type' => '131' + }, + '2' => { + 'type' => '6673' + } + }, + 'Return' => '31257', + 'Type' => 'FuncPtr' + }, + '182064' => { + 'Name' => 'struct verbs_device*(*)(struct verbs_sysfs_dev*)', + 'Param' => { + '0' => { + 'type' => '173158' + } + }, + 'Return' => '169831', + 'Type' => 'FuncPtr' + }, + '182080' => { + 'Name' => 'void(*)(struct verbs_device*)', + 'Param' => { + '0' => { + 'type' => '169831' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '182092' => { + 'BaseType' => '131', + 'Header' => 'stdatomic.h', + 'Line' => '97', + 'Name' => 'atomic_int', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '184190' => { + 'BaseType' => '184195', + 'Name' => 'struct verbs_context_ops const*', + 'Size' => '528', + 'Type' => 'Pointer' + }, + '184195' => { + 'BaseType' => '35305', + 'Name' => 'struct verbs_context_ops const', + 'Size' => '528', + 'Type' => 'Const' + }, + '18430' => { + 'BaseType' => '2697', + 'Header' => 'types.h', + 'Line' => '28', + 'Name' => '__be16', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '187' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '639', + 'Memb' => { + '0' => { + 'name' => 'IBV_MW_TYPE_1', + 'value' => '1' + }, + '1' => { + 'name' => 'IBV_MW_TYPE_2', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_mw_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '20542' => { + 'BaseType' => '8231', + 'Name' => 'uint8_t[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '213' => { + 'Name' => 'unsigned int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '21585' => { + 'Header' => 'verbs.h', + 'Line' => '1839', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7447' + } + }, + 'Name' => 'struct ibv_flow_action', + 'Size' => '8', + 'Type' => 'Struct' + }, + '21657' => { + 'BaseType' => '21662', + 'Name' => 'struct ibv_counters*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '21662' => { + 'Header' => 'verbs.h', + 'Line' => '1989', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7447' + } + }, + 'Name' => 'struct ibv_counters', + 'Size' => '8', + 'Type' => 'Struct' + }, + '220' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '998', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_QPS_INIT', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_QPS_RTR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_QPS_RTS', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_QPS_SQD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_QPS_SQE', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_QPS_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_QPS_UNKNOWN', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_qp_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '22058' => { + 'BaseType' => '22063', + 'Name' => 'struct ibv_create_wq*', + 'Size' => '64', + 'Type' => 'Pointer' + }, + '22063' => { + 'Header' => 'kern-abi.h', + 'Line' => '215', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '3705' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '24', + 'type' => '22091' + } + }, + 'Name' => 'struct ibv_create_wq', + 'Size' => '64', + 'Type' => 'Struct' + }, + '22091' => { + 'Header' => 'kern-abi.h', + 'Line' => '215', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '22103' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '22231' + } + }, + 'Name' => 'ibv_create_wq::anon-union-kern-abi.h-215', + 'NameSpace' => 'ibv_create_wq', + 'Size' => '40', + 'Type' => 'Union' + }, + '22103' => { + 'Header' => 'kern-abi.h', + 'Line' => '215', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'wq_type', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '2715' + }, + '3' => { + 'name' => 'pd_handle', + 'offset' => '16', + 'type' => '2686' + }, + '4' => { + 'name' => 'cq_handle', + 'offset' => '20', + 'type' => '2686' + }, + '5' => { + 'name' => 'max_wr', + 'offset' => '24', + 'type' => '2686' + }, + '6' => { + 'name' => 'max_sge', + 'offset' => '28', + 'type' => '2686' + }, + '7' => { + 'name' => 'create_flags', + 'offset' => '32', + 'type' => '2686' + }, + '8' => { + 'name' => 'reserved', + 'offset' => '36', + 'type' => '2686' + } + }, + 'Name' => 'anon-struct-kern-abi.h-215', + 'Size' => '40', + 'Type' => 'Struct' + }, + '22231' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '1224', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'wq_type', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '2715' + }, + '3' => { + 'name' => 'pd_handle', + 'offset' => '16', + 'type' => '2686' + }, + '4' => { + 'name' => 'cq_handle', + 'offset' => '20', + 'type' => '2686' + }, + '5' => { + 'name' => 'max_wr', + 'offset' => '24', + 'type' => '2686' + }, + '6' => { + 'name' => 'max_sge', + 'offset' => '28', + 'type' => '2686' + }, + '7' => { + 'name' => 'create_flags', + 'offset' => '32', + 'type' => '2686' + }, + '8' => { + 'name' => 'reserved', + 'offset' => '36', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_ex_create_wq', + 'Size' => '40', + 'Type' => 'Struct' + }, + '22385' => { + 'BaseType' => '22390', + 'Name' => 'struct ib_uverbs_ex_create_wq_resp*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '22390' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '1236', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'response_length', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'wq_handle', + 'offset' => '8', + 'type' => '2686' + }, + '3' => { + 'name' => 'max_wr', + 'offset' => '12', + 'type' => '2686' + }, + '4' => { + 'name' => 'max_sge', + 'offset' => '16', + 'type' => '2686' + }, + '5' => { + 'name' => 'wqn', + 'offset' => '20', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_ex_create_wq_resp', + 'Size' => '24', + 'Type' => 'Struct' + }, + '22504' => { + 'BaseType' => '22509', + 'Name' => 'struct ibv_modify_wq*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '22509' => { + 'Header' => 'kern-abi.h', + 'Line' => '221', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '3705' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '24', + 'type' => '22537' + } + }, + 'Name' => 'struct ibv_modify_wq', + 'Size' => '48', + 'Type' => 'Struct' + }, + '22537' => { + 'Header' => 'kern-abi.h', + 'Line' => '221', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '22549' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '22640' + } + }, + 'Name' => 'ibv_modify_wq::anon-union-kern-abi.h-221', + 'NameSpace' => 'ibv_modify_wq', + 'Size' => '24', + 'Type' => 'Union' + }, + '22549' => { + 'Header' => 'kern-abi.h', + 'Line' => '221', + 'Memb' => { + '0' => { + 'name' => 'attr_mask', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'wq_handle', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'wq_state', + 'offset' => '8', + 'type' => '2686' + }, + '3' => { + 'name' => 'curr_wq_state', + 'offset' => '12', + 'type' => '2686' + }, + '4' => { + 'name' => 'flags', + 'offset' => '16', + 'type' => '2686' + }, + '5' => { + 'name' => 'flags_mask', + 'offset' => '20', + 'type' => '2686' + } + }, + 'Name' => 'anon-struct-kern-abi.h-221', + 'Size' => '24', + 'Type' => 'Struct' + }, + '22640' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '1257', + 'Memb' => { + '0' => { + 'name' => 'attr_mask', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'wq_handle', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'wq_state', + 'offset' => '8', + 'type' => '2686' + }, + '3' => { + 'name' => 'curr_wq_state', + 'offset' => '12', + 'type' => '2686' + }, + '4' => { + 'name' => 'flags', + 'offset' => '16', + 'type' => '2686' + }, + '5' => { + 'name' => 'flags_mask', + 'offset' => '20', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_ex_modify_wq', + 'Size' => '24', + 'Type' => 'Struct' + }, + '227077' => { + 'BaseType' => '16897', + 'Name' => 'struct ib_uverbs_ah_attr*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '227978' => { + 'BaseType' => '227983', + 'Name' => 'struct ib_uverbs_qp_attr*', + 'Size' => '144', + 'Type' => 'Pointer' + }, + '227983' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '523', + 'Memb' => { + '0' => { + 'name' => 'qp_attr_mask', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'qp_state', + 'offset' => '4', + 'type' => '2686' + }, + '10' => { + 'name' => 'ah_attr', + 'offset' => '40', + 'type' => '16897' + }, + '11' => { + 'name' => 'alt_ah_attr', + 'offset' => '72', + 'type' => '16897' + }, + '12' => { + 'name' => 'max_send_wr', + 'offset' => '104', + 'type' => '2686' + }, + '13' => { + 'name' => 'max_recv_wr', + 'offset' => '108', + 'type' => '2686' + }, + '14' => { + 'name' => 'max_send_sge', + 'offset' => '112', + 'type' => '2686' + }, + '15' => { + 'name' => 'max_recv_sge', + 'offset' => '116', + 'type' => '2686' + }, + '16' => { + 'name' => 'max_inline_data', + 'offset' => '120', + 'type' => '2686' + }, + '17' => { + 'name' => 'pkey_index', + 'offset' => '124', + 'type' => '2697' + }, + '18' => { + 'name' => 'alt_pkey_index', + 'offset' => '126', + 'type' => '2697' + }, + '19' => { + 'name' => 'en_sqd_async_notify', + 'offset' => '128', + 'type' => '3561' + }, + '2' => { + 'name' => 'cur_qp_state', + 'offset' => '8', + 'type' => '2686' + }, + '20' => { + 'name' => 'sq_draining', + 'offset' => '129', + 'type' => '3561' + }, + '21' => { + 'name' => 'max_rd_atomic', + 'offset' => '130', + 'type' => '3561' + }, + '22' => { + 'name' => 'max_dest_rd_atomic', + 'offset' => '131', + 'type' => '3561' + }, + '23' => { + 'name' => 'min_rnr_timer', + 'offset' => '132', + 'type' => '3561' + }, + '24' => { + 'name' => 'port_num', + 'offset' => '133', + 'type' => '3561' + }, + '25' => { + 'name' => 'timeout', + 'offset' => '134', + 'type' => '3561' + }, + '26' => { + 'name' => 'retry_cnt', + 'offset' => '135', + 'type' => '3561' + }, + '27' => { + 'name' => 'rnr_retry', + 'offset' => '136', + 'type' => '3561' + }, + '28' => { + 'name' => 'alt_port_num', + 'offset' => '137', + 'type' => '3561' + }, + '29' => { + 'name' => 'alt_timeout', + 'offset' => '138', + 'type' => '3561' + }, + '3' => { + 'name' => 'path_mtu', + 'offset' => '12', + 'type' => '2686' + }, + '30' => { + 'name' => 'reserved', + 'offset' => '139', + 'type' => '3579' + }, + '4' => { + 'name' => 'path_mig_state', + 'offset' => '16', + 'type' => '2686' + }, + '5' => { + 'name' => 'qkey', + 'offset' => '20', + 'type' => '2686' + }, + '6' => { + 'name' => 'rq_psn', + 'offset' => '24', + 'type' => '2686' + }, + '7' => { + 'name' => 'sq_psn', + 'offset' => '28', + 'type' => '2686' + }, + '8' => { + 'name' => 'dest_qp_num', + 'offset' => '32', + 'type' => '2686' + }, + '9' => { + 'name' => 'qp_access_flags', + 'offset' => '36', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_qp_attr', + 'Size' => '144', + 'Type' => 'Struct' + }, + '228408' => { + 'BaseType' => '228413', + 'Name' => 'struct ibv_sa_path_rec*', + 'Size' => '64', + 'Type' => 'Pointer' + }, + '228413' => { + 'Header' => 'sa.h', + 'Line' => '40', + 'Memb' => { + '0' => { + 'name' => 'dgid', + 'offset' => '0', + 'type' => '36386' + }, + '1' => { + 'name' => 'sgid', + 'offset' => '16', + 'type' => '36386' + }, + '10' => { + 'name' => 'pkey', + 'offset' => '54', + 'type' => '18430' + }, + '11' => { + 'name' => 'sl', + 'offset' => '56', + 'type' => '8231' + }, + '12' => { + 'name' => 'mtu_selector', + 'offset' => '57', + 'type' => '8231' + }, + '13' => { + 'name' => 'mtu', + 'offset' => '58', + 'type' => '8231' + }, + '14' => { + 'name' => 'rate_selector', + 'offset' => '59', + 'type' => '8231' + }, + '15' => { + 'name' => 'rate', + 'offset' => '60', + 'type' => '8231' + }, + '16' => { + 'name' => 'packet_life_time_selector', + 'offset' => '61', + 'type' => '8231' + }, + '17' => { + 'name' => 'packet_life_time', + 'offset' => '62', + 'type' => '8231' + }, + '18' => { + 'name' => 'preference', + 'offset' => '63', + 'type' => '8231' + }, + '2' => { + 'name' => 'dlid', + 'offset' => '32', + 'type' => '18430' + }, + '3' => { + 'name' => 'slid', + 'offset' => '34', + 'type' => '18430' + }, + '4' => { + 'name' => 'raw_traffic', + 'offset' => '36', + 'type' => '131' + }, + '5' => { + 'name' => 'flow_label', + 'offset' => '40', + 'type' => '6491' + }, + '6' => { + 'name' => 'hop_limit', + 'offset' => '44', + 'type' => '8231' + }, + '7' => { + 'name' => 'traffic_class', + 'offset' => '45', + 'type' => '8231' + }, + '8' => { + 'name' => 'reversible', + 'offset' => '48', + 'type' => '131' + }, + '9' => { + 'name' => 'numb_path', + 'offset' => '52', + 'type' => '8231' + } + }, + 'Name' => 'struct ibv_sa_path_rec', + 'Size' => '64', + 'Type' => 'Struct' + }, + '228679' => { + 'BaseType' => '228684', + 'Name' => 'struct ib_user_path_rec*', + 'Size' => '64', + 'Type' => 'Pointer' + }, + '228684' => { + 'Header' => 'ib_user_sa.h', + 'Line' => '55', + 'Memb' => { + '0' => { + 'name' => 'dgid', + 'offset' => '0', + 'type' => '3579' + }, + '1' => { + 'name' => 'sgid', + 'offset' => '16', + 'type' => '3579' + }, + '10' => { + 'name' => 'traffic_class', + 'offset' => '55', + 'type' => '3561' + }, + '11' => { + 'name' => 'numb_path', + 'offset' => '56', + 'type' => '3561' + }, + '12' => { + 'name' => 'sl', + 'offset' => '57', + 'type' => '3561' + }, + '13' => { + 'name' => 'mtu_selector', + 'offset' => '58', + 'type' => '3561' + }, + '14' => { + 'name' => 'rate_selector', + 'offset' => '59', + 'type' => '3561' + }, + '15' => { + 'name' => 'rate', + 'offset' => '60', + 'type' => '3561' + }, + '16' => { + 'name' => 'packet_life_time_selector', + 'offset' => '61', + 'type' => '3561' + }, + '17' => { + 'name' => 'packet_life_time', + 'offset' => '62', + 'type' => '3561' + }, + '18' => { + 'name' => 'preference', + 'offset' => '63', + 'type' => '3561' + }, + '2' => { + 'name' => 'dlid', + 'offset' => '32', + 'type' => '18430' + }, + '3' => { + 'name' => 'slid', + 'offset' => '34', + 'type' => '18430' + }, + '4' => { + 'name' => 'raw_traffic', + 'offset' => '36', + 'type' => '2686' + }, + '5' => { + 'name' => 'flow_label', + 'offset' => '40', + 'type' => '6491' + }, + '6' => { + 'name' => 'reversible', + 'offset' => '44', + 'type' => '2686' + }, + '7' => { + 'name' => 'mtu', + 'offset' => '48', + 'type' => '2686' + }, + '8' => { + 'name' => 'pkey', + 'offset' => '52', + 'type' => '18430' + }, + '9' => { + 'name' => 'hop_limit', + 'offset' => '54', + 'type' => '3561' + } + }, + 'Name' => 'struct ib_user_path_rec', + 'Size' => '64', + 'Type' => 'Struct' + }, + '23172' => { + 'BaseType' => '23177', + 'Name' => 'struct ib_uverbs_ex_create_rwq_ind_table_resp*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '23177' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '1278', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'response_length', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'ind_tbl_handle', + 'offset' => '8', + 'type' => '2686' + }, + '3' => { + 'name' => 'ind_tbl_num', + 'offset' => '12', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_ex_create_rwq_ind_table_resp', + 'Size' => '16', + 'Type' => 'Struct' + }, + '23265' => { + 'BaseType' => '23270', + 'Name' => 'struct ibv_modify_cq*', + 'Size' => '40', + 'Type' => 'Pointer' + }, + '23270' => { + 'Header' => 'kern-abi.h', + 'Line' => '219', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '3705' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '24', + 'type' => '23298' + } + }, + 'Name' => 'struct ibv_modify_cq', + 'Size' => '40', + 'Type' => 'Struct' + }, + '23298' => { + 'Header' => 'kern-abi.h', + 'Line' => '219', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '23310' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '23413' + } + }, + 'Name' => 'ibv_modify_cq::anon-union-kern-abi.h-219', + 'NameSpace' => 'ibv_modify_cq', + 'Size' => '16', + 'Type' => 'Union' + }, + '23310' => { + 'Header' => 'kern-abi.h', + 'Line' => '219', + 'Memb' => { + '0' => { + 'name' => 'cq_handle', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'attr_mask', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'attr', + 'offset' => '8', + 'type' => '23377' + }, + '3' => { + 'name' => 'reserved', + 'offset' => '12', + 'type' => '2686' + } + }, + 'Name' => 'anon-struct-kern-abi.h-219', + 'Size' => '16', + 'Type' => 'Struct' + }, + '23377' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '1290', + 'Memb' => { + '0' => { + 'name' => 'cq_count', + 'offset' => '0', + 'type' => '2697' + }, + '1' => { + 'name' => 'cq_period', + 'offset' => '2', + 'type' => '2697' + } + }, + 'Name' => 'struct ib_uverbs_cq_moderation', + 'Size' => '4', + 'Type' => 'Struct' + }, + '23413' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '1295', + 'Memb' => { + '0' => { + 'name' => 'cq_handle', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'attr_mask', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'attr', + 'offset' => '8', + 'type' => '23377' + }, + '3' => { + 'name' => 'reserved', + 'offset' => '12', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_ex_modify_cq', + 'Size' => '16', + 'Type' => 'Struct' + }, + '23687' => { + 'Header' => 'driver.h', + 'Line' => '119', + 'Memb' => { + '0' => { + 'name' => 'action', + 'offset' => '0', + 'type' => '21585' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '8394' + }, + '2' => { + 'name' => 'type', + 'offset' => '12', + 'type' => '2521' + } + }, + 'Name' => 'struct verbs_flow_action', + 'Size' => '16', + 'Type' => 'Struct' + }, + '23742' => { + 'Header' => 'driver.h', + 'Line' => '236', + 'Memb' => { + '0' => { + 'name' => 'counters', + 'offset' => '0', + 'type' => '21662' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '8394' + } + }, + 'Name' => 'struct verbs_counters', + 'Size' => '16', + 'Type' => 'Struct' + }, + '23943' => { + 'BaseType' => '23948', + 'Name' => 'struct ibv_device_attr*', + 'Size' => '232', + 'Type' => 'Pointer' + }, + '23948' => { + 'Header' => 'verbs.h', + 'Line' => '154', + 'Memb' => { + '0' => { + 'name' => 'fw_ver', + 'offset' => '0', + 'type' => '7736' + }, + '1' => { + 'name' => 'node_guid', + 'offset' => '64', + 'type' => '3550' + }, + '10' => { + 'name' => 'device_cap_flags', + 'offset' => '116', + 'type' => '213' + }, + '11' => { + 'name' => 'max_sge', + 'offset' => '120', + 'type' => '131' + }, + '12' => { + 'name' => 'max_sge_rd', + 'offset' => '124', + 'type' => '131' + }, + '13' => { + 'name' => 'max_cq', + 'offset' => '128', + 'type' => '131' + }, + '14' => { + 'name' => 'max_cqe', + 'offset' => '132', + 'type' => '131' + }, + '15' => { + 'name' => 'max_mr', + 'offset' => '136', + 'type' => '131' + }, + '16' => { + 'name' => 'max_pd', + 'offset' => '140', + 'type' => '131' + }, + '17' => { + 'name' => 'max_qp_rd_atom', + 'offset' => '144', + 'type' => '131' + }, + '18' => { + 'name' => 'max_ee_rd_atom', + 'offset' => '148', + 'type' => '131' + }, + '19' => { + 'name' => 'max_res_rd_atom', + 'offset' => '152', + 'type' => '131' + }, + '2' => { + 'name' => 'sys_image_guid', + 'offset' => '72', + 'type' => '3550' + }, + '20' => { + 'name' => 'max_qp_init_rd_atom', + 'offset' => '156', + 'type' => '131' + }, + '21' => { + 'name' => 'max_ee_init_rd_atom', + 'offset' => '160', + 'type' => '131' + }, + '22' => { + 'name' => 'atomic_cap', + 'offset' => '164', + 'type' => '1241' + }, + '23' => { + 'name' => 'max_ee', + 'offset' => '168', + 'type' => '131' + }, + '24' => { + 'name' => 'max_rdd', + 'offset' => '172', + 'type' => '131' + }, + '25' => { + 'name' => 'max_mw', + 'offset' => '176', + 'type' => '131' + }, + '26' => { + 'name' => 'max_raw_ipv6_qp', + 'offset' => '180', + 'type' => '131' + }, + '27' => { + 'name' => 'max_raw_ethy_qp', + 'offset' => '184', + 'type' => '131' + }, + '28' => { + 'name' => 'max_mcast_grp', + 'offset' => '188', + 'type' => '131' + }, + '29' => { + 'name' => 'max_mcast_qp_attach', + 'offset' => '192', + 'type' => '131' + }, + '3' => { + 'name' => 'max_mr_size', + 'offset' => '80', + 'type' => '9365' + }, + '30' => { + 'name' => 'max_total_mcast_qp_attach', + 'offset' => '196', + 'type' => '131' + }, + '31' => { + 'name' => 'max_ah', + 'offset' => '200', + 'type' => '131' + }, + '32' => { + 'name' => 'max_fmr', + 'offset' => '204', + 'type' => '131' + }, + '33' => { + 'name' => 'max_map_per_fmr', + 'offset' => '208', + 'type' => '131' + }, + '34' => { + 'name' => 'max_srq', + 'offset' => '212', + 'type' => '131' + }, + '35' => { + 'name' => 'max_srq_wr', + 'offset' => '216', + 'type' => '131' + }, + '36' => { + 'name' => 'max_srq_sge', + 'offset' => '220', + 'type' => '131' + }, + '37' => { + 'name' => 'max_pkeys', + 'offset' => '224', + 'type' => '9809' + }, + '38' => { + 'name' => 'local_ca_ack_delay', + 'offset' => '226', + 'type' => '8231' + }, + '39' => { + 'name' => 'phys_port_cnt', + 'offset' => '227', + 'type' => '8231' + }, + '4' => { + 'name' => 'page_size_cap', + 'offset' => '88', + 'type' => '9365' + }, + '5' => { + 'name' => 'vendor_id', + 'offset' => '96', + 'type' => '8394' + }, + '6' => { + 'name' => 'vendor_part_id', + 'offset' => '100', + 'type' => '8394' + }, + '7' => { + 'name' => 'hw_ver', + 'offset' => '104', + 'type' => '8394' + }, + '8' => { + 'name' => 'max_qp', + 'offset' => '108', + 'type' => '131' + }, + '9' => { + 'name' => 'max_qp_wr', + 'offset' => '112', + 'type' => '131' + } + }, + 'Name' => 'struct ibv_device_attr', + 'Size' => '232', + 'Type' => 'Struct' + }, + '241101' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '669', + 'Memb' => { + '0' => { + 'name' => 'IBV_RATE_MAX', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_RATE_2_5_GBPS', + 'value' => '2' + }, + '10' => { + 'name' => 'IBV_RATE_14_GBPS', + 'value' => '11' + }, + '11' => { + 'name' => 'IBV_RATE_56_GBPS', + 'value' => '12' + }, + '12' => { + 'name' => 'IBV_RATE_112_GBPS', + 'value' => '13' + }, + '13' => { + 'name' => 'IBV_RATE_168_GBPS', + 'value' => '14' + }, + '14' => { + 'name' => 'IBV_RATE_25_GBPS', + 'value' => '15' + }, + '15' => { + 'name' => 'IBV_RATE_100_GBPS', + 'value' => '16' + }, + '16' => { + 'name' => 'IBV_RATE_200_GBPS', + 'value' => '17' + }, + '17' => { + 'name' => 'IBV_RATE_300_GBPS', + 'value' => '18' + }, + '18' => { + 'name' => 'IBV_RATE_28_GBPS', + 'value' => '19' + }, + '19' => { + 'name' => 'IBV_RATE_50_GBPS', + 'value' => '20' + }, + '2' => { + 'name' => 'IBV_RATE_5_GBPS', + 'value' => '5' + }, + '20' => { + 'name' => 'IBV_RATE_400_GBPS', + 'value' => '21' + }, + '21' => { + 'name' => 'IBV_RATE_600_GBPS', + 'value' => '22' + }, + '3' => { + 'name' => 'IBV_RATE_10_GBPS', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_RATE_20_GBPS', + 'value' => '6' + }, + '5' => { + 'name' => 'IBV_RATE_30_GBPS', + 'value' => '4' + }, + '6' => { + 'name' => 'IBV_RATE_40_GBPS', + 'value' => '7' + }, + '7' => { + 'name' => 'IBV_RATE_60_GBPS', + 'value' => '8' + }, + '8' => { + 'name' => 'IBV_RATE_80_GBPS', + 'value' => '9' + }, + '9' => { + 'name' => 'IBV_RATE_120_GBPS', + 'value' => '10' + } + }, + 'Name' => 'enum ibv_rate', + 'Size' => '4', + 'Type' => 'Enum' + }, + '242991' => { + 'BaseType' => '213', + 'Header' => 'driver.h', + 'Line' => '84', + 'Memb' => { + '0' => { + 'name' => 'IBV_GID_TYPE_IB_ROCE_V1', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_GID_TYPE_ROCE_V2', + 'value' => '1' + } + }, + 'Name' => 'enum ibv_gid_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '24437' => { + 'BaseType' => '9365', + 'Name' => 'uint64_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '2521' => { + 'BaseType' => '213', + 'Header' => 'driver.h', + 'Line' => '114', + 'Memb' => { + '0' => { + 'name' => 'IBV_FLOW_ACTION_UNSPECIFIED', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_FLOW_ACTION_ESP', + 'value' => '1' + } + }, + 'Name' => 'enum ibv_flow_action_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '2551' => { + 'BaseType' => '2556', + 'Name' => 'struct ibv_get_context*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '2556' => { + 'Header' => 'kern-abi.h', + 'Line' => '193', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '2584' + } + }, + 'Name' => 'struct ibv_get_context', + 'Size' => '16', + 'Type' => 'Struct' + }, + '257491' => { + 'BaseType' => '9809', + 'Name' => 'uint16_t*', + 'Size' => '2', + 'Type' => 'Pointer' + }, + '2584' => { + 'Header' => 'kern-abi.h', + 'Line' => '193', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '2596' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '2752' + } + }, + 'Name' => 'ibv_get_context::anon-union-kern-abi.h-193', + 'NameSpace' => 'ibv_get_context', + 'Size' => '8', + 'Type' => 'Union' + }, + '2596' => { + 'Header' => 'kern-abi.h', + 'Line' => '193', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '2733' + } + }, + 'Name' => 'anon-struct-kern-abi.h-193', + 'Size' => '8', + 'Type' => 'Struct' + }, + '262098' => { + 'BaseType' => '262103', + 'Name' => 'struct ibv_grh*', + 'Size' => '40', + 'Type' => 'Pointer' + }, + '262103' => { + 'Header' => 'verbs.h', + 'Line' => '660', + 'Memb' => { + '0' => { + 'name' => 'version_tclass_flow', + 'offset' => '0', + 'type' => '6491' + }, + '1' => { + 'name' => 'paylen', + 'offset' => '4', + 'type' => '18430' + }, + '2' => { + 'name' => 'next_hdr', + 'offset' => '6', + 'type' => '8231' + }, + '3' => { + 'name' => 'hop_limit', + 'offset' => '7', + 'type' => '8231' + }, + '4' => { + 'name' => 'sgid', + 'offset' => '8', + 'type' => '36386' + }, + '5' => { + 'name' => 'dgid', + 'offset' => '24', + 'type' => '36386' + } + }, + 'Name' => 'struct ibv_grh', + 'Size' => '40', + 'Type' => 'Struct' + }, + '2641' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '146', + 'Memb' => { + '0' => { + 'name' => 'command', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'in_words', + 'offset' => '4', + 'type' => '2697' + }, + '2' => { + 'name' => 'out_words', + 'offset' => '6', + 'type' => '2697' + } + }, + 'Name' => 'struct ib_uverbs_cmd_hdr', + 'Size' => '8', + 'Type' => 'Struct' + }, + '264639' => { + 'BaseType' => '8615', + 'Name' => 'struct ibv_cq**', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '264683' => { + 'BaseType' => '242991', + 'Name' => 'enum ibv_gid_type*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '26837' => { + 'BaseType' => '131', + 'Name' => 'int*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '2686' => { + 'BaseType' => '213', + 'Header' => 'int-ll64.h', + 'Line' => '26', + 'Name' => '__u32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '2697' => { + 'BaseType' => '2708', + 'Header' => 'int-ll64.h', + 'Line' => '23', + 'Name' => '__u16', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '2708' => { + 'Name' => 'unsigned short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '2715' => { + 'BaseType' => '2726', + 'Header' => 'int-ll64.h', + 'Line' => '30', + 'Name' => '__u64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '2726' => { + 'Name' => 'unsigned long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '2733' => { + 'BaseType' => '2715', + 'Name' => '__u64[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '2752' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '159', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_get_context', + 'Size' => '8', + 'Type' => 'Struct' + }, + '2813' => { + 'BaseType' => '2818', + 'Name' => 'struct ib_uverbs_get_context_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '2818' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '164', + 'Memb' => { + '0' => { + 'name' => 'async_fd', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'num_comp_vectors', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_get_context_resp', + 'Size' => '8', + 'Type' => 'Struct' + }, + '282' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '860', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPT_RC', + 'value' => '2' + }, + '1' => { + 'name' => 'IBV_QPT_UC', + 'value' => '3' + }, + '2' => { + 'name' => 'IBV_QPT_UD', + 'value' => '4' + }, + '3' => { + 'name' => 'IBV_QPT_RAW_PACKET', + 'value' => '8' + }, + '4' => { + 'name' => 'IBV_QPT_XRC_SEND', + 'value' => '9' + }, + '5' => { + 'name' => 'IBV_QPT_XRC_RECV', + 'value' => '10' + }, + '6' => { + 'name' => 'IBV_QPT_DRIVER', + 'value' => '255' + } + }, + 'Name' => 'enum ibv_qp_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '2890' => { + 'BaseType' => '2895', + 'Name' => 'struct ibv_query_device*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '2895' => { + 'Header' => 'kern-abi.h', + 'Line' => '202', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '2923' + } + }, + 'Name' => 'struct ibv_query_device', + 'Size' => '16', + 'Type' => 'Struct' + }, + '2923' => { + 'Header' => 'kern-abi.h', + 'Line' => '202', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '2935' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '2980' + } + }, + 'Name' => 'ibv_query_device::anon-union-kern-abi.h-202', + 'NameSpace' => 'ibv_query_device', + 'Size' => '8', + 'Type' => 'Union' + }, + '2935' => { + 'Header' => 'kern-abi.h', + 'Line' => '202', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '2733' + } + }, + 'Name' => 'anon-struct-kern-abi.h-202', + 'Size' => '8', + 'Type' => 'Struct' + }, + '2980' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '170', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_query_device', + 'Size' => '8', + 'Type' => 'Struct' + }, + '3046' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '175', + 'Memb' => { + '0' => { + 'name' => 'fw_ver', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'node_guid', + 'offset' => '8', + 'type' => '3550' + }, + '10' => { + 'name' => 'device_cap_flags', + 'offset' => '60', + 'type' => '2686' + }, + '11' => { + 'name' => 'max_sge', + 'offset' => '64', + 'type' => '2686' + }, + '12' => { + 'name' => 'max_sge_rd', + 'offset' => '68', + 'type' => '2686' + }, + '13' => { + 'name' => 'max_cq', + 'offset' => '72', + 'type' => '2686' + }, + '14' => { + 'name' => 'max_cqe', + 'offset' => '76', + 'type' => '2686' + }, + '15' => { + 'name' => 'max_mr', + 'offset' => '80', + 'type' => '2686' + }, + '16' => { + 'name' => 'max_pd', + 'offset' => '84', + 'type' => '2686' + }, + '17' => { + 'name' => 'max_qp_rd_atom', + 'offset' => '88', + 'type' => '2686' + }, + '18' => { + 'name' => 'max_ee_rd_atom', + 'offset' => '92', + 'type' => '2686' + }, + '19' => { + 'name' => 'max_res_rd_atom', + 'offset' => '96', + 'type' => '2686' + }, + '2' => { + 'name' => 'sys_image_guid', + 'offset' => '16', + 'type' => '3550' + }, + '20' => { + 'name' => 'max_qp_init_rd_atom', + 'offset' => '100', + 'type' => '2686' + }, + '21' => { + 'name' => 'max_ee_init_rd_atom', + 'offset' => '104', + 'type' => '2686' + }, + '22' => { + 'name' => 'atomic_cap', + 'offset' => '108', + 'type' => '2686' + }, + '23' => { + 'name' => 'max_ee', + 'offset' => '112', + 'type' => '2686' + }, + '24' => { + 'name' => 'max_rdd', + 'offset' => '116', + 'type' => '2686' + }, + '25' => { + 'name' => 'max_mw', + 'offset' => '120', + 'type' => '2686' + }, + '26' => { + 'name' => 'max_raw_ipv6_qp', + 'offset' => '124', + 'type' => '2686' + }, + '27' => { + 'name' => 'max_raw_ethy_qp', + 'offset' => '128', + 'type' => '2686' + }, + '28' => { + 'name' => 'max_mcast_grp', + 'offset' => '132', + 'type' => '2686' + }, + '29' => { + 'name' => 'max_mcast_qp_attach', + 'offset' => '136', + 'type' => '2686' + }, + '3' => { + 'name' => 'max_mr_size', + 'offset' => '24', + 'type' => '2715' + }, + '30' => { + 'name' => 'max_total_mcast_qp_attach', + 'offset' => '140', + 'type' => '2686' + }, + '31' => { + 'name' => 'max_ah', + 'offset' => '144', + 'type' => '2686' + }, + '32' => { + 'name' => 'max_fmr', + 'offset' => '148', + 'type' => '2686' + }, + '33' => { + 'name' => 'max_map_per_fmr', + 'offset' => '152', + 'type' => '2686' + }, + '34' => { + 'name' => 'max_srq', + 'offset' => '156', + 'type' => '2686' + }, + '35' => { + 'name' => 'max_srq_wr', + 'offset' => '160', + 'type' => '2686' + }, + '36' => { + 'name' => 'max_srq_sge', + 'offset' => '164', + 'type' => '2686' + }, + '37' => { + 'name' => 'max_pkeys', + 'offset' => '168', + 'type' => '2697' + }, + '38' => { + 'name' => 'local_ca_ack_delay', + 'offset' => '170', + 'type' => '3561' + }, + '39' => { + 'name' => 'phys_port_cnt', + 'offset' => '171', + 'type' => '3561' + }, + '4' => { + 'name' => 'page_size_cap', + 'offset' => '32', + 'type' => '2715' + }, + '40' => { + 'name' => 'reserved', + 'offset' => '172', + 'type' => '3579' + }, + '5' => { + 'name' => 'vendor_id', + 'offset' => '40', + 'type' => '2686' + }, + '6' => { + 'name' => 'vendor_part_id', + 'offset' => '44', + 'type' => '2686' + }, + '7' => { + 'name' => 'hw_ver', + 'offset' => '48', + 'type' => '2686' + }, + '8' => { + 'name' => 'max_qp', + 'offset' => '52', + 'type' => '2686' + }, + '9' => { + 'name' => 'max_qp_wr', + 'offset' => '56', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_query_device_resp', + 'Size' => '176', + 'Type' => 'Struct' + }, + '31257' => { + 'BaseType' => '31262', + 'Name' => 'struct verbs_context*', + 'Size' => '648', + 'Type' => 'Pointer' + }, + '31262' => { + 'Header' => 'verbs.h', + 'Line' => '2018', + 'Memb' => { + '0' => { + 'name' => 'query_port', + 'offset' => '0', + 'type' => '31815' + }, + '1' => { + 'name' => 'advise_mr', + 'offset' => '8', + 'type' => '32147' + }, + '10' => { + 'name' => 'modify_flow_action_esp', + 'offset' => '80', + 'type' => '32682' + }, + '11' => { + 'name' => 'destroy_flow_action', + 'offset' => '88', + 'type' => '33042' + }, + '12' => { + 'name' => 'create_flow_action_esp', + 'offset' => '96', + 'type' => '33058' + }, + '13' => { + 'name' => 'modify_qp_rate_limit', + 'offset' => '104', + 'type' => '33079' + }, + '14' => { + 'name' => 'alloc_parent_domain', + 'offset' => '112', + 'type' => '33167' + }, + '15' => { + 'name' => 'dealloc_td', + 'offset' => '120', + 'type' => '33270' + }, + '16' => { + 'name' => 'alloc_td', + 'offset' => '128', + 'type' => '33286' + }, + '17' => { + 'name' => 'modify_cq', + 'offset' => '136', + 'type' => '33335' + }, + '18' => { + 'name' => 'post_srq_ops', + 'offset' => '144', + 'type' => '33433' + }, + '19' => { + 'name' => 'destroy_rwq_ind_table', + 'offset' => '152', + 'type' => '33660' + }, + '2' => { + 'name' => 'alloc_null_mr', + 'offset' => '16', + 'type' => '32183' + }, + '20' => { + 'name' => 'create_rwq_ind_table', + 'offset' => '160', + 'type' => '33743' + }, + '21' => { + 'name' => 'destroy_wq', + 'offset' => '168', + 'type' => '34033' + }, + '22' => { + 'name' => 'modify_wq', + 'offset' => '176', + 'type' => '34049' + }, + '23' => { + 'name' => 'create_wq', + 'offset' => '184', + 'type' => '34150' + }, + '24' => { + 'name' => 'query_rt_values', + 'offset' => '192', + 'type' => '34290' + }, + '25' => { + 'name' => 'create_cq_ex', + 'offset' => '200', + 'type' => '34407' + }, + '26' => { + 'name' => 'priv', + 'offset' => '208', + 'type' => '35191' + }, + '27' => { + 'name' => 'query_device_ex', + 'offset' => '216', + 'type' => '38419' + }, + '28' => { + 'name' => 'ibv_destroy_flow', + 'offset' => '224', + 'type' => '37738' + }, + '29' => { + 'name' => 'ABI_placeholder2', + 'offset' => '232', + 'type' => '39264' + }, + '3' => { + 'name' => 'read_counters', + 'offset' => '24', + 'type' => '32199' + }, + '30' => { + 'name' => 'ibv_create_flow', + 'offset' => '240', + 'type' => '36709' + }, + '31' => { + 'name' => 'ABI_placeholder1', + 'offset' => '248', + 'type' => '39264' + }, + '32' => { + 'name' => 'open_qp', + 'offset' => '256', + 'type' => '38222' + }, + '33' => { + 'name' => 'create_qp_ex', + 'offset' => '264', + 'type' => '37038' + }, + '34' => { + 'name' => 'get_srq_num', + 'offset' => '272', + 'type' => '37786' + }, + '35' => { + 'name' => 'create_srq_ex', + 'offset' => '280', + 'type' => '37460' + }, + '36' => { + 'name' => 'open_xrcd', + 'offset' => '288', + 'type' => '38323' + }, + '37' => { + 'name' => 'close_xrcd', + 'offset' => '296', + 'type' => '36448' + }, + '38' => { + 'name' => '_ABI_placeholder3', + 'offset' => '304', + 'type' => '9365' + }, + '39' => { + 'name' => 'sz', + 'offset' => '312', + 'type' => '9544' + }, + '4' => { + 'name' => 'attach_counters_point_flow', + 'offset' => '32', + 'type' => '32230' + }, + '40' => { + 'name' => 'context', + 'offset' => '320', + 'type' => '7452' + }, + '5' => { + 'name' => 'create_counters', + 'offset' => '40', + 'type' => '32364' + }, + '6' => { + 'name' => 'destroy_counters', + 'offset' => '48', + 'type' => '32413' + }, + '7' => { + 'name' => 'reg_dm_mr', + 'offset' => '56', + 'type' => '32429' + }, + '8' => { + 'name' => 'alloc_dm', + 'offset' => '64', + 'type' => '32595' + }, + '9' => { + 'name' => 'free_dm', + 'offset' => '72', + 'type' => '32666' + } + }, + 'Name' => 'struct verbs_context', + 'Size' => '648', + 'Type' => 'Struct' + }, + '31815' => { + 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct ibv_port_attr*, size_t)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '8231' + }, + '2' => { + 'type' => '31846' + }, + '3' => { + 'type' => '9544' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '31846' => { + 'BaseType' => '31851', + 'Name' => 'struct ibv_port_attr*', + 'Size' => '52', + 'Type' => 'Pointer' + }, + '31851' => { + 'Header' => 'verbs.h', + 'Line' => '394', + 'Memb' => { + '0' => { + 'name' => 'state', + 'offset' => '0', + 'type' => '701' + }, + '1' => { + 'name' => 'max_mtu', + 'offset' => '4', + 'type' => '751' + }, + '10' => { + 'name' => 'sm_lid', + 'offset' => '36', + 'type' => '9809' + }, + '11' => { + 'name' => 'lmc', + 'offset' => '38', + 'type' => '8231' + }, + '12' => { + 'name' => 'max_vl_num', + 'offset' => '39', + 'type' => '8231' + }, + '13' => { + 'name' => 'sm_sl', + 'offset' => '40', + 'type' => '8231' + }, + '14' => { + 'name' => 'subnet_timeout', + 'offset' => '41', + 'type' => '8231' + }, + '15' => { + 'name' => 'init_type_reply', + 'offset' => '42', + 'type' => '8231' + }, + '16' => { + 'name' => 'active_width', + 'offset' => '43', + 'type' => '8231' + }, + '17' => { + 'name' => 'active_speed', + 'offset' => '44', + 'type' => '8231' + }, + '18' => { + 'name' => 'phys_state', + 'offset' => '45', + 'type' => '8231' + }, + '19' => { + 'name' => 'link_layer', + 'offset' => '46', + 'type' => '8231' + }, + '2' => { + 'name' => 'active_mtu', + 'offset' => '8', + 'type' => '751' + }, + '20' => { + 'name' => 'flags', + 'offset' => '47', + 'type' => '8231' + }, + '21' => { + 'name' => 'port_cap_flags2', + 'offset' => '48', + 'type' => '9809' + }, + '3' => { + 'name' => 'gid_tbl_len', + 'offset' => '12', + 'type' => '131' + }, + '4' => { + 'name' => 'port_cap_flags', + 'offset' => '16', + 'type' => '8394' + }, + '5' => { + 'name' => 'max_msg_sz', + 'offset' => '20', + 'type' => '8394' + }, + '6' => { + 'name' => 'bad_pkey_cntr', + 'offset' => '24', + 'type' => '8394' + }, + '7' => { + 'name' => 'qkey_viol_cntr', + 'offset' => '28', + 'type' => '8394' + }, + '8' => { + 'name' => 'pkey_tbl_len', + 'offset' => '32', + 'type' => '9809' + }, + '9' => { + 'name' => 'lid', + 'offset' => '34', + 'type' => '9809' + } + }, + 'Name' => 'struct ibv_port_attr', + 'Size' => '52', + 'Type' => 'Struct' + }, + '32147' => { + 'Name' => 'int(*)(struct ibv_pd*, enum ib_uverbs_advise_mr_advice, uint32_t, struct ibv_sge*, uint32_t)', + 'Param' => { + '0' => { + 'type' => '8353' + }, + '1' => { + 'type' => '795' + }, + '2' => { + 'type' => '8394' + }, + '3' => { + 'type' => '9934' + }, + '4' => { + 'type' => '8394' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '32183' => { + 'Name' => 'struct ibv_mr*(*)(struct ibv_pd*)', + 'Param' => { + '0' => { + 'type' => '8353' + } + }, + 'Return' => '9438', + 'Type' => 'FuncPtr' + }, + '32199' => { + 'Name' => 'int(*)(struct ibv_counters*, uint64_t*, uint32_t, uint32_t)', + 'Param' => { + '0' => { + 'type' => '21657' + }, + '1' => { + 'type' => '24437' + }, + '2' => { + 'type' => '8394' + }, + '3' => { + 'type' => '8394' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '32230' => { + 'Name' => 'int(*)(struct ibv_counters*, struct ibv_counter_attach_attr*, struct ibv_flow*)', + 'Param' => { + '0' => { + 'type' => '21657' + }, + '1' => { + 'type' => '32256' + }, + '2' => { + 'type' => '32310' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '32256' => { + 'BaseType' => '32261', + 'Name' => 'struct ibv_counter_attach_attr*', + 'Size' => '12', + 'Type' => 'Pointer' + }, + '32261' => { + 'Header' => 'verbs.h', + 'Line' => '1998', + 'Memb' => { + '0' => { + 'name' => 'counter_desc', + 'offset' => '0', + 'type' => '820' + }, + '1' => { + 'name' => 'index', + 'offset' => '4', + 'type' => '8394' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_counter_attach_attr', + 'Size' => '12', + 'Type' => 'Struct' + }, + '32310' => { + 'BaseType' => '32315', + 'Name' => 'struct ibv_flow*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '32315' => { + 'Header' => 'verbs.h', + 'Line' => '1833', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'context', + 'offset' => '8', + 'type' => '7447' + }, + '2' => { + 'name' => 'handle', + 'offset' => '16', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_flow', + 'Size' => '24', + 'Type' => 'Struct' + }, + '32364' => { + 'Name' => 'struct ibv_counters*(*)(struct ibv_context*, struct ibv_counters_init_attr*)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '32385' + } + }, + 'Return' => '21657', + 'Type' => 'FuncPtr' + }, + '32385' => { + 'BaseType' => '32390', + 'Name' => 'struct ibv_counters_init_attr*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '32390' => { + 'Header' => 'verbs.h', + 'Line' => '1985', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_counters_init_attr', + 'Size' => '4', + 'Type' => 'Struct' + }, + '32413' => { + 'Name' => 'int(*)(struct ibv_counters*)', + 'Param' => { + '0' => { + 'type' => '21657' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '32429' => { + 'Name' => 'struct ibv_mr*(*)(struct ibv_pd*, struct ibv_dm*, uint64_t, size_t, unsigned int)', + 'Param' => { + '0' => { + 'type' => '8353' + }, + '1' => { + 'type' => '32465' + }, + '2' => { + 'type' => '9365' + }, + '3' => { + 'type' => '9544' + }, + '4' => { + 'type' => '213' + } + }, + 'Return' => '9438', + 'Type' => 'FuncPtr' + }, + '32465' => { + 'BaseType' => '32470', + 'Name' => 'struct ibv_dm*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '32470' => { + 'Header' => 'verbs.h', + 'Line' => '145', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7447' + }, + '1' => { + 'name' => 'memcpy_to_dm', + 'offset' => '8', + 'type' => '32527' + }, + '2' => { + 'name' => 'memcpy_from_dm', + 'offset' => '16', + 'type' => '32564' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '24', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_dm', + 'Size' => '32', + 'Type' => 'Struct' + }, + '32527' => { + 'Name' => 'int(*)(struct ibv_dm*, uint64_t, void const*, size_t)', + 'Param' => { + '0' => { + 'type' => '32465' + }, + '1' => { + 'type' => '9365' + }, + '2' => { + 'type' => '32558' + }, + '3' => { + 'type' => '9544' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '32558' => { + 'BaseType' => '32563', + 'Name' => 'void const*', + 'Type' => 'Pointer' + }, + '32563' => { + 'BaseType' => '1', + 'Name' => 'void const', + 'Type' => 'Const' + }, + '32564' => { + 'Name' => 'int(*)(void*, struct ibv_dm*, uint64_t, size_t)', + 'Param' => { + '0' => { + 'type' => '6673' + }, + '1' => { + 'type' => '32465' + }, + '2' => { + 'type' => '9365' + }, + '3' => { + 'type' => '9544' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '32595' => { + 'Name' => 'struct ibv_dm*(*)(struct ibv_context*, struct ibv_alloc_dm_attr*)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '32616' + } + }, + 'Return' => '32465', + 'Type' => 'FuncPtr' + }, + '32616' => { + 'BaseType' => '32621', + 'Name' => 'struct ibv_alloc_dm_attr*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '32621' => { + 'Header' => 'verbs.h', + 'Line' => '139', + 'Memb' => { + '0' => { + 'name' => 'length', + 'offset' => '0', + 'type' => '9544' + }, + '1' => { + 'name' => 'log_align_req', + 'offset' => '8', + 'type' => '8394' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '12', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_alloc_dm_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '32666' => { + 'Name' => 'int(*)(struct ibv_dm*)', + 'Param' => { + '0' => { + 'type' => '32465' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '32682' => { + 'Name' => 'int(*)(struct ibv_flow_action*, struct ibv_flow_action_esp_attr*)', + 'Param' => { + '0' => { + 'type' => '32703' + }, + '1' => { + 'type' => '32708' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '32703' => { + 'BaseType' => '21585', + 'Name' => 'struct ibv_flow_action*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '32708' => { + 'BaseType' => '32713', + 'Name' => 'struct ibv_flow_action_esp_attr*', + 'Size' => '56', + 'Type' => 'Pointer' + }, + '32713' => { + 'Header' => 'verbs.h', + 'Line' => '1847', + 'Memb' => { + '0' => { + 'name' => 'esp_attr', + 'offset' => '0', + 'type' => '32853' + }, + '1' => { + 'name' => 'keymat_proto', + 'offset' => '8', + 'type' => '846' + }, + '2' => { + 'name' => 'keymat_len', + 'offset' => '12', + 'type' => '9809' + }, + '3' => { + 'name' => 'keymat_ptr', + 'offset' => '16', + 'type' => '6673' + }, + '4' => { + 'name' => 'replay_proto', + 'offset' => '24', + 'type' => '865' + }, + '5' => { + 'name' => 'replay_len', + 'offset' => '28', + 'type' => '9809' + }, + '6' => { + 'name' => 'replay_ptr', + 'offset' => '32', + 'type' => '6673' + }, + '7' => { + 'name' => 'esp_encap', + 'offset' => '40', + 'type' => '32928' + }, + '8' => { + 'name' => 'comp_mask', + 'offset' => '48', + 'type' => '8394' + }, + '9' => { + 'name' => 'esn', + 'offset' => '52', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_flow_action_esp_attr', + 'Size' => '56', + 'Type' => 'Struct' + }, + '32853' => { + 'BaseType' => '32858', + 'Name' => 'struct ib_uverbs_flow_action_esp*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '32858' => { + 'Header' => 'ib_user_ioctl_verbs.h', + 'Line' => '148', + 'Memb' => { + '0' => { + 'name' => 'spi', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'seq', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'tfc_pad', + 'offset' => '8', + 'type' => '2686' + }, + '3' => { + 'name' => 'flags', + 'offset' => '12', + 'type' => '2686' + }, + '4' => { + 'name' => 'hard_limit_pkts', + 'offset' => '16', + 'type' => '2715' + } + }, + 'Name' => 'struct ib_uverbs_flow_action_esp', + 'Size' => '24', + 'Type' => 'Struct' + }, + '32928' => { + 'BaseType' => '32933', + 'Name' => 'struct ib_uverbs_flow_action_esp_encap*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '32933' => { + 'Header' => 'ib_user_ioctl_verbs.h', + 'Line' => '138', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '32949' + }, + '1' => { + 'name' => 'unnamed1', + 'offset' => '8', + 'type' => '32987' + }, + '2' => { + 'name' => 'len', + 'offset' => '16', + 'type' => '2697' + }, + '3' => { + 'name' => 'type', + 'offset' => '18', + 'type' => '2697' + } + }, + 'Name' => 'struct ib_uverbs_flow_action_esp_encap', + 'Size' => '24', + 'Type' => 'Struct' + }, + '32949' => { + 'Header' => 'ib_user_ioctl_verbs.h', + 'Line' => '142', + 'Memb' => { + '0' => { + 'name' => 'val_ptr', + 'offset' => '0', + 'type' => '6673' + }, + '1' => { + 'name' => 'val_ptr_data_u64', + 'offset' => '0', + 'type' => '2715' + } + }, + 'Name' => 'ib_uverbs_flow_action_esp_encap::anon-union-ib_user_ioctl_verbs.h-142', + 'NameSpace' => 'ib_uverbs_flow_action_esp_encap', + 'Size' => '8', + 'Type' => 'Union' + }, + '32987' => { + 'Header' => 'ib_user_ioctl_verbs.h', + 'Line' => '143', + 'Memb' => { + '0' => { + 'name' => 'next_ptr', + 'offset' => '0', + 'type' => '32928' + }, + '1' => { + 'name' => 'next_ptr_data_u64', + 'offset' => '0', + 'type' => '2715' + } + }, + 'Name' => 'ib_uverbs_flow_action_esp_encap::anon-union-ib_user_ioctl_verbs.h-143', + 'NameSpace' => 'ib_uverbs_flow_action_esp_encap', + 'Size' => '8', + 'Type' => 'Union' + }, + '33042' => { + 'Name' => 'int(*)(struct ibv_flow_action*)', + 'Param' => { + '0' => { + 'type' => '32703' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '33058' => { + 'Name' => 'struct ibv_flow_action*(*)(struct ibv_context*, struct ibv_flow_action_esp_attr*)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '32708' + } + }, + 'Return' => '32703', + 'Type' => 'FuncPtr' + }, + '33079' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_qp_rate_limit_attr*)', + 'Param' => { + '0' => { + 'type' => '8431' + }, + '1' => { + 'type' => '33100' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '33100' => { + 'BaseType' => '33105', + 'Name' => 'struct ibv_qp_rate_limit_attr*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '33105' => { + 'Header' => 'verbs.h', + 'Line' => '1044', + 'Memb' => { + '0' => { + 'name' => 'rate_limit', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'max_burst_sz', + 'offset' => '4', + 'type' => '8394' + }, + '2' => { + 'name' => 'typical_pkt_sz', + 'offset' => '8', + 'type' => '9809' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '12', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_qp_rate_limit_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '33167' => { + 'Name' => 'struct ibv_pd*(*)(struct ibv_context*, struct ibv_parent_domain_init_attr*)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '33188' + } + }, + 'Return' => '8353', + 'Type' => 'FuncPtr' + }, + '33188' => { + 'BaseType' => '33193', + 'Name' => 'struct ibv_parent_domain_init_attr*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '33193' => { + 'Header' => 'verbs.h', + 'Line' => '1979', + 'Memb' => { + '0' => { + 'name' => 'pd', + 'offset' => '0', + 'type' => '8353' + }, + '1' => { + 'name' => 'td', + 'offset' => '8', + 'type' => '33242' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '16', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_parent_domain_init_attr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '33242' => { + 'BaseType' => '33247', + 'Name' => 'struct ibv_td*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '33247' => { + 'Header' => 'verbs.h', + 'Line' => '601', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7447' + } + }, + 'Name' => 'struct ibv_td', + 'Size' => '8', + 'Type' => 'Struct' + }, + '33270' => { + 'Name' => 'int(*)(struct ibv_td*)', + 'Param' => { + '0' => { + 'type' => '33242' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '33286' => { + 'Name' => 'struct ibv_td*(*)(struct ibv_context*, struct ibv_td_init_attr*)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '33307' + } + }, + 'Return' => '33242', + 'Type' => 'FuncPtr' + }, + '33307' => { + 'BaseType' => '33312', + 'Name' => 'struct ibv_td_init_attr*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '33312' => { + 'Header' => 'verbs.h', + 'Line' => '597', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_td_init_attr', + 'Size' => '4', + 'Type' => 'Struct' + }, + '33335' => { + 'Name' => 'int(*)(struct ibv_cq*, struct ibv_modify_cq_attr*)', + 'Param' => { + '0' => { + 'type' => '8615' + }, + '1' => { + 'type' => '33356' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '33356' => { + 'BaseType' => '33361', + 'Name' => 'struct ibv_modify_cq_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '33361' => { + 'Header' => 'verbs.h', + 'Line' => '1482', + 'Memb' => { + '0' => { + 'name' => 'attr_mask', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'moderate', + 'offset' => '4', + 'type' => '33397' + } + }, + 'Name' => 'struct ibv_modify_cq_attr', + 'Size' => '8', + 'Type' => 'Struct' + }, + '33397' => { + 'Header' => 'verbs.h', + 'Line' => '1477', + 'Memb' => { + '0' => { + 'name' => 'cq_count', + 'offset' => '0', + 'type' => '9809' + }, + '1' => { + 'name' => 'cq_period', + 'offset' => '2', + 'type' => '9809' + } + }, + 'Name' => 'struct ibv_moderate_cq', + 'Size' => '4', + 'Type' => 'Struct' + }, + '33433' => { + 'Name' => 'int(*)(struct ibv_srq*, struct ibv_ops_wr*, struct ibv_ops_wr**)', + 'Param' => { + '0' => { + 'type' => '9205' + }, + '1' => { + 'type' => '33459' + }, + '2' => { + 'type' => '33655' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '33459' => { + 'BaseType' => '33464', + 'Name' => 'struct ibv_ops_wr*', + 'Size' => '72', + 'Type' => 'Pointer' + }, + '33464' => { + 'Header' => 'verbs.h', + 'Line' => '1153', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '9365' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '33459' + }, + '2' => { + 'name' => 'opcode', + 'offset' => '16', + 'type' => '890' + }, + '3' => { + 'name' => 'flags', + 'offset' => '20', + 'type' => '131' + }, + '4' => { + 'name' => 'tm', + 'offset' => '24', + 'type' => '33538' + } + }, + 'Name' => 'struct ibv_ops_wr', + 'Size' => '72', + 'Type' => 'Struct' + }, + '33538' => { + 'Header' => 'verbs.h', + 'Line' => '1158', + 'Memb' => { + '0' => { + 'name' => 'unexpected_cnt', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'handle', + 'offset' => '4', + 'type' => '8394' + }, + '2' => { + 'name' => 'add', + 'offset' => '8', + 'type' => '33582' + } + }, + 'Name' => 'ibv_ops_wr::anon-struct-verbs.h-1158', + 'NameSpace' => 'ibv_ops_wr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '33582' => { + 'Header' => 'verbs.h', + 'Line' => '1161', + 'Memb' => { + '0' => { + 'name' => 'recv_wr_id', + 'offset' => '0', + 'type' => '9365' + }, + '1' => { + 'name' => 'sg_list', + 'offset' => '8', + 'type' => '9934' + }, + '2' => { + 'name' => 'num_sge', + 'offset' => '16', + 'type' => '131' + }, + '3' => { + 'name' => 'tag', + 'offset' => '24', + 'type' => '9365' + }, + '4' => { + 'name' => 'mask', + 'offset' => '32', + 'type' => '9365' + } + }, + 'Name' => 'ibv_ops_wr::anon-struct-verbs.h-1158::anon-struct-verbs.h-1161', + 'NameSpace' => 'ibv_ops_wr::anon-struct-verbs.h-1158', + 'Size' => '40', + 'Type' => 'Struct' + }, + '33655' => { + 'BaseType' => '33459', + 'Name' => 'struct ibv_ops_wr**', + 'Size' => '72', + 'Type' => 'Pointer' + }, + '33660' => { + 'Name' => 'int(*)(struct ibv_rwq_ind_table*)', + 'Param' => { + '0' => { + 'type' => '33676' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '33676' => { + 'BaseType' => '33681', + 'Name' => 'struct ibv_rwq_ind_table*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '33681' => { + 'Header' => 'verbs.h', + 'Line' => '839', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7447' + }, + '1' => { + 'name' => 'ind_tbl_handle', + 'offset' => '8', + 'type' => '131' + }, + '2' => { + 'name' => 'ind_tbl_num', + 'offset' => '12', + 'type' => '131' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '16', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_rwq_ind_table', + 'Size' => '24', + 'Type' => 'Struct' + }, + '33743' => { + 'Name' => 'struct ibv_rwq_ind_table*(*)(struct ibv_context*, struct ibv_rwq_ind_table_init_attr*)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '33764' + } + }, + 'Return' => '33676', + 'Type' => 'FuncPtr' + }, + '33764' => { + 'BaseType' => '33769', + 'Name' => 'struct ibv_rwq_ind_table_init_attr*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '33769' => { + 'Header' => 'verbs.h', + 'Line' => '853', + 'Memb' => { + '0' => { + 'name' => 'log_ind_tbl_size', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'ind_tbl', + 'offset' => '8', + 'type' => '33818' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '16', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_rwq_ind_table_init_attr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '33818' => { + 'BaseType' => '33823', + 'Name' => 'struct ibv_wq**', + 'Size' => '152', + 'Type' => 'Pointer' + }, + '33823' => { + 'BaseType' => '33828', + 'Name' => 'struct ibv_wq*', + 'Size' => '152', + 'Type' => 'Pointer' + }, + '33828' => { + 'Header' => 'verbs.h', + 'Line' => '1199', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7447' + }, + '1' => { + 'name' => 'wq_context', + 'offset' => '8', + 'type' => '6673' + }, + '10' => { + 'name' => 'cond', + 'offset' => '96', + 'type' => '9033' + }, + '11' => { + 'name' => 'events_completed', + 'offset' => '144', + 'type' => '8394' + }, + '12' => { + 'name' => 'comp_mask', + 'offset' => '148', + 'type' => '8394' + }, + '2' => { + 'name' => 'pd', + 'offset' => '16', + 'type' => '8353' + }, + '3' => { + 'name' => 'cq', + 'offset' => '24', + 'type' => '8615' + }, + '4' => { + 'name' => 'wq_num', + 'offset' => '32', + 'type' => '8394' + }, + '5' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '8394' + }, + '6' => { + 'name' => 'state', + 'offset' => '40', + 'type' => '922' + }, + '7' => { + 'name' => 'wq_type', + 'offset' => '44', + 'type' => '960' + }, + '8' => { + 'name' => 'post_recv', + 'offset' => '48', + 'type' => '34007' + }, + '9' => { + 'name' => 'mutex', + 'offset' => '56', + 'type' => '8801' + } + }, + 'Name' => 'struct ibv_wq', + 'Size' => '152', + 'Type' => 'Struct' + }, + '339' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '453', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SUCCESS', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_LOC_LEN_ERR', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_REM_ACCESS_ERR', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WC_REM_OP_ERR', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_WC_RETRY_EXC_ERR', + 'value' => '12' + }, + '13' => { + 'name' => 'IBV_WC_RNR_RETRY_EXC_ERR', + 'value' => '13' + }, + '14' => { + 'name' => 'IBV_WC_LOC_RDD_VIOL_ERR', + 'value' => '14' + }, + '15' => { + 'name' => 'IBV_WC_REM_INV_RD_REQ_ERR', + 'value' => '15' + }, + '16' => { + 'name' => 'IBV_WC_REM_ABORT_ERR', + 'value' => '16' + }, + '17' => { + 'name' => 'IBV_WC_INV_EECN_ERR', + 'value' => '17' + }, + '18' => { + 'name' => 'IBV_WC_INV_EEC_STATE_ERR', + 'value' => '18' + }, + '19' => { + 'name' => 'IBV_WC_FATAL_ERR', + 'value' => '19' + }, + '2' => { + 'name' => 'IBV_WC_LOC_QP_OP_ERR', + 'value' => '2' + }, + '20' => { + 'name' => 'IBV_WC_RESP_TIMEOUT_ERR', + 'value' => '20' + }, + '21' => { + 'name' => 'IBV_WC_GENERAL_ERR', + 'value' => '21' + }, + '22' => { + 'name' => 'IBV_WC_TM_ERR', + 'value' => '22' + }, + '23' => { + 'name' => 'IBV_WC_TM_RNDV_INCOMPLETE', + 'value' => '23' + }, + '3' => { + 'name' => 'IBV_WC_LOC_EEC_OP_ERR', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_LOC_PROT_ERR', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_WR_FLUSH_ERR', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_MW_BIND_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_BAD_RESP_ERR', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_LOC_ACCESS_ERR', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WC_REM_INV_REQ_ERR', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wc_status', + 'Size' => '4', + 'Type' => 'Enum' + }, + '34007' => { + 'Name' => 'int(*)(struct ibv_wq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '33823' + }, + '1' => { + 'type' => '9867' + }, + '2' => { + 'type' => '9988' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '34033' => { + 'Name' => 'int(*)(struct ibv_wq*)', + 'Param' => { + '0' => { + 'type' => '33823' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '34049' => { + 'Name' => 'int(*)(struct ibv_wq*, struct ibv_wq_attr*)', + 'Param' => { + '0' => { + 'type' => '33823' + }, + '1' => { + 'type' => '34070' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '34070' => { + 'BaseType' => '34075', + 'Name' => 'struct ibv_wq_attr*', + 'Size' => '20', + 'Type' => 'Pointer' + }, + '34075' => { + 'Header' => 'verbs.h', + 'Line' => '821', + 'Memb' => { + '0' => { + 'name' => 'attr_mask', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'wq_state', + 'offset' => '4', + 'type' => '922' + }, + '2' => { + 'name' => 'curr_wq_state', + 'offset' => '8', + 'type' => '922' + }, + '3' => { + 'name' => 'flags', + 'offset' => '12', + 'type' => '8394' + }, + '4' => { + 'name' => 'flags_mask', + 'offset' => '16', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_wq_attr', + 'Size' => '20', + 'Type' => 'Struct' + }, + '34150' => { + 'Name' => 'struct ibv_wq*(*)(struct ibv_context*, struct ibv_wq_init_attr*)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '34171' + } + }, + 'Return' => '33823', + 'Type' => 'FuncPtr' + }, + '34171' => { + 'BaseType' => '34176', + 'Name' => 'struct ibv_wq_init_attr*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '34176' => { + 'Header' => 'verbs.h', + 'Line' => '796', + 'Memb' => { + '0' => { + 'name' => 'wq_context', + 'offset' => '0', + 'type' => '6673' + }, + '1' => { + 'name' => 'wq_type', + 'offset' => '8', + 'type' => '960' + }, + '2' => { + 'name' => 'max_wr', + 'offset' => '12', + 'type' => '8394' + }, + '3' => { + 'name' => 'max_sge', + 'offset' => '16', + 'type' => '8394' + }, + '4' => { + 'name' => 'pd', + 'offset' => '24', + 'type' => '8353' + }, + '5' => { + 'name' => 'cq', + 'offset' => '32', + 'type' => '8615' + }, + '6' => { + 'name' => 'comp_mask', + 'offset' => '40', + 'type' => '8394' + }, + '7' => { + 'name' => 'create_flags', + 'offset' => '44', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_wq_init_attr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '34290' => { + 'Name' => 'int(*)(struct ibv_context*, struct ibv_values_ex*)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '34311' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '34311' => { + 'BaseType' => '34316', + 'Name' => 'struct ibv_values_ex*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '34316' => { + 'Header' => 'verbs.h', + 'Line' => '2013', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'raw_clock', + 'offset' => '8', + 'type' => '34352' + } + }, + 'Name' => 'struct ibv_values_ex', + 'Size' => '24', + 'Type' => 'Struct' + }, + '34352' => { + 'Header' => 'time.h', + 'Line' => '120', + 'Memb' => { + '0' => { + 'name' => 'tv_sec', + 'offset' => '0', + 'type' => '34385' + }, + '1' => { + 'name' => 'tv_nsec', + 'offset' => '8', + 'type' => '34396' + } + }, + 'Name' => 'struct timespec', + 'Size' => '16', + 'Type' => 'Struct' + }, + '34385' => { + 'BaseType' => '9026', + 'Header' => 'types.h', + 'Line' => '139', + 'Name' => '__time_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '34396' => { + 'BaseType' => '9026', + 'Header' => 'types.h', + 'Line' => '175', + 'Name' => '__syscall_slong_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '34407' => { + 'Name' => 'struct ibv_cq_ex*(*)(struct ibv_context*, struct ibv_cq_init_attr_ex*)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '35085' + } + }, + 'Return' => '34428', + 'Type' => 'FuncPtr' + }, + '34428' => { + 'BaseType' => '34433', + 'Name' => 'struct ibv_cq_ex*', + 'Size' => '288', + 'Type' => 'Pointer' + }, + '34433' => { + 'Header' => 'verbs.h', + 'Line' => '1430', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7447' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '8747' + }, + '10' => { + 'name' => 'status', + 'offset' => '132', + 'type' => '339' + }, + '11' => { + 'name' => 'wr_id', + 'offset' => '136', + 'type' => '9365' + }, + '12' => { + 'name' => 'start_poll', + 'offset' => '144', + 'type' => '34838' + }, + '13' => { + 'name' => 'next_poll', + 'offset' => '152', + 'type' => '34887' + }, + '14' => { + 'name' => 'end_poll', + 'offset' => '160', + 'type' => '34903' + }, + '15' => { + 'name' => 'read_opcode', + 'offset' => '168', + 'type' => '34915' + }, + '16' => { + 'name' => 'read_vendor_err', + 'offset' => '176', + 'type' => '34931' + }, + '17' => { + 'name' => 'read_byte_len', + 'offset' => '184', + 'type' => '34931' + }, + '18' => { + 'name' => 'read_imm_data', + 'offset' => '192', + 'type' => '34947' + }, + '19' => { + 'name' => 'read_qp_num', + 'offset' => '200', + 'type' => '34931' + }, + '2' => { + 'name' => 'cq_context', + 'offset' => '16', + 'type' => '6673' + }, + '20' => { + 'name' => 'read_src_qp', + 'offset' => '208', + 'type' => '34931' + }, + '21' => { + 'name' => 'read_wc_flags', + 'offset' => '216', + 'type' => '34963' + }, + '22' => { + 'name' => 'read_slid', + 'offset' => '224', + 'type' => '34931' + }, + '23' => { + 'name' => 'read_sl', + 'offset' => '232', + 'type' => '34979' + }, + '24' => { + 'name' => 'read_dlid_path_bits', + 'offset' => '240', + 'type' => '34979' + }, + '25' => { + 'name' => 'read_completion_ts', + 'offset' => '248', + 'type' => '34995' + }, + '26' => { + 'name' => 'read_cvlan', + 'offset' => '256', + 'type' => '35011' + }, + '27' => { + 'name' => 'read_flow_tag', + 'offset' => '264', + 'type' => '34931' + }, + '28' => { + 'name' => 'read_tm_info', + 'offset' => '272', + 'type' => '35027' + }, + '29' => { + 'name' => 'read_completion_wallclock_ns', + 'offset' => '280', + 'type' => '34995' + }, + '3' => { + 'name' => 'handle', + 'offset' => '24', + 'type' => '8394' + }, + '4' => { + 'name' => 'cqe', + 'offset' => '28', + 'type' => '131' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '32', + 'type' => '8801' + }, + '6' => { + 'name' => 'cond', + 'offset' => '72', + 'type' => '9033' + }, + '7' => { + 'name' => 'comp_events_completed', + 'offset' => '120', + 'type' => '8394' + }, + '8' => { + 'name' => 'async_events_completed', + 'offset' => '124', + 'type' => '8394' + }, + '9' => { + 'name' => 'comp_mask', + 'offset' => '128', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_cq_ex', + 'Size' => '288', + 'Type' => 'Struct' + }, + '34838' => { + 'Name' => 'int(*)(struct ibv_cq_ex*, struct ibv_poll_cq_attr*)', + 'Param' => { + '0' => { + 'type' => '34428' + }, + '1' => { + 'type' => '34859' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '34859' => { + 'BaseType' => '34864', + 'Name' => 'struct ibv_poll_cq_attr*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '34864' => { + 'Header' => 'verbs.h', + 'Line' => '1421', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_poll_cq_attr', + 'Size' => '4', + 'Type' => 'Struct' + }, + '34887' => { + 'Name' => 'int(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '34428' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '34903' => { + 'Name' => 'void(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '34428' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '34915' => { + 'Name' => 'enum ibv_wc_opcode(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '34428' + } + }, + 'Return' => '497', + 'Type' => 'FuncPtr' + }, + '34931' => { + 'Name' => 'uint32_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '34428' + } + }, + 'Return' => '8394', + 'Type' => 'FuncPtr' + }, + '34947' => { + 'Name' => '__be32(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '34428' + } + }, + 'Return' => '6491', + 'Type' => 'FuncPtr' + }, + '34963' => { + 'Name' => 'unsigned int(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '34428' + } + }, + 'Return' => '213', + 'Type' => 'FuncPtr' + }, + '34979' => { + 'Name' => 'uint8_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '34428' + } + }, + 'Return' => '8231', + 'Type' => 'FuncPtr' + }, + '34995' => { + 'Name' => 'uint64_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '34428' + } + }, + 'Return' => '9365', + 'Type' => 'FuncPtr' + }, + '35011' => { + 'Name' => 'uint16_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '34428' + } + }, + 'Return' => '9809', + 'Type' => 'FuncPtr' + }, + '35027' => { + 'Name' => 'void(*)(struct ibv_cq_ex*, struct ibv_wc_tm_info*)', + 'Param' => { + '0' => { + 'type' => '34428' + }, + '1' => { + 'type' => '35044' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '35044' => { + 'BaseType' => '35049', + 'Name' => 'struct ibv_wc_tm_info*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '35049' => { + 'Header' => 'verbs.h', + 'Line' => '1425', + 'Memb' => { + '0' => { + 'name' => 'tag', + 'offset' => '0', + 'type' => '9365' + }, + '1' => { + 'name' => 'priv', + 'offset' => '8', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_wc_tm_info', + 'Size' => '16', + 'Type' => 'Struct' + }, + '35085' => { + 'BaseType' => '35090', + 'Name' => 'struct ibv_cq_init_attr_ex*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '35090' => { + 'Header' => 'verbs.h', + 'Line' => '1954', + 'Memb' => { + '0' => { + 'name' => 'cqe', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'cq_context', + 'offset' => '8', + 'type' => '6673' + }, + '2' => { + 'name' => 'channel', + 'offset' => '16', + 'type' => '8747' + }, + '3' => { + 'name' => 'comp_vector', + 'offset' => '24', + 'type' => '8394' + }, + '4' => { + 'name' => 'wc_flags', + 'offset' => '32', + 'type' => '9365' + }, + '5' => { + 'name' => 'comp_mask', + 'offset' => '40', + 'type' => '8394' + }, + '6' => { + 'name' => 'flags', + 'offset' => '44', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_cq_init_attr_ex', + 'Size' => '48', + 'Type' => 'Struct' + }, + '35191' => { + 'BaseType' => '35196', + 'Name' => 'struct verbs_ex_private*', + 'Size' => '552', + 'Type' => 'Pointer' + }, + '35196' => { + 'Header' => 'ibverbs.h', + 'Line' => '72', + 'Memb' => { + '0' => { + 'name' => 'unsupported_ioctls', + 'offset' => '0', + 'type' => '35254' + }, + '1' => { + 'name' => 'driver_id', + 'offset' => '16', + 'type' => '8394' + }, + '2' => { + 'name' => 'use_ioctl_write', + 'offset' => '20', + 'type' => '63' + }, + '3' => { + 'name' => 'ops', + 'offset' => '24', + 'type' => '35305' + } + }, + 'Name' => 'struct verbs_ex_private', + 'Size' => '552', + 'Type' => 'Struct' + }, + '35254' => { + 'BaseType' => '35266', + 'Name' => 'bitmap[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '35266' => { + 'Header' => 'bitmap.h', + 'Line' => '21', + 'Memb' => { + '0' => { + 'name' => 'w', + 'offset' => '0', + 'type' => '35294' + } + }, + 'Name' => 'struct bitmap', + 'Size' => '8', + 'Type' => 'Struct' + }, + '35294' => { + 'BaseType' => '4882', + 'Header' => 'bitmap.h', + 'Line' => '10', + 'Name' => 'bitmap_word', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '35305' => { + 'Header' => 'driver.h', + 'Line' => '248', + 'Memb' => { + '0' => { + 'name' => 'advise_mr', + 'offset' => '0', + 'type' => '32147' + }, + '1' => { + 'name' => 'alloc_dm', + 'offset' => '8', + 'type' => '32595' + }, + '10' => { + 'name' => 'bind_mw', + 'offset' => '80', + 'type' => '8405' + }, + '11' => { + 'name' => 'close_xrcd', + 'offset' => '88', + 'type' => '36448' + }, + '12' => { + 'name' => 'cq_event', + 'offset' => '96', + 'type' => '36464' + }, + '13' => { + 'name' => 'create_ah', + 'offset' => '104', + 'type' => '36476' + }, + '14' => { + 'name' => 'create_counters', + 'offset' => '112', + 'type' => '32364' + }, + '15' => { + 'name' => 'create_cq', + 'offset' => '120', + 'type' => '36678' + }, + '16' => { + 'name' => 'create_cq_ex', + 'offset' => '128', + 'type' => '34407' + }, + '17' => { + 'name' => 'create_flow', + 'offset' => '136', + 'type' => '36709' + }, + '18' => { + 'name' => 'create_flow_action_esp', + 'offset' => '144', + 'type' => '33058' + }, + '19' => { + 'name' => 'create_qp', + 'offset' => '152', + 'type' => '36836' + }, + '2' => { + 'name' => 'alloc_mw', + 'offset' => '16', + 'type' => '8252' + }, + '20' => { + 'name' => 'create_qp_ex', + 'offset' => '160', + 'type' => '37038' + }, + '21' => { + 'name' => 'create_rwq_ind_table', + 'offset' => '168', + 'type' => '33743' + }, + '22' => { + 'name' => 'create_srq', + 'offset' => '176', + 'type' => '37349' + }, + '23' => { + 'name' => 'create_srq_ex', + 'offset' => '184', + 'type' => '37460' + }, + '24' => { + 'name' => 'create_wq', + 'offset' => '192', + 'type' => '34150' + }, + '25' => { + 'name' => 'dealloc_mw', + 'offset' => '200', + 'type' => '9555' + }, + '26' => { + 'name' => 'dealloc_pd', + 'offset' => '208', + 'type' => '37636' + }, + '27' => { + 'name' => 'dealloc_td', + 'offset' => '216', + 'type' => '33270' + }, + '28' => { + 'name' => 'dereg_mr', + 'offset' => '224', + 'type' => '37652' + }, + '29' => { + 'name' => 'destroy_ah', + 'offset' => '232', + 'type' => '37706' + }, + '3' => { + 'name' => 'alloc_null_mr', + 'offset' => '24', + 'type' => '32183' + }, + '30' => { + 'name' => 'destroy_counters', + 'offset' => '240', + 'type' => '32413' + }, + '31' => { + 'name' => 'destroy_cq', + 'offset' => '248', + 'type' => '37722' + }, + '32' => { + 'name' => 'destroy_flow', + 'offset' => '256', + 'type' => '37738' + }, + '33' => { + 'name' => 'destroy_flow_action', + 'offset' => '264', + 'type' => '33042' + }, + '34' => { + 'name' => 'destroy_qp', + 'offset' => '272', + 'type' => '37754' + }, + '35' => { + 'name' => 'destroy_rwq_ind_table', + 'offset' => '280', + 'type' => '33660' + }, + '36' => { + 'name' => 'destroy_srq', + 'offset' => '288', + 'type' => '37770' + }, + '37' => { + 'name' => 'destroy_wq', + 'offset' => '296', + 'type' => '34033' + }, + '38' => { + 'name' => 'detach_mcast', + 'offset' => '304', + 'type' => '36350' + }, + '39' => { + 'name' => 'free_dm', + 'offset' => '312', + 'type' => '32666' + }, + '4' => { + 'name' => 'alloc_parent_domain', + 'offset' => '32', + 'type' => '33167' + }, + '40' => { + 'name' => 'get_srq_num', + 'offset' => '320', + 'type' => '37786' + }, + '41' => { + 'name' => 'modify_cq', + 'offset' => '328', + 'type' => '33335' + }, + '42' => { + 'name' => 'modify_flow_action_esp', + 'offset' => '336', + 'type' => '32682' + }, + '43' => { + 'name' => 'modify_qp', + 'offset' => '344', + 'type' => '37812' + }, + '44' => { + 'name' => 'modify_qp_rate_limit', + 'offset' => '352', + 'type' => '33079' + }, + '45' => { + 'name' => 'modify_srq', + 'offset' => '360', + 'type' => '38191' + }, + '46' => { + 'name' => 'modify_wq', + 'offset' => '368', + 'type' => '34049' + }, + '47' => { + 'name' => 'open_qp', + 'offset' => '376', + 'type' => '38222' + }, + '48' => { + 'name' => 'open_xrcd', + 'offset' => '384', + 'type' => '38323' + }, + '49' => { + 'name' => 'poll_cq', + 'offset' => '392', + 'type' => '9571' + }, + '5' => { + 'name' => 'alloc_pd', + 'offset' => '40', + 'type' => '36205' + }, + '50' => { + 'name' => 'post_recv', + 'offset' => '400', + 'type' => '10587' + }, + '51' => { + 'name' => 'post_send', + 'offset' => '408', + 'type' => '9993' + }, + '52' => { + 'name' => 'post_srq_ops', + 'offset' => '416', + 'type' => '33433' + }, + '53' => { + 'name' => 'post_srq_recv', + 'offset' => '424', + 'type' => '9841' + }, + '54' => { + 'name' => 'query_device', + 'offset' => '432', + 'type' => '38398' + }, + '55' => { + 'name' => 'query_device_ex', + 'offset' => '440', + 'type' => '38419' + }, + '56' => { + 'name' => 'query_port', + 'offset' => '448', + 'type' => '39109' + }, + '57' => { + 'name' => 'query_qp', + 'offset' => '456', + 'type' => '39135' + }, + '58' => { + 'name' => 'query_rt_values', + 'offset' => '464', + 'type' => '34290' + }, + '59' => { + 'name' => 'query_srq', + 'offset' => '472', + 'type' => '39166' + }, + '6' => { + 'name' => 'alloc_td', + 'offset' => '48', + 'type' => '33286' + }, + '60' => { + 'name' => 'read_counters', + 'offset' => '480', + 'type' => '32199' + }, + '61' => { + 'name' => 'reg_dm_mr', + 'offset' => '488', + 'type' => '32429' + }, + '62' => { + 'name' => 'reg_mr', + 'offset' => '496', + 'type' => '39187' + }, + '63' => { + 'name' => 'req_notify_cq', + 'offset' => '504', + 'type' => '9820' + }, + '64' => { + 'name' => 'rereg_mr', + 'offset' => '512', + 'type' => '39223' + }, + '65' => { + 'name' => 'resize_cq', + 'offset' => '520', + 'type' => '9820' + }, + '7' => { + 'name' => 'async_event', + 'offset' => '56', + 'type' => '36221' + }, + '8' => { + 'name' => 'attach_counters_point_flow', + 'offset' => '64', + 'type' => '32230' + }, + '9' => { + 'name' => 'attach_mcast', + 'offset' => '72', + 'type' => '36350' + } + }, + 'Name' => 'struct verbs_context_ops', + 'Size' => '528', + 'Type' => 'Struct' + }, + '3550' => { + 'BaseType' => '2715', + 'Header' => 'types.h', + 'Line' => '32', + 'Name' => '__be64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '3561' => { + 'BaseType' => '3572', + 'Header' => 'int-ll64.h', + 'Line' => '20', + 'Name' => '__u8', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '3572' => { + 'Name' => 'unsigned char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '3579' => { + 'BaseType' => '3561', + 'Name' => '__u8[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '3617' => { + 'BaseType' => '3622', + 'Name' => 'struct ibv_query_device_ex*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '36205' => { + 'Name' => 'struct ibv_pd*(*)(struct ibv_context*)', + 'Param' => { + '0' => { + 'type' => '7447' + } + }, + 'Return' => '8353', + 'Type' => 'FuncPtr' + }, + '3622' => { + 'Header' => 'kern-abi.h', + 'Line' => '222', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '3705' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '24', + 'type' => '3650' + } + }, + 'Name' => 'struct ibv_query_device_ex', + 'Size' => '32', + 'Type' => 'Struct' + }, + '36221' => { + 'Name' => 'void(*)(struct ibv_context*, struct ibv_async_event*)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '36238' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '36238' => { + 'BaseType' => '36243', + 'Name' => 'struct ibv_async_event*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '36243' => { + 'Header' => 'verbs.h', + 'Line' => '442', + 'Memb' => { + '0' => { + 'name' => 'element', + 'offset' => '0', + 'type' => '36265' + }, + '1' => { + 'name' => 'event_type', + 'offset' => '8', + 'type' => '980' + } + }, + 'Name' => 'struct ibv_async_event', + 'Size' => '16', + 'Type' => 'Struct' + }, + '36265' => { + 'Header' => 'verbs.h', + 'Line' => '443', + 'Memb' => { + '0' => { + 'name' => 'cq', + 'offset' => '0', + 'type' => '8615' + }, + '1' => { + 'name' => 'qp', + 'offset' => '0', + 'type' => '8431' + }, + '2' => { + 'name' => 'srq', + 'offset' => '0', + 'type' => '9205' + }, + '3' => { + 'name' => 'wq', + 'offset' => '0', + 'type' => '33823' + }, + '4' => { + 'name' => 'port_num', + 'offset' => '0', + 'type' => '131' + } + }, + 'Name' => 'ibv_async_event::anon-union-verbs.h-443', + 'NameSpace' => 'ibv_async_event', + 'Size' => '8', + 'Type' => 'Union' + }, + '36350' => { + 'Name' => 'int(*)(struct ibv_qp*, union ibv_gid const*, uint16_t)', + 'Param' => { + '0' => { + 'type' => '8431' + }, + '1' => { + 'type' => '36376' + }, + '2' => { + 'type' => '9809' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '36376' => { + 'BaseType' => '36381', + 'Name' => 'union ibv_gid const*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '36381' => { + 'BaseType' => '36386', + 'Name' => 'union ibv_gid const', + 'Size' => '16', + 'Type' => 'Const' + }, + '36386' => { + 'Header' => 'verbs.h', + 'Line' => '63', + 'Memb' => { + '0' => { + 'name' => 'raw', + 'offset' => '0', + 'type' => '20542' + }, + '1' => { + 'name' => 'global', + 'offset' => '0', + 'type' => '36418' + } + }, + 'Name' => 'union ibv_gid', + 'Size' => '16', + 'Type' => 'Union' + }, + '36418' => { + 'Header' => 'verbs.h', + 'Line' => '65', + 'Memb' => { + '0' => { + 'name' => 'subnet_prefix', + 'offset' => '0', + 'type' => '3550' + }, + '1' => { + 'name' => 'interface_id', + 'offset' => '8', + 'type' => '3550' + } + }, + 'Name' => 'anon-struct-verbs.h-65', + 'Size' => '16', + 'Type' => 'Struct' + }, + '36448' => { + 'Name' => 'int(*)(struct ibv_xrcd*)', + 'Param' => { + '0' => { + 'type' => '10618' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '36464' => { + 'Name' => 'void(*)(struct ibv_cq*)', + 'Param' => { + '0' => { + 'type' => '8615' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '36476' => { + 'Name' => 'struct ibv_ah*(*)(struct ibv_pd*, struct ibv_ah_attr*)', + 'Param' => { + '0' => { + 'type' => '8353' + }, + '1' => { + 'type' => '36497' + } + }, + 'Return' => '10528', + 'Type' => 'FuncPtr' + }, + '36497' => { + 'BaseType' => '36502', + 'Name' => 'struct ibv_ah_attr*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '3650' => { + 'Header' => 'kern-abi.h', + 'Line' => '222', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '3662' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '3796' + } + }, + 'Name' => 'ibv_query_device_ex::anon-union-kern-abi.h-222', + 'NameSpace' => 'ibv_query_device_ex', + 'Size' => '8', + 'Type' => 'Union' + }, + '36502' => { + 'Header' => 'verbs.h', + 'Line' => '721', + 'Memb' => { + '0' => { + 'name' => 'grh', + 'offset' => '0', + 'type' => '36603' + }, + '1' => { + 'name' => 'dlid', + 'offset' => '24', + 'type' => '9809' + }, + '2' => { + 'name' => 'sl', + 'offset' => '26', + 'type' => '8231' + }, + '3' => { + 'name' => 'src_path_bits', + 'offset' => '27', + 'type' => '8231' + }, + '4' => { + 'name' => 'static_rate', + 'offset' => '28', + 'type' => '8231' + }, + '5' => { + 'name' => 'is_global', + 'offset' => '29', + 'type' => '8231' + }, + '6' => { + 'name' => 'port_num', + 'offset' => '30', + 'type' => '8231' + } + }, + 'Name' => 'struct ibv_ah_attr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '36603' => { + 'Header' => 'verbs.h', + 'Line' => '652', + 'Memb' => { + '0' => { + 'name' => 'dgid', + 'offset' => '0', + 'type' => '36386' + }, + '1' => { + 'name' => 'flow_label', + 'offset' => '16', + 'type' => '8394' + }, + '2' => { + 'name' => 'sgid_index', + 'offset' => '20', + 'type' => '8231' + }, + '3' => { + 'name' => 'hop_limit', + 'offset' => '21', + 'type' => '8231' + }, + '4' => { + 'name' => 'traffic_class', + 'offset' => '22', + 'type' => '8231' + } + }, + 'Name' => 'struct ibv_global_route', + 'Size' => '24', + 'Type' => 'Struct' + }, + '3662' => { + 'Header' => 'kern-abi.h', + 'Line' => '222', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'reserved', + 'offset' => '4', + 'type' => '2686' + } + }, + 'Name' => 'anon-struct-kern-abi.h-222', + 'Size' => '8', + 'Type' => 'Struct' + }, + '36678' => { + 'Name' => 'struct ibv_cq*(*)(struct ibv_context*, int, struct ibv_comp_channel*, int)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '131' + }, + '2' => { + 'type' => '8747' + }, + '3' => { + 'type' => '131' + } + }, + 'Return' => '8615', + 'Type' => 'FuncPtr' + }, + '36709' => { + 'Name' => 'struct ibv_flow*(*)(struct ibv_qp*, struct ibv_flow_attr*)', + 'Param' => { + '0' => { + 'type' => '8431' + }, + '1' => { + 'type' => '36730' + } + }, + 'Return' => '32310', + 'Type' => 'FuncPtr' + }, + '36730' => { + 'BaseType' => '36735', + 'Name' => 'struct ibv_flow_attr*', + 'Size' => '20', + 'Type' => 'Pointer' + }, + '36735' => { + 'Header' => 'verbs.h', + 'Line' => '1819', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'type', + 'offset' => '4', + 'type' => '1114' + }, + '2' => { + 'name' => 'size', + 'offset' => '8', + 'type' => '9809' + }, + '3' => { + 'name' => 'priority', + 'offset' => '10', + 'type' => '9809' + }, + '4' => { + 'name' => 'num_of_specs', + 'offset' => '12', + 'type' => '8231' + }, + '5' => { + 'name' => 'port', + 'offset' => '13', + 'type' => '8231' + }, + '6' => { + 'name' => 'flags', + 'offset' => '16', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_flow_attr', + 'Size' => '20', + 'Type' => 'Struct' + }, + '36836' => { + 'Name' => 'struct ibv_qp*(*)(struct ibv_pd*, struct ibv_qp_init_attr*)', + 'Param' => { + '0' => { + 'type' => '8353' + }, + '1' => { + 'type' => '36857' + } + }, + 'Return' => '8431', + 'Type' => 'FuncPtr' + }, + '36857' => { + 'BaseType' => '36862', + 'Name' => 'struct ibv_qp_init_attr*', + 'Size' => '64', + 'Type' => 'Pointer' + }, + '36862' => { + 'Header' => 'verbs.h', + 'Line' => '878', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '6673' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '8615' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '16', + 'type' => '8615' + }, + '3' => { + 'name' => 'srq', + 'offset' => '24', + 'type' => '9205' + }, + '4' => { + 'name' => 'cap', + 'offset' => '32', + 'type' => '36963' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '52', + 'type' => '282' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '56', + 'type' => '131' + } + }, + 'Name' => 'struct ibv_qp_init_attr', + 'Size' => '64', + 'Type' => 'Struct' + }, + '36963' => { + 'Header' => 'verbs.h', + 'Line' => '870', + 'Memb' => { + '0' => { + 'name' => 'max_send_wr', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'max_recv_wr', + 'offset' => '4', + 'type' => '8394' + }, + '2' => { + 'name' => 'max_send_sge', + 'offset' => '8', + 'type' => '8394' + }, + '3' => { + 'name' => 'max_recv_sge', + 'offset' => '12', + 'type' => '8394' + }, + '4' => { + 'name' => 'max_inline_data', + 'offset' => '16', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_qp_cap', + 'Size' => '20', + 'Type' => 'Struct' + }, + '37038' => { + 'Name' => 'struct ibv_qp*(*)(struct ibv_context*, struct ibv_qp_init_attr_ex*)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '37059' + } + }, + 'Return' => '8431', + 'Type' => 'FuncPtr' + }, + '3705' => { + 'Header' => 'kern-abi.h', + 'Line' => '51', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'ex_hdr', + 'offset' => '8', + 'type' => '3738' + } + }, + 'Name' => 'struct ex_hdr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '37059' => { + 'BaseType' => '37064', + 'Name' => 'struct ibv_qp_init_attr_ex*', + 'Size' => '136', + 'Type' => 'Pointer' + }, + '37064' => { + 'Header' => 'verbs.h', + 'Line' => '929', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '6673' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '8615' + }, + '10' => { + 'name' => 'create_flags', + 'offset' => '80', + 'type' => '8394' + }, + '11' => { + 'name' => 'max_tso_header', + 'offset' => '84', + 'type' => '9809' + }, + '12' => { + 'name' => 'rwq_ind_tbl', + 'offset' => '88', + 'type' => '33676' + }, + '13' => { + 'name' => 'rx_hash_conf', + 'offset' => '96', + 'type' => '37282' + }, + '14' => { + 'name' => 'source_qpn', + 'offset' => '120', + 'type' => '8394' + }, + '15' => { + 'name' => 'send_ops_flags', + 'offset' => '128', + 'type' => '9365' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '16', + 'type' => '8615' + }, + '3' => { + 'name' => 'srq', + 'offset' => '24', + 'type' => '9205' + }, + '4' => { + 'name' => 'cap', + 'offset' => '32', + 'type' => '36963' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '52', + 'type' => '282' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '56', + 'type' => '131' + }, + '7' => { + 'name' => 'comp_mask', + 'offset' => '60', + 'type' => '8394' + }, + '8' => { + 'name' => 'pd', + 'offset' => '64', + 'type' => '8353' + }, + '9' => { + 'name' => 'xrcd', + 'offset' => '72', + 'type' => '10618' + } + }, + 'Name' => 'struct ibv_qp_init_attr_ex', + 'Size' => '136', + 'Type' => 'Struct' + }, + '37282' => { + 'Header' => 'verbs.h', + 'Line' => '920', + 'Memb' => { + '0' => { + 'name' => 'rx_hash_function', + 'offset' => '0', + 'type' => '8231' + }, + '1' => { + 'name' => 'rx_hash_key_len', + 'offset' => '1', + 'type' => '8231' + }, + '2' => { + 'name' => 'rx_hash_key', + 'offset' => '8', + 'type' => '37344' + }, + '3' => { + 'name' => 'rx_hash_fields_mask', + 'offset' => '16', + 'type' => '9365' + } + }, + 'Name' => 'struct ibv_rx_hash_conf', + 'Size' => '24', + 'Type' => 'Struct' + }, + '37344' => { + 'BaseType' => '8231', + 'Name' => 'uint8_t*', + 'Size' => '1', + 'Type' => 'Pointer' + }, + '37349' => { + 'Name' => 'struct ibv_srq*(*)(struct ibv_pd*, struct ibv_srq_init_attr*)', + 'Param' => { + '0' => { + 'type' => '8353' + }, + '1' => { + 'type' => '37370' + } + }, + 'Return' => '9205', + 'Type' => 'FuncPtr' + }, + '37370' => { + 'BaseType' => '37375', + 'Name' => 'struct ibv_srq_init_attr*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '37375' => { + 'Header' => 'verbs.h', + 'Line' => '742', + 'Memb' => { + '0' => { + 'name' => 'srq_context', + 'offset' => '0', + 'type' => '6673' + }, + '1' => { + 'name' => 'attr', + 'offset' => '8', + 'type' => '37411' + } + }, + 'Name' => 'struct ibv_srq_init_attr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '3738' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '152', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'provider_in_words', + 'offset' => '8', + 'type' => '2697' + }, + '2' => { + 'name' => 'provider_out_words', + 'offset' => '10', + 'type' => '2697' + }, + '3' => { + 'name' => 'cmd_hdr_reserved', + 'offset' => '12', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_ex_cmd_hdr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '37411' => { + 'Header' => 'verbs.h', + 'Line' => '736', + 'Memb' => { + '0' => { + 'name' => 'max_wr', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'max_sge', + 'offset' => '4', + 'type' => '8394' + }, + '2' => { + 'name' => 'srq_limit', + 'offset' => '8', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_srq_attr', + 'Size' => '12', + 'Type' => 'Struct' + }, + '37460' => { + 'Name' => 'struct ibv_srq*(*)(struct ibv_context*, struct ibv_srq_init_attr_ex*)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '37481' + } + }, + 'Return' => '9205', + 'Type' => 'FuncPtr' + }, + '37481' => { + 'BaseType' => '37486', + 'Name' => 'struct ibv_srq_init_attr_ex*', + 'Size' => '64', + 'Type' => 'Pointer' + }, + '37486' => { + 'Header' => 'verbs.h', + 'Line' => '767', + 'Memb' => { + '0' => { + 'name' => 'srq_context', + 'offset' => '0', + 'type' => '6673' + }, + '1' => { + 'name' => 'attr', + 'offset' => '8', + 'type' => '37411' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '20', + 'type' => '8394' + }, + '3' => { + 'name' => 'srq_type', + 'offset' => '24', + 'type' => '1152' + }, + '4' => { + 'name' => 'pd', + 'offset' => '32', + 'type' => '8353' + }, + '5' => { + 'name' => 'xrcd', + 'offset' => '40', + 'type' => '10618' + }, + '6' => { + 'name' => 'cq', + 'offset' => '48', + 'type' => '8615' + }, + '7' => { + 'name' => 'tm_cap', + 'offset' => '56', + 'type' => '37600' + } + }, + 'Name' => 'struct ibv_srq_init_attr_ex', + 'Size' => '64', + 'Type' => 'Struct' + }, + '37600' => { + 'Header' => 'verbs.h', + 'Line' => '762', + 'Memb' => { + '0' => { + 'name' => 'max_num_tags', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'max_ops', + 'offset' => '4', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_tm_cap', + 'Size' => '8', + 'Type' => 'Struct' + }, + '37636' => { + 'Name' => 'int(*)(struct ibv_pd*)', + 'Param' => { + '0' => { + 'type' => '8353' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '37652' => { + 'Name' => 'int(*)(struct verbs_mr*)', + 'Param' => { + '0' => { + 'type' => '37668' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '37668' => { + 'BaseType' => '37673', + 'Name' => 'struct verbs_mr*', + 'Size' => '56', + 'Type' => 'Pointer' + }, + '37673' => { + 'Header' => 'driver.h', + 'Line' => '94', + 'Memb' => { + '0' => { + 'name' => 'ibv_mr', + 'offset' => '0', + 'type' => '9443' + }, + '1' => { + 'name' => 'mr_type', + 'offset' => '48', + 'type' => '1184' + } + }, + 'Name' => 'struct verbs_mr', + 'Size' => '56', + 'Type' => 'Struct' + }, + '37706' => { + 'Name' => 'int(*)(struct ibv_ah*)', + 'Param' => { + '0' => { + 'type' => '10528' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '37722' => { + 'Name' => 'int(*)(struct ibv_cq*)', + 'Param' => { + '0' => { + 'type' => '8615' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '37738' => { + 'Name' => 'int(*)(struct ibv_flow*)', + 'Param' => { + '0' => { + 'type' => '32310' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '37754' => { + 'Name' => 'int(*)(struct ibv_qp*)', + 'Param' => { + '0' => { + 'type' => '8431' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '37770' => { + 'Name' => 'int(*)(struct ibv_srq*)', + 'Param' => { + '0' => { + 'type' => '9205' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '37786' => { + 'Name' => 'int(*)(struct ibv_srq*, uint32_t*)', + 'Param' => { + '0' => { + 'type' => '9205' + }, + '1' => { + 'type' => '37807' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '37807' => { + 'BaseType' => '8394', + 'Name' => 'uint32_t*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '37812' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_qp_attr*, int)', + 'Param' => { + '0' => { + 'type' => '8431' + }, + '1' => { + 'type' => '37838' + }, + '2' => { + 'type' => '131' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '37838' => { + 'BaseType' => '37843', + 'Name' => 'struct ibv_qp_attr*', + 'Size' => '144', + 'Type' => 'Pointer' + }, + '37843' => { + 'Header' => 'verbs.h', + 'Line' => '1015', + 'Memb' => { + '0' => { + 'name' => 'qp_state', + 'offset' => '0', + 'type' => '220' + }, + '1' => { + 'name' => 'cur_qp_state', + 'offset' => '4', + 'type' => '220' + }, + '10' => { + 'name' => 'ah_attr', + 'offset' => '56', + 'type' => '36502' + }, + '11' => { + 'name' => 'alt_ah_attr', + 'offset' => '88', + 'type' => '36502' + }, + '12' => { + 'name' => 'pkey_index', + 'offset' => '120', + 'type' => '9809' + }, + '13' => { + 'name' => 'alt_pkey_index', + 'offset' => '122', + 'type' => '9809' + }, + '14' => { + 'name' => 'en_sqd_async_notify', + 'offset' => '124', + 'type' => '8231' + }, + '15' => { + 'name' => 'sq_draining', + 'offset' => '125', + 'type' => '8231' + }, + '16' => { + 'name' => 'max_rd_atomic', + 'offset' => '126', + 'type' => '8231' + }, + '17' => { + 'name' => 'max_dest_rd_atomic', + 'offset' => '127', + 'type' => '8231' + }, + '18' => { + 'name' => 'min_rnr_timer', + 'offset' => '128', + 'type' => '8231' + }, + '19' => { + 'name' => 'port_num', + 'offset' => '129', + 'type' => '8231' + }, + '2' => { + 'name' => 'path_mtu', + 'offset' => '8', + 'type' => '751' + }, + '20' => { + 'name' => 'timeout', + 'offset' => '130', + 'type' => '8231' + }, + '21' => { + 'name' => 'retry_cnt', + 'offset' => '131', + 'type' => '8231' + }, + '22' => { + 'name' => 'rnr_retry', + 'offset' => '132', + 'type' => '8231' + }, + '23' => { + 'name' => 'alt_port_num', + 'offset' => '133', + 'type' => '8231' + }, + '24' => { + 'name' => 'alt_timeout', + 'offset' => '134', + 'type' => '8231' + }, + '25' => { + 'name' => 'rate_limit', + 'offset' => '136', + 'type' => '8394' + }, + '3' => { + 'name' => 'path_mig_state', + 'offset' => '12', + 'type' => '1209' + }, + '4' => { + 'name' => 'qkey', + 'offset' => '16', + 'type' => '8394' + }, + '5' => { + 'name' => 'rq_psn', + 'offset' => '20', + 'type' => '8394' + }, + '6' => { + 'name' => 'sq_psn', + 'offset' => '24', + 'type' => '8394' + }, + '7' => { + 'name' => 'dest_qp_num', + 'offset' => '28', + 'type' => '8394' + }, + '8' => { + 'name' => 'qp_access_flags', + 'offset' => '32', + 'type' => '213' + }, + '9' => { + 'name' => 'cap', + 'offset' => '36', + 'type' => '36963' + } + }, + 'Name' => 'struct ibv_qp_attr', + 'Size' => '144', + 'Type' => 'Struct' + }, + '3796' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '219', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'reserved', + 'offset' => '4', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_ex_query_device', + 'Size' => '8', + 'Type' => 'Struct' + }, + '38191' => { + 'Name' => 'int(*)(struct ibv_srq*, struct ibv_srq_attr*, int)', + 'Param' => { + '0' => { + 'type' => '9205' + }, + '1' => { + 'type' => '38217' + }, + '2' => { + 'type' => '131' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '38217' => { + 'BaseType' => '37411', + 'Name' => 'struct ibv_srq_attr*', + 'Size' => '12', + 'Type' => 'Pointer' + }, + '38222' => { + 'Name' => 'struct ibv_qp*(*)(struct ibv_context*, struct ibv_qp_open_attr*)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '38243' + } + }, + 'Return' => '8431', + 'Type' => 'FuncPtr' + }, + '38243' => { + 'BaseType' => '38248', + 'Name' => 'struct ibv_qp_open_attr*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '38248' => { + 'Header' => 'verbs.h', + 'Line' => '958', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'qp_num', + 'offset' => '4', + 'type' => '8394' + }, + '2' => { + 'name' => 'xrcd', + 'offset' => '8', + 'type' => '10618' + }, + '3' => { + 'name' => 'qp_context', + 'offset' => '16', + 'type' => '6673' + }, + '4' => { + 'name' => 'qp_type', + 'offset' => '24', + 'type' => '282' + } + }, + 'Name' => 'struct ibv_qp_open_attr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '38323' => { + 'Name' => 'struct ibv_xrcd*(*)(struct ibv_context*, struct ibv_xrcd_init_attr*)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '38344' + } + }, + 'Return' => '10618', + 'Type' => 'FuncPtr' + }, + '38344' => { + 'BaseType' => '38349', + 'Name' => 'struct ibv_xrcd_init_attr*', + 'Size' => '12', + 'Type' => 'Pointer' + }, + '38349' => { + 'Header' => 'verbs.h', + 'Line' => '611', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'fd', + 'offset' => '4', + 'type' => '131' + }, + '2' => { + 'name' => 'oflags', + 'offset' => '8', + 'type' => '131' + } + }, + 'Name' => 'struct ibv_xrcd_init_attr', + 'Size' => '12', + 'Type' => 'Struct' + }, + '38398' => { + 'Name' => 'int(*)(struct ibv_context*, struct ibv_device_attr*)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '23943' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '38419' => { + 'Name' => 'int(*)(struct ibv_context*, struct ibv_query_device_ex_input const*, struct ibv_device_attr_ex*, size_t)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '38450' + }, + '2' => { + 'type' => '38481' + }, + '3' => { + 'type' => '9544' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '38450' => { + 'BaseType' => '38455', + 'Name' => 'struct ibv_query_device_ex_input const*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '38455' => { + 'BaseType' => '38460', + 'Name' => 'struct ibv_query_device_ex_input const', + 'Size' => '4', + 'Type' => 'Const' + }, + '38460' => { + 'Header' => 'verbs.h', + 'Line' => '199', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_query_device_ex_input', + 'Size' => '4', + 'Type' => 'Struct' + }, + '38481' => { + 'BaseType' => '38486', + 'Name' => 'struct ibv_device_attr_ex*', + 'Size' => '400', + 'Type' => 'Pointer' + }, + '38486' => { + 'Header' => 'verbs.h', + 'Line' => '316', + 'Memb' => { + '0' => { + 'name' => 'orig_attr', + 'offset' => '0', + 'type' => '23948' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '232', + 'type' => '8394' + }, + '10' => { + 'name' => 'raw_packet_caps', + 'offset' => '344', + 'type' => '8394' + }, + '11' => { + 'name' => 'tm_caps', + 'offset' => '348', + 'type' => '38949' + }, + '12' => { + 'name' => 'cq_mod_caps', + 'offset' => '368', + 'type' => '39024' + }, + '13' => { + 'name' => 'max_dm_size', + 'offset' => '376', + 'type' => '9365' + }, + '14' => { + 'name' => 'pci_atomic_caps', + 'offset' => '384', + 'type' => '39060' + }, + '15' => { + 'name' => 'xrc_odp_caps', + 'offset' => '392', + 'type' => '8394' + }, + '2' => { + 'name' => 'odp_caps', + 'offset' => '240', + 'type' => '38718' + }, + '3' => { + 'name' => 'completion_timestamp_mask', + 'offset' => '264', + 'type' => '9365' + }, + '4' => { + 'name' => 'hca_core_clock', + 'offset' => '272', + 'type' => '9365' + }, + '5' => { + 'name' => 'device_cap_flags_ex', + 'offset' => '280', + 'type' => '9365' + }, + '6' => { + 'name' => 'tso_caps', + 'offset' => '288', + 'type' => '38792' + }, + '7' => { + 'name' => 'rss_caps', + 'offset' => '296', + 'type' => '38825' + }, + '8' => { + 'name' => 'max_wq_type_rq', + 'offset' => '328', + 'type' => '8394' + }, + '9' => { + 'name' => 'packet_pacing_caps', + 'offset' => '332', + 'type' => '38900' + } + }, + 'Name' => 'struct ibv_device_attr_ex', + 'Size' => '400', + 'Type' => 'Struct' + }, + '3855' => { + 'BaseType' => '3860', + 'Name' => 'struct ib_uverbs_ex_query_device_resp*', + 'Size' => '304', + 'Type' => 'Pointer' + }, + '3860' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '259', + 'Memb' => { + '0' => { + 'name' => 'base', + 'offset' => '0', + 'type' => '3046' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '176', + 'type' => '2686' + }, + '10' => { + 'name' => 'tm_caps', + 'offset' => '256', + 'type' => '4219' + }, + '11' => { + 'name' => 'cq_moderation_caps', + 'offset' => '280', + 'type' => '4301' + }, + '12' => { + 'name' => 'max_dm_size', + 'offset' => '288', + 'type' => '2715' + }, + '13' => { + 'name' => 'xrc_odp_caps', + 'offset' => '296', + 'type' => '2686' + }, + '14' => { + 'name' => 'reserved', + 'offset' => '300', + 'type' => '2686' + }, + '2' => { + 'name' => 'response_length', + 'offset' => '180', + 'type' => '2686' + }, + '3' => { + 'name' => 'odp_caps', + 'offset' => '184', + 'type' => '4075' + }, + '4' => { + 'name' => 'timestamp_mask', + 'offset' => '208', + 'type' => '2715' + }, + '5' => { + 'name' => 'hca_core_clock', + 'offset' => '216', + 'type' => '2715' + }, + '6' => { + 'name' => 'device_cap_flags_ex', + 'offset' => '224', + 'type' => '2715' + }, + '7' => { + 'name' => 'rss_caps', + 'offset' => '232', + 'type' => '4162' + }, + '8' => { + 'name' => 'max_wq_type_rq', + 'offset' => '248', + 'type' => '2686' + }, + '9' => { + 'name' => 'raw_packet_caps', + 'offset' => '252', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_ex_query_device_resp', + 'Size' => '304', + 'Type' => 'Struct' + }, + '38718' => { + 'Header' => 'verbs.h', + 'Line' => '212', + 'Memb' => { + '0' => { + 'name' => 'general_caps', + 'offset' => '0', + 'type' => '9365' + }, + '1' => { + 'name' => 'per_transport_caps', + 'offset' => '8', + 'type' => '38750' + } + }, + 'Name' => 'struct ibv_odp_caps', + 'Size' => '24', + 'Type' => 'Struct' + }, + '38750' => { + 'Header' => 'verbs.h', + 'Line' => '214', + 'Memb' => { + '0' => { + 'name' => 'rc_odp_caps', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'uc_odp_caps', + 'offset' => '4', + 'type' => '8394' + }, + '2' => { + 'name' => 'ud_odp_caps', + 'offset' => '8', + 'type' => '8394' + } + }, + 'Name' => 'ibv_odp_caps::anon-struct-verbs.h-214', + 'NameSpace' => 'ibv_odp_caps', + 'Size' => '12', + 'Type' => 'Struct' + }, + '38792' => { + 'Header' => 'verbs.h', + 'Line' => '226', + 'Memb' => { + '0' => { + 'name' => 'max_tso', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'supported_qpts', + 'offset' => '4', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_tso_caps', + 'Size' => '8', + 'Type' => 'Struct' + }, + '38825' => { + 'Header' => 'verbs.h', + 'Line' => '257', + 'Memb' => { + '0' => { + 'name' => 'supported_qpts', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'max_rwq_indirection_tables', + 'offset' => '4', + 'type' => '8394' + }, + '2' => { + 'name' => 'max_rwq_indirection_table_size', + 'offset' => '8', + 'type' => '8394' + }, + '3' => { + 'name' => 'rx_hash_fields_mask', + 'offset' => '16', + 'type' => '9365' + }, + '4' => { + 'name' => 'rx_hash_function', + 'offset' => '24', + 'type' => '8231' + } + }, + 'Name' => 'struct ibv_rss_caps', + 'Size' => '32', + 'Type' => 'Struct' + }, + '38900' => { + 'Header' => 'verbs.h', + 'Line' => '265', + 'Memb' => { + '0' => { + 'name' => 'qp_rate_limit_min', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'qp_rate_limit_max', + 'offset' => '4', + 'type' => '8394' + }, + '2' => { + 'name' => 'supported_qpts', + 'offset' => '8', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_packet_pacing_caps', + 'Size' => '12', + 'Type' => 'Struct' + }, + '38949' => { + 'Header' => 'verbs.h', + 'Line' => '282', + 'Memb' => { + '0' => { + 'name' => 'max_rndv_hdr_size', + 'offset' => '0', + 'type' => '8394' + }, + '1' => { + 'name' => 'max_num_tags', + 'offset' => '4', + 'type' => '8394' + }, + '2' => { + 'name' => 'flags', + 'offset' => '8', + 'type' => '8394' + }, + '3' => { + 'name' => 'max_ops', + 'offset' => '12', + 'type' => '8394' + }, + '4' => { + 'name' => 'max_sge', + 'offset' => '16', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_tm_caps', + 'Size' => '20', + 'Type' => 'Struct' + }, + '39024' => { + 'Header' => 'verbs.h', + 'Line' => '295', + 'Memb' => { + '0' => { + 'name' => 'max_cq_count', + 'offset' => '0', + 'type' => '9809' + }, + '1' => { + 'name' => 'max_cq_period', + 'offset' => '2', + 'type' => '9809' + } + }, + 'Name' => 'struct ibv_cq_moderation_caps', + 'Size' => '4', + 'Type' => 'Struct' + }, + '39060' => { + 'Header' => 'verbs.h', + 'Line' => '310', + 'Memb' => { + '0' => { + 'name' => 'fetch_add', + 'offset' => '0', + 'type' => '9809' + }, + '1' => { + 'name' => 'swap', + 'offset' => '2', + 'type' => '9809' + }, + '2' => { + 'name' => 'compare_swap', + 'offset' => '4', + 'type' => '9809' + } + }, + 'Name' => 'struct ibv_pci_atomic_caps', + 'Size' => '6', + 'Type' => 'Struct' + }, + '39109' => { + 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct ibv_port_attr*)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '8231' + }, + '2' => { + 'type' => '31846' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '39135' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_qp_attr*, int, struct ibv_qp_init_attr*)', + 'Param' => { + '0' => { + 'type' => '8431' + }, + '1' => { + 'type' => '37838' + }, + '2' => { + 'type' => '131' + }, + '3' => { + 'type' => '36857' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '39166' => { + 'Name' => 'int(*)(struct ibv_srq*, struct ibv_srq_attr*)', + 'Param' => { + '0' => { + 'type' => '9205' + }, + '1' => { + 'type' => '38217' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '39187' => { + 'Name' => 'struct ibv_mr*(*)(struct ibv_pd*, void*, size_t, uint64_t, int)', + 'Param' => { + '0' => { + 'type' => '8353' + }, + '1' => { + 'type' => '6673' + }, + '2' => { + 'type' => '9544' + }, + '3' => { + 'type' => '9365' + }, + '4' => { + 'type' => '131' + } + }, + 'Return' => '9438', + 'Type' => 'FuncPtr' + }, + '39223' => { + 'Name' => 'int(*)(struct verbs_mr*, int, struct ibv_pd*, void*, size_t, int)', + 'Param' => { + '0' => { + 'type' => '37668' + }, + '1' => { + 'type' => '131' + }, + '2' => { + 'type' => '8353' + }, + '3' => { + 'type' => '6673' + }, + '4' => { + 'type' => '9544' + }, + '5' => { + 'type' => '131' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '39264' => { + 'Name' => 'void(*)()', + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '39270' => { + 'BaseType' => '39275', + 'Name' => 'struct verbs_srq*', + 'Size' => '160', + 'Type' => 'Pointer' + }, + '39275' => { + 'Header' => 'driver.h', + 'Line' => '70', + 'Memb' => { + '0' => { + 'name' => 'srq', + 'offset' => '0', + 'type' => '9210' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '128', + 'type' => '8394' + }, + '2' => { + 'name' => 'srq_type', + 'offset' => '132', + 'type' => '1152' + }, + '3' => { + 'name' => 'xrcd', + 'offset' => '136', + 'type' => '7374' + }, + '4' => { + 'name' => 'cq', + 'offset' => '144', + 'type' => '8615' + }, + '5' => { + 'name' => 'srq_num', + 'offset' => '152', + 'type' => '8394' + } + }, + 'Name' => 'struct verbs_srq', + 'Size' => '160', + 'Type' => 'Struct' + }, + '39356' => { + 'BaseType' => '39361', + 'Name' => 'struct verbs_qp*', + 'Size' => '360', + 'Type' => 'Pointer' + }, + '39361' => { + 'Header' => 'driver.h', + 'Line' => '104', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '39378' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '344', + 'type' => '8394' + }, + '2' => { + 'name' => 'xrcd', + 'offset' => '352', + 'type' => '7374' + } + }, + 'Name' => 'struct verbs_qp', + 'Size' => '360', + 'Type' => 'Struct' + }, + '39378' => { + 'Header' => 'driver.h', + 'Line' => '105', + 'Memb' => { + '0' => { + 'name' => 'qp', + 'offset' => '0', + 'type' => '8436' + }, + '1' => { + 'name' => 'qp_ex', + 'offset' => '0', + 'type' => '39435' + } + }, + 'Name' => 'verbs_qp::anon-union-driver.h-105', + 'NameSpace' => 'verbs_qp', + 'Size' => '344', + 'Type' => 'Union' + }, + '39435' => { + 'Header' => 'verbs.h', + 'Line' => '1234', + 'Memb' => { + '0' => { + 'name' => 'qp_base', + 'offset' => '0', + 'type' => '8436' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '160', + 'type' => '9365' + }, + '10' => { + 'name' => 'wr_rdma_write_imm', + 'offset' => '232', + 'type' => '39909' + }, + '11' => { + 'name' => 'wr_send', + 'offset' => '240', + 'type' => '39936' + }, + '12' => { + 'name' => 'wr_send_imm', + 'offset' => '248', + 'type' => '39948' + }, + '13' => { + 'name' => 'wr_send_inv', + 'offset' => '256', + 'type' => '39870' + }, + '14' => { + 'name' => 'wr_send_tso', + 'offset' => '264', + 'type' => '39965' + }, + '15' => { + 'name' => 'wr_set_ud_addr', + 'offset' => '272', + 'type' => '39992' + }, + '16' => { + 'name' => 'wr_set_xrc_srqn', + 'offset' => '280', + 'type' => '39870' + }, + '17' => { + 'name' => 'wr_set_inline_data', + 'offset' => '288', + 'type' => '40019' + }, + '18' => { + 'name' => 'wr_set_inline_data_list', + 'offset' => '296', + 'type' => '40041' + }, + '19' => { + 'name' => 'wr_set_sge', + 'offset' => '304', + 'type' => '40109' + }, + '2' => { + 'name' => 'wr_id', + 'offset' => '168', + 'type' => '9365' + }, + '20' => { + 'name' => 'wr_set_sge_list', + 'offset' => '312', + 'type' => '40136' + }, + '21' => { + 'name' => 'wr_start', + 'offset' => '320', + 'type' => '39936' + }, + '22' => { + 'name' => 'wr_complete', + 'offset' => '328', + 'type' => '40168' + }, + '23' => { + 'name' => 'wr_abort', + 'offset' => '336', + 'type' => '39936' + }, + '3' => { + 'name' => 'wr_flags', + 'offset' => '176', + 'type' => '213' + }, + '4' => { + 'name' => 'wr_atomic_cmp_swp', + 'offset' => '184', + 'type' => '39769' + }, + '5' => { + 'name' => 'wr_atomic_fetch_add', + 'offset' => '192', + 'type' => '39806' + }, + '6' => { + 'name' => 'wr_bind_mw', + 'offset' => '200', + 'type' => '39833' + }, + '7' => { + 'name' => 'wr_local_inv', + 'offset' => '208', + 'type' => '39870' + }, + '8' => { + 'name' => 'wr_rdma_read', + 'offset' => '216', + 'type' => '39887' + }, + '9' => { + 'name' => 'wr_rdma_write', + 'offset' => '224', + 'type' => '39887' + } + }, + 'Name' => 'struct ibv_qp_ex', + 'Size' => '344', + 'Type' => 'Struct' + }, + '39769' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint64_t, uint64_t)', + 'Param' => { + '0' => { + 'type' => '39801' + }, + '1' => { + 'type' => '8394' + }, + '2' => { + 'type' => '9365' + }, + '3' => { + 'type' => '9365' + }, + '4' => { + 'type' => '9365' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '39801' => { + 'BaseType' => '39435', + 'Name' => 'struct ibv_qp_ex*', + 'Size' => '344', + 'Type' => 'Pointer' + }, + '39806' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint64_t)', + 'Param' => { + '0' => { + 'type' => '39801' + }, + '1' => { + 'type' => '8394' + }, + '2' => { + 'type' => '9365' + }, + '3' => { + 'type' => '9365' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '39833' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, struct ibv_mw*, uint32_t, struct ibv_mw_bind_info const*)', + 'Param' => { + '0' => { + 'type' => '39801' + }, + '1' => { + 'type' => '8273' + }, + '2' => { + 'type' => '8394' + }, + '3' => { + 'type' => '39860' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '39860' => { + 'BaseType' => '39865', + 'Name' => 'struct ibv_mw_bind_info const*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '39865' => { + 'BaseType' => '9376', + 'Name' => 'struct ibv_mw_bind_info const', + 'Size' => '32', + 'Type' => 'Const' + }, + '39870' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t)', + 'Param' => { + '0' => { + 'type' => '39801' + }, + '1' => { + 'type' => '8394' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '39887' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t)', + 'Param' => { + '0' => { + 'type' => '39801' + }, + '1' => { + 'type' => '8394' + }, + '2' => { + 'type' => '9365' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '39909' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, __be32)', + 'Param' => { + '0' => { + 'type' => '39801' + }, + '1' => { + 'type' => '8394' + }, + '2' => { + 'type' => '9365' + }, + '3' => { + 'type' => '6491' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '39936' => { + 'Name' => 'void(*)(struct ibv_qp_ex*)', + 'Param' => { + '0' => { + 'type' => '39801' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '39948' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, __be32)', + 'Param' => { + '0' => { + 'type' => '39801' + }, + '1' => { + 'type' => '6491' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '39965' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, void*, uint16_t, uint16_t)', + 'Param' => { + '0' => { + 'type' => '39801' + }, + '1' => { + 'type' => '6673' + }, + '2' => { + 'type' => '9809' + }, + '3' => { + 'type' => '9809' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '39992' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, struct ibv_ah*, uint32_t, uint32_t)', + 'Param' => { + '0' => { + 'type' => '39801' + }, + '1' => { + 'type' => '10528' + }, + '2' => { + 'type' => '8394' + }, + '3' => { + 'type' => '8394' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '40019' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, void*, size_t)', + 'Param' => { + '0' => { + 'type' => '39801' + }, + '1' => { + 'type' => '6673' + }, + '2' => { + 'type' => '9544' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '40041' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, size_t, struct ibv_data_buf const*)', + 'Param' => { + '0' => { + 'type' => '39801' + }, + '1' => { + 'type' => '9544' + }, + '2' => { + 'type' => '40063' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '40063' => { + 'BaseType' => '40068', + 'Name' => 'struct ibv_data_buf const*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '40068' => { + 'BaseType' => '40073', + 'Name' => 'struct ibv_data_buf const', + 'Size' => '16', + 'Type' => 'Const' + }, + '40073' => { + 'Header' => 'verbs.h', + 'Line' => '1074', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '6673' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '9544' + } + }, + 'Name' => 'struct ibv_data_buf', + 'Size' => '16', + 'Type' => 'Struct' + }, + '40109' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint32_t)', + 'Param' => { + '0' => { + 'type' => '39801' + }, + '1' => { + 'type' => '8394' + }, + '2' => { + 'type' => '9365' + }, + '3' => { + 'type' => '8394' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '40136' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, size_t, struct ibv_sge const*)', + 'Param' => { + '0' => { + 'type' => '39801' + }, + '1' => { + 'type' => '9544' + }, + '2' => { + 'type' => '40158' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '40158' => { + 'BaseType' => '40163', + 'Name' => 'struct ibv_sge const*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '40163' => { + 'BaseType' => '9939', + 'Name' => 'struct ibv_sge const', + 'Size' => '16', + 'Type' => 'Const' + }, + '40168' => { + 'Name' => 'int(*)(struct ibv_qp_ex*)', + 'Param' => { + '0' => { + 'type' => '39801' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '4075' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '224', + 'Memb' => { + '0' => { + 'name' => 'general_caps', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'per_transport_caps', + 'offset' => '8', + 'type' => '4108' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '20', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_odp_caps', + 'Size' => '24', + 'Type' => 'Struct' + }, + '4108' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '226', + 'Memb' => { + '0' => { + 'name' => 'rc_odp_caps', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'uc_odp_caps', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'ud_odp_caps', + 'offset' => '8', + 'type' => '2686' + } + }, + 'Name' => 'ib_uverbs_odp_caps::anon-struct-ib_user_verbs.h-226', + 'NameSpace' => 'ib_uverbs_odp_caps', + 'Size' => '12', + 'Type' => 'Struct' + }, + '41574' => { + 'BaseType' => '41579', + 'Name' => 'struct ibv_command_buffer*', + 'Size' => '56', + 'Type' => 'Pointer' + }, + '41579' => { + 'Header' => 'cmd_ioctl.h', + 'Line' => '85', + 'Memb' => { + '0' => { + 'name' => 'next', + 'offset' => '0', + 'type' => '41574' + }, + '1' => { + 'name' => 'next_attr', + 'offset' => '8', + 'type' => '41729' + }, + '10' => { + 'name' => 'hdr', + 'offset' => '32', + 'type' => '41952' + }, + '2' => { + 'name' => 'last_attr', + 'offset' => '16', + 'type' => '41729' + }, + '3' => { + 'name' => 'uhw_in_idx', + 'offset' => '24', + 'type' => '8231' + }, + '4' => { + 'name' => 'uhw_out_idx', + 'offset' => '25', + 'type' => '8231' + }, + '5' => { + 'name' => 'uhw_in_headroom_dwords', + 'offset' => '26', + 'type' => '8231' + }, + '6' => { + 'name' => 'uhw_out_headroom_dwords', + 'offset' => '27', + 'type' => '8231' + }, + '7' => { + 'bitfield' => '1', + 'name' => 'buffer_error', + 'offset' => '28', + 'type' => '8231' + }, + '8' => { + 'bitfield' => '1', + 'name' => 'fallback_require_ex', + 'offset' => '28', + 'type' => '8231' + }, + '9' => { + 'bitfield' => '1', + 'name' => 'fallback_ioctl_only', + 'offset' => '28', + 'type' => '8231' + } + }, + 'Name' => 'struct ibv_command_buffer', + 'Size' => '56', + 'Type' => 'Struct' + }, + '4162' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '234', + 'Memb' => { + '0' => { + 'name' => 'supported_qpts', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'max_rwq_indirection_tables', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'max_rwq_indirection_table_size', + 'offset' => '8', + 'type' => '2686' + }, + '3' => { + 'name' => 'reserved', + 'offset' => '12', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_rss_caps', + 'Size' => '16', + 'Type' => 'Struct' + }, + '41729' => { + 'BaseType' => '41734', + 'Name' => 'struct ib_uverbs_attr*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '41734' => { + 'Header' => 'rdma_user_ioctl_cmds.h', + 'Line' => '54', + 'Memb' => { + '0' => { + 'name' => 'attr_id', + 'offset' => '0', + 'type' => '2697' + }, + '1' => { + 'name' => 'len', + 'offset' => '2', + 'type' => '2697' + }, + '2' => { + 'name' => 'flags', + 'offset' => '4', + 'type' => '2697' + }, + '3' => { + 'name' => 'attr_data', + 'offset' => '6', + 'type' => '41790' + }, + '4' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '41856' + } + }, + 'Name' => 'struct ib_uverbs_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '41790' => { + 'Header' => 'rdma_user_ioctl_cmds.h', + 'Line' => '58', + 'Memb' => { + '0' => { + 'name' => 'enum_data', + 'offset' => '0', + 'type' => '41806' + }, + '1' => { + 'name' => 'reserved', + 'offset' => '0', + 'type' => '2697' + } + }, + 'Name' => 'ib_uverbs_attr::anon-union-rdma_user_ioctl_cmds.h-58', + 'NameSpace' => 'ib_uverbs_attr', + 'Size' => '2', + 'Type' => 'Union' + }, + '41806' => { + 'Header' => 'rdma_user_ioctl_cmds.h', + 'Line' => '59', + 'Memb' => { + '0' => { + 'name' => 'elem_id', + 'offset' => '0', + 'type' => '3561' + }, + '1' => { + 'name' => 'reserved', + 'offset' => '1', + 'type' => '3561' + } + }, + 'Name' => 'anon-struct-rdma_user_ioctl_cmds.h-59', + 'Size' => '2', + 'Type' => 'Struct' + }, + '41856' => { + 'Header' => 'rdma_user_ioctl_cmds.h', + 'Line' => '65', + 'Memb' => { + '0' => { + 'name' => 'data', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'data_s64', + 'offset' => '0', + 'type' => '41923' + } + }, + 'Name' => 'ib_uverbs_attr::anon-union-rdma_user_ioctl_cmds.h-65', + 'NameSpace' => 'ib_uverbs_attr', + 'Size' => '8', + 'Type' => 'Union' + }, + '41923' => { + 'BaseType' => '9198', + 'Header' => 'int-ll64.h', + 'Line' => '29', + 'Name' => '__s64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '41952' => { + 'Header' => 'rdma_user_ioctl_cmds.h', + 'Line' => '76', + 'Memb' => { + '0' => { + 'name' => 'length', + 'offset' => '0', + 'type' => '2697' + }, + '1' => { + 'name' => 'object_id', + 'offset' => '2', + 'type' => '2697' + }, + '2' => { + 'name' => 'method_id', + 'offset' => '4', + 'type' => '2697' + }, + '3' => { + 'name' => 'num_attrs', + 'offset' => '6', + 'type' => '2697' + }, + '4' => { + 'name' => 'reserved1', + 'offset' => '8', + 'type' => '2715' + }, + '5' => { + 'name' => 'driver_id', + 'offset' => '16', + 'type' => '2686' + }, + '6' => { + 'name' => 'reserved2', + 'offset' => '20', + 'type' => '2686' + }, + '7' => { + 'name' => 'attrs', + 'offset' => '24', + 'type' => '42058' + } + }, + 'Name' => 'struct ib_uverbs_ioctl_hdr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '42058' => { + 'BaseType' => '41734', + 'Name' => 'struct ib_uverbs_attr[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '4219' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '245', + 'Memb' => { + '0' => { + 'name' => 'max_rndv_hdr_size', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'max_num_tags', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'flags', + 'offset' => '8', + 'type' => '2686' + }, + '3' => { + 'name' => 'max_ops', + 'offset' => '12', + 'type' => '2686' + }, + '4' => { + 'name' => 'max_sge', + 'offset' => '16', + 'type' => '2686' + }, + '5' => { + 'name' => 'reserved', + 'offset' => '20', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_tm_caps', + 'Size' => '24', + 'Type' => 'Struct' + }, + '4301' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '129', + 'Memb' => { + '0' => { + 'name' => 'max_cq_moderation_count', + 'offset' => '0', + 'type' => '2697' + }, + '1' => { + 'name' => 'max_cq_moderation_period', + 'offset' => '2', + 'type' => '2697' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '4', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_cq_moderation_caps', + 'Size' => '8', + 'Type' => 'Struct' + }, + '4372' => { + 'BaseType' => '4377', + 'Name' => 'struct ibv_alloc_pd*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '4377' => { + 'Header' => 'kern-abi.h', + 'Line' => '176', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '4405' + } + }, + 'Name' => 'struct ibv_alloc_pd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '4405' => { + 'Header' => 'kern-abi.h', + 'Line' => '176', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '4417' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '4462' + } + }, + 'Name' => 'ibv_alloc_pd::anon-union-kern-abi.h-176', + 'NameSpace' => 'ibv_alloc_pd', + 'Size' => '8', + 'Type' => 'Union' + }, + '4417' => { + 'Header' => 'kern-abi.h', + 'Line' => '176', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '2733' + } + }, + 'Name' => 'anon-struct-kern-abi.h-176', + 'Size' => '8', + 'Type' => 'Struct' + }, + '4462' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '309', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_alloc_pd', + 'Size' => '8', + 'Type' => 'Struct' + }, + '4526' => { + 'BaseType' => '4531', + 'Name' => 'struct ib_uverbs_alloc_pd_resp*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '4531' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '314', + 'Memb' => { + '0' => { + 'name' => 'pd_handle', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'driver_data', + 'offset' => '4', + 'type' => '4567' + } + }, + 'Name' => 'struct ib_uverbs_alloc_pd_resp', + 'Size' => '4', + 'Type' => 'Struct' + }, + '4567' => { + 'BaseType' => '2686', + 'Name' => '__u32[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '4605' => { + 'BaseType' => '4610', + 'Name' => 'struct ibv_open_xrcd*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '4610' => { + 'Header' => 'kern-abi.h', + 'Line' => '197', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '4638' + } + }, + 'Name' => 'struct ibv_open_xrcd', + 'Size' => '24', + 'Type' => 'Struct' + }, + '4638' => { + 'Header' => 'kern-abi.h', + 'Line' => '197', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '4650' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '4719' + } + }, + 'Name' => 'ibv_open_xrcd::anon-union-kern-abi.h-197', + 'NameSpace' => 'ibv_open_xrcd', + 'Size' => '16', + 'Type' => 'Union' + }, + '4650' => { + 'Header' => 'kern-abi.h', + 'Line' => '197', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'fd', + 'offset' => '8', + 'type' => '2686' + }, + '2' => { + 'name' => 'oflags', + 'offset' => '12', + 'type' => '2686' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '16', + 'type' => '2733' + } + }, + 'Name' => 'anon-struct-kern-abi.h-197', + 'Size' => '16', + 'Type' => 'Struct' + }, + '4719' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '323', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'fd', + 'offset' => '8', + 'type' => '2686' + }, + '2' => { + 'name' => 'oflags', + 'offset' => '12', + 'type' => '2686' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '16', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_open_xrcd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '4809' => { + 'BaseType' => '4814', + 'Name' => 'struct ib_uverbs_open_xrcd_resp*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '4814' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '330', + 'Memb' => { + '0' => { + 'name' => 'xrcd_handle', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'driver_data', + 'offset' => '4', + 'type' => '4567' + } + }, + 'Name' => 'struct ib_uverbs_open_xrcd_resp', + 'Size' => '4', + 'Type' => 'Struct' + }, + '4882' => { + 'Name' => 'unsigned long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '4894' => { + 'BaseType' => '4899', + 'Name' => 'struct ibv_reg_mr*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '4899' => { + 'Header' => 'kern-abi.h', + 'Line' => '206', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '4927' + } + }, + 'Name' => 'struct ibv_reg_mr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '4927' => { + 'Header' => 'kern-abi.h', + 'Line' => '206', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '4939' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '5047' + } + }, + 'Name' => 'ibv_reg_mr::anon-union-kern-abi.h-206', + 'NameSpace' => 'ibv_reg_mr', + 'Size' => '40', + 'Type' => 'Union' + }, + '4939' => { + 'Header' => 'kern-abi.h', + 'Line' => '206', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'start', + 'offset' => '8', + 'type' => '2715' + }, + '2' => { + 'name' => 'length', + 'offset' => '16', + 'type' => '2715' + }, + '3' => { + 'name' => 'hca_va', + 'offset' => '24', + 'type' => '2715' + }, + '4' => { + 'name' => 'pd_handle', + 'offset' => '32', + 'type' => '2686' + }, + '5' => { + 'name' => 'access_flags', + 'offset' => '36', + 'type' => '2686' + }, + '6' => { + 'name' => 'driver_data', + 'offset' => '40', + 'type' => '2733' + } + }, + 'Name' => 'anon-struct-kern-abi.h-206', + 'Size' => '40', + 'Type' => 'Struct' + }, + '497' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '481', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SEND', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_RDMA_WRITE', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_TM_ADD', + 'value' => '130' + }, + '11' => { + 'name' => 'IBV_WC_TM_DEL', + 'value' => '131' + }, + '12' => { + 'name' => 'IBV_WC_TM_SYNC', + 'value' => '132' + }, + '13' => { + 'name' => 'IBV_WC_TM_RECV', + 'value' => '133' + }, + '14' => { + 'name' => 'IBV_WC_TM_NO_TAG', + 'value' => '134' + }, + '15' => { + 'name' => 'IBV_WC_DRIVER1', + 'value' => '135' + }, + '2' => { + 'name' => 'IBV_WC_RDMA_READ', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WC_COMP_SWAP', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_FETCH_ADD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_BIND_MW', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_LOCAL_INV', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_TSO', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_RECV', + 'value' => '128' + }, + '9' => { + 'name' => 'IBV_WC_RECV_RDMA_WITH_IMM', + 'value' => '129' + } + }, + 'Name' => 'enum ibv_wc_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '5047' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '339', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'start', + 'offset' => '8', + 'type' => '2715' + }, + '2' => { + 'name' => 'length', + 'offset' => '16', + 'type' => '2715' + }, + '3' => { + 'name' => 'hca_va', + 'offset' => '24', + 'type' => '2715' + }, + '4' => { + 'name' => 'pd_handle', + 'offset' => '32', + 'type' => '2686' + }, + '5' => { + 'name' => 'access_flags', + 'offset' => '36', + 'type' => '2686' + }, + '6' => { + 'name' => 'driver_data', + 'offset' => '40', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_reg_mr', + 'Size' => '40', + 'Type' => 'Struct' + }, + '5179' => { + 'BaseType' => '5184', + 'Name' => 'struct ib_uverbs_reg_mr_resp*', + 'Size' => '12', + 'Type' => 'Pointer' + }, + '5184' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '349', + 'Memb' => { + '0' => { + 'name' => 'mr_handle', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'lkey', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '2686' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '12', + 'type' => '4567' + } + }, + 'Name' => 'struct ib_uverbs_reg_mr_resp', + 'Size' => '12', + 'Type' => 'Struct' + }, + '51899' => { + 'BaseType' => '23742', + 'Name' => 'struct verbs_counters*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '5272' => { + 'BaseType' => '5277', + 'Name' => 'struct ibv_rereg_mr*', + 'Size' => '56', + 'Type' => 'Pointer' + }, + '5277' => { + 'Header' => 'kern-abi.h', + 'Line' => '208', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '5305' + } + }, + 'Name' => 'struct ibv_rereg_mr', + 'Size' => '56', + 'Type' => 'Struct' + }, + '5305' => { + 'Header' => 'kern-abi.h', + 'Line' => '208', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '5317' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '5449' + } + }, + 'Name' => 'ibv_rereg_mr::anon-union-kern-abi.h-208', + 'NameSpace' => 'ibv_rereg_mr', + 'Size' => '48', + 'Type' => 'Union' + }, + '5317' => { + 'Header' => 'kern-abi.h', + 'Line' => '208', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'mr_handle', + 'offset' => '8', + 'type' => '2686' + }, + '2' => { + 'name' => 'flags', + 'offset' => '12', + 'type' => '2686' + }, + '3' => { + 'name' => 'start', + 'offset' => '16', + 'type' => '2715' + }, + '4' => { + 'name' => 'length', + 'offset' => '24', + 'type' => '2715' + }, + '5' => { + 'name' => 'hca_va', + 'offset' => '32', + 'type' => '2715' + }, + '6' => { + 'name' => 'pd_handle', + 'offset' => '40', + 'type' => '2686' + }, + '7' => { + 'name' => 'access_flags', + 'offset' => '44', + 'type' => '2686' + }, + '8' => { + 'name' => 'driver_data', + 'offset' => '48', + 'type' => '2733' + } + }, + 'Name' => 'anon-struct-kern-abi.h-208', + 'Size' => '48', + 'Type' => 'Struct' + }, + '53810' => { + 'Header' => 'kern-abi.h', + 'Line' => '181', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '53838' + } + }, + 'Name' => 'struct ibv_create_cq', + 'Size' => '40', + 'Type' => 'Struct' + }, + '53838' => { + 'Header' => 'kern-abi.h', + 'Line' => '181', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '53850' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '53986' + } + }, + 'Name' => 'ibv_create_cq::anon-union-kern-abi.h-181', + 'NameSpace' => 'ibv_create_cq', + 'Size' => '32', + 'Type' => 'Union' + }, + '53850' => { + 'Header' => 'kern-abi.h', + 'Line' => '181', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '2715' + }, + '2' => { + 'name' => 'cqe', + 'offset' => '16', + 'type' => '2686' + }, + '3' => { + 'name' => 'comp_vector', + 'offset' => '20', + 'type' => '2686' + }, + '4' => { + 'name' => 'comp_channel', + 'offset' => '24', + 'type' => '53956' + }, + '5' => { + 'name' => 'reserved', + 'offset' => '28', + 'type' => '2686' + }, + '6' => { + 'name' => 'driver_data', + 'offset' => '32', + 'type' => '2733' + } + }, + 'Name' => 'anon-struct-kern-abi.h-181', + 'Size' => '32', + 'Type' => 'Struct' + }, + '53956' => { + 'BaseType' => '131', + 'Header' => 'int-ll64.h', + 'Line' => '25', + 'Name' => '__s32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '53986' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '404', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '2715' + }, + '2' => { + 'name' => 'cqe', + 'offset' => '16', + 'type' => '2686' + }, + '3' => { + 'name' => 'comp_vector', + 'offset' => '20', + 'type' => '2686' + }, + '4' => { + 'name' => 'comp_channel', + 'offset' => '24', + 'type' => '53956' + }, + '5' => { + 'name' => 'reserved', + 'offset' => '28', + 'type' => '2686' + }, + '6' => { + 'name' => 'driver_data', + 'offset' => '32', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_create_cq', + 'Size' => '32', + 'Type' => 'Struct' + }, + '54149' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '429', + 'Memb' => { + '0' => { + 'name' => 'cq_handle', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'cqe', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_create_cq_resp', + 'Size' => '8', + 'Type' => 'Struct' + }, + '54215' => { + 'Header' => 'kern-abi.h', + 'Line' => '211', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '3705' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '24', + 'type' => '54243' + } + }, + 'Name' => 'struct ibv_create_cq_ex', + 'Size' => '56', + 'Type' => 'Struct' + }, + '54243' => { + 'Header' => 'kern-abi.h', + 'Line' => '211', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '54255' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '54450' + } + }, + 'Name' => 'ibv_create_cq_ex::anon-union-kern-abi.h-211', + 'NameSpace' => 'ibv_create_cq_ex', + 'Size' => '32', + 'Type' => 'Union' + }, + '54255' => { + 'Header' => 'kern-abi.h', + 'Line' => '211', + 'Memb' => { + '0' => { + 'name' => 'user_handle', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'cqe', + 'offset' => '8', + 'type' => '2686' + }, + '2' => { + 'name' => 'comp_vector', + 'offset' => '12', + 'type' => '2686' + }, + '3' => { + 'name' => 'comp_channel', + 'offset' => '16', + 'type' => '53956' + }, + '4' => { + 'name' => 'comp_mask', + 'offset' => '20', + 'type' => '2686' + }, + '5' => { + 'name' => 'flags', + 'offset' => '24', + 'type' => '2686' + }, + '6' => { + 'name' => 'reserved', + 'offset' => '28', + 'type' => '2686' + } + }, + 'Name' => 'anon-struct-kern-abi.h-211', + 'Size' => '32', + 'Type' => 'Struct' + }, + '54450' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '419', + 'Memb' => { + '0' => { + 'name' => 'user_handle', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'cqe', + 'offset' => '8', + 'type' => '2686' + }, + '2' => { + 'name' => 'comp_vector', + 'offset' => '12', + 'type' => '2686' + }, + '3' => { + 'name' => 'comp_channel', + 'offset' => '16', + 'type' => '53956' + }, + '4' => { + 'name' => 'comp_mask', + 'offset' => '20', + 'type' => '2686' + }, + '5' => { + 'name' => 'flags', + 'offset' => '24', + 'type' => '2686' + }, + '6' => { + 'name' => 'reserved', + 'offset' => '28', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_ex_create_cq', + 'Size' => '32', + 'Type' => 'Struct' + }, + '5449' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '356', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'mr_handle', + 'offset' => '8', + 'type' => '2686' + }, + '2' => { + 'name' => 'flags', + 'offset' => '12', + 'type' => '2686' + }, + '3' => { + 'name' => 'start', + 'offset' => '16', + 'type' => '2715' + }, + '4' => { + 'name' => 'length', + 'offset' => '24', + 'type' => '2715' + }, + '5' => { + 'name' => 'hca_va', + 'offset' => '32', + 'type' => '2715' + }, + '6' => { + 'name' => 'pd_handle', + 'offset' => '40', + 'type' => '2686' + }, + '7' => { + 'name' => 'access_flags', + 'offset' => '44', + 'type' => '2686' + }, + '8' => { + 'name' => 'driver_data', + 'offset' => '48', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_rereg_mr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '54599' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '435', + 'Memb' => { + '0' => { + 'name' => 'base', + 'offset' => '0', + 'type' => '54149' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '2686' + }, + '2' => { + 'name' => 'response_length', + 'offset' => '12', + 'type' => '2686' + } + }, + 'Name' => 'struct ib_uverbs_ex_create_cq_resp', + 'Size' => '16', + 'Type' => 'Struct' + }, + '5607' => { + 'BaseType' => '5612', + 'Name' => 'struct ib_uverbs_rereg_mr_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '5612' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '368', + 'Memb' => { + '0' => { + 'name' => 'lkey', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_rereg_mr_resp', + 'Size' => '8', + 'Type' => 'Struct' + }, + '5688' => { + 'BaseType' => '5693', + 'Name' => 'struct ibv_alloc_mw*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '5693' => { + 'Header' => 'kern-abi.h', + 'Line' => '175', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '5721' + } + }, + 'Name' => 'struct ibv_alloc_mw', + 'Size' => '24', + 'Type' => 'Struct' + }, + '5721' => { + 'Header' => 'kern-abi.h', + 'Line' => '175', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '5733' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '5826' + } + }, + 'Name' => 'ibv_alloc_mw::anon-union-kern-abi.h-175', + 'NameSpace' => 'ibv_alloc_mw', + 'Size' => '16', + 'Type' => 'Union' + }, + '5733' => { + 'Header' => 'kern-abi.h', + 'Line' => '175', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'pd_handle', + 'offset' => '8', + 'type' => '2686' + }, + '2' => { + 'name' => 'mw_type', + 'offset' => '12', + 'type' => '3561' + }, + '3' => { + 'name' => 'reserved', + 'offset' => '13', + 'type' => '3579' + }, + '4' => { + 'name' => 'driver_data', + 'offset' => '16', + 'type' => '2733' + } + }, + 'Name' => 'anon-struct-kern-abi.h-175', + 'Size' => '16', + 'Type' => 'Struct' + }, + '5826' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '378', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'pd_handle', + 'offset' => '8', + 'type' => '2686' + }, + '2' => { + 'name' => 'mw_type', + 'offset' => '12', + 'type' => '3561' + }, + '3' => { + 'name' => 'reserved', + 'offset' => '13', + 'type' => '3579' + }, + '4' => { + 'name' => 'driver_data', + 'offset' => '16', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_alloc_mw', + 'Size' => '16', + 'Type' => 'Struct' + }, + '5929' => { + 'BaseType' => '5934', + 'Name' => 'struct ib_uverbs_alloc_mw_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '5934' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '386', + 'Memb' => { + '0' => { + 'name' => 'mw_handle', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_alloc_mw_resp', + 'Size' => '8', + 'Type' => 'Struct' + }, + '61023' => { + 'BaseType' => '53810', + 'Name' => 'struct ibv_create_cq*', + 'Size' => '40', + 'Type' => 'Pointer' + }, + '61033' => { + 'BaseType' => '54149', + 'Name' => 'struct ib_uverbs_create_cq_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '615' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '1051', + 'Memb' => { + '0' => { + 'name' => 'IBV_WR_RDMA_WRITE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WR_RDMA_WRITE_WITH_IMM', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WR_TSO', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WR_DRIVER1', + 'value' => '11' + }, + '2' => { + 'name' => 'IBV_WR_SEND', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WR_SEND_WITH_IMM', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WR_RDMA_READ', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WR_ATOMIC_CMP_AND_SWP', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WR_ATOMIC_FETCH_AND_ADD', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WR_LOCAL_INV', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WR_BIND_MW', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WR_SEND_WITH_INV', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wr_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '61816' => { + 'BaseType' => '54215', + 'Name' => 'struct ibv_create_cq_ex*', + 'Size' => '56', + 'Type' => 'Pointer' + }, + '61821' => { + 'BaseType' => '54599', + 'Name' => 'struct ib_uverbs_ex_create_cq_resp*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '63' => { + 'Name' => '_Bool', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '63060' => { + 'BaseType' => '63065', + 'Name' => 'struct ibv_query_port*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '63065' => { + 'Header' => 'kern-abi.h', + 'Line' => '203', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '63093' + } + }, + 'Name' => 'struct ibv_query_port', + 'Size' => '24', + 'Type' => 'Struct' + }, + '63093' => { + 'Header' => 'kern-abi.h', + 'Line' => '203', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '63105' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '63315' + } + }, + 'Name' => 'ibv_query_port::anon-union-kern-abi.h-203', + 'NameSpace' => 'ibv_query_port', + 'Size' => '16', + 'Type' => 'Union' + }, + '63105' => { + 'Header' => 'kern-abi.h', + 'Line' => '203', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'port_num', + 'offset' => '8', + 'type' => '3561' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '9', + 'type' => '3579' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '16', + 'type' => '2733' + } + }, + 'Name' => 'anon-struct-kern-abi.h-203', + 'Size' => '16', + 'Type' => 'Struct' + }, + '63315' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '277', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'port_num', + 'offset' => '8', + 'type' => '3561' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '9', + 'type' => '3579' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '16', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_query_port', + 'Size' => '16', + 'Type' => 'Struct' + }, + '6491' => { + 'BaseType' => '2686', + 'Header' => 'types.h', + 'Line' => '30', + 'Name' => '__be32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '6673' => { + 'BaseType' => '1', + 'Name' => 'void*', + 'Type' => 'Pointer' + }, + '6679' => { + 'BaseType' => '6684', + 'Name' => 'struct ibv_resize_cq*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '6684' => { + 'Header' => 'kern-abi.h', + 'Line' => '209', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '6712' + } + }, + 'Name' => 'struct ibv_resize_cq', + 'Size' => '24', + 'Type' => 'Struct' + }, + '6712' => { + 'Header' => 'kern-abi.h', + 'Line' => '209', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '6724' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '6793' + } + }, + 'Name' => 'ibv_resize_cq::anon-union-kern-abi.h-209', + 'NameSpace' => 'ibv_resize_cq', + 'Size' => '16', + 'Type' => 'Union' + }, + '6724' => { + 'Header' => 'kern-abi.h', + 'Line' => '209', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'cq_handle', + 'offset' => '8', + 'type' => '2686' + }, + '2' => { + 'name' => 'cqe', + 'offset' => '12', + 'type' => '2686' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '16', + 'type' => '2733' + } + }, + 'Name' => 'anon-struct-kern-abi.h-209', + 'Size' => '16', + 'Type' => 'Struct' + }, + '6793' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '441', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'cq_handle', + 'offset' => '8', + 'type' => '2686' + }, + '2' => { + 'name' => 'cqe', + 'offset' => '12', + 'type' => '2686' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '16', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_resize_cq', + 'Size' => '16', + 'Type' => 'Struct' + }, + '6883' => { + 'BaseType' => '6888', + 'Name' => 'struct ib_uverbs_resize_cq_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6888' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '448', + 'Memb' => { + '0' => { + 'name' => 'cqe', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'reserved', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_resize_cq_resp', + 'Size' => '8', + 'Type' => 'Struct' + }, + '6964' => { + 'BaseType' => '6969', + 'Name' => 'struct ibv_create_srq*', + 'Size' => '40', + 'Type' => 'Pointer' + }, + '6969' => { + 'Header' => 'kern-abi.h', + 'Line' => '183', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2641' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '6997' + } + }, + 'Name' => 'struct ibv_create_srq', + 'Size' => '40', + 'Type' => 'Struct' + }, + '6997' => { + 'Header' => 'kern-abi.h', + 'Line' => '183', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '7009' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '7115' + } + }, + 'Name' => 'ibv_create_srq::anon-union-kern-abi.h-183', + 'NameSpace' => 'ibv_create_srq', + 'Size' => '32', + 'Type' => 'Union' + }, + '70' => { + 'BaseType' => '131', + 'Header' => 'verbs.h', + 'Line' => '79', + 'Memb' => { + '0' => { + 'name' => 'IBV_NODE_UNKNOWN', + 'value' => '-1' + }, + '1' => { + 'name' => 'IBV_NODE_CA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_NODE_SWITCH', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_NODE_ROUTER', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_NODE_RNIC', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_NODE_USNIC', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_NODE_USNIC_UDP', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_NODE_UNSPECIFIED', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_node_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '7009' => { + 'Header' => 'kern-abi.h', + 'Line' => '183', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '2715' + }, + '2' => { + 'name' => 'pd_handle', + 'offset' => '16', + 'type' => '2686' + }, + '3' => { + 'name' => 'max_wr', + 'offset' => '20', + 'type' => '2686' + }, + '4' => { + 'name' => 'max_sge', + 'offset' => '24', + 'type' => '2686' + }, + '5' => { + 'name' => 'srq_limit', + 'offset' => '28', + 'type' => '2686' + }, + '6' => { + 'name' => 'driver_data', + 'offset' => '32', + 'type' => '2733' + } + }, + 'Name' => 'anon-struct-kern-abi.h-183', + 'Size' => '32', + 'Type' => 'Struct' + }, + '701' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '343', + 'Memb' => { + '0' => { + 'name' => 'IBV_PORT_NOP', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_PORT_DOWN', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_PORT_INIT', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_PORT_ARMED', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_PORT_ACTIVE', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_PORT_ACTIVE_DEFER', + 'value' => '5' + } + }, + 'Name' => 'enum ibv_port_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '7115' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '1160', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '2715' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '2715' + }, + '2' => { + 'name' => 'pd_handle', + 'offset' => '16', + 'type' => '2686' + }, + '3' => { + 'name' => 'max_wr', + 'offset' => '20', + 'type' => '2686' + }, + '4' => { + 'name' => 'max_sge', + 'offset' => '24', + 'type' => '2686' + }, + '5' => { + 'name' => 'srq_limit', + 'offset' => '28', + 'type' => '2686' + }, + '6' => { + 'name' => 'driver_data', + 'offset' => '32', + 'type' => '2733' + } + }, + 'Name' => 'struct ib_uverbs_create_srq', + 'Size' => '32', + 'Type' => 'Struct' + }, + '7245' => { + 'BaseType' => '7250', + 'Name' => 'struct ib_uverbs_create_srq_resp*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '7250' => { + 'Header' => 'ib_user_verbs.h', + 'Line' => '1184', + 'Memb' => { + '0' => { + 'name' => 'srq_handle', + 'offset' => '0', + 'type' => '2686' + }, + '1' => { + 'name' => 'max_wr', + 'offset' => '4', + 'type' => '2686' + }, + '2' => { + 'name' => 'max_sge', + 'offset' => '8', + 'type' => '2686' + }, + '3' => { + 'name' => 'srqn', + 'offset' => '12', + 'type' => '2686' + }, + '4' => { + 'name' => 'driver_data', + 'offset' => '16', + 'type' => '4567' + } + }, + 'Name' => 'struct ib_uverbs_create_srq_resp', + 'Size' => '16', + 'Type' => 'Struct' + }, + '7374' => { + 'BaseType' => '7379', + 'Name' => 'struct verbs_xrcd*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '7379' => { + 'Header' => 'driver.h', + 'Line' => '56', + 'Memb' => { + '0' => { + 'name' => 'xrcd', + 'offset' => '0', + 'type' => '7424' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '8394' + }, + '2' => { + 'name' => 'handle', + 'offset' => '12', + 'type' => '8394' + } + }, + 'Name' => 'struct verbs_xrcd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '7424' => { + 'Header' => 'verbs.h', + 'Line' => '617', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7447' + } + }, + 'Name' => 'struct ibv_xrcd', + 'Size' => '8', + 'Type' => 'Struct' + }, + '7447' => { + 'BaseType' => '7452', + 'Name' => 'struct ibv_context*', + 'Size' => '328', + 'Type' => 'Pointer' + }, + '7452' => { + 'Header' => 'verbs.h', + 'Line' => '1935', + 'Memb' => { + '0' => { + 'name' => 'device', + 'offset' => '0', + 'type' => '7559' + }, + '1' => { + 'name' => 'ops', + 'offset' => '8', + 'type' => '7768' + }, + '2' => { + 'name' => 'cmd_fd', + 'offset' => '264', + 'type' => '131' + }, + '3' => { + 'name' => 'async_fd', + 'offset' => '268', + 'type' => '131' + }, + '4' => { + 'name' => 'num_comp_vectors', + 'offset' => '272', + 'type' => '131' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '280', + 'type' => '8801' + }, + '6' => { + 'name' => 'abi_compat', + 'offset' => '320', + 'type' => '6673' + } + }, + 'Name' => 'struct ibv_context', + 'Size' => '328', + 'Type' => 'Struct' + }, + '751' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '335', + 'Memb' => { + '0' => { + 'name' => 'IBV_MTU_256', + 'value' => '1' + }, + '1' => { + 'name' => 'IBV_MTU_512', + 'value' => '2' + }, + '2' => { + 'name' => 'IBV_MTU_1024', + 'value' => '3' + }, + '3' => { + 'name' => 'IBV_MTU_2048', + 'value' => '4' + }, + '4' => { + 'name' => 'IBV_MTU_4096', + 'value' => '5' + } + }, + 'Name' => 'enum ibv_mtu', + 'Size' => '4', + 'Type' => 'Enum' + }, + '7559' => { + 'BaseType' => '7564', + 'Name' => 'struct ibv_device*', + 'Size' => '664', + 'Type' => 'Pointer' + }, + '7564' => { + 'Header' => 'verbs.h', + 'Line' => '1878', + 'Memb' => { + '0' => { + 'name' => '_ops', + 'offset' => '0', + 'type' => '7667' + }, + '1' => { + 'name' => 'node_type', + 'offset' => '16', + 'type' => '70' + }, + '2' => { + 'name' => 'transport_type', + 'offset' => '20', + 'type' => '138' + }, + '3' => { + 'name' => 'name', + 'offset' => '24', + 'type' => '7736' + }, + '4' => { + 'name' => 'dev_name', + 'offset' => '88', + 'type' => '7736' + }, + '5' => { + 'name' => 'dev_path', + 'offset' => '152', + 'type' => '7736' + }, + '6' => { + 'name' => 'ibdev_path', + 'offset' => '408', + 'type' => '7736' + } + }, + 'Name' => 'struct ibv_device', + 'Size' => '664', + 'Type' => 'Struct' + }, + '75668' => { + 'BaseType' => '75673', + 'Name' => 'struct ibv_alloc_dm_attr const*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '75673' => { + 'BaseType' => '32621', + 'Name' => 'struct ibv_alloc_dm_attr const', + 'Size' => '16', + 'Type' => 'Const' + }, + '75723' => { + 'BaseType' => '75728', + 'Name' => 'struct verbs_dm*', + 'Size' => '40', + 'Type' => 'Pointer' + }, + '75728' => { + 'Header' => 'driver.h', + 'Line' => '125', + 'Memb' => { + '0' => { + 'name' => 'dm', + 'offset' => '0', + 'type' => '32470' + }, + '1' => { + 'name' => 'handle', + 'offset' => '32', + 'type' => '8394' + } + }, + 'Name' => 'struct verbs_dm', + 'Size' => '40', + 'Type' => 'Struct' + }, + '7667' => { + 'Header' => 'verbs.h', + 'Line' => '1868', + 'Memb' => { + '0' => { + 'name' => '_dummy1', + 'offset' => '0', + 'type' => '7703' + }, + '1' => { + 'name' => '_dummy2', + 'offset' => '8', + 'type' => '7724' + } + }, + 'Name' => 'struct _ibv_device_ops', + 'Size' => '16', + 'Type' => 'Struct' + }, + '7703' => { + 'Name' => 'struct ibv_context*(*)(struct ibv_device*, int)', + 'Param' => { + '0' => { + 'type' => '7559' + }, + '1' => { + 'type' => '131' + } + }, + 'Return' => '7447', + 'Type' => 'FuncPtr' + }, + '7724' => { + 'Name' => 'void(*)(struct ibv_context*)', + 'Param' => { + '0' => { + 'type' => '7447' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '7736' => { + 'BaseType' => '7748', + 'Name' => 'char[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '7748' => { + 'Name' => 'char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '7768' => { + 'Header' => 'verbs.h', + 'Line' => '1893', + 'Memb' => { + '0' => { + 'name' => '_compat_query_device', + 'offset' => '0', + 'type' => '8195' + }, + '1' => { + 'name' => '_compat_query_port', + 'offset' => '8', + 'type' => '8205' + }, + '10' => { + 'name' => '_compat_create_cq', + 'offset' => '80', + 'type' => '8195' + }, + '11' => { + 'name' => 'poll_cq', + 'offset' => '88', + 'type' => '9571' + }, + '12' => { + 'name' => 'req_notify_cq', + 'offset' => '96', + 'type' => '9820' + }, + '13' => { + 'name' => '_compat_cq_event', + 'offset' => '104', + 'type' => '8195' + }, + '14' => { + 'name' => '_compat_resize_cq', + 'offset' => '112', + 'type' => '8195' + }, + '15' => { + 'name' => '_compat_destroy_cq', + 'offset' => '120', + 'type' => '8195' + }, + '16' => { + 'name' => '_compat_create_srq', + 'offset' => '128', + 'type' => '8195' + }, + '17' => { + 'name' => '_compat_modify_srq', + 'offset' => '136', + 'type' => '8195' + }, + '18' => { + 'name' => '_compat_query_srq', + 'offset' => '144', + 'type' => '8195' + }, + '19' => { + 'name' => '_compat_destroy_srq', + 'offset' => '152', + 'type' => '8195' + }, + '2' => { + 'name' => '_compat_alloc_pd', + 'offset' => '16', + 'type' => '8195' + }, + '20' => { + 'name' => 'post_srq_recv', + 'offset' => '160', + 'type' => '9841' + }, + '21' => { + 'name' => '_compat_create_qp', + 'offset' => '168', + 'type' => '8195' + }, + '22' => { + 'name' => '_compat_query_qp', + 'offset' => '176', + 'type' => '8195' + }, + '23' => { + 'name' => '_compat_modify_qp', + 'offset' => '184', + 'type' => '8195' + }, + '24' => { + 'name' => '_compat_destroy_qp', + 'offset' => '192', + 'type' => '8195' + }, + '25' => { + 'name' => 'post_send', + 'offset' => '200', + 'type' => '9993' + }, + '26' => { + 'name' => 'post_recv', + 'offset' => '208', + 'type' => '10587' + }, + '27' => { + 'name' => '_compat_create_ah', + 'offset' => '216', + 'type' => '8195' + }, + '28' => { + 'name' => '_compat_destroy_ah', + 'offset' => '224', + 'type' => '8195' + }, + '29' => { + 'name' => '_compat_attach_mcast', + 'offset' => '232', + 'type' => '8195' + }, + '3' => { + 'name' => '_compat_dealloc_pd', + 'offset' => '24', + 'type' => '8195' + }, + '30' => { + 'name' => '_compat_detach_mcast', + 'offset' => '240', + 'type' => '8195' + }, + '31' => { + 'name' => '_compat_async_event', + 'offset' => '248', + 'type' => '8195' + }, + '4' => { + 'name' => '_compat_reg_mr', + 'offset' => '32', + 'type' => '8195' + }, + '5' => { + 'name' => '_compat_rereg_mr', + 'offset' => '40', + 'type' => '8195' + }, + '6' => { + 'name' => '_compat_dereg_mr', + 'offset' => '48', + 'type' => '8195' + }, + '7' => { + 'name' => 'alloc_mw', + 'offset' => '56', + 'type' => '8252' + }, + '8' => { + 'name' => 'bind_mw', + 'offset' => '64', + 'type' => '8405' + }, + '9' => { + 'name' => 'dealloc_mw', + 'offset' => '72', + 'type' => '9555' + } + }, + 'Name' => 'struct ibv_context_ops', + 'Size' => '256', + 'Type' => 'Struct' + }, + '795' => { + 'BaseType' => '213', + 'Header' => 'ib_user_ioctl_verbs.h', + 'Line' => '161', + 'Memb' => { + '0' => { + 'name' => 'IB_UVERBS_ADVISE_MR_ADVICE_PREFETCH', + 'value' => '0' + }, + '1' => { + 'name' => 'IB_UVERBS_ADVISE_MR_ADVICE_PREFETCH_WRITE', + 'value' => '1' + } + }, + 'Name' => 'enum ib_uverbs_advise_mr_advice', + 'Size' => '4', + 'Type' => 'Enum' + }, + '8195' => { + 'Name' => 'void*(*)()', + 'Return' => '6673', + 'Type' => 'FuncPtr' + }, + '820' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '1993', + 'Memb' => { + '0' => { + 'name' => 'IBV_COUNTER_PACKETS', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_COUNTER_BYTES', + 'value' => '1' + } + }, + 'Name' => 'enum ibv_counter_description', + 'Size' => '4', + 'Type' => 'Enum' + }, + '8205' => { + 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct _compat_ibv_port_attr*)', + 'Param' => { + '0' => { + 'type' => '7447' + }, + '1' => { + 'type' => '8231' + }, + '2' => { + 'type' => '8242' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '8231' => { + 'BaseType' => '3572', + 'Header' => 'stdint.h', + 'Line' => '48', + 'Name' => 'uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '8242' => { + 'BaseType' => '8247', + 'Name' => 'struct _compat_ibv_port_attr*', + 'Type' => 'Pointer' + }, + '8247' => { + 'Line' => '185', + 'Memb' => { + '0' => { + 'name' => 'state', + 'offset' => '0', + 'type' => '701' + }, + '1' => { + 'name' => 'max_mtu', + 'offset' => '4', + 'type' => '751' + }, + '10' => { + 'name' => 'sm_lid', + 'offset' => '36', + 'type' => '9809' + }, + '11' => { + 'name' => 'lmc', + 'offset' => '38', + 'type' => '8231' + }, + '12' => { + 'name' => 'max_vl_num', + 'offset' => '39', + 'type' => '8231' + }, + '13' => { + 'name' => 'sm_sl', + 'offset' => '40', + 'type' => '8231' + }, + '14' => { + 'name' => 'subnet_timeout', + 'offset' => '41', + 'type' => '8231' + }, + '15' => { + 'name' => 'init_type_reply', + 'offset' => '42', + 'type' => '8231' + }, + '16' => { + 'name' => 'active_width', + 'offset' => '43', + 'type' => '8231' + }, + '17' => { + 'name' => 'active_speed', + 'offset' => '44', + 'type' => '8231' + }, + '18' => { + 'name' => 'phys_state', + 'offset' => '45', + 'type' => '8231' + }, + '19' => { + 'name' => 'link_layer', + 'offset' => '46', + 'type' => '8231' + }, + '2' => { + 'name' => 'active_mtu', + 'offset' => '8', + 'type' => '751' + }, + '20' => { + 'name' => 'flags', + 'offset' => '47', + 'type' => '8231' + }, + '3' => { + 'name' => 'gid_tbl_len', + 'offset' => '12', + 'type' => '131' + }, + '4' => { + 'name' => 'port_cap_flags', + 'offset' => '16', + 'type' => '8394' + }, + '5' => { + 'name' => 'max_msg_sz', + 'offset' => '20', + 'type' => '8394' + }, + '6' => { + 'name' => 'bad_pkey_cntr', + 'offset' => '24', + 'type' => '8394' + }, + '7' => { + 'name' => 'qkey_viol_cntr', + 'offset' => '28', + 'type' => '8394' + }, + '8' => { + 'name' => 'pkey_tbl_len', + 'offset' => '32', + 'type' => '9809' + }, + '9' => { + 'name' => 'lid', + 'offset' => '34', + 'type' => '9809' + } + }, + 'Name' => 'struct _compat_ibv_port_attr', + 'Size' => '48', + 'Source' => 'verbs.c', + 'Type' => 'Struct' + }, + '8252' => { + 'Name' => 'struct ibv_mw*(*)(struct ibv_pd*, enum ibv_mw_type)', + 'Param' => { + '0' => { + 'type' => '8353' + }, + '1' => { + 'type' => '187' + } + }, + 'Return' => '8273', + 'Type' => 'FuncPtr' + }, + '8273' => { + 'BaseType' => '8278', + 'Name' => 'struct ibv_mw*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '8278' => { + 'Header' => 'verbs.h', + 'Line' => '644', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7447' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '8353' + }, + '2' => { + 'name' => 'rkey', + 'offset' => '16', + 'type' => '8394' + }, + '3' => { + 'name' => 'handle', + 'offset' => '20', + 'type' => '8394' + }, + '4' => { + 'name' => 'type', + 'offset' => '24', + 'type' => '187' + } + }, + 'Name' => 'struct ibv_mw', + 'Size' => '32', + 'Type' => 'Struct' + }, + '8353' => { + 'BaseType' => '8358', + 'Name' => 'struct ibv_pd*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '8358' => { + 'Header' => 'verbs.h', + 'Line' => '592', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7447' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_pd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '8394' => { + 'BaseType' => '213', + 'Header' => 'stdint.h', + 'Line' => '51', + 'Name' => 'uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '8405' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_mw*, struct ibv_mw_bind*)', + 'Param' => { + '0' => { + 'type' => '8431' + }, + '1' => { + 'type' => '8273' + }, + '2' => { + 'type' => '9311' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '8431' => { + 'BaseType' => '8436', + 'Name' => 'struct ibv_qp*', + 'Size' => '160', + 'Type' => 'Pointer' + }, + '8436' => { + 'Header' => 'verbs.h', + 'Line' => '1217', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7447' + }, + '1' => { + 'name' => 'qp_context', + 'offset' => '8', + 'type' => '6673' + }, + '10' => { + 'name' => 'mutex', + 'offset' => '64', + 'type' => '8801' + }, + '11' => { + 'name' => 'cond', + 'offset' => '104', + 'type' => '9033' + }, + '12' => { + 'name' => 'events_completed', + 'offset' => '152', + 'type' => '8394' + }, + '2' => { + 'name' => 'pd', + 'offset' => '16', + 'type' => '8353' + }, + '3' => { + 'name' => 'send_cq', + 'offset' => '24', + 'type' => '8615' + }, + '4' => { + 'name' => 'recv_cq', + 'offset' => '32', + 'type' => '8615' + }, + '5' => { + 'name' => 'srq', + 'offset' => '40', + 'type' => '9205' + }, + '6' => { + 'name' => 'handle', + 'offset' => '48', + 'type' => '8394' + }, + '7' => { + 'name' => 'qp_num', + 'offset' => '52', + 'type' => '8394' + }, + '8' => { + 'name' => 'state', + 'offset' => '56', + 'type' => '220' + }, + '9' => { + 'name' => 'qp_type', + 'offset' => '60', + 'type' => '282' + } + }, + 'Name' => 'struct ibv_qp', + 'Size' => '160', + 'Type' => 'Struct' + }, + '846' => { + 'BaseType' => '213', + 'Header' => 'ib_user_ioctl_verbs.h', + 'Line' => '97', + 'Memb' => { + '0' => { + 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_KEYMAT_AES_GCM', + 'value' => '0' + } + }, + 'Name' => 'enum ib_uverbs_flow_action_esp_keymat', + 'Size' => '4', + 'Type' => 'Enum' + }, + '8615' => { + 'BaseType' => '8620', + 'Name' => 'struct ibv_cq*', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '8620' => { + 'Header' => 'verbs.h', + 'Line' => '1408', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7447' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '8747' + }, + '2' => { + 'name' => 'cq_context', + 'offset' => '16', + 'type' => '6673' + }, + '3' => { + 'name' => 'handle', + 'offset' => '24', + 'type' => '8394' + }, + '4' => { + 'name' => 'cqe', + 'offset' => '28', + 'type' => '131' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '32', + 'type' => '8801' + }, + '6' => { + 'name' => 'cond', + 'offset' => '72', + 'type' => '9033' + }, + '7' => { + 'name' => 'comp_events_completed', + 'offset' => '120', + 'type' => '8394' + }, + '8' => { + 'name' => 'async_events_completed', + 'offset' => '124', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_cq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '865' => { + 'BaseType' => '213', + 'Header' => 'ib_user_ioctl_verbs.h', + 'Line' => '116', + 'Memb' => { + '0' => { + 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_REPLAY_NONE', + 'value' => '0' + }, + '1' => { + 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_REPLAY_BMP', + 'value' => '1' + } + }, + 'Name' => 'enum ib_uverbs_flow_action_esp_replay', + 'Size' => '4', + 'Type' => 'Enum' + }, + '8747' => { + 'BaseType' => '8752', + 'Name' => 'struct ibv_comp_channel*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '8752' => { + 'Header' => 'verbs.h', + 'Line' => '1402', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7447' + }, + '1' => { + 'name' => 'fd', + 'offset' => '8', + 'type' => '131' + }, + '2' => { + 'name' => 'refcnt', + 'offset' => '12', + 'type' => '131' + } + }, + 'Name' => 'struct ibv_comp_channel', + 'Size' => '16', + 'Type' => 'Struct' + }, + '8801' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '128', + 'Memb' => { + '0' => { + 'name' => '__data', + 'offset' => '0', + 'type' => '8853' + }, + '1' => { + 'name' => '__size', + 'offset' => '0', + 'type' => '7736' + }, + '2' => { + 'name' => '__align', + 'offset' => '0', + 'type' => '9026' + } + }, + 'Name' => 'union pthread_mutex_t', + 'Size' => '40', + 'Type' => 'Union' + }, + '8853' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '92', + 'Memb' => { + '0' => { + 'name' => '__lock', + 'offset' => '0', + 'type' => '131' + }, + '1' => { + 'name' => '__count', + 'offset' => '4', + 'type' => '213' + }, + '2' => { + 'name' => '__owner', + 'offset' => '8', + 'type' => '131' + }, + '3' => { + 'name' => '__nusers', + 'offset' => '12', + 'type' => '213' + }, + '4' => { + 'name' => '__kind', + 'offset' => '16', + 'type' => '131' + }, + '5' => { + 'name' => '__spins', + 'offset' => '20', + 'type' => '8958' + }, + '6' => { + 'name' => '__elision', + 'offset' => '22', + 'type' => '8958' + }, + '7' => { + 'name' => '__list', + 'offset' => '24', + 'type' => '8965' + } + }, + 'Name' => 'struct __pthread_mutex_s', + 'Size' => '40', + 'Type' => 'Struct' + }, + '890' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '1142', + 'Memb' => { + '0' => { + 'name' => 'IBV_WR_TAG_ADD', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WR_TAG_DEL', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_WR_TAG_SYNC', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_ops_wr_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '8958' => { + 'Name' => 'short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '8965' => { + 'BaseType' => '8976', + 'Header' => 'pthreadtypes.h', + 'Line' => '79', + 'Name' => '__pthread_list_t', + 'Size' => '16', + 'Type' => 'Typedef' + }, + '8976' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '75', + 'Memb' => { + '0' => { + 'name' => '__prev', + 'offset' => '0', + 'type' => '9009' + }, + '1' => { + 'name' => '__next', + 'offset' => '8', + 'type' => '9009' + } + }, + 'Name' => 'struct __pthread_internal_list', + 'Size' => '16', + 'Type' => 'Struct' + }, + '9009' => { + 'BaseType' => '8976', + 'Name' => 'struct __pthread_internal_list*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '9026' => { + 'Name' => 'long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '9033' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '154', + 'Memb' => { + '0' => { + 'name' => '__data', + 'offset' => '0', + 'type' => '9060' + }, + '1' => { + 'name' => '__size', + 'offset' => '0', + 'type' => '7736' + }, + '2' => { + 'name' => '__align', + 'offset' => '0', + 'type' => '9198' + } + }, + 'Name' => 'union pthread_cond_t', + 'Size' => '48', + 'Type' => 'Union' + }, + '9060' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '141', + 'Memb' => { + '0' => { + 'name' => '__lock', + 'offset' => '0', + 'type' => '131' + }, + '1' => { + 'name' => '__futex', + 'offset' => '4', + 'type' => '213' + }, + '2' => { + 'name' => '__total_seq', + 'offset' => '8', + 'type' => '2726' + }, + '3' => { + 'name' => '__wakeup_seq', + 'offset' => '16', + 'type' => '2726' + }, + '4' => { + 'name' => '__woken_seq', + 'offset' => '24', + 'type' => '2726' + }, + '5' => { + 'name' => '__mutex', + 'offset' => '32', + 'type' => '6673' + }, + '6' => { + 'name' => '__nwaiters', + 'offset' => '40', + 'type' => '213' + }, + '7' => { + 'name' => '__broadcast_seq', + 'offset' => '44', + 'type' => '213' + } + }, + 'Name' => 'anon-struct-pthreadtypes.h-141', + 'Size' => '48', + 'Type' => 'Struct' + }, + '9198' => { + 'Name' => 'long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '9205' => { + 'BaseType' => '9210', + 'Name' => 'struct ibv_srq*', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '9210' => { + 'Header' => 'verbs.h', + 'Line' => '1177', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7447' + }, + '1' => { + 'name' => 'srq_context', + 'offset' => '8', + 'type' => '6673' + }, + '2' => { + 'name' => 'pd', + 'offset' => '16', + 'type' => '8353' + }, + '3' => { + 'name' => 'handle', + 'offset' => '24', + 'type' => '8394' + }, + '4' => { + 'name' => 'mutex', + 'offset' => '32', + 'type' => '8801' + }, + '5' => { + 'name' => 'cond', + 'offset' => '72', + 'type' => '9033' + }, + '6' => { + 'name' => 'events_completed', + 'offset' => '120', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_srq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '922' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '807', + 'Memb' => { + '0' => { + 'name' => 'IBV_WQS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WQS_RDY', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_WQS_ERR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WQS_UNKNOWN', + 'value' => '3' + } + }, + 'Name' => 'enum ibv_wq_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '9311' => { + 'BaseType' => '9316', + 'Name' => 'struct ibv_mw_bind*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '9316' => { + 'Header' => 'verbs.h', + 'Line' => '1171', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '9365' + }, + '1' => { + 'name' => 'send_flags', + 'offset' => '8', + 'type' => '213' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '16', + 'type' => '9376' + } + }, + 'Name' => 'struct ibv_mw_bind', + 'Size' => '48', + 'Type' => 'Struct' + }, + '9365' => { + 'BaseType' => '4882', + 'Header' => 'stdint.h', + 'Line' => '55', + 'Name' => 'uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '9376' => { + 'Header' => 'verbs.h', + 'Line' => '585', + 'Memb' => { + '0' => { + 'name' => 'mr', + 'offset' => '0', + 'type' => '9438' + }, + '1' => { + 'name' => 'addr', + 'offset' => '8', + 'type' => '9365' + }, + '2' => { + 'name' => 'length', + 'offset' => '16', + 'type' => '9365' + }, + '3' => { + 'name' => 'mw_access_flags', + 'offset' => '24', + 'type' => '213' + } + }, + 'Name' => 'struct ibv_mw_bind_info', + 'Size' => '32', + 'Type' => 'Struct' + }, + '9438' => { + 'BaseType' => '9443', + 'Name' => 'struct ibv_mr*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '9443' => { + 'Header' => 'verbs.h', + 'Line' => '629', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7447' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '8353' + }, + '2' => { + 'name' => 'addr', + 'offset' => '16', + 'type' => '6673' + }, + '3' => { + 'name' => 'length', + 'offset' => '24', + 'type' => '9544' + }, + '4' => { + 'name' => 'handle', + 'offset' => '32', + 'type' => '8394' + }, + '5' => { + 'name' => 'lkey', + 'offset' => '36', + 'type' => '8394' + }, + '6' => { + 'name' => 'rkey', + 'offset' => '40', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_mr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '9544' => { + 'BaseType' => '4882', + 'Header' => 'stddef.h', + 'Line' => '62', + 'Name' => 'size_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '9555' => { + 'Name' => 'int(*)(struct ibv_mw*)', + 'Param' => { + '0' => { + 'type' => '8273' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '9571' => { + 'Name' => 'int(*)(struct ibv_cq*, int, struct ibv_wc*)', + 'Param' => { + '0' => { + 'type' => '8615' + }, + '1' => { + 'type' => '131' + }, + '2' => { + 'type' => '9597' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '9597' => { + 'BaseType' => '9602', + 'Name' => 'struct ibv_wc*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '960' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '779', + 'Memb' => { + '0' => { + 'name' => 'IBV_WQT_RQ', + 'value' => '0' + } + }, + 'Name' => 'enum ibv_wq_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '9602' => { + 'Header' => 'verbs.h', + 'Line' => '553', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '9365' + }, + '1' => { + 'name' => 'status', + 'offset' => '8', + 'type' => '339' + }, + '10' => { + 'name' => 'slid', + 'offset' => '42', + 'type' => '9809' + }, + '11' => { + 'name' => 'sl', + 'offset' => '44', + 'type' => '8231' + }, + '12' => { + 'name' => 'dlid_path_bits', + 'offset' => '45', + 'type' => '8231' + }, + '2' => { + 'name' => 'opcode', + 'offset' => '12', + 'type' => '497' + }, + '3' => { + 'name' => 'vendor_err', + 'offset' => '16', + 'type' => '8394' + }, + '4' => { + 'name' => 'byte_len', + 'offset' => '20', + 'type' => '8394' + }, + '5' => { + 'name' => 'unnamed0', + 'offset' => '24', + 'type' => '9685' + }, + '6' => { + 'name' => 'qp_num', + 'offset' => '28', + 'type' => '8394' + }, + '7' => { + 'name' => 'src_qp', + 'offset' => '32', + 'type' => '8394' + }, + '8' => { + 'name' => 'wc_flags', + 'offset' => '36', + 'type' => '213' + }, + '9' => { + 'name' => 'pkey_index', + 'offset' => '40', + 'type' => '9809' + } + }, + 'Name' => 'struct ibv_wc', + 'Size' => '48', + 'Type' => 'Struct' + }, + '9685' => { + 'Header' => 'verbs.h', + 'Line' => '562', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '6491' + }, + '1' => { + 'name' => 'invalidated_rkey', + 'offset' => '0', + 'type' => '8394' + } + }, + 'Name' => 'ibv_wc::anon-union-verbs.h-562', + 'NameSpace' => 'ibv_wc', + 'Size' => '4', + 'Type' => 'Union' + }, + '980' => { + 'BaseType' => '213', + 'Header' => 'verbs.h', + 'Line' => '419', + 'Memb' => { + '0' => { + 'name' => 'IBV_EVENT_CQ_ERR', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_EVENT_QP_FATAL', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_EVENT_PORT_ERR', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_EVENT_LID_CHANGE', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_EVENT_PKEY_CHANGE', + 'value' => '12' + }, + '13' => { + 'name' => 'IBV_EVENT_SM_CHANGE', + 'value' => '13' + }, + '14' => { + 'name' => 'IBV_EVENT_SRQ_ERR', + 'value' => '14' + }, + '15' => { + 'name' => 'IBV_EVENT_SRQ_LIMIT_REACHED', + 'value' => '15' + }, + '16' => { + 'name' => 'IBV_EVENT_QP_LAST_WQE_REACHED', + 'value' => '16' + }, + '17' => { + 'name' => 'IBV_EVENT_CLIENT_REREGISTER', + 'value' => '17' + }, + '18' => { + 'name' => 'IBV_EVENT_GID_CHANGE', + 'value' => '18' + }, + '19' => { + 'name' => 'IBV_EVENT_WQ_FATAL', + 'value' => '19' + }, + '2' => { + 'name' => 'IBV_EVENT_QP_REQ_ERR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_EVENT_QP_ACCESS_ERR', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_EVENT_COMM_EST', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_EVENT_SQ_DRAINED', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_EVENT_PATH_MIG', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_EVENT_PATH_MIG_ERR', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_EVENT_DEVICE_FATAL', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_EVENT_PORT_ACTIVE', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_event_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '9809' => { + 'BaseType' => '2708', + 'Header' => 'stdint.h', + 'Line' => '49', + 'Name' => 'uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '9820' => { + 'Name' => 'int(*)(struct ibv_cq*, int)', + 'Param' => { + '0' => { + 'type' => '8615' + }, + '1' => { + 'type' => '131' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '9841' => { + 'Name' => 'int(*)(struct ibv_srq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '9205' + }, + '1' => { + 'type' => '9867' + }, + '2' => { + 'type' => '9988' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + }, + '9867' => { + 'BaseType' => '9872', + 'Name' => 'struct ibv_recv_wr*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '9872' => { + 'Header' => 'verbs.h', + 'Line' => '1135', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '9365' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '9867' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '16', + 'type' => '9934' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '24', + 'type' => '131' + } + }, + 'Name' => 'struct ibv_recv_wr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '9934' => { + 'BaseType' => '9939', + 'Name' => 'struct ibv_sge*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '9939' => { + 'Header' => 'verbs.h', + 'Line' => '1079', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '9365' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '8394' + }, + '2' => { + 'name' => 'lkey', + 'offset' => '12', + 'type' => '8394' + } + }, + 'Name' => 'struct ibv_sge', + 'Size' => '16', + 'Type' => 'Struct' + }, + '99666' => { + 'BaseType' => '6673', + 'Name' => 'void**', + 'Type' => 'Pointer' + }, + '9988' => { + 'BaseType' => '9867', + 'Name' => 'struct ibv_recv_wr**', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '9993' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_send_wr*, struct ibv_send_wr**)', + 'Param' => { + '0' => { + 'type' => '8431' + }, + '1' => { + 'type' => '10019' + }, + '2' => { + 'type' => '10582' + } + }, + 'Return' => '131', + 'Type' => 'FuncPtr' + } + }, + 'UndefinedSymbols' => { + 'libibverbs.so.1.7.26.0' => { + '_ITM_deregisterTMCloneTable' => 0, + '_ITM_registerTMCloneTable' => 0, + '__assert_fail@GLIBC_2.2.5' => 0, + '__cxa_finalize@GLIBC_2.2.5' => 0, + '__errno_location@GLIBC_2.2.5' => 0, + '__fxstat@GLIBC_2.2.5' => 0, + '__getdelim@GLIBC_2.2.5' => 0, + '__gmon_start__' => 0, + '__isoc99_sscanf@GLIBC_2.7' => 0, + '__strdup@GLIBC_2.2.5' => 0, + '__strndup@GLIBC_2.2.5' => 0, + '__xstat@GLIBC_2.2.5' => 0, + 'asprintf@GLIBC_2.2.5' => 0, + 'bind@GLIBC_2.2.5' => 0, + 'calloc@GLIBC_2.2.5' => 0, + 'close@GLIBC_2.2.5' => 0, + 'closedir@GLIBC_2.2.5' => 0, + 'dirfd@GLIBC_2.2.5' => 0, + 'dlerror@GLIBC_2.2.5' => 0, + 'dlopen@GLIBC_2.2.5' => 0, + 'fclose@GLIBC_2.2.5' => 0, + 'fgets_unlocked@GLIBC_2.2.5' => 0, + 'fnmatch@GLIBC_2.2.5' => 0, + 'fopen@GLIBC_2.2.5' => 0, + 'fprintf@GLIBC_2.2.5' => 0, + 'free@GLIBC_2.2.5' => 0, + 'freeaddrinfo@GLIBC_2.2.5' => 0, + 'freeifaddrs@GLIBC_2.3' => 0, + 'fwrite@GLIBC_2.2.5' => 0, + 'getenv@GLIBC_2.2.5' => 0, + 'geteuid@GLIBC_2.2.5' => 0, + 'getifaddrs@GLIBC_2.3' => 0, + 'getpid@GLIBC_2.2.5' => 0, + 'getrlimit@GLIBC_2.2.5' => 0, + 'getuid@GLIBC_2.2.5' => 0, + 'if_nametoindex@GLIBC_2.2.5' => 0, + 'inotify_add_watch@GLIBC_2.4' => 0, + 'inotify_init1@GLIBC_2.9' => 0, + 'ioctl@GLIBC_2.2.5' => 0, + 'madvise@GLIBC_2.2.5' => 0, + 'malloc@GLIBC_2.2.5' => 0, + 'memcmp@GLIBC_2.2.5' => 0, + 'memcpy@GLIBC_2.14' => 0, + 'memmove@GLIBC_2.2.5' => 0, + 'memset@GLIBC_2.2.5' => 0, + 'nl_addr_build' => 0, + 'nl_addr_clone' => 0, + 'nl_addr_fill_sockaddr' => 0, + 'nl_addr_get_binary_addr' => 0, + 'nl_addr_get_family' => 0, + 'nl_addr_get_len' => 0, + 'nl_addr_get_prefixlen' => 0, + 'nl_addr_info' => 0, + 'nl_addr_put' => 0, + 'nl_addr_set_prefixlen' => 0, + 'nl_cache_free' => 0, + 'nl_cache_mngt_provide' => 0, + 'nl_cache_mngt_unprovide' => 0, + 'nl_cache_refill' => 0, + 'nl_connect' => 0, + 'nl_msg_parse' => 0, + 'nl_object_match_filter' => 0, + 'nl_recvmsgs_default' => 0, + 'nl_send_auto' => 0, + 'nl_send_simple' => 0, + 'nl_socket_add_membership' => 0, + 'nl_socket_alloc' => 0, + 'nl_socket_disable_auto_ack' => 0, + 'nl_socket_disable_msg_peek' => 0, + 'nl_socket_disable_seq_check' => 0, + 'nl_socket_free' => 0, + 'nl_socket_get_fd' => 0, + 'nl_socket_modify_cb' => 0, + 'nl_socket_modify_err_cb' => 0, + 'nla_get_string' => 0, + 'nla_get_u32' => 0, + 'nla_get_u64' => 0, + 'nla_get_u8' => 0, + 'nla_put' => 0, + 'nlmsg_alloc_simple' => 0, + 'nlmsg_append' => 0, + 'nlmsg_free' => 0, + 'nlmsg_hdr' => 0, + 'nlmsg_parse' => 0, + 'open@GLIBC_2.2.5' => 0, + 'openat@GLIBC_2.4' => 0, + 'opendir@GLIBC_2.2.5' => 0, + 'poll@GLIBC_2.2.5' => 0, + 'posix_memalign@GLIBC_2.2.5' => 0, + 'pthread_cond_init@GLIBC_2.3.2' => 0, + 'pthread_cond_signal@GLIBC_2.3.2' => 0, + 'pthread_cond_wait@GLIBC_2.3.2' => 0, + 'pthread_mutex_init@GLIBC_2.2.5' => 0, + 'pthread_mutex_lock@GLIBC_2.2.5' => 0, + 'pthread_mutex_trylock@GLIBC_2.2.5' => 0, + 'pthread_mutex_unlock@GLIBC_2.2.5' => 0, + 'read@GLIBC_2.2.5' => 0, + 'readdir@GLIBC_2.2.5' => 0, + 'rtnl_link_alloc_cache' => 0, + 'rtnl_link_get' => 0, + 'rtnl_link_get_addr' => 0, + 'rtnl_link_is_vlan' => 0, + 'rtnl_link_put' => 0, + 'rtnl_link_vlan_get_id' => 0, + 'rtnl_neigh_alloc' => 0, + 'rtnl_neigh_alloc_cache' => 0, + 'rtnl_neigh_get' => 0, + 'rtnl_neigh_get_lladdr' => 0, + 'rtnl_neigh_put' => 0, + 'rtnl_neigh_set_dst' => 0, + 'rtnl_neigh_set_ifindex' => 0, + 'rtnl_route_alloc_cache' => 0, + 'rtnl_route_get_pref_src' => 0, + 'rtnl_route_get_type' => 0, + 'rtnl_route_nexthop_n' => 0, + 'rtnl_route_nh_get_gateway' => 0, + 'rtnl_route_nh_get_ifindex' => 0, + 'select@GLIBC_2.2.5' => 0, + 'sendto@GLIBC_2.2.5' => 0, + 'snprintf@GLIBC_2.2.5' => 0, + 'socket@GLIBC_2.2.5' => 0, + 'sscanf@GLIBC_2.2.5' => 0, + 'stderr@GLIBC_2.2.5' => 0, + 'strcmp@GLIBC_2.2.5' => 0, + 'strcpy@GLIBC_2.2.5' => 0, + 'strlen@GLIBC_2.2.5' => 0, + 'strstr@GLIBC_2.2.5' => 0, + 'strtol@GLIBC_2.2.5' => 0, + 'strtoul@GLIBC_2.2.5' => 0, + 'sysconf@GLIBC_2.2.5' => 0, + 'timerfd_create@GLIBC_2.8' => 0, + 'timerfd_settime@GLIBC_2.8' => 0, + 'vasprintf@GLIBC_2.2.5' => 0, + 'write@GLIBC_2.2.5' => 0 + } + }, + 'WordSize' => '8' + }; diff --git a/ABI/mlx4.dump b/ABI/mlx4.dump new file mode 100644 index 00000000..2f7a9dd4 --- /dev/null +++ b/ABI/mlx4.dump @@ -0,0 +1,3186 @@ +$VAR1 = { + 'ABI_DUMPER_VERSION' => '0.99.12', + 'ABI_DUMP_VERSION' => '3.2', + 'Arch' => 'x86_64', + 'Compiler' => 'clang version 7.0.0 (tags/RELEASE_700/final)', + 'Headers' => { + 'int-ll64.h' => 1, + 'mlx4dv.h' => 1, + 'pthreadtypes.h' => 1, + 'stddef.h' => 1, + 'stdint.h' => 1, + 'types.h' => 1, + 'verbs.h' => 1 + }, + 'Language' => 'C', + 'LibraryName' => 'libmlx4.so.1.0.26.0', + 'LibraryVersion' => 'mlx4', + 'NameSpaces' => {}, + 'Needed' => { + 'libc.so.6' => 1, + 'libibverbs.so.1' => 1, + 'libpthread.so.0' => 1 + }, + 'Sources' => { + 'mlx4.c' => 1, + 'verbs.c' => 1 + }, + 'SymbolInfo' => { + '132088' => { + 'Line' => '1028', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2301' + }, + '1' => { + 'name' => 'attr', + 'type' => '10446' + }, + '2' => { + 'name' => 'mlx4_qp_attr', + 'type' => '91972' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '3299', + 'ShortName' => 'mlx4dv_create_qp', + 'Source' => 'verbs.c' + }, + '69392' => { + 'Line' => '402', + 'Param' => { + '0' => { + 'name' => 'obj', + 'type' => '70457' + }, + '1' => { + 'name' => 'obj_type', + 'type' => '4240' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '103', + 'ShortName' => 'mlx4dv_init_obj', + 'Source' => 'mlx4.c' + }, + '69668' => { + 'Line' => '418', + 'Param' => { + '0' => { + 'name' => 'ctx_in', + 'type' => '2301' + }, + '1' => { + 'name' => 'attrs_out', + 'type' => '70635' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '103', + 'ShortName' => 'mlx4dv_query_device', + 'Source' => 'mlx4.c' + }, + '69761' => { + 'Line' => '431', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2301' + }, + '1' => { + 'name' => 'attr_type', + 'type' => '64033' + }, + '2' => { + 'name' => 'attr', + 'type' => '1248' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '103', + 'ShortName' => 'mlx4dv_set_context_attr', + 'Source' => 'mlx4.c' + } + }, + 'SymbolVersion' => { + '_ITM_deregisterTMCloneTable' => 'verbs_uninit_context@@IBVERBS_PRIVATE_25', + '_ITM_registerTMCloneTable' => 'verbs_uninit_context@@IBVERBS_PRIVATE_25', + '__gmon_start__' => 'verbs_uninit_context@@IBVERBS_PRIVATE_25', + 'mlx4dv_create_qp' => 'mlx4dv_create_qp@@MLX4_1.0', + 'mlx4dv_init_obj' => 'mlx4dv_init_obj@@MLX4_1.0', + 'mlx4dv_query_device' => 'mlx4dv_query_device@@MLX4_1.0', + 'mlx4dv_set_context_attr' => 'mlx4dv_set_context_attr@@MLX4_1.0' + }, + 'Symbols' => { + 'libmlx4.so.1.0.26.0' => { + 'mlx4dv_create_qp@@MLX4_1.0' => 1, + 'mlx4dv_init_obj@@MLX4_1.0' => 1, + 'mlx4dv_query_device@@MLX4_1.0' => 1, + 'mlx4dv_set_context_attr@@MLX4_1.0' => 1 + } + }, + 'Target' => 'unix', + 'TypeInfo' => { + '1' => { + 'Name' => 'void', + 'Type' => 'Intrinsic' + }, + '103' => { + 'Name' => 'int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '10397' => { + 'BaseType' => '10402', + 'Name' => 'struct ibv_xrcd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10402' => { + 'Header' => 'verbs.h', + 'Line' => '617', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2301' + } + }, + 'Name' => 'struct ibv_xrcd', + 'Size' => '8', + 'Type' => 'Struct' + }, + '10446' => { + 'BaseType' => '10451', + 'Name' => 'struct ibv_qp_init_attr_ex*', + 'Size' => '136', + 'Type' => 'Pointer' + }, + '10451' => { + 'Header' => 'verbs.h', + 'Line' => '929', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '1248' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '3483' + }, + '10' => { + 'name' => 'create_flags', + 'offset' => '80', + 'type' => '3262' + }, + '11' => { + 'name' => 'max_tso_header', + 'offset' => '84', + 'type' => '4713' + }, + '12' => { + 'name' => 'rwq_ind_tbl', + 'offset' => '88', + 'type' => '7432' + }, + '13' => { + 'name' => 'rx_hash_conf', + 'offset' => '96', + 'type' => '10744' + }, + '14' => { + 'name' => 'source_qpn', + 'offset' => '120', + 'type' => '3262' + }, + '15' => { + 'name' => 'send_ops_flags', + 'offset' => '128', + 'type' => '4240' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '16', + 'type' => '3483' + }, + '3' => { + 'name' => 'srq', + 'offset' => '24', + 'type' => '4080' + }, + '4' => { + 'name' => 'cap', + 'offset' => '32', + 'type' => '10669' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '52', + 'type' => '254' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '56', + 'type' => '103' + }, + '7' => { + 'name' => 'comp_mask', + 'offset' => '60', + 'type' => '3262' + }, + '8' => { + 'name' => 'pd', + 'offset' => '64', + 'type' => '3221' + }, + '9' => { + 'name' => 'xrcd', + 'offset' => '72', + 'type' => '10397' + } + }, + 'Name' => 'struct ibv_qp_init_attr_ex', + 'Size' => '136', + 'Type' => 'Struct' + }, + '10669' => { + 'Header' => 'verbs.h', + 'Line' => '870', + 'Memb' => { + '0' => { + 'name' => 'max_send_wr', + 'offset' => '0', + 'type' => '3262' + }, + '1' => { + 'name' => 'max_recv_wr', + 'offset' => '4', + 'type' => '3262' + }, + '2' => { + 'name' => 'max_send_sge', + 'offset' => '8', + 'type' => '3262' + }, + '3' => { + 'name' => 'max_recv_sge', + 'offset' => '12', + 'type' => '3262' + }, + '4' => { + 'name' => 'max_inline_data', + 'offset' => '16', + 'type' => '3262' + } + }, + 'Name' => 'struct ibv_qp_cap', + 'Size' => '20', + 'Type' => 'Struct' + }, + '10744' => { + 'Header' => 'verbs.h', + 'Line' => '920', + 'Memb' => { + '0' => { + 'name' => 'rx_hash_function', + 'offset' => '0', + 'type' => '3092' + }, + '1' => { + 'name' => 'rx_hash_key_len', + 'offset' => '1', + 'type' => '3092' + }, + '2' => { + 'name' => 'rx_hash_key', + 'offset' => '8', + 'type' => '10806' + }, + '3' => { + 'name' => 'rx_hash_fields_mask', + 'offset' => '16', + 'type' => '4240' + } + }, + 'Name' => 'struct ibv_rx_hash_conf', + 'Size' => '24', + 'Type' => 'Struct' + }, + '10806' => { + 'BaseType' => '3092', + 'Name' => 'uint8_t*', + 'Size' => '1', + 'Type' => 'Pointer' + }, + '10832' => { + 'BaseType' => '3262', + 'Name' => 'uint32_t*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '110' => { + 'BaseType' => '103', + 'Header' => 'verbs.h', + 'Line' => '90', + 'Memb' => { + '0' => { + 'name' => 'IBV_TRANSPORT_UNKNOWN', + 'value' => '-1' + }, + '1' => { + 'name' => 'IBV_TRANSPORT_IB', + 'value' => '0' + }, + '2' => { + 'name' => 'IBV_TRANSPORT_IWARP', + 'value' => '1' + }, + '3' => { + 'name' => 'IBV_TRANSPORT_USNIC', + 'value' => '2' + }, + '4' => { + 'name' => 'IBV_TRANSPORT_USNIC_UDP', + 'value' => '3' + }, + '5' => { + 'name' => 'IBV_TRANSPORT_UNSPECIFIED', + 'value' => '4' + } + }, + 'Name' => 'enum ibv_transport_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '11153' => { + 'BaseType' => '11164', + 'Header' => 'types.h', + 'Line' => '86', + 'Name' => 'off_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '11164' => { + 'BaseType' => '3894', + 'Header' => 'types.h', + 'Line' => '131', + 'Name' => '__off_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '12454' => { + 'BaseType' => '4691', + 'Name' => '__be32*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '1248' => { + 'BaseType' => '1', + 'Name' => 'void*', + 'Type' => 'Pointer' + }, + '159' => { + 'BaseType' => '185', + 'Header' => 'verbs.h', + 'Line' => '639', + 'Memb' => { + '0' => { + 'name' => 'IBV_MW_TYPE_1', + 'value' => '1' + }, + '1' => { + 'name' => 'IBV_MW_TYPE_2', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_mw_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '185' => { + 'Name' => 'unsigned int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '192' => { + 'BaseType' => '185', + 'Header' => 'verbs.h', + 'Line' => '998', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_QPS_INIT', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_QPS_RTR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_QPS_RTS', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_QPS_SQD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_QPS_SQE', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_QPS_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_QPS_UNKNOWN', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_qp_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '2301' => { + 'BaseType' => '2306', + 'Name' => 'struct ibv_context*', + 'Size' => '328', + 'Type' => 'Pointer' + }, + '2306' => { + 'Header' => 'verbs.h', + 'Line' => '1935', + 'Memb' => { + '0' => { + 'name' => 'device', + 'offset' => '0', + 'type' => '2413' + }, + '1' => { + 'name' => 'ops', + 'offset' => '8', + 'type' => '2629' + }, + '2' => { + 'name' => 'cmd_fd', + 'offset' => '264', + 'type' => '103' + }, + '3' => { + 'name' => 'async_fd', + 'offset' => '268', + 'type' => '103' + }, + '4' => { + 'name' => 'num_comp_vectors', + 'offset' => '272', + 'type' => '103' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '280', + 'type' => '3669' + }, + '6' => { + 'name' => 'abi_compat', + 'offset' => '320', + 'type' => '1248' + } + }, + 'Name' => 'struct ibv_context', + 'Size' => '328', + 'Type' => 'Struct' + }, + '2413' => { + 'BaseType' => '2418', + 'Name' => 'struct ibv_device*', + 'Size' => '664', + 'Type' => 'Pointer' + }, + '2418' => { + 'Header' => 'verbs.h', + 'Line' => '1878', + 'Memb' => { + '0' => { + 'name' => '_ops', + 'offset' => '0', + 'type' => '2521' + }, + '1' => { + 'name' => 'node_type', + 'offset' => '16', + 'type' => '42' + }, + '2' => { + 'name' => 'transport_type', + 'offset' => '20', + 'type' => '110' + }, + '3' => { + 'name' => 'name', + 'offset' => '24', + 'type' => '2590' + }, + '4' => { + 'name' => 'dev_name', + 'offset' => '88', + 'type' => '2590' + }, + '5' => { + 'name' => 'dev_path', + 'offset' => '152', + 'type' => '2590' + }, + '6' => { + 'name' => 'ibdev_path', + 'offset' => '408', + 'type' => '2590' + } + }, + 'Name' => 'struct ibv_device', + 'Size' => '664', + 'Type' => 'Struct' + }, + '2521' => { + 'Header' => 'verbs.h', + 'Line' => '1868', + 'Memb' => { + '0' => { + 'name' => '_dummy1', + 'offset' => '0', + 'type' => '2557' + }, + '1' => { + 'name' => '_dummy2', + 'offset' => '8', + 'type' => '2578' + } + }, + 'Name' => 'struct _ibv_device_ops', + 'Size' => '16', + 'Type' => 'Struct' + }, + '254' => { + 'BaseType' => '185', + 'Header' => 'verbs.h', + 'Line' => '860', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPT_RC', + 'value' => '2' + }, + '1' => { + 'name' => 'IBV_QPT_UC', + 'value' => '3' + }, + '2' => { + 'name' => 'IBV_QPT_UD', + 'value' => '4' + }, + '3' => { + 'name' => 'IBV_QPT_RAW_PACKET', + 'value' => '8' + }, + '4' => { + 'name' => 'IBV_QPT_XRC_SEND', + 'value' => '9' + }, + '5' => { + 'name' => 'IBV_QPT_XRC_RECV', + 'value' => '10' + }, + '6' => { + 'name' => 'IBV_QPT_DRIVER', + 'value' => '255' + } + }, + 'Name' => 'enum ibv_qp_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '2557' => { + 'Name' => 'struct ibv_context*(*)(struct ibv_device*, int)', + 'Param' => { + '0' => { + 'type' => '2413' + }, + '1' => { + 'type' => '103' + } + }, + 'Return' => '2301', + 'Type' => 'FuncPtr' + }, + '2578' => { + 'Name' => 'void(*)(struct ibv_context*)', + 'Param' => { + '0' => { + 'type' => '2301' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '2590' => { + 'BaseType' => '2602', + 'Name' => 'char[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '2602' => { + 'Name' => 'char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '2629' => { + 'Header' => 'verbs.h', + 'Line' => '1893', + 'Memb' => { + '0' => { + 'name' => '_compat_query_device', + 'offset' => '0', + 'type' => '3056' + }, + '1' => { + 'name' => '_compat_query_port', + 'offset' => '8', + 'type' => '3066' + }, + '10' => { + 'name' => '_compat_create_cq', + 'offset' => '80', + 'type' => '3056' + }, + '11' => { + 'name' => 'poll_cq', + 'offset' => '88', + 'type' => '4453' + }, + '12' => { + 'name' => 'req_notify_cq', + 'offset' => '96', + 'type' => '4731' + }, + '13' => { + 'name' => '_compat_cq_event', + 'offset' => '104', + 'type' => '3056' + }, + '14' => { + 'name' => '_compat_resize_cq', + 'offset' => '112', + 'type' => '3056' + }, + '15' => { + 'name' => '_compat_destroy_cq', + 'offset' => '120', + 'type' => '3056' + }, + '16' => { + 'name' => '_compat_create_srq', + 'offset' => '128', + 'type' => '3056' + }, + '17' => { + 'name' => '_compat_modify_srq', + 'offset' => '136', + 'type' => '3056' + }, + '18' => { + 'name' => '_compat_query_srq', + 'offset' => '144', + 'type' => '3056' + }, + '19' => { + 'name' => '_compat_destroy_srq', + 'offset' => '152', + 'type' => '3056' + }, + '2' => { + 'name' => '_compat_alloc_pd', + 'offset' => '16', + 'type' => '3056' + }, + '20' => { + 'name' => 'post_srq_recv', + 'offset' => '160', + 'type' => '4752' + }, + '21' => { + 'name' => '_compat_create_qp', + 'offset' => '168', + 'type' => '3056' + }, + '22' => { + 'name' => '_compat_query_qp', + 'offset' => '176', + 'type' => '3056' + }, + '23' => { + 'name' => '_compat_modify_qp', + 'offset' => '184', + 'type' => '3056' + }, + '24' => { + 'name' => '_compat_destroy_qp', + 'offset' => '192', + 'type' => '3056' + }, + '25' => { + 'name' => 'post_send', + 'offset' => '200', + 'type' => '4904' + }, + '26' => { + 'name' => 'post_recv', + 'offset' => '208', + 'type' => '5498' + }, + '27' => { + 'name' => '_compat_create_ah', + 'offset' => '216', + 'type' => '3056' + }, + '28' => { + 'name' => '_compat_destroy_ah', + 'offset' => '224', + 'type' => '3056' + }, + '29' => { + 'name' => '_compat_attach_mcast', + 'offset' => '232', + 'type' => '3056' + }, + '3' => { + 'name' => '_compat_dealloc_pd', + 'offset' => '24', + 'type' => '3056' + }, + '30' => { + 'name' => '_compat_detach_mcast', + 'offset' => '240', + 'type' => '3056' + }, + '31' => { + 'name' => '_compat_async_event', + 'offset' => '248', + 'type' => '3056' + }, + '4' => { + 'name' => '_compat_reg_mr', + 'offset' => '32', + 'type' => '3056' + }, + '5' => { + 'name' => '_compat_rereg_mr', + 'offset' => '40', + 'type' => '3056' + }, + '6' => { + 'name' => '_compat_dereg_mr', + 'offset' => '48', + 'type' => '3056' + }, + '7' => { + 'name' => 'alloc_mw', + 'offset' => '56', + 'type' => '3120' + }, + '8' => { + 'name' => 'bind_mw', + 'offset' => '64', + 'type' => '3273' + }, + '9' => { + 'name' => 'dealloc_mw', + 'offset' => '72', + 'type' => '4437' + } + }, + 'Name' => 'struct ibv_context_ops', + 'Size' => '256', + 'Type' => 'Struct' + }, + '3056' => { + 'Name' => 'void*(*)()', + 'Return' => '1248', + 'Type' => 'FuncPtr' + }, + '3066' => { + 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct _compat_ibv_port_attr*)', + 'Param' => { + '0' => { + 'type' => '2301' + }, + '1' => { + 'type' => '3092' + }, + '2' => { + 'type' => '3110' + } + }, + 'Return' => '103', + 'Type' => 'FuncPtr' + }, + '3092' => { + 'BaseType' => '3103', + 'Header' => 'stdint.h', + 'Line' => '48', + 'Name' => 'uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '3103' => { + 'Name' => 'unsigned char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '311' => { + 'BaseType' => '185', + 'Header' => 'verbs.h', + 'Line' => '453', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SUCCESS', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_LOC_LEN_ERR', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_REM_ACCESS_ERR', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WC_REM_OP_ERR', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_WC_RETRY_EXC_ERR', + 'value' => '12' + }, + '13' => { + 'name' => 'IBV_WC_RNR_RETRY_EXC_ERR', + 'value' => '13' + }, + '14' => { + 'name' => 'IBV_WC_LOC_RDD_VIOL_ERR', + 'value' => '14' + }, + '15' => { + 'name' => 'IBV_WC_REM_INV_RD_REQ_ERR', + 'value' => '15' + }, + '16' => { + 'name' => 'IBV_WC_REM_ABORT_ERR', + 'value' => '16' + }, + '17' => { + 'name' => 'IBV_WC_INV_EECN_ERR', + 'value' => '17' + }, + '18' => { + 'name' => 'IBV_WC_INV_EEC_STATE_ERR', + 'value' => '18' + }, + '19' => { + 'name' => 'IBV_WC_FATAL_ERR', + 'value' => '19' + }, + '2' => { + 'name' => 'IBV_WC_LOC_QP_OP_ERR', + 'value' => '2' + }, + '20' => { + 'name' => 'IBV_WC_RESP_TIMEOUT_ERR', + 'value' => '20' + }, + '21' => { + 'name' => 'IBV_WC_GENERAL_ERR', + 'value' => '21' + }, + '22' => { + 'name' => 'IBV_WC_TM_ERR', + 'value' => '22' + }, + '23' => { + 'name' => 'IBV_WC_TM_RNDV_INCOMPLETE', + 'value' => '23' + }, + '3' => { + 'name' => 'IBV_WC_LOC_EEC_OP_ERR', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_LOC_PROT_ERR', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_WR_FLUSH_ERR', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_MW_BIND_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_BAD_RESP_ERR', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_LOC_ACCESS_ERR', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WC_REM_INV_REQ_ERR', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wc_status', + 'Size' => '4', + 'Type' => 'Enum' + }, + '3110' => { + 'BaseType' => '3115', + 'Name' => 'struct _compat_ibv_port_attr*', + 'Type' => 'Pointer' + }, + '3115' => { + 'Name' => 'struct _compat_ibv_port_attr', + 'Type' => 'Struct' + }, + '3120' => { + 'Name' => 'struct ibv_mw*(*)(struct ibv_pd*, enum ibv_mw_type)', + 'Param' => { + '0' => { + 'type' => '3221' + }, + '1' => { + 'type' => '159' + } + }, + 'Return' => '3141', + 'Type' => 'FuncPtr' + }, + '3141' => { + 'BaseType' => '3146', + 'Name' => 'struct ibv_mw*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '3146' => { + 'Header' => 'verbs.h', + 'Line' => '644', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2301' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '3221' + }, + '2' => { + 'name' => 'rkey', + 'offset' => '16', + 'type' => '3262' + }, + '3' => { + 'name' => 'handle', + 'offset' => '20', + 'type' => '3262' + }, + '4' => { + 'name' => 'type', + 'offset' => '24', + 'type' => '159' + } + }, + 'Name' => 'struct ibv_mw', + 'Size' => '32', + 'Type' => 'Struct' + }, + '3221' => { + 'BaseType' => '3226', + 'Name' => 'struct ibv_pd*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '3226' => { + 'Header' => 'verbs.h', + 'Line' => '592', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2301' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '3262' + } + }, + 'Name' => 'struct ibv_pd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '3262' => { + 'BaseType' => '185', + 'Header' => 'stdint.h', + 'Line' => '51', + 'Name' => 'uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '3273' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_mw*, struct ibv_mw_bind*)', + 'Param' => { + '0' => { + 'type' => '3299' + }, + '1' => { + 'type' => '3141' + }, + '2' => { + 'type' => '4186' + } + }, + 'Return' => '103', + 'Type' => 'FuncPtr' + }, + '3299' => { + 'BaseType' => '3304', + 'Name' => 'struct ibv_qp*', + 'Size' => '160', + 'Type' => 'Pointer' + }, + '3304' => { + 'Header' => 'verbs.h', + 'Line' => '1217', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2301' + }, + '1' => { + 'name' => 'qp_context', + 'offset' => '8', + 'type' => '1248' + }, + '10' => { + 'name' => 'mutex', + 'offset' => '64', + 'type' => '3669' + }, + '11' => { + 'name' => 'cond', + 'offset' => '104', + 'type' => '3901' + }, + '12' => { + 'name' => 'events_completed', + 'offset' => '152', + 'type' => '3262' + }, + '2' => { + 'name' => 'pd', + 'offset' => '16', + 'type' => '3221' + }, + '3' => { + 'name' => 'send_cq', + 'offset' => '24', + 'type' => '3483' + }, + '4' => { + 'name' => 'recv_cq', + 'offset' => '32', + 'type' => '3483' + }, + '5' => { + 'name' => 'srq', + 'offset' => '40', + 'type' => '4080' + }, + '6' => { + 'name' => 'handle', + 'offset' => '48', + 'type' => '3262' + }, + '7' => { + 'name' => 'qp_num', + 'offset' => '52', + 'type' => '3262' + }, + '8' => { + 'name' => 'state', + 'offset' => '56', + 'type' => '192' + }, + '9' => { + 'name' => 'qp_type', + 'offset' => '60', + 'type' => '254' + } + }, + 'Name' => 'struct ibv_qp', + 'Size' => '160', + 'Type' => 'Struct' + }, + '3483' => { + 'BaseType' => '3488', + 'Name' => 'struct ibv_cq*', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '3488' => { + 'Header' => 'verbs.h', + 'Line' => '1408', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2301' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '3615' + }, + '2' => { + 'name' => 'cq_context', + 'offset' => '16', + 'type' => '1248' + }, + '3' => { + 'name' => 'handle', + 'offset' => '24', + 'type' => '3262' + }, + '4' => { + 'name' => 'cqe', + 'offset' => '28', + 'type' => '103' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '32', + 'type' => '3669' + }, + '6' => { + 'name' => 'cond', + 'offset' => '72', + 'type' => '3901' + }, + '7' => { + 'name' => 'comp_events_completed', + 'offset' => '120', + 'type' => '3262' + }, + '8' => { + 'name' => 'async_events_completed', + 'offset' => '124', + 'type' => '3262' + } + }, + 'Name' => 'struct ibv_cq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '3615' => { + 'BaseType' => '3620', + 'Name' => 'struct ibv_comp_channel*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '3620' => { + 'Header' => 'verbs.h', + 'Line' => '1402', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2301' + }, + '1' => { + 'name' => 'fd', + 'offset' => '8', + 'type' => '103' + }, + '2' => { + 'name' => 'refcnt', + 'offset' => '12', + 'type' => '103' + } + }, + 'Name' => 'struct ibv_comp_channel', + 'Size' => '16', + 'Type' => 'Struct' + }, + '3669' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '128', + 'Memb' => { + '0' => { + 'name' => '__data', + 'offset' => '0', + 'type' => '3721' + }, + '1' => { + 'name' => '__size', + 'offset' => '0', + 'type' => '2590' + }, + '2' => { + 'name' => '__align', + 'offset' => '0', + 'type' => '3894' + } + }, + 'Name' => 'union pthread_mutex_t', + 'Size' => '40', + 'Type' => 'Union' + }, + '3721' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '92', + 'Memb' => { + '0' => { + 'name' => '__lock', + 'offset' => '0', + 'type' => '103' + }, + '1' => { + 'name' => '__count', + 'offset' => '4', + 'type' => '185' + }, + '2' => { + 'name' => '__owner', + 'offset' => '8', + 'type' => '103' + }, + '3' => { + 'name' => '__nusers', + 'offset' => '12', + 'type' => '185' + }, + '4' => { + 'name' => '__kind', + 'offset' => '16', + 'type' => '103' + }, + '5' => { + 'name' => '__spins', + 'offset' => '20', + 'type' => '3826' + }, + '6' => { + 'name' => '__elision', + 'offset' => '22', + 'type' => '3826' + }, + '7' => { + 'name' => '__list', + 'offset' => '24', + 'type' => '3833' + } + }, + 'Name' => 'struct __pthread_mutex_s', + 'Size' => '40', + 'Type' => 'Struct' + }, + '3826' => { + 'Name' => 'short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '3833' => { + 'BaseType' => '3844', + 'Header' => 'pthreadtypes.h', + 'Line' => '79', + 'Name' => '__pthread_list_t', + 'Size' => '16', + 'Type' => 'Typedef' + }, + '3844' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '75', + 'Memb' => { + '0' => { + 'name' => '__prev', + 'offset' => '0', + 'type' => '3877' + }, + '1' => { + 'name' => '__next', + 'offset' => '8', + 'type' => '3877' + } + }, + 'Name' => 'struct __pthread_internal_list', + 'Size' => '16', + 'Type' => 'Struct' + }, + '3877' => { + 'BaseType' => '3844', + 'Name' => 'struct __pthread_internal_list*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '3894' => { + 'Name' => 'long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '3901' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '154', + 'Memb' => { + '0' => { + 'name' => '__data', + 'offset' => '0', + 'type' => '3928' + }, + '1' => { + 'name' => '__size', + 'offset' => '0', + 'type' => '2590' + }, + '2' => { + 'name' => '__align', + 'offset' => '0', + 'type' => '4073' + } + }, + 'Name' => 'union pthread_cond_t', + 'Size' => '48', + 'Type' => 'Union' + }, + '3928' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '141', + 'Memb' => { + '0' => { + 'name' => '__lock', + 'offset' => '0', + 'type' => '103' + }, + '1' => { + 'name' => '__futex', + 'offset' => '4', + 'type' => '185' + }, + '2' => { + 'name' => '__total_seq', + 'offset' => '8', + 'type' => '4054' + }, + '3' => { + 'name' => '__wakeup_seq', + 'offset' => '16', + 'type' => '4054' + }, + '4' => { + 'name' => '__woken_seq', + 'offset' => '24', + 'type' => '4054' + }, + '5' => { + 'name' => '__mutex', + 'offset' => '32', + 'type' => '1248' + }, + '6' => { + 'name' => '__nwaiters', + 'offset' => '40', + 'type' => '185' + }, + '7' => { + 'name' => '__broadcast_seq', + 'offset' => '44', + 'type' => '185' + } + }, + 'Name' => 'anon-struct-pthreadtypes.h-141', + 'Size' => '48', + 'Type' => 'Struct' + }, + '4054' => { + 'Name' => 'unsigned long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '4073' => { + 'Name' => 'long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '4080' => { + 'BaseType' => '4085', + 'Name' => 'struct ibv_srq*', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '4085' => { + 'Header' => 'verbs.h', + 'Line' => '1177', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2301' + }, + '1' => { + 'name' => 'srq_context', + 'offset' => '8', + 'type' => '1248' + }, + '2' => { + 'name' => 'pd', + 'offset' => '16', + 'type' => '3221' + }, + '3' => { + 'name' => 'handle', + 'offset' => '24', + 'type' => '3262' + }, + '4' => { + 'name' => 'mutex', + 'offset' => '32', + 'type' => '3669' + }, + '5' => { + 'name' => 'cond', + 'offset' => '72', + 'type' => '3901' + }, + '6' => { + 'name' => 'events_completed', + 'offset' => '120', + 'type' => '3262' + } + }, + 'Name' => 'struct ibv_srq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '4186' => { + 'BaseType' => '4191', + 'Name' => 'struct ibv_mw_bind*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '4191' => { + 'Header' => 'verbs.h', + 'Line' => '1171', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '4240' + }, + '1' => { + 'name' => 'send_flags', + 'offset' => '8', + 'type' => '185' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '16', + 'type' => '4258' + } + }, + 'Name' => 'struct ibv_mw_bind', + 'Size' => '48', + 'Type' => 'Struct' + }, + '42' => { + 'BaseType' => '103', + 'Header' => 'verbs.h', + 'Line' => '79', + 'Memb' => { + '0' => { + 'name' => 'IBV_NODE_UNKNOWN', + 'value' => '-1' + }, + '1' => { + 'name' => 'IBV_NODE_CA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_NODE_SWITCH', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_NODE_ROUTER', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_NODE_RNIC', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_NODE_USNIC', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_NODE_USNIC_UDP', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_NODE_UNSPECIFIED', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_node_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '4240' => { + 'BaseType' => '4251', + 'Header' => 'stdint.h', + 'Line' => '55', + 'Name' => 'uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '4251' => { + 'Name' => 'unsigned long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '4258' => { + 'Header' => 'verbs.h', + 'Line' => '585', + 'Memb' => { + '0' => { + 'name' => 'mr', + 'offset' => '0', + 'type' => '4320' + }, + '1' => { + 'name' => 'addr', + 'offset' => '8', + 'type' => '4240' + }, + '2' => { + 'name' => 'length', + 'offset' => '16', + 'type' => '4240' + }, + '3' => { + 'name' => 'mw_access_flags', + 'offset' => '24', + 'type' => '185' + } + }, + 'Name' => 'struct ibv_mw_bind_info', + 'Size' => '32', + 'Type' => 'Struct' + }, + '4320' => { + 'BaseType' => '4325', + 'Name' => 'struct ibv_mr*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '4325' => { + 'Header' => 'verbs.h', + 'Line' => '629', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2301' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '3221' + }, + '2' => { + 'name' => 'addr', + 'offset' => '16', + 'type' => '1248' + }, + '3' => { + 'name' => 'length', + 'offset' => '24', + 'type' => '4426' + }, + '4' => { + 'name' => 'handle', + 'offset' => '32', + 'type' => '3262' + }, + '5' => { + 'name' => 'lkey', + 'offset' => '36', + 'type' => '3262' + }, + '6' => { + 'name' => 'rkey', + 'offset' => '40', + 'type' => '3262' + } + }, + 'Name' => 'struct ibv_mr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '4426' => { + 'BaseType' => '4251', + 'Header' => 'stddef.h', + 'Line' => '62', + 'Name' => 'size_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '4437' => { + 'Name' => 'int(*)(struct ibv_mw*)', + 'Param' => { + '0' => { + 'type' => '3141' + } + }, + 'Return' => '103', + 'Type' => 'FuncPtr' + }, + '4453' => { + 'Name' => 'int(*)(struct ibv_cq*, int, struct ibv_wc*)', + 'Param' => { + '0' => { + 'type' => '3483' + }, + '1' => { + 'type' => '103' + }, + '2' => { + 'type' => '4479' + } + }, + 'Return' => '103', + 'Type' => 'FuncPtr' + }, + '4479' => { + 'BaseType' => '4484', + 'Name' => 'struct ibv_wc*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '4484' => { + 'Header' => 'verbs.h', + 'Line' => '553', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '4240' + }, + '1' => { + 'name' => 'status', + 'offset' => '8', + 'type' => '311' + }, + '10' => { + 'name' => 'slid', + 'offset' => '42', + 'type' => '4713' + }, + '11' => { + 'name' => 'sl', + 'offset' => '44', + 'type' => '3092' + }, + '12' => { + 'name' => 'dlid_path_bits', + 'offset' => '45', + 'type' => '3092' + }, + '2' => { + 'name' => 'opcode', + 'offset' => '12', + 'type' => '469' + }, + '3' => { + 'name' => 'vendor_err', + 'offset' => '16', + 'type' => '3262' + }, + '4' => { + 'name' => 'byte_len', + 'offset' => '20', + 'type' => '3262' + }, + '5' => { + 'name' => 'unnamed0', + 'offset' => '24', + 'type' => '4567' + }, + '6' => { + 'name' => 'qp_num', + 'offset' => '28', + 'type' => '3262' + }, + '7' => { + 'name' => 'src_qp', + 'offset' => '32', + 'type' => '3262' + }, + '8' => { + 'name' => 'wc_flags', + 'offset' => '36', + 'type' => '185' + }, + '9' => { + 'name' => 'pkey_index', + 'offset' => '40', + 'type' => '4713' + } + }, + 'Name' => 'struct ibv_wc', + 'Size' => '48', + 'Type' => 'Struct' + }, + '4567' => { + 'Header' => 'verbs.h', + 'Line' => '562', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '4691' + }, + '1' => { + 'name' => 'invalidated_rkey', + 'offset' => '0', + 'type' => '3262' + } + }, + 'Name' => 'ibv_wc::anon-union-verbs.h-562', + 'NameSpace' => 'ibv_wc', + 'Size' => '4', + 'Type' => 'Union' + }, + '469' => { + 'BaseType' => '185', + 'Header' => 'verbs.h', + 'Line' => '481', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SEND', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_RDMA_WRITE', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_TM_ADD', + 'value' => '130' + }, + '11' => { + 'name' => 'IBV_WC_TM_DEL', + 'value' => '131' + }, + '12' => { + 'name' => 'IBV_WC_TM_SYNC', + 'value' => '132' + }, + '13' => { + 'name' => 'IBV_WC_TM_RECV', + 'value' => '133' + }, + '14' => { + 'name' => 'IBV_WC_TM_NO_TAG', + 'value' => '134' + }, + '15' => { + 'name' => 'IBV_WC_DRIVER1', + 'value' => '135' + }, + '2' => { + 'name' => 'IBV_WC_RDMA_READ', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WC_COMP_SWAP', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_FETCH_ADD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_BIND_MW', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_LOCAL_INV', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_TSO', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_RECV', + 'value' => '128' + }, + '9' => { + 'name' => 'IBV_WC_RECV_RDMA_WITH_IMM', + 'value' => '129' + } + }, + 'Name' => 'enum ibv_wc_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '4691' => { + 'BaseType' => '4702', + 'Header' => 'types.h', + 'Line' => '30', + 'Name' => '__be32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '4702' => { + 'BaseType' => '185', + 'Header' => 'int-ll64.h', + 'Line' => '26', + 'Name' => '__u32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '4713' => { + 'BaseType' => '4724', + 'Header' => 'stdint.h', + 'Line' => '49', + 'Name' => 'uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '4724' => { + 'Name' => 'unsigned short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '4731' => { + 'Name' => 'int(*)(struct ibv_cq*, int)', + 'Param' => { + '0' => { + 'type' => '3483' + }, + '1' => { + 'type' => '103' + } + }, + 'Return' => '103', + 'Type' => 'FuncPtr' + }, + '4752' => { + 'Name' => 'int(*)(struct ibv_srq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '4080' + }, + '1' => { + 'type' => '4778' + }, + '2' => { + 'type' => '4899' + } + }, + 'Return' => '103', + 'Type' => 'FuncPtr' + }, + '4778' => { + 'BaseType' => '4783', + 'Name' => 'struct ibv_recv_wr*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '4783' => { + 'Header' => 'verbs.h', + 'Line' => '1135', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '4240' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '4778' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '16', + 'type' => '4845' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '24', + 'type' => '103' + } + }, + 'Name' => 'struct ibv_recv_wr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '4845' => { + 'BaseType' => '4850', + 'Name' => 'struct ibv_sge*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '4850' => { + 'Header' => 'verbs.h', + 'Line' => '1079', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '4240' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '3262' + }, + '2' => { + 'name' => 'lkey', + 'offset' => '12', + 'type' => '3262' + } + }, + 'Name' => 'struct ibv_sge', + 'Size' => '16', + 'Type' => 'Struct' + }, + '4899' => { + 'BaseType' => '4778', + 'Name' => 'struct ibv_recv_wr**', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '4904' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_send_wr*, struct ibv_send_wr**)', + 'Param' => { + '0' => { + 'type' => '3299' + }, + '1' => { + 'type' => '4930' + }, + '2' => { + 'type' => '5493' + } + }, + 'Return' => '103', + 'Type' => 'FuncPtr' + }, + '4930' => { + 'BaseType' => '4935', + 'Name' => 'struct ibv_send_wr*', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '4935' => { + 'Header' => 'verbs.h', + 'Line' => '1085', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '4240' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '4930' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '16', + 'type' => '4845' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '24', + 'type' => '103' + }, + '4' => { + 'name' => 'opcode', + 'offset' => '28', + 'type' => '587' + }, + '5' => { + 'name' => 'send_flags', + 'offset' => '32', + 'type' => '185' + }, + '6' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '5031' + }, + '7' => { + 'name' => 'wr', + 'offset' => '40', + 'type' => '5076' + }, + '8' => { + 'name' => 'qp_type', + 'offset' => '72', + 'type' => '5269' + }, + '9' => { + 'name' => 'unnamed1', + 'offset' => '80', + 'type' => '5316' + } + }, + 'Name' => 'struct ibv_send_wr', + 'Size' => '128', + 'Type' => 'Struct' + }, + '5031' => { + 'Header' => 'verbs.h', + 'Line' => '1095', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '4691' + }, + '1' => { + 'name' => 'invalidate_rkey', + 'offset' => '0', + 'type' => '3262' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1095', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '4', + 'Type' => 'Union' + }, + '5076' => { + 'Header' => 'verbs.h', + 'Line' => '1099', + 'Memb' => { + '0' => { + 'name' => 'rdma', + 'offset' => '0', + 'type' => '5094' + }, + '1' => { + 'name' => 'atomic', + 'offset' => '0', + 'type' => '5139' + }, + '2' => { + 'name' => 'ud', + 'offset' => '0', + 'type' => '5210' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1099', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '32', + 'Type' => 'Union' + }, + '5094' => { + 'Header' => 'verbs.h', + 'Line' => '1100', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '4240' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '3262' + } + }, + 'Name' => 'anon-struct-verbs.h-1100', + 'Size' => '16', + 'Type' => 'Struct' + }, + '5139' => { + 'Header' => 'verbs.h', + 'Line' => '1104', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '4240' + }, + '1' => { + 'name' => 'compare_add', + 'offset' => '8', + 'type' => '4240' + }, + '2' => { + 'name' => 'swap', + 'offset' => '16', + 'type' => '4240' + }, + '3' => { + 'name' => 'rkey', + 'offset' => '24', + 'type' => '3262' + } + }, + 'Name' => 'anon-struct-verbs.h-1104', + 'Size' => '32', + 'Type' => 'Struct' + }, + '5210' => { + 'Header' => 'verbs.h', + 'Line' => '1110', + 'Memb' => { + '0' => { + 'name' => 'ah', + 'offset' => '0', + 'type' => '5439' + }, + '1' => { + 'name' => 'remote_qpn', + 'offset' => '8', + 'type' => '3262' + }, + '2' => { + 'name' => 'remote_qkey', + 'offset' => '12', + 'type' => '3262' + } + }, + 'Name' => 'anon-struct-verbs.h-1110', + 'Size' => '16', + 'Type' => 'Struct' + }, + '5269' => { + 'Header' => 'verbs.h', + 'Line' => '1116', + 'Memb' => { + '0' => { + 'name' => 'xrc', + 'offset' => '0', + 'type' => '5287' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1116', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '4', + 'Type' => 'Union' + }, + '5287' => { + 'Header' => 'verbs.h', + 'Line' => '1117', + 'Memb' => { + '0' => { + 'name' => 'remote_srqn', + 'offset' => '0', + 'type' => '3262' + } + }, + 'Name' => 'anon-struct-verbs.h-1117', + 'Size' => '4', + 'Type' => 'Struct' + }, + '5316' => { + 'Header' => 'verbs.h', + 'Line' => '1121', + 'Memb' => { + '0' => { + 'name' => 'bind_mw', + 'offset' => '0', + 'type' => '5334' + }, + '1' => { + 'name' => 'tso', + 'offset' => '0', + 'type' => '5392' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1121', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '48', + 'Type' => 'Union' + }, + '5334' => { + 'Header' => 'verbs.h', + 'Line' => '1122', + 'Memb' => { + '0' => { + 'name' => 'mw', + 'offset' => '0', + 'type' => '3141' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '3262' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '16', + 'type' => '4258' + } + }, + 'Name' => 'anon-struct-verbs.h-1122', + 'Size' => '48', + 'Type' => 'Struct' + }, + '5392' => { + 'Header' => 'verbs.h', + 'Line' => '1127', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1248' + }, + '1' => { + 'name' => 'hdr_sz', + 'offset' => '8', + 'type' => '4713' + }, + '2' => { + 'name' => 'mss', + 'offset' => '10', + 'type' => '4713' + } + }, + 'Name' => 'anon-struct-verbs.h-1127', + 'Size' => '16', + 'Type' => 'Struct' + }, + '5439' => { + 'BaseType' => '5444', + 'Name' => 'struct ibv_ah*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '5444' => { + 'Header' => 'verbs.h', + 'Line' => '1595', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2301' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '3221' + }, + '2' => { + 'name' => 'handle', + 'offset' => '16', + 'type' => '3262' + } + }, + 'Name' => 'struct ibv_ah', + 'Size' => '24', + 'Type' => 'Struct' + }, + '5493' => { + 'BaseType' => '4930', + 'Name' => 'struct ibv_send_wr**', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '5498' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '3299' + }, + '1' => { + 'type' => '4778' + }, + '2' => { + 'type' => '4899' + } + }, + 'Return' => '103', + 'Type' => 'FuncPtr' + }, + '587' => { + 'BaseType' => '185', + 'Header' => 'verbs.h', + 'Line' => '1051', + 'Memb' => { + '0' => { + 'name' => 'IBV_WR_RDMA_WRITE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WR_RDMA_WRITE_WITH_IMM', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WR_TSO', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WR_DRIVER1', + 'value' => '11' + }, + '2' => { + 'name' => 'IBV_WR_SEND', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WR_SEND_WITH_IMM', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WR_RDMA_READ', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WR_ATOMIC_CMP_AND_SWP', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WR_ATOMIC_FETCH_AND_ADD', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WR_LOCAL_INV', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WR_BIND_MW', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WR_SEND_WITH_INV', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wr_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '64033' => { + 'BaseType' => '185', + 'Header' => 'mlx4dv.h', + 'Line' => '539', + 'Memb' => { + '0' => { + 'name' => 'MLX4DV_SET_CTX_ATTR_LOG_WQS_RANGE_SZ', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX4DV_SET_CTX_ATTR_BUF_ALLOCATORS', + 'value' => '1' + } + }, + 'Name' => 'enum mlx4dv_set_ctx_attr_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '68599' => { + 'BaseType' => '68604', + 'Name' => 'struct mlx4dv_qp*', + 'Size' => '80', + 'Type' => 'Pointer' + }, + '68604' => { + 'Header' => 'mlx4dv.h', + 'Line' => '157', + 'Memb' => { + '0' => { + 'name' => 'rdb', + 'offset' => '0', + 'type' => '12454' + }, + '1' => { + 'name' => 'sdb', + 'offset' => '8', + 'type' => '10832' + }, + '2' => { + 'name' => 'doorbell_qpn', + 'offset' => '16', + 'type' => '4691' + }, + '3' => { + 'name' => 'sq', + 'offset' => '20', + 'type' => '68660' + }, + '4' => { + 'name' => 'rq', + 'offset' => '32', + 'type' => '68713' + }, + '5' => { + 'name' => 'buf', + 'offset' => '48', + 'type' => '68766' + }, + '6' => { + 'name' => 'comp_mask', + 'offset' => '64', + 'type' => '4240' + }, + '7' => { + 'name' => 'uar_mmap_offset', + 'offset' => '72', + 'type' => '11153' + } + }, + 'Name' => 'struct mlx4dv_qp', + 'Size' => '80', + 'Type' => 'Struct' + }, + '68660' => { + 'Header' => 'mlx4dv.h', + 'Line' => '161', + 'Memb' => { + '0' => { + 'name' => 'wqe_cnt', + 'offset' => '0', + 'type' => '3262' + }, + '1' => { + 'name' => 'wqe_shift', + 'offset' => '4', + 'type' => '103' + }, + '2' => { + 'name' => 'offset', + 'offset' => '8', + 'type' => '103' + } + }, + 'Name' => 'mlx4dv_qp::anon-struct-mlx4dv.h-161', + 'NameSpace' => 'mlx4dv_qp', + 'Size' => '12', + 'Type' => 'Struct' + }, + '68713' => { + 'Header' => 'mlx4dv.h', + 'Line' => '166', + 'Memb' => { + '0' => { + 'name' => 'wqe_cnt', + 'offset' => '0', + 'type' => '3262' + }, + '1' => { + 'name' => 'wqe_shift', + 'offset' => '4', + 'type' => '103' + }, + '2' => { + 'name' => 'offset', + 'offset' => '8', + 'type' => '103' + } + }, + 'Name' => 'mlx4dv_qp::anon-struct-mlx4dv.h-166', + 'NameSpace' => 'mlx4dv_qp', + 'Size' => '12', + 'Type' => 'Struct' + }, + '68766' => { + 'Header' => 'mlx4dv.h', + 'Line' => '171', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '1248' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '4426' + } + }, + 'Name' => 'mlx4dv_qp::anon-struct-mlx4dv.h-171', + 'NameSpace' => 'mlx4dv_qp', + 'Size' => '16', + 'Type' => 'Struct' + }, + '68894' => { + 'BaseType' => '68899', + 'Name' => 'struct mlx4dv_cq*', + 'Size' => '64', + 'Type' => 'Pointer' + }, + '68899' => { + 'Header' => 'mlx4dv.h', + 'Line' => '183', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '68919' + }, + '1' => { + 'name' => 'cqe_cnt', + 'offset' => '16', + 'type' => '3262' + }, + '2' => { + 'name' => 'cqn', + 'offset' => '20', + 'type' => '3262' + }, + '3' => { + 'name' => 'set_ci_db', + 'offset' => '24', + 'type' => '12454' + }, + '4' => { + 'name' => 'arm_db', + 'offset' => '32', + 'type' => '12454' + }, + '5' => { + 'name' => 'arm_sn', + 'offset' => '40', + 'type' => '103' + }, + '6' => { + 'name' => 'cqe_size', + 'offset' => '44', + 'type' => '103' + }, + '7' => { + 'name' => 'comp_mask', + 'offset' => '48', + 'type' => '4240' + }, + '8' => { + 'name' => 'cq_uar', + 'offset' => '56', + 'type' => '1248' + } + }, + 'Name' => 'struct mlx4dv_cq', + 'Size' => '64', + 'Type' => 'Struct' + }, + '68919' => { + 'Header' => 'mlx4dv.h', + 'Line' => '184', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '1248' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '4426' + } + }, + 'Name' => 'mlx4dv_cq::anon-struct-mlx4dv.h-184', + 'NameSpace' => 'mlx4dv_cq', + 'Size' => '16', + 'Type' => 'Struct' + }, + '69095' => { + 'BaseType' => '69100', + 'Name' => 'struct mlx4dv_srq*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '69100' => { + 'Header' => 'mlx4dv.h', + 'Line' => '198', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '69120' + }, + '1' => { + 'name' => 'wqe_shift', + 'offset' => '16', + 'type' => '103' + }, + '2' => { + 'name' => 'head', + 'offset' => '20', + 'type' => '103' + }, + '3' => { + 'name' => 'tail', + 'offset' => '24', + 'type' => '103' + }, + '4' => { + 'name' => 'db', + 'offset' => '32', + 'type' => '12454' + }, + '5' => { + 'name' => 'comp_mask', + 'offset' => '40', + 'type' => '4240' + } + }, + 'Name' => 'struct mlx4dv_srq', + 'Size' => '48', + 'Type' => 'Struct' + }, + '69120' => { + 'Header' => 'mlx4dv.h', + 'Line' => '199', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '1248' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '4426' + } + }, + 'Name' => 'mlx4dv_srq::anon-struct-mlx4dv.h-199', + 'NameSpace' => 'mlx4dv_srq', + 'Size' => '16', + 'Type' => 'Struct' + }, + '69260' => { + 'BaseType' => '69265', + 'Name' => 'struct mlx4dv_rwq*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '69265' => { + 'Header' => 'mlx4dv.h', + 'Line' => '210', + 'Memb' => { + '0' => { + 'name' => 'rdb', + 'offset' => '0', + 'type' => '12454' + }, + '1' => { + 'name' => 'rq', + 'offset' => '8', + 'type' => '69297' + }, + '2' => { + 'name' => 'buf', + 'offset' => '24', + 'type' => '69350' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '40', + 'type' => '4240' + } + }, + 'Name' => 'struct mlx4dv_rwq', + 'Size' => '48', + 'Type' => 'Struct' + }, + '69297' => { + 'Header' => 'mlx4dv.h', + 'Line' => '212', + 'Memb' => { + '0' => { + 'name' => 'wqe_cnt', + 'offset' => '0', + 'type' => '3262' + }, + '1' => { + 'name' => 'wqe_shift', + 'offset' => '4', + 'type' => '103' + }, + '2' => { + 'name' => 'offset', + 'offset' => '8', + 'type' => '103' + } + }, + 'Name' => 'mlx4dv_rwq::anon-struct-mlx4dv.h-212', + 'NameSpace' => 'mlx4dv_rwq', + 'Size' => '12', + 'Type' => 'Struct' + }, + '69350' => { + 'Header' => 'mlx4dv.h', + 'Line' => '217', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '1248' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '4426' + } + }, + 'Name' => 'mlx4dv_rwq::anon-struct-mlx4dv.h-217', + 'NameSpace' => 'mlx4dv_rwq', + 'Size' => '16', + 'Type' => 'Struct' + }, + '70457' => { + 'BaseType' => '70462', + 'Name' => 'struct mlx4dv_obj*', + 'Size' => '64', + 'Type' => 'Pointer' + }, + '70462' => { + 'Header' => 'mlx4dv.h', + 'Line' => '224', + 'Memb' => { + '0' => { + 'name' => 'qp', + 'offset' => '0', + 'type' => '70482' + }, + '1' => { + 'name' => 'cq', + 'offset' => '16', + 'type' => '70523' + }, + '2' => { + 'name' => 'srq', + 'offset' => '32', + 'type' => '70564' + }, + '3' => { + 'name' => 'rwq', + 'offset' => '48', + 'type' => '70605' + } + }, + 'Name' => 'struct mlx4dv_obj', + 'Size' => '64', + 'Type' => 'Struct' + }, + '70482' => { + 'Header' => 'mlx4dv.h', + 'Line' => '225', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '3299' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '68599' + } + }, + 'Name' => 'mlx4dv_obj::anon-struct-mlx4dv.h-225', + 'NameSpace' => 'mlx4dv_obj', + 'Size' => '16', + 'Type' => 'Struct' + }, + '70523' => { + 'Header' => 'mlx4dv.h', + 'Line' => '229', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '3483' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '68894' + } + }, + 'Name' => 'mlx4dv_obj::anon-struct-mlx4dv.h-229', + 'NameSpace' => 'mlx4dv_obj', + 'Size' => '16', + 'Type' => 'Struct' + }, + '70564' => { + 'Header' => 'mlx4dv.h', + 'Line' => '233', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '4080' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '69095' + } + }, + 'Name' => 'mlx4dv_obj::anon-struct-mlx4dv.h-233', + 'NameSpace' => 'mlx4dv_obj', + 'Size' => '16', + 'Type' => 'Struct' + }, + '70605' => { + 'Header' => 'mlx4dv.h', + 'Line' => '237', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '7579' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '69260' + } + }, + 'Name' => 'mlx4dv_obj::anon-struct-mlx4dv.h-237', + 'NameSpace' => 'mlx4dv_obj', + 'Size' => '16', + 'Type' => 'Struct' + }, + '70635' => { + 'BaseType' => '70640', + 'Name' => 'struct mlx4dv_context*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '70640' => { + 'Header' => 'mlx4dv.h', + 'Line' => '437', + 'Memb' => { + '0' => { + 'name' => 'version', + 'offset' => '0', + 'type' => '3092' + }, + '1' => { + 'name' => 'max_inl_recv_sz', + 'offset' => '4', + 'type' => '3262' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '4240' + } + }, + 'Name' => 'struct mlx4dv_context', + 'Size' => '16', + 'Type' => 'Struct' + }, + '7432' => { + 'BaseType' => '7437', + 'Name' => 'struct ibv_rwq_ind_table*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '7437' => { + 'Header' => 'verbs.h', + 'Line' => '839', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2301' + }, + '1' => { + 'name' => 'ind_tbl_handle', + 'offset' => '8', + 'type' => '103' + }, + '2' => { + 'name' => 'ind_tbl_num', + 'offset' => '12', + 'type' => '103' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '16', + 'type' => '3262' + } + }, + 'Name' => 'struct ibv_rwq_ind_table', + 'Size' => '24', + 'Type' => 'Struct' + }, + '7579' => { + 'BaseType' => '7584', + 'Name' => 'struct ibv_wq*', + 'Size' => '152', + 'Type' => 'Pointer' + }, + '7584' => { + 'Header' => 'verbs.h', + 'Line' => '1199', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2301' + }, + '1' => { + 'name' => 'wq_context', + 'offset' => '8', + 'type' => '1248' + }, + '10' => { + 'name' => 'cond', + 'offset' => '96', + 'type' => '3901' + }, + '11' => { + 'name' => 'events_completed', + 'offset' => '144', + 'type' => '3262' + }, + '12' => { + 'name' => 'comp_mask', + 'offset' => '148', + 'type' => '3262' + }, + '2' => { + 'name' => 'pd', + 'offset' => '16', + 'type' => '3221' + }, + '3' => { + 'name' => 'cq', + 'offset' => '24', + 'type' => '3483' + }, + '4' => { + 'name' => 'wq_num', + 'offset' => '32', + 'type' => '3262' + }, + '5' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '3262' + }, + '6' => { + 'name' => 'state', + 'offset' => '40', + 'type' => '894' + }, + '7' => { + 'name' => 'wq_type', + 'offset' => '44', + 'type' => '932' + }, + '8' => { + 'name' => 'post_recv', + 'offset' => '48', + 'type' => '7763' + }, + '9' => { + 'name' => 'mutex', + 'offset' => '56', + 'type' => '3669' + } + }, + 'Name' => 'struct ibv_wq', + 'Size' => '152', + 'Type' => 'Struct' + }, + '7763' => { + 'Name' => 'int(*)(struct ibv_wq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '7579' + }, + '1' => { + 'type' => '4778' + }, + '2' => { + 'type' => '4899' + } + }, + 'Return' => '103', + 'Type' => 'FuncPtr' + }, + '894' => { + 'BaseType' => '185', + 'Header' => 'verbs.h', + 'Line' => '807', + 'Memb' => { + '0' => { + 'name' => 'IBV_WQS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WQS_RDY', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_WQS_ERR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WQS_UNKNOWN', + 'value' => '3' + } + }, + 'Name' => 'enum ibv_wq_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '91972' => { + 'BaseType' => '91977', + 'Name' => 'struct mlx4dv_qp_init_attr*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '91977' => { + 'Header' => 'mlx4dv.h', + 'Line' => '425', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '4240' + }, + '1' => { + 'name' => 'inl_recv_sz', + 'offset' => '8', + 'type' => '3262' + } + }, + 'Name' => 'struct mlx4dv_qp_init_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '932' => { + 'BaseType' => '185', + 'Header' => 'verbs.h', + 'Line' => '779', + 'Memb' => { + '0' => { + 'name' => 'IBV_WQT_RQ', + 'value' => '0' + } + }, + 'Name' => 'enum ibv_wq_type', + 'Size' => '4', + 'Type' => 'Enum' + } + }, + 'UndefinedSymbols' => { + 'libmlx4.so.1.0.26.0' => { + '_ITM_deregisterTMCloneTable' => 0, + '_ITM_registerTMCloneTable' => 0, + '__cxa_finalize@GLIBC_2.2.5' => 0, + '__errno_location@GLIBC_2.2.5' => 0, + '__gmon_start__' => 0, + '_verbs_init_and_alloc_context@IBVERBS_PRIVATE_25' => 0, + 'calloc@GLIBC_2.2.5' => 0, + 'free@GLIBC_2.2.5' => 0, + 'fwrite@GLIBC_2.2.5' => 0, + 'ibv_cmd_alloc_mw@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_alloc_pd@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_attach_mcast@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_close_xrcd@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_cq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_cq_ex@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_flow@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_qp_ex2@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_qp_ex@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_rwq_ind_table@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_srq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_srq_ex@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_wq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_dealloc_mw@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_dealloc_pd@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_dereg_mr@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_cq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_flow@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_qp@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_rwq_ind_table@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_srq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_wq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_detach_mcast@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_get_context@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_modify_cq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_modify_qp@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_modify_srq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_modify_wq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_open_qp@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_open_xrcd@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_query_device@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_query_device_ex@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_query_port@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_query_qp@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_query_srq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_reg_mr@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_rereg_mr@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_resize_cq@IBVERBS_PRIVATE_25' => 0, + 'ibv_dofork_range@IBVERBS_1.1' => 0, + 'ibv_dontfork_range@IBVERBS_1.1' => 0, + 'ibv_query_device@IBVERBS_1.1' => 0, + 'ibv_query_gid@IBVERBS_1.1' => 0, + 'ibv_query_port@IBVERBS_1.1' => 0, + 'ibv_resolve_eth_l2_from_gid@IBVERBS_1.1' => 0, + 'malloc@GLIBC_2.2.5' => 0, + 'memcpy@GLIBC_2.14' => 0, + 'memset@GLIBC_2.2.5' => 0, + 'mmap@GLIBC_2.2.5' => 0, + 'munmap@GLIBC_2.2.5' => 0, + 'printf@GLIBC_2.2.5' => 0, + 'pthread_mutex_init@GLIBC_2.2.5' => 0, + 'pthread_mutex_lock@GLIBC_2.2.5' => 0, + 'pthread_mutex_unlock@GLIBC_2.2.5' => 0, + 'pthread_spin_init@GLIBC_2.2.5' => 0, + 'pthread_spin_lock@GLIBC_2.2.5' => 0, + 'pthread_spin_unlock@GLIBC_2.2.5' => 0, + 'snprintf@GLIBC_2.2.5' => 0, + 'stderr@GLIBC_2.2.5' => 0, + 'sysconf@GLIBC_2.2.5' => 0, + 'verbs_register_driver_25@IBVERBS_PRIVATE_25' => 0, + 'verbs_set_ops@IBVERBS_PRIVATE_25' => 0, + 'verbs_uninit_context@IBVERBS_PRIVATE_25' => 0 + } + }, + 'WordSize' => '8' + }; diff --git a/ABI/mlx5.dump b/ABI/mlx5.dump new file mode 100644 index 00000000..b954859e --- /dev/null +++ b/ABI/mlx5.dump @@ -0,0 +1,10427 @@ +$VAR1 = { + 'ABI_DUMPER_VERSION' => '0.99.12', + 'ABI_DUMP_VERSION' => '3.2', + 'Arch' => 'x86_64', + 'Compiler' => 'clang version 7.0.0 (tags/RELEASE_700/final)', + 'Headers' => { + 'ib_user_ioctl_verbs.h' => 1, + 'int-ll64.h' => 1, + 'list.h' => 1, + 'mlx5.h' => 1, + 'mlx5_user_ioctl_verbs.h' => 1, + 'mlx5dv.h' => 1, + 'mlx5dv_dr.h' => 1, + 'pthreadtypes.h' => 1, + 'stdatomic.h' => 1, + 'stddef.h' => 1, + 'stdint.h' => 1, + 'stdio.h' => 1, + 'types.h' => 1, + 'verbs.h' => 1 + }, + 'Language' => 'C', + 'LibraryName' => 'libmlx5.so.1.11.26.0', + 'LibraryVersion' => 'mlx5', + 'NameSpaces' => {}, + 'Needed' => { + 'libc.so.6' => 1, + 'libibverbs.so.1' => 1, + 'libpthread.so.0' => 1 + }, + 'Sources' => { + 'dr_action.c' => 1, + 'dr_domain.c' => 1, + 'dr_icm_pool.c' => 1, + 'dr_matcher.c' => 1, + 'dr_rule.c' => 1, + 'dr_table.c' => 1, + 'mlx5.c' => 1, + 'verbs.c' => 1 + }, + 'SymbolInfo' => { + '157722' => { + 'Line' => '837', + 'Return' => '155256', + 'ShortName' => 'mlx5dv_dr_action_create_drop', + 'Source' => 'dr_action.c' + }, + '157777' => { + 'Line' => '843', + 'Param' => { + '0' => { + 'name' => 'ibqp', + 'type' => '4408' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '155256', + 'ShortName' => 'mlx5dv_dr_action_create_dest_ibv_qp', + 'Source' => 'dr_action.c' + }, + '157890' => { + 'Line' => '862', + 'Param' => { + '0' => { + 'name' => 'tbl', + 'type' => '157562' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '155256', + 'ShortName' => 'mlx5dv_dr_action_create_dest_table', + 'Source' => 'dr_action.c' + }, + '158015' => { + 'Line' => '888', + 'Param' => { + '0' => { + 'name' => 'devx_obj', + 'type' => '156492' + }, + '1' => { + 'name' => 'offeset', + 'type' => '85' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '155256', + 'ShortName' => 'mlx5dv_dr_action_create_flow_counter', + 'Source' => 'dr_action.c' + }, + '158118' => { + 'Line' => '908', + 'Param' => { + '0' => { + 'name' => 'tag_value', + 'type' => '85' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '155256', + 'ShortName' => 'mlx5dv_dr_action_create_tag', + 'Source' => 'dr_action.c' + }, + '159079' => { + 'Line' => '1053', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '155745' + }, + '1' => { + 'name' => 'flags', + 'type' => '85' + }, + '2' => { + 'name' => 'reformat_type', + 'type' => '137905' + }, + '3' => { + 'name' => 'data_sz', + 'type' => '5528' + }, + '4' => { + 'name' => 'data', + 'type' => '1452' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '155256', + 'ShortName' => 'mlx5dv_dr_action_create_packet_reformat', + 'Source' => 'dr_action.c' + }, + '161935' => { + 'Line' => '1421', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '155745' + }, + '1' => { + 'name' => 'flags', + 'type' => '85' + }, + '2' => { + 'name' => 'actions_sz', + 'type' => '5528' + }, + '3' => { + 'name' => 'actions', + 'type' => '161372' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '155256', + 'ShortName' => 'mlx5dv_dr_action_create_modify_header', + 'Source' => 'dr_action.c' + }, + '162683' => { + 'Line' => '1485', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '155745' + }, + '1' => { + 'name' => 'vport', + 'type' => '85' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '155256', + 'ShortName' => 'mlx5dv_dr_action_create_dest_vport', + 'Source' => 'dr_action.c' + }, + '162833' => { + 'Line' => '1514', + 'Param' => { + '0' => { + 'name' => 'action', + 'type' => '155256' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_dr_action_destroy', + 'Source' => 'dr_action.c' + }, + '237272' => { + 'Line' => '679', + 'Param' => { + '0' => { + 'name' => 'tbl', + 'type' => '157562' + }, + '1' => { + 'name' => 'priority', + 'type' => '5815' + }, + '2' => { + 'name' => 'match_criteria_enable', + 'type' => '4212' + }, + '3' => { + 'name' => 'mask', + 'type' => '236502' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '162888', + 'ShortName' => 'mlx5dv_dr_matcher_create', + 'Source' => 'dr_matcher.c' + }, + '238957' => { + 'Line' => '791', + 'Param' => { + '0' => { + 'name' => 'matcher', + 'type' => '162888' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_dr_matcher_destroy', + 'Source' => 'dr_matcher.c' + }, + '259350' => { + 'Line' => '268', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '3435' + }, + '1' => { + 'name' => 'type', + 'type' => '137219' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '155745', + 'ShortName' => 'mlx5dv_dr_domain_create', + 'Source' => 'dr_domain.c' + }, + '259893' => { + 'Line' => '323', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '155745' + }, + '1' => { + 'name' => 'flags', + 'type' => '85' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_dr_domain_sync', + 'Source' => 'dr_domain.c' + }, + '260015' => { + 'Line' => '352', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '155745' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_dr_domain_destroy', + 'Source' => 'dr_domain.c' + }, + '283310' => { + 'Line' => '1275', + 'Param' => { + '0' => { + 'name' => 'matcher', + 'type' => '162888' + }, + '1' => { + 'name' => 'value', + 'type' => '236502' + }, + '2' => { + 'name' => 'num_actions', + 'type' => '5528' + }, + '3' => { + 'name' => 'actions', + 'type' => '165146' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '279216', + 'ShortName' => 'mlx5dv_dr_rule_create', + 'Source' => 'dr_rule.c' + }, + '285147' => { + 'Line' => '1298', + 'Param' => { + '0' => { + 'name' => 'rule', + 'type' => '279216' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_dr_rule_destroy', + 'Source' => 'dr_rule.c' + }, + '383305' => { + 'Line' => '174', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '155745' + }, + '1' => { + 'name' => 'level', + 'type' => '85' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '157562', + 'ShortName' => 'mlx5dv_dr_table_create', + 'Source' => 'dr_table.c' + }, + '384102' => { + 'Line' => '218', + 'Param' => { + '0' => { + 'name' => 'tbl', + 'type' => '157562' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_dr_table_destroy', + 'Source' => 'dr_table.c' + }, + '437314' => { + 'Line' => '713', + 'Param' => { + '0' => { + 'name' => 'ctx_in', + 'type' => '3435' + }, + '1' => { + 'name' => 'attrs_out', + 'type' => '445821' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_query_device', + 'Source' => 'mlx5.c' + }, + '438868' => { + 'Alias' => '__mlx5dv_init_obj_1_2', + 'Line' => '960', + 'Param' => { + '0' => { + 'name' => 'obj', + 'type' => '445967' + }, + '1' => { + 'name' => 'obj_type', + 'type' => '5349' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_init_obj', + 'Source' => 'mlx5.c' + }, + '439607' => { + 'Alias' => '__mlx5dv_init_obj_1_0', + 'Line' => '984', + 'Param' => { + '0' => { + 'name' => 'obj', + 'type' => '445967' + }, + '1' => { + 'name' => 'obj_type', + 'type' => '5349' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_init_obj', + 'Source' => 'mlx5.c' + }, + '439989' => { + 'Line' => '1053', + 'Param' => { + '0' => { + 'name' => 'ibv_ctx', + 'type' => '3435' + }, + '1' => { + 'name' => 'type', + 'type' => '427343' + }, + '2' => { + 'name' => 'attr', + 'type' => '1452' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_set_context_attr', + 'Source' => 'mlx5.c' + }, + '440072' => { + 'Line' => '1072', + 'Param' => { + '0' => { + 'name' => 'ctx_in', + 'type' => '3435' + }, + '1' => { + 'name' => 'clock_info', + 'type' => '50311' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_get_clock_info', + 'Source' => 'mlx5.c' + }, + '440205' => { + 'Line' => '1121', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '3547' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '14571', + 'ShortName' => 'mlx5dv_is_supported', + 'Source' => 'mlx5.c' + }, + '440281' => { + 'Line' => '1127', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '3547' + }, + '1' => { + 'name' => 'attr', + 'type' => '446302' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '3435', + 'ShortName' => 'mlx5dv_open_device', + 'Source' => 'mlx5.c' + }, + '545758' => { + 'Line' => '865', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '3435' + }, + '1' => { + 'name' => 'cq_attr', + 'type' => '9943' + }, + '2' => { + 'name' => 'mlx5_cq_attr', + 'type' => '545071' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '9286', + 'ShortName' => 'mlx5dv_create_cq', + 'Source' => 'verbs.c' + }, + '557002' => { + 'Line' => '2624', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '3435' + }, + '1' => { + 'name' => 'qp_attr', + 'type' => '11548' + }, + '2' => { + 'name' => 'mlx5_qp_attr', + 'type' => '548146' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '4408', + 'ShortName' => 'mlx5dv_create_qp', + 'Source' => 'verbs.c' + }, + '557077' => { + 'Line' => '2636', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '13306' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '13823', + 'ShortName' => 'mlx5dv_qp_ex_from_ibv_qp_ex', + 'Source' => 'verbs.c' + }, + '559502' => { + 'Line' => '4364', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '3435' + }, + '1' => { + 'name' => 'in', + 'type' => '7371' + }, + '2' => { + 'name' => 'inlen', + 'type' => '5528' + }, + '3' => { + 'name' => 'out', + 'type' => '1452' + }, + '4' => { + 'name' => 'outlen', + 'type' => '5528' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_general_cmd', + 'Source' => 'verbs.c' + }, + '562062' => { + 'Line' => '3263', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '3435' + }, + '1' => { + 'name' => 'attr', + 'type' => '9029' + }, + '2' => { + 'name' => 'mlx5_wq_attr', + 'type' => '561100' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '8681', + 'ShortName' => 'mlx5dv_create_wq', + 'Source' => 'verbs.c' + }, + '564005' => { + 'Line' => '3552', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '3435' + }, + '1' => { + 'name' => 'esp', + 'type' => '7544' + }, + '2' => { + 'name' => 'mlx5_attr', + 'type' => '592627' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '7516', + 'ShortName' => 'mlx5dv_create_flow_action_esp', + 'Source' => 'verbs.c' + }, + '564622' => { + 'Line' => '3591', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '3435' + }, + '1' => { + 'name' => 'actions_sz', + 'type' => '5528' + }, + '2' => { + 'name' => 'actions', + 'type' => '7038' + }, + '3' => { + 'name' => 'ft_type', + 'type' => '138616' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '7516', + 'ShortName' => 'mlx5dv_create_flow_action_modify_header', + 'Source' => 'verbs.c' + }, + '565109' => { + 'Line' => '3630', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '3435' + }, + '1' => { + 'name' => 'data_sz', + 'type' => '5528' + }, + '2' => { + 'name' => 'data', + 'type' => '1452' + }, + '3' => { + 'name' => 'reformat_type', + 'type' => '137905' + }, + '4' => { + 'name' => 'ft_type', + 'type' => '138616' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '7516', + 'ShortName' => 'mlx5dv_create_flow_action_packet_reformat', + 'Source' => 'verbs.c' + }, + '566037' => { + 'Line' => '3807', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '3435' + }, + '1' => { + 'name' => 'dm_attr', + 'type' => '7429' + }, + '2' => { + 'name' => 'mlx5_dm_attr', + 'type' => '592680' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '7278', + 'ShortName' => 'mlx5dv_alloc_dm', + 'Source' => 'verbs.c' + }, + '567867' => { + 'Line' => '3999', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '3435' + }, + '1' => { + 'name' => 'attr', + 'type' => '592721' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '165100', + 'ShortName' => 'mlx5dv_create_flow_matcher', + 'Source' => 'verbs.c' + }, + '568715' => { + 'Line' => '4057', + 'Param' => { + '0' => { + 'name' => 'flow_matcher', + 'type' => '165100' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_destroy_flow_matcher', + 'Source' => 'verbs.c' + }, + '569143' => { + 'Line' => '4077', + 'Param' => { + '0' => { + 'name' => 'flow_matcher', + 'type' => '165100' + }, + '1' => { + 'name' => 'match_value', + 'type' => '236502' + }, + '2' => { + 'name' => 'num_actions', + 'type' => '5528' + }, + '3' => { + 'name' => 'actions_attr', + 'type' => '165151' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '7123', + 'ShortName' => 'mlx5dv_create_flow', + 'Source' => 'verbs.c' + }, + '570218' => { + 'Line' => '4188', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '3435' + }, + '1' => { + 'name' => 'addr', + 'type' => '1452' + }, + '2' => { + 'name' => 'size', + 'type' => '5528' + }, + '3' => { + 'name' => 'access', + 'type' => '85' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '156559', + 'ShortName' => 'mlx5dv_devx_umem_reg', + 'Source' => 'verbs.c' + }, + '571025' => { + 'Line' => '4225', + 'Param' => { + '0' => { + 'name' => 'dv_devx_umem', + 'type' => '156559' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_umem_dereg', + 'Source' => 'verbs.c' + }, + '571355' => { + 'Line' => '4283', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '3435' + }, + '1' => { + 'name' => 'in', + 'type' => '7371' + }, + '2' => { + 'name' => 'inlen', + 'type' => '5528' + }, + '3' => { + 'name' => 'out', + 'type' => '1452' + }, + '4' => { + 'name' => 'outlen', + 'type' => '5528' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '156492', + 'ShortName' => 'mlx5dv_devx_obj_create', + 'Source' => 'verbs.c' + }, + '572248' => { + 'Line' => '4317', + 'Param' => { + '0' => { + 'name' => 'obj', + 'type' => '156492' + }, + '1' => { + 'name' => 'in', + 'type' => '7371' + }, + '2' => { + 'name' => 'inlen', + 'type' => '5528' + }, + '3' => { + 'name' => 'out', + 'type' => '1452' + }, + '4' => { + 'name' => 'outlen', + 'type' => '5528' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_obj_query', + 'Source' => 'verbs.c' + }, + '572655' => { + 'Line' => '4332', + 'Param' => { + '0' => { + 'name' => 'obj', + 'type' => '156492' + }, + '1' => { + 'name' => 'in', + 'type' => '7371' + }, + '2' => { + 'name' => 'inlen', + 'type' => '5528' + }, + '3' => { + 'name' => 'out', + 'type' => '1452' + }, + '4' => { + 'name' => 'outlen', + 'type' => '5528' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_obj_modify', + 'Source' => 'verbs.c' + }, + '573062' => { + 'Line' => '4347', + 'Param' => { + '0' => { + 'name' => 'obj', + 'type' => '156492' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'mlx5dv_devx_obj_destroy', + 'Source' => 'verbs.c' + }, + '573551' => { + 'Line' => '4378', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '3435' + }, + '1' => { + 'name' => 'flags', + 'type' => '85' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '155891', + 'ShortName' => 'mlx5dv_devx_alloc_uar', + 'Source' => 'verbs.c' + }, + '573928' => { + 'Line' => '4422', + 'Param' => { + '0' => { + 'name' => 'dv_devx_uar', + 'type' => '155891' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'mlx5dv_devx_free_uar', + 'Source' => 'verbs.c' + }, + '574029' => { + 'Line' => '4430', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '3435' + }, + '1' => { + 'name' => 'vector', + 'type' => '85' + }, + '2' => { + 'name' => 'eqn', + 'type' => '11934' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_query_eqn', + 'Source' => 'verbs.c' + }, + '574413' => { + 'Line' => '4444', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '4592' + }, + '1' => { + 'name' => 'in', + 'type' => '7371' + }, + '2' => { + 'name' => 'inlen', + 'type' => '5528' + }, + '3' => { + 'name' => 'out', + 'type' => '1452' + }, + '4' => { + 'name' => 'outlen', + 'type' => '5528' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_cq_query', + 'Source' => 'verbs.c' + }, + '574820' => { + 'Line' => '4459', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '4592' + }, + '1' => { + 'name' => 'in', + 'type' => '7371' + }, + '2' => { + 'name' => 'inlen', + 'type' => '5528' + }, + '3' => { + 'name' => 'out', + 'type' => '1452' + }, + '4' => { + 'name' => 'outlen', + 'type' => '5528' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_cq_modify', + 'Source' => 'verbs.c' + }, + '575227' => { + 'Line' => '4474', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '4408' + }, + '1' => { + 'name' => 'in', + 'type' => '7371' + }, + '2' => { + 'name' => 'inlen', + 'type' => '5528' + }, + '3' => { + 'name' => 'out', + 'type' => '1452' + }, + '4' => { + 'name' => 'outlen', + 'type' => '5528' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_qp_query', + 'Source' => 'verbs.c' + }, + '575634' => { + 'Line' => '4489', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '4408' + }, + '1' => { + 'name' => 'in', + 'type' => '7371' + }, + '2' => { + 'name' => 'inlen', + 'type' => '5528' + }, + '3' => { + 'name' => 'out', + 'type' => '1452' + }, + '4' => { + 'name' => 'outlen', + 'type' => '5528' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_qp_modify', + 'Source' => 'verbs.c' + }, + '576041' => { + 'Line' => '4504', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '5189' + }, + '1' => { + 'name' => 'in', + 'type' => '7371' + }, + '2' => { + 'name' => 'inlen', + 'type' => '5528' + }, + '3' => { + 'name' => 'out', + 'type' => '1452' + }, + '4' => { + 'name' => 'outlen', + 'type' => '5528' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_srq_query', + 'Source' => 'verbs.c' + }, + '576448' => { + 'Line' => '4519', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '5189' + }, + '1' => { + 'name' => 'in', + 'type' => '7371' + }, + '2' => { + 'name' => 'inlen', + 'type' => '5528' + }, + '3' => { + 'name' => 'out', + 'type' => '1452' + }, + '4' => { + 'name' => 'outlen', + 'type' => '5528' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_srq_modify', + 'Source' => 'verbs.c' + }, + '576855' => { + 'Line' => '4534', + 'Param' => { + '0' => { + 'name' => 'wq', + 'type' => '8681' + }, + '1' => { + 'name' => 'in', + 'type' => '7371' + }, + '2' => { + 'name' => 'inlen', + 'type' => '5528' + }, + '3' => { + 'name' => 'out', + 'type' => '1452' + }, + '4' => { + 'name' => 'outlen', + 'type' => '5528' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_wq_query', + 'Source' => 'verbs.c' + }, + '577262' => { + 'Line' => '4549', + 'Param' => { + '0' => { + 'name' => 'wq', + 'type' => '8681' + }, + '1' => { + 'name' => 'in', + 'type' => '7371' + }, + '2' => { + 'name' => 'inlen', + 'type' => '5528' + }, + '3' => { + 'name' => 'out', + 'type' => '1452' + }, + '4' => { + 'name' => 'outlen', + 'type' => '5528' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_wq_modify', + 'Source' => 'verbs.c' + }, + '577669' => { + 'Line' => '4564', + 'Param' => { + '0' => { + 'name' => 'ind_tbl', + 'type' => '8534' + }, + '1' => { + 'name' => 'in', + 'type' => '7371' + }, + '2' => { + 'name' => 'inlen', + 'type' => '5528' + }, + '3' => { + 'name' => 'out', + 'type' => '1452' + }, + '4' => { + 'name' => 'outlen', + 'type' => '5528' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_ind_tbl_query', + 'Source' => 'verbs.c' + }, + '578076' => { + 'Line' => '4579', + 'Param' => { + '0' => { + 'name' => 'ind_tbl', + 'type' => '8534' + }, + '1' => { + 'name' => 'in', + 'type' => '7371' + }, + '2' => { + 'name' => 'inlen', + 'type' => '5528' + }, + '3' => { + 'name' => 'out', + 'type' => '1452' + }, + '4' => { + 'name' => 'outlen', + 'type' => '5528' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_ind_tbl_modify', + 'Source' => 'verbs.c' + }, + '578583' => { + 'Line' => '4595', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '3435' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '583427', + 'ShortName' => 'mlx5dv_devx_create_cmd_comp', + 'Source' => 'verbs.c' + }, + '578902' => { + 'Line' => '4627', + 'Param' => { + '0' => { + 'name' => 'cmd_comp', + 'type' => '583427' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'mlx5dv_devx_destroy_cmd_comp', + 'Source' => 'verbs.c' + }, + '578941' => { + 'Line' => '4635', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '3435' + }, + '1' => { + 'name' => 'flags', + 'type' => '520637' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '528547', + 'ShortName' => 'mlx5dv_devx_create_event_channel', + 'Source' => 'verbs.c' + }, + '579372' => { + 'Line' => '4671', + 'Param' => { + '0' => { + 'name' => 'dv_event_channel', + 'type' => '528547' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'mlx5dv_devx_destroy_event_channel', + 'Source' => 'verbs.c' + }, + '579489' => { + 'Line' => '4682', + 'Param' => { + '0' => { + 'name' => 'dv_event_channel', + 'type' => '528547' + }, + '1' => { + 'name' => 'obj', + 'type' => '156492' + }, + '2' => { + 'name' => 'events_sz', + 'type' => '5815' + }, + '3' => { + 'name' => 'events_num', + 'type' => '191188' + }, + '4' => { + 'name' => 'cookie', + 'type' => '5349' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_subscribe_devx_event', + 'Source' => 'verbs.c' + }, + '579995' => { + 'Line' => '4706', + 'Param' => { + '0' => { + 'name' => 'dv_event_channel', + 'type' => '528547' + }, + '1' => { + 'name' => 'fd', + 'type' => '171' + }, + '2' => { + 'name' => 'obj', + 'type' => '156492' + }, + '3' => { + 'name' => 'event_num', + 'type' => '5815' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_subscribe_devx_event_fd', + 'Source' => 'verbs.c' + }, + '580495' => { + 'Line' => '4729', + 'Param' => { + '0' => { + 'name' => 'obj', + 'type' => '156492' + }, + '1' => { + 'name' => 'in', + 'type' => '7371' + }, + '2' => { + 'name' => 'inlen', + 'type' => '5528' + }, + '3' => { + 'name' => 'outlen', + 'type' => '5528' + }, + '4' => { + 'name' => 'wr_id', + 'type' => '5349' + }, + '5' => { + 'name' => 'cmd_comp', + 'type' => '583427' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_obj_query_async', + 'Source' => 'verbs.c' + }, + '581060' => { + 'Line' => '4748', + 'Param' => { + '0' => { + 'name' => 'cmd_comp', + 'type' => '583427' + }, + '1' => { + 'name' => 'cmd_resp', + 'type' => '593004' + }, + '2' => { + 'name' => 'cmd_resp_len', + 'type' => '5528' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_devx_get_async_cmd_comp', + 'Source' => 'verbs.c' + }, + '581151' => { + 'Line' => '4764', + 'Param' => { + '0' => { + 'name' => 'event_channel', + 'type' => '528547' + }, + '1' => { + 'name' => 'event_data', + 'type' => '593054' + }, + '2' => { + 'name' => 'event_resp_len', + 'type' => '5528' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '583455', + 'ShortName' => 'mlx5dv_devx_get_event', + 'Source' => 'verbs.c' + }, + '581242' => { + 'Line' => '4784', + 'Param' => { + '0' => { + 'name' => 'mkey_init_attr', + 'type' => '593105' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '13865', + 'ShortName' => 'mlx5dv_create_mkey', + 'Source' => 'verbs.c' + }, + '581991' => { + 'Line' => '4831', + 'Param' => { + '0' => { + 'name' => 'dv_mkey', + 'type' => '13865' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '171', + 'ShortName' => 'mlx5dv_destroy_mkey', + 'Source' => 'verbs.c' + } + }, + 'SymbolVersion' => { + '_ITM_deregisterTMCloneTable' => 'verbs_uninit_context@@IBVERBS_PRIVATE_25', + '_ITM_registerTMCloneTable' => 'verbs_uninit_context@@IBVERBS_PRIVATE_25', + '__gmon_start__' => 'verbs_uninit_context@@IBVERBS_PRIVATE_25', + '__mlx5dv_init_obj_1_0' => 'mlx5dv_init_obj@MLX5_1.0', + '__mlx5dv_init_obj_1_2' => 'mlx5dv_init_obj@@MLX5_1.2', + 'mlx5dv_alloc_dm' => 'mlx5dv_alloc_dm@@MLX5_1.10', + 'mlx5dv_create_cq' => 'mlx5dv_create_cq@@MLX5_1.1', + 'mlx5dv_create_flow' => 'mlx5dv_create_flow@@MLX5_1.6', + 'mlx5dv_create_flow_action_esp' => 'mlx5dv_create_flow_action_esp@@MLX5_1.5', + 'mlx5dv_create_flow_action_modify_header' => 'mlx5dv_create_flow_action_modify_header@@MLX5_1.7', + 'mlx5dv_create_flow_action_packet_reformat' => 'mlx5dv_create_flow_action_packet_reformat@@MLX5_1.7', + 'mlx5dv_create_flow_matcher' => 'mlx5dv_create_flow_matcher@@MLX5_1.6', + 'mlx5dv_create_mkey' => 'mlx5dv_create_mkey@@MLX5_1.10', + 'mlx5dv_create_qp' => 'mlx5dv_create_qp@@MLX5_1.3', + 'mlx5dv_create_wq' => 'mlx5dv_create_wq@@MLX5_1.3', + 'mlx5dv_destroy_flow_matcher' => 'mlx5dv_destroy_flow_matcher@@MLX5_1.6', + 'mlx5dv_destroy_mkey' => 'mlx5dv_destroy_mkey@@MLX5_1.10', + 'mlx5dv_devx_alloc_uar' => 'mlx5dv_devx_alloc_uar@@MLX5_1.7', + 'mlx5dv_devx_cq_modify' => 'mlx5dv_devx_cq_modify@@MLX5_1.8', + 'mlx5dv_devx_cq_query' => 'mlx5dv_devx_cq_query@@MLX5_1.8', + 'mlx5dv_devx_create_cmd_comp' => 'mlx5dv_devx_create_cmd_comp@@MLX5_1.9', + 'mlx5dv_devx_create_event_channel' => 'mlx5dv_devx_create_event_channel@@MLX5_1.11', + 'mlx5dv_devx_destroy_cmd_comp' => 'mlx5dv_devx_destroy_cmd_comp@@MLX5_1.9', + 'mlx5dv_devx_destroy_event_channel' => 'mlx5dv_devx_destroy_event_channel@@MLX5_1.11', + 'mlx5dv_devx_free_uar' => 'mlx5dv_devx_free_uar@@MLX5_1.7', + 'mlx5dv_devx_general_cmd' => 'mlx5dv_devx_general_cmd@@MLX5_1.7', + 'mlx5dv_devx_get_async_cmd_comp' => 'mlx5dv_devx_get_async_cmd_comp@@MLX5_1.9', + 'mlx5dv_devx_get_event' => 'mlx5dv_devx_get_event@@MLX5_1.11', + 'mlx5dv_devx_ind_tbl_modify' => 'mlx5dv_devx_ind_tbl_modify@@MLX5_1.8', + 'mlx5dv_devx_ind_tbl_query' => 'mlx5dv_devx_ind_tbl_query@@MLX5_1.8', + 'mlx5dv_devx_obj_create' => 'mlx5dv_devx_obj_create@@MLX5_1.7', + 'mlx5dv_devx_obj_destroy' => 'mlx5dv_devx_obj_destroy@@MLX5_1.7', + 'mlx5dv_devx_obj_modify' => 'mlx5dv_devx_obj_modify@@MLX5_1.7', + 'mlx5dv_devx_obj_query' => 'mlx5dv_devx_obj_query@@MLX5_1.7', + 'mlx5dv_devx_obj_query_async' => 'mlx5dv_devx_obj_query_async@@MLX5_1.9', + 'mlx5dv_devx_qp_modify' => 'mlx5dv_devx_qp_modify@@MLX5_1.8', + 'mlx5dv_devx_qp_query' => 'mlx5dv_devx_qp_query@@MLX5_1.8', + 'mlx5dv_devx_query_eqn' => 'mlx5dv_devx_query_eqn@@MLX5_1.7', + 'mlx5dv_devx_srq_modify' => 'mlx5dv_devx_srq_modify@@MLX5_1.8', + 'mlx5dv_devx_srq_query' => 'mlx5dv_devx_srq_query@@MLX5_1.8', + 'mlx5dv_devx_subscribe_devx_event' => 'mlx5dv_devx_subscribe_devx_event@@MLX5_1.11', + 'mlx5dv_devx_subscribe_devx_event_fd' => 'mlx5dv_devx_subscribe_devx_event_fd@@MLX5_1.11', + 'mlx5dv_devx_umem_dereg' => 'mlx5dv_devx_umem_dereg@@MLX5_1.7', + 'mlx5dv_devx_umem_reg' => 'mlx5dv_devx_umem_reg@@MLX5_1.7', + 'mlx5dv_devx_wq_modify' => 'mlx5dv_devx_wq_modify@@MLX5_1.8', + 'mlx5dv_devx_wq_query' => 'mlx5dv_devx_wq_query@@MLX5_1.8', + 'mlx5dv_dr_action_create_dest_ibv_qp' => 'mlx5dv_dr_action_create_dest_ibv_qp@@MLX5_1.10', + 'mlx5dv_dr_action_create_dest_table' => 'mlx5dv_dr_action_create_dest_table@@MLX5_1.10', + 'mlx5dv_dr_action_create_dest_vport' => 'mlx5dv_dr_action_create_dest_vport@@MLX5_1.10', + 'mlx5dv_dr_action_create_drop' => 'mlx5dv_dr_action_create_drop@@MLX5_1.10', + 'mlx5dv_dr_action_create_flow_counter' => 'mlx5dv_dr_action_create_flow_counter@@MLX5_1.10', + 'mlx5dv_dr_action_create_modify_header' => 'mlx5dv_dr_action_create_modify_header@@MLX5_1.10', + 'mlx5dv_dr_action_create_packet_reformat' => 'mlx5dv_dr_action_create_packet_reformat@@MLX5_1.10', + 'mlx5dv_dr_action_create_tag' => 'mlx5dv_dr_action_create_tag@@MLX5_1.10', + 'mlx5dv_dr_action_destroy' => 'mlx5dv_dr_action_destroy@@MLX5_1.10', + 'mlx5dv_dr_domain_create' => 'mlx5dv_dr_domain_create@@MLX5_1.10', + 'mlx5dv_dr_domain_destroy' => 'mlx5dv_dr_domain_destroy@@MLX5_1.10', + 'mlx5dv_dr_domain_sync' => 'mlx5dv_dr_domain_sync@@MLX5_1.10', + 'mlx5dv_dr_matcher_create' => 'mlx5dv_dr_matcher_create@@MLX5_1.10', + 'mlx5dv_dr_matcher_destroy' => 'mlx5dv_dr_matcher_destroy@@MLX5_1.10', + 'mlx5dv_dr_rule_create' => 'mlx5dv_dr_rule_create@@MLX5_1.10', + 'mlx5dv_dr_rule_destroy' => 'mlx5dv_dr_rule_destroy@@MLX5_1.10', + 'mlx5dv_dr_table_create' => 'mlx5dv_dr_table_create@@MLX5_1.10', + 'mlx5dv_dr_table_destroy' => 'mlx5dv_dr_table_destroy@@MLX5_1.10', + 'mlx5dv_get_clock_info' => 'mlx5dv_get_clock_info@@MLX5_1.4', + 'mlx5dv_is_supported' => 'mlx5dv_is_supported@@MLX5_1.8', + 'mlx5dv_open_device' => 'mlx5dv_open_device@@MLX5_1.7', + 'mlx5dv_qp_ex_from_ibv_qp_ex' => 'mlx5dv_qp_ex_from_ibv_qp_ex@@MLX5_1.10', + 'mlx5dv_query_device' => 'mlx5dv_query_device@@MLX5_1.0', + 'mlx5dv_set_context_attr' => 'mlx5dv_set_context_attr@@MLX5_1.2' + }, + 'Symbols' => { + 'libmlx5.so.1.11.26.0' => { + 'mlx5dv_alloc_dm@@MLX5_1.10' => 1, + 'mlx5dv_create_cq@@MLX5_1.1' => 1, + 'mlx5dv_create_flow@@MLX5_1.6' => 1, + 'mlx5dv_create_flow_action_esp@@MLX5_1.5' => 1, + 'mlx5dv_create_flow_action_modify_header@@MLX5_1.7' => 1, + 'mlx5dv_create_flow_action_packet_reformat@@MLX5_1.7' => 1, + 'mlx5dv_create_flow_matcher@@MLX5_1.6' => 1, + 'mlx5dv_create_mkey@@MLX5_1.10' => 1, + 'mlx5dv_create_qp@@MLX5_1.3' => 1, + 'mlx5dv_create_wq@@MLX5_1.3' => 1, + 'mlx5dv_destroy_flow_matcher@@MLX5_1.6' => 1, + 'mlx5dv_destroy_mkey@@MLX5_1.10' => 1, + 'mlx5dv_devx_alloc_uar@@MLX5_1.7' => 1, + 'mlx5dv_devx_cq_modify@@MLX5_1.8' => 1, + 'mlx5dv_devx_cq_query@@MLX5_1.8' => 1, + 'mlx5dv_devx_create_cmd_comp@@MLX5_1.9' => 1, + 'mlx5dv_devx_create_event_channel@@MLX5_1.11' => 1, + 'mlx5dv_devx_destroy_cmd_comp@@MLX5_1.9' => 1, + 'mlx5dv_devx_destroy_event_channel@@MLX5_1.11' => 1, + 'mlx5dv_devx_free_uar@@MLX5_1.7' => 1, + 'mlx5dv_devx_general_cmd@@MLX5_1.7' => 1, + 'mlx5dv_devx_get_async_cmd_comp@@MLX5_1.9' => 1, + 'mlx5dv_devx_get_event@@MLX5_1.11' => 1, + 'mlx5dv_devx_ind_tbl_modify@@MLX5_1.8' => 1, + 'mlx5dv_devx_ind_tbl_query@@MLX5_1.8' => 1, + 'mlx5dv_devx_obj_create@@MLX5_1.7' => 1, + 'mlx5dv_devx_obj_destroy@@MLX5_1.7' => 1, + 'mlx5dv_devx_obj_modify@@MLX5_1.7' => 1, + 'mlx5dv_devx_obj_query@@MLX5_1.7' => 1, + 'mlx5dv_devx_obj_query_async@@MLX5_1.9' => 1, + 'mlx5dv_devx_qp_modify@@MLX5_1.8' => 1, + 'mlx5dv_devx_qp_query@@MLX5_1.8' => 1, + 'mlx5dv_devx_query_eqn@@MLX5_1.7' => 1, + 'mlx5dv_devx_srq_modify@@MLX5_1.8' => 1, + 'mlx5dv_devx_srq_query@@MLX5_1.8' => 1, + 'mlx5dv_devx_subscribe_devx_event@@MLX5_1.11' => 1, + 'mlx5dv_devx_subscribe_devx_event_fd@@MLX5_1.11' => 1, + 'mlx5dv_devx_umem_dereg@@MLX5_1.7' => 1, + 'mlx5dv_devx_umem_reg@@MLX5_1.7' => 1, + 'mlx5dv_devx_wq_modify@@MLX5_1.8' => 1, + 'mlx5dv_devx_wq_query@@MLX5_1.8' => 1, + 'mlx5dv_dr_action_create_dest_ibv_qp@@MLX5_1.10' => 1, + 'mlx5dv_dr_action_create_dest_table@@MLX5_1.10' => 1, + 'mlx5dv_dr_action_create_dest_vport@@MLX5_1.10' => 1, + 'mlx5dv_dr_action_create_drop@@MLX5_1.10' => 1, + 'mlx5dv_dr_action_create_flow_counter@@MLX5_1.10' => 1, + 'mlx5dv_dr_action_create_modify_header@@MLX5_1.10' => 1, + 'mlx5dv_dr_action_create_packet_reformat@@MLX5_1.10' => 1, + 'mlx5dv_dr_action_create_tag@@MLX5_1.10' => 1, + 'mlx5dv_dr_action_destroy@@MLX5_1.10' => 1, + 'mlx5dv_dr_domain_create@@MLX5_1.10' => 1, + 'mlx5dv_dr_domain_destroy@@MLX5_1.10' => 1, + 'mlx5dv_dr_domain_sync@@MLX5_1.10' => 1, + 'mlx5dv_dr_matcher_create@@MLX5_1.10' => 1, + 'mlx5dv_dr_matcher_destroy@@MLX5_1.10' => 1, + 'mlx5dv_dr_rule_create@@MLX5_1.10' => 1, + 'mlx5dv_dr_rule_destroy@@MLX5_1.10' => 1, + 'mlx5dv_dr_table_create@@MLX5_1.10' => 1, + 'mlx5dv_dr_table_destroy@@MLX5_1.10' => 1, + 'mlx5dv_get_clock_info@@MLX5_1.4' => 1, + 'mlx5dv_init_obj@@MLX5_1.2' => 1, + 'mlx5dv_init_obj@MLX5_1.0' => 1, + 'mlx5dv_is_supported@@MLX5_1.8' => 1, + 'mlx5dv_open_device@@MLX5_1.7' => 1, + 'mlx5dv_qp_ex_from_ibv_qp_ex@@MLX5_1.10' => 1, + 'mlx5dv_query_device@@MLX5_1.0' => 1, + 'mlx5dv_set_context_attr@@MLX5_1.2' => 1 + } + }, + 'Target' => 'unix', + 'TypeInfo' => { + '1' => { + 'Name' => 'void', + 'Type' => 'Intrinsic' + }, + '1013' => { + 'BaseType' => '96', + 'Header' => 'verbs.h', + 'Line' => '133', + 'Memb' => { + '0' => { + 'name' => 'IBV_ATOMIC_NONE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_ATOMIC_HCA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_ATOMIC_GLOB', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_atomic_cap', + 'Size' => '4', + 'Type' => 'Enum' + }, + '10358' => { + 'Header' => 'verbs.h', + 'Line' => '154', + 'Memb' => { + '0' => { + 'name' => 'fw_ver', + 'offset' => '0', + 'type' => '3724' + }, + '1' => { + 'name' => 'node_guid', + 'offset' => '64', + 'type' => '10847' + }, + '10' => { + 'name' => 'device_cap_flags', + 'offset' => '116', + 'type' => '96' + }, + '11' => { + 'name' => 'max_sge', + 'offset' => '120', + 'type' => '171' + }, + '12' => { + 'name' => 'max_sge_rd', + 'offset' => '124', + 'type' => '171' + }, + '13' => { + 'name' => 'max_cq', + 'offset' => '128', + 'type' => '171' + }, + '14' => { + 'name' => 'max_cqe', + 'offset' => '132', + 'type' => '171' + }, + '15' => { + 'name' => 'max_mr', + 'offset' => '136', + 'type' => '171' + }, + '16' => { + 'name' => 'max_pd', + 'offset' => '140', + 'type' => '171' + }, + '17' => { + 'name' => 'max_qp_rd_atom', + 'offset' => '144', + 'type' => '171' + }, + '18' => { + 'name' => 'max_ee_rd_atom', + 'offset' => '148', + 'type' => '171' + }, + '19' => { + 'name' => 'max_res_rd_atom', + 'offset' => '152', + 'type' => '171' + }, + '2' => { + 'name' => 'sys_image_guid', + 'offset' => '72', + 'type' => '10847' + }, + '20' => { + 'name' => 'max_qp_init_rd_atom', + 'offset' => '156', + 'type' => '171' + }, + '21' => { + 'name' => 'max_ee_init_rd_atom', + 'offset' => '160', + 'type' => '171' + }, + '22' => { + 'name' => 'atomic_cap', + 'offset' => '164', + 'type' => '1013' + }, + '23' => { + 'name' => 'max_ee', + 'offset' => '168', + 'type' => '171' + }, + '24' => { + 'name' => 'max_rdd', + 'offset' => '172', + 'type' => '171' + }, + '25' => { + 'name' => 'max_mw', + 'offset' => '176', + 'type' => '171' + }, + '26' => { + 'name' => 'max_raw_ipv6_qp', + 'offset' => '180', + 'type' => '171' + }, + '27' => { + 'name' => 'max_raw_ethy_qp', + 'offset' => '184', + 'type' => '171' + }, + '28' => { + 'name' => 'max_mcast_grp', + 'offset' => '188', + 'type' => '171' + }, + '29' => { + 'name' => 'max_mcast_qp_attach', + 'offset' => '192', + 'type' => '171' + }, + '3' => { + 'name' => 'max_mr_size', + 'offset' => '80', + 'type' => '5349' + }, + '30' => { + 'name' => 'max_total_mcast_qp_attach', + 'offset' => '196', + 'type' => '171' + }, + '31' => { + 'name' => 'max_ah', + 'offset' => '200', + 'type' => '171' + }, + '32' => { + 'name' => 'max_fmr', + 'offset' => '204', + 'type' => '171' + }, + '33' => { + 'name' => 'max_map_per_fmr', + 'offset' => '208', + 'type' => '171' + }, + '34' => { + 'name' => 'max_srq', + 'offset' => '212', + 'type' => '171' + }, + '35' => { + 'name' => 'max_srq_wr', + 'offset' => '216', + 'type' => '171' + }, + '36' => { + 'name' => 'max_srq_sge', + 'offset' => '220', + 'type' => '171' + }, + '37' => { + 'name' => 'max_pkeys', + 'offset' => '224', + 'type' => '5815' + }, + '38' => { + 'name' => 'local_ca_ack_delay', + 'offset' => '226', + 'type' => '4212' + }, + '39' => { + 'name' => 'phys_port_cnt', + 'offset' => '227', + 'type' => '4212' + }, + '4' => { + 'name' => 'page_size_cap', + 'offset' => '88', + 'type' => '5349' + }, + '5' => { + 'name' => 'vendor_id', + 'offset' => '96', + 'type' => '85' + }, + '6' => { + 'name' => 'vendor_part_id', + 'offset' => '100', + 'type' => '85' + }, + '7' => { + 'name' => 'hw_ver', + 'offset' => '104', + 'type' => '85' + }, + '8' => { + 'name' => 'max_qp', + 'offset' => '108', + 'type' => '171' + }, + '9' => { + 'name' => 'max_qp_wr', + 'offset' => '112', + 'type' => '171' + } + }, + 'Name' => 'struct ibv_device_attr', + 'Size' => '232', + 'Type' => 'Struct' + }, + '1044' => { + 'BaseType' => '96', + 'Header' => 'verbs.h', + 'Line' => '1607', + 'Memb' => { + '0' => { + 'name' => 'IBV_FLOW_ATTR_NORMAL', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_FLOW_ATTR_ALL_DEFAULT', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_FLOW_ATTR_MC_DEFAULT', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_FLOW_ATTR_SNIFFER', + 'value' => '3' + } + }, + 'Name' => 'enum ibv_flow_attr_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '10847' => { + 'BaseType' => '7764', + 'Header' => 'types.h', + 'Line' => '32', + 'Name' => '__be64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '110' => { + 'BaseType' => '171', + 'Header' => 'verbs.h', + 'Line' => '79', + 'Memb' => { + '0' => { + 'name' => 'IBV_NODE_UNKNOWN', + 'value' => '-1' + }, + '1' => { + 'name' => 'IBV_NODE_CA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_NODE_SWITCH', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_NODE_ROUTER', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_NODE_RNIC', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_NODE_USNIC', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_NODE_USNIC_UDP', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_NODE_UNSPECIFIED', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_node_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '11499' => { + 'BaseType' => '11504', + 'Name' => 'struct ibv_xrcd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '11504' => { + 'Header' => 'verbs.h', + 'Line' => '617', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3435' + } + }, + 'Name' => 'struct ibv_xrcd', + 'Size' => '8', + 'Type' => 'Struct' + }, + '11548' => { + 'BaseType' => '11553', + 'Name' => 'struct ibv_qp_init_attr_ex*', + 'Size' => '136', + 'Type' => 'Pointer' + }, + '11553' => { + 'Header' => 'verbs.h', + 'Line' => '929', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '1452' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '4592' + }, + '10' => { + 'name' => 'create_flags', + 'offset' => '80', + 'type' => '85' + }, + '11' => { + 'name' => 'max_tso_header', + 'offset' => '84', + 'type' => '5815' + }, + '12' => { + 'name' => 'rwq_ind_tbl', + 'offset' => '88', + 'type' => '8534' + }, + '13' => { + 'name' => 'rx_hash_conf', + 'offset' => '96', + 'type' => '11846' + }, + '14' => { + 'name' => 'source_qpn', + 'offset' => '120', + 'type' => '85' + }, + '15' => { + 'name' => 'send_ops_flags', + 'offset' => '128', + 'type' => '5349' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '16', + 'type' => '4592' + }, + '3' => { + 'name' => 'srq', + 'offset' => '24', + 'type' => '5189' + }, + '4' => { + 'name' => 'cap', + 'offset' => '32', + 'type' => '11771' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '52', + 'type' => '315' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '56', + 'type' => '171' + }, + '7' => { + 'name' => 'comp_mask', + 'offset' => '60', + 'type' => '85' + }, + '8' => { + 'name' => 'pd', + 'offset' => '64', + 'type' => '4341' + }, + '9' => { + 'name' => 'xrcd', + 'offset' => '72', + 'type' => '11499' + } + }, + 'Name' => 'struct ibv_qp_init_attr_ex', + 'Size' => '136', + 'Type' => 'Struct' + }, + '1157' => { + 'BaseType' => '96', + 'Header' => 'mlx5.h', + 'Line' => '169', + 'Memb' => { + '0' => { + 'name' => 'MLX5_ALLOC_TYPE_ANON', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5_ALLOC_TYPE_HUGE', + 'value' => '1' + }, + '2' => { + 'name' => 'MLX5_ALLOC_TYPE_CONTIG', + 'value' => '2' + }, + '3' => { + 'name' => 'MLX5_ALLOC_TYPE_PREFER_HUGE', + 'value' => '3' + }, + '4' => { + 'name' => 'MLX5_ALLOC_TYPE_PREFER_CONTIG', + 'value' => '4' + }, + '5' => { + 'name' => 'MLX5_ALLOC_TYPE_EXTERNAL', + 'value' => '5' + }, + '6' => { + 'name' => 'MLX5_ALLOC_TYPE_ALL', + 'value' => '6' + } + }, + 'Name' => 'enum mlx5_alloc_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '11771' => { + 'Header' => 'verbs.h', + 'Line' => '870', + 'Memb' => { + '0' => { + 'name' => 'max_send_wr', + 'offset' => '0', + 'type' => '85' + }, + '1' => { + 'name' => 'max_recv_wr', + 'offset' => '4', + 'type' => '85' + }, + '2' => { + 'name' => 'max_send_sge', + 'offset' => '8', + 'type' => '85' + }, + '3' => { + 'name' => 'max_recv_sge', + 'offset' => '12', + 'type' => '85' + }, + '4' => { + 'name' => 'max_inline_data', + 'offset' => '16', + 'type' => '85' + } + }, + 'Name' => 'struct ibv_qp_cap', + 'Size' => '20', + 'Type' => 'Struct' + }, + '11846' => { + 'Header' => 'verbs.h', + 'Line' => '920', + 'Memb' => { + '0' => { + 'name' => 'rx_hash_function', + 'offset' => '0', + 'type' => '4212' + }, + '1' => { + 'name' => 'rx_hash_key_len', + 'offset' => '1', + 'type' => '4212' + }, + '2' => { + 'name' => 'rx_hash_key', + 'offset' => '8', + 'type' => '11908' + }, + '3' => { + 'name' => 'rx_hash_fields_mask', + 'offset' => '16', + 'type' => '5349' + } + }, + 'Name' => 'struct ibv_rx_hash_conf', + 'Size' => '24', + 'Type' => 'Struct' + }, + '11908' => { + 'BaseType' => '4212', + 'Name' => 'uint8_t*', + 'Size' => '1', + 'Type' => 'Pointer' + }, + '11934' => { + 'BaseType' => '85', + 'Name' => 'uint32_t*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '1212' => { + 'BaseType' => '96', + 'Header' => 'mlx5dv.h', + 'Line' => '197', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_DCTYPE_DCT', + 'value' => '1' + }, + '1' => { + 'name' => 'MLX5DV_DCTYPE_DCI', + 'value' => '2' + } + }, + 'Name' => 'enum mlx5dv_dc_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '12940' => { + 'Header' => 'verbs.h', + 'Line' => '1234', + 'Memb' => { + '0' => { + 'name' => 'qp_base', + 'offset' => '0', + 'type' => '4413' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '160', + 'type' => '5349' + }, + '10' => { + 'name' => 'wr_rdma_write_imm', + 'offset' => '232', + 'type' => '13414' + }, + '11' => { + 'name' => 'wr_send', + 'offset' => '240', + 'type' => '13441' + }, + '12' => { + 'name' => 'wr_send_imm', + 'offset' => '248', + 'type' => '13453' + }, + '13' => { + 'name' => 'wr_send_inv', + 'offset' => '256', + 'type' => '13375' + }, + '14' => { + 'name' => 'wr_send_tso', + 'offset' => '264', + 'type' => '13470' + }, + '15' => { + 'name' => 'wr_set_ud_addr', + 'offset' => '272', + 'type' => '13497' + }, + '16' => { + 'name' => 'wr_set_xrc_srqn', + 'offset' => '280', + 'type' => '13375' + }, + '17' => { + 'name' => 'wr_set_inline_data', + 'offset' => '288', + 'type' => '13524' + }, + '18' => { + 'name' => 'wr_set_inline_data_list', + 'offset' => '296', + 'type' => '13546' + }, + '19' => { + 'name' => 'wr_set_sge', + 'offset' => '304', + 'type' => '13614' + }, + '2' => { + 'name' => 'wr_id', + 'offset' => '168', + 'type' => '5349' + }, + '20' => { + 'name' => 'wr_set_sge_list', + 'offset' => '312', + 'type' => '13641' + }, + '21' => { + 'name' => 'wr_start', + 'offset' => '320', + 'type' => '13441' + }, + '22' => { + 'name' => 'wr_complete', + 'offset' => '328', + 'type' => '13673' + }, + '23' => { + 'name' => 'wr_abort', + 'offset' => '336', + 'type' => '13441' + }, + '3' => { + 'name' => 'wr_flags', + 'offset' => '176', + 'type' => '96' + }, + '4' => { + 'name' => 'wr_atomic_cmp_swp', + 'offset' => '184', + 'type' => '13274' + }, + '5' => { + 'name' => 'wr_atomic_fetch_add', + 'offset' => '192', + 'type' => '13311' + }, + '6' => { + 'name' => 'wr_bind_mw', + 'offset' => '200', + 'type' => '13338' + }, + '7' => { + 'name' => 'wr_local_inv', + 'offset' => '208', + 'type' => '13375' + }, + '8' => { + 'name' => 'wr_rdma_read', + 'offset' => '216', + 'type' => '13392' + }, + '9' => { + 'name' => 'wr_rdma_write', + 'offset' => '224', + 'type' => '13392' + } + }, + 'Name' => 'struct ibv_qp_ex', + 'Size' => '344', + 'Type' => 'Struct' + }, + '13274' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint64_t, uint64_t)', + 'Param' => { + '0' => { + 'type' => '13306' + }, + '1' => { + 'type' => '85' + }, + '2' => { + 'type' => '5349' + }, + '3' => { + 'type' => '5349' + }, + '4' => { + 'type' => '5349' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '13306' => { + 'BaseType' => '12940', + 'Name' => 'struct ibv_qp_ex*', + 'Size' => '344', + 'Type' => 'Pointer' + }, + '13311' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint64_t)', + 'Param' => { + '0' => { + 'type' => '13306' + }, + '1' => { + 'type' => '85' + }, + '2' => { + 'type' => '5349' + }, + '3' => { + 'type' => '5349' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '13338' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, struct ibv_mw*, uint32_t, struct ibv_mw_bind_info const*)', + 'Param' => { + '0' => { + 'type' => '13306' + }, + '1' => { + 'type' => '4261' + }, + '2' => { + 'type' => '85' + }, + '3' => { + 'type' => '13365' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '13365' => { + 'BaseType' => '13370', + 'Name' => 'struct ibv_mw_bind_info const*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '13370' => { + 'BaseType' => '5360', + 'Name' => 'struct ibv_mw_bind_info const', + 'Size' => '32', + 'Type' => 'Const' + }, + '13375' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t)', + 'Param' => { + '0' => { + 'type' => '13306' + }, + '1' => { + 'type' => '85' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '13392' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t)', + 'Param' => { + '0' => { + 'type' => '13306' + }, + '1' => { + 'type' => '85' + }, + '2' => { + 'type' => '5349' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '13414' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, __be32)', + 'Param' => { + '0' => { + 'type' => '13306' + }, + '1' => { + 'type' => '85' + }, + '2' => { + 'type' => '5349' + }, + '3' => { + 'type' => '5793' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '13441' => { + 'Name' => 'void(*)(struct ibv_qp_ex*)', + 'Param' => { + '0' => { + 'type' => '13306' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '13453' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, __be32)', + 'Param' => { + '0' => { + 'type' => '13306' + }, + '1' => { + 'type' => '5793' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '13470' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, void*, uint16_t, uint16_t)', + 'Param' => { + '0' => { + 'type' => '13306' + }, + '1' => { + 'type' => '1452' + }, + '2' => { + 'type' => '5815' + }, + '3' => { + 'type' => '5815' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '13497' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, struct ibv_ah*, uint32_t, uint32_t)', + 'Param' => { + '0' => { + 'type' => '13306' + }, + '1' => { + 'type' => '6541' + }, + '2' => { + 'type' => '85' + }, + '3' => { + 'type' => '85' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '13524' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, void*, size_t)', + 'Param' => { + '0' => { + 'type' => '13306' + }, + '1' => { + 'type' => '1452' + }, + '2' => { + 'type' => '5528' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '13546' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, size_t, struct ibv_data_buf const*)', + 'Param' => { + '0' => { + 'type' => '13306' + }, + '1' => { + 'type' => '5528' + }, + '2' => { + 'type' => '13568' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '13568' => { + 'BaseType' => '13573', + 'Name' => 'struct ibv_data_buf const*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '13573' => { + 'BaseType' => '13578', + 'Name' => 'struct ibv_data_buf const', + 'Size' => '16', + 'Type' => 'Const' + }, + '13578' => { + 'Header' => 'verbs.h', + 'Line' => '1074', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '1452' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '5528' + } + }, + 'Name' => 'struct ibv_data_buf', + 'Size' => '16', + 'Type' => 'Struct' + }, + '13614' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint32_t)', + 'Param' => { + '0' => { + 'type' => '13306' + }, + '1' => { + 'type' => '85' + }, + '2' => { + 'type' => '5349' + }, + '3' => { + 'type' => '85' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '13641' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, size_t, struct ibv_sge const*)', + 'Param' => { + '0' => { + 'type' => '13306' + }, + '1' => { + 'type' => '5528' + }, + '2' => { + 'type' => '13663' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '13663' => { + 'BaseType' => '13668', + 'Name' => 'struct ibv_sge const*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '13668' => { + 'BaseType' => '5952', + 'Name' => 'struct ibv_sge const', + 'Size' => '16', + 'Type' => 'Const' + }, + '13673' => { + 'Name' => 'int(*)(struct ibv_qp_ex*)', + 'Param' => { + '0' => { + 'type' => '13306' + } + }, + 'Return' => '171', + 'Type' => 'FuncPtr' + }, + '137219' => { + 'BaseType' => '96', + 'Header' => 'mlx5dv.h', + 'Line' => '1410', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_DR_DOMAIN_TYPE_NIC_RX', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5DV_DR_DOMAIN_TYPE_NIC_TX', + 'value' => '1' + }, + '2' => { + 'name' => 'MLX5DV_DR_DOMAIN_TYPE_FDB', + 'value' => '2' + } + }, + 'Name' => 'enum mlx5dv_dr_domain_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '137306' => { + 'BaseType' => '96', + 'Header' => 'mlx5.h', + 'Line' => '606', + 'Memb' => { + '0' => { + 'name' => 'MLX5_DEVX_FLOW_TABLE', + 'value' => '1' + }, + '1' => { + 'name' => 'MLX5_DEVX_FLOW_COUNTER', + 'value' => '2' + }, + '2' => { + 'name' => 'MLX5_DEVX_FLOW_METER', + 'value' => '3' + }, + '3' => { + 'name' => 'MLX5_DEVX_QP', + 'value' => '4' + }, + '4' => { + 'name' => 'MLX5_DEVX_PKT_REFORMAT_CTX', + 'value' => '5' + } + }, + 'Name' => 'enum mlx5_devx_obj_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '137350' => { + 'BaseType' => '96', + 'Header' => 'mlx5dv_dr.h', + 'Line' => '140', + 'Memb' => { + '0' => { + 'name' => 'DR_STE_TYPE_TX', + 'value' => '1' + }, + '1' => { + 'name' => 'DR_STE_TYPE_RX', + 'value' => '2' + }, + '2' => { + 'name' => 'DR_STE_TYPE_MODIFY_PKT', + 'value' => '6' + } + }, + 'Name' => 'enum dr_ste_entry_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '13739' => { + 'Header' => 'mlx5dv.h', + 'Line' => '234', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '5349' + }, + '1' => { + 'name' => 'wr_set_dc_addr', + 'offset' => '8', + 'type' => '13796' + }, + '2' => { + 'name' => 'wr_mr_interleaved', + 'offset' => '16', + 'type' => '13828' + }, + '3' => { + 'name' => 'wr_mr_list', + 'offset' => '24', + 'type' => '13965' + } + }, + 'Name' => 'struct mlx5dv_qp_ex', + 'Size' => '32', + 'Type' => 'Struct' + }, + '137412' => { + 'BaseType' => '96', + 'Header' => 'mlx5dv_dr.h', + 'Line' => '54', + 'Memb' => { + '0' => { + 'name' => 'DR_CHUNK_SIZE_1', + 'value' => '0' + }, + '1' => { + 'name' => 'DR_CHUNK_SIZE_MIN', + 'value' => '0' + }, + '10' => { + 'name' => 'DR_CHUNK_SIZE_512', + 'value' => '9' + }, + '11' => { + 'name' => 'DR_CHUNK_SIZE_1K', + 'value' => '10' + }, + '12' => { + 'name' => 'DR_CHUNK_SIZE_2K', + 'value' => '11' + }, + '13' => { + 'name' => 'DR_CHUNK_SIZE_4K', + 'value' => '12' + }, + '14' => { + 'name' => 'DR_CHUNK_SIZE_8K', + 'value' => '13' + }, + '15' => { + 'name' => 'DR_CHUNK_SIZE_16K', + 'value' => '14' + }, + '16' => { + 'name' => 'DR_CHUNK_SIZE_32K', + 'value' => '15' + }, + '17' => { + 'name' => 'DR_CHUNK_SIZE_64K', + 'value' => '16' + }, + '18' => { + 'name' => 'DR_CHUNK_SIZE_128K', + 'value' => '17' + }, + '19' => { + 'name' => 'DR_CHUNK_SIZE_256K', + 'value' => '18' + }, + '2' => { + 'name' => 'DR_CHUNK_SIZE_2', + 'value' => '1' + }, + '20' => { + 'name' => 'DR_CHUNK_SIZE_512K', + 'value' => '19' + }, + '21' => { + 'name' => 'DR_CHUNK_SIZE_1024K', + 'value' => '20' + }, + '22' => { + 'name' => 'DR_CHUNK_SIZE_2048K', + 'value' => '21' + }, + '23' => { + 'name' => 'DR_CHUNK_SIZE_MAX', + 'value' => '22' + }, + '3' => { + 'name' => 'DR_CHUNK_SIZE_4', + 'value' => '2' + }, + '4' => { + 'name' => 'DR_CHUNK_SIZE_8', + 'value' => '3' + }, + '5' => { + 'name' => 'DR_CHUNK_SIZE_16', + 'value' => '4' + }, + '6' => { + 'name' => 'DR_CHUNK_SIZE_32', + 'value' => '5' + }, + '7' => { + 'name' => 'DR_CHUNK_SIZE_64', + 'value' => '6' + }, + '8' => { + 'name' => 'DR_CHUNK_SIZE_128', + 'value' => '7' + }, + '9' => { + 'name' => 'DR_CHUNK_SIZE_256', + 'value' => '8' + } + }, + 'Name' => 'enum dr_icm_chunk_size', + 'Size' => '4', + 'Type' => 'Enum' + }, + '137569' => { + 'BaseType' => '96', + 'Header' => 'mlx5dv_dr.h', + 'Line' => '171', + 'Memb' => { + '0' => { + 'name' => 'DR_ACTION_TYP_TNL_L2_TO_L2', + 'value' => '0' + }, + '1' => { + 'name' => 'DR_ACTION_TYP_L2_TO_TNL_L2', + 'value' => '1' + }, + '10' => { + 'name' => 'DR_ACTION_TYP_VPORT', + 'value' => '10' + }, + '11' => { + 'name' => 'DR_ACTION_TYP_MAX', + 'value' => '11' + }, + '2' => { + 'name' => 'DR_ACTION_TYP_TNL_L3_TO_L2', + 'value' => '2' + }, + '3' => { + 'name' => 'DR_ACTION_TYP_L2_TO_TNL_L3', + 'value' => '3' + }, + '4' => { + 'name' => 'DR_ACTION_TYP_DROP', + 'value' => '4' + }, + '5' => { + 'name' => 'DR_ACTION_TYP_QP', + 'value' => '5' + }, + '6' => { + 'name' => 'DR_ACTION_TYP_FT', + 'value' => '6' + }, + '7' => { + 'name' => 'DR_ACTION_TYP_CTR', + 'value' => '7' + }, + '8' => { + 'name' => 'DR_ACTION_TYP_TAG', + 'value' => '8' + }, + '9' => { + 'name' => 'DR_ACTION_TYP_MODIFY_HDR', + 'value' => '9' + } + }, + 'Name' => 'enum dr_action_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '137849' => { + 'BaseType' => '96', + 'Header' => 'mlx5dv.h', + 'Line' => '321', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_FLOW_ACTION_DEST_IBV_QP', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5DV_FLOW_ACTION_DROP', + 'value' => '1' + }, + '2' => { + 'name' => 'MLX5DV_FLOW_ACTION_IBV_COUNTER', + 'value' => '2' + }, + '3' => { + 'name' => 'MLX5DV_FLOW_ACTION_IBV_FLOW_ACTION', + 'value' => '3' + }, + '4' => { + 'name' => 'MLX5DV_FLOW_ACTION_TAG', + 'value' => '4' + }, + '5' => { + 'name' => 'MLX5DV_FLOW_ACTION_DEST_DEVX', + 'value' => '5' + }, + '6' => { + 'name' => 'MLX5DV_FLOW_ACTION_COUNTERS_DEVX', + 'value' => '6' + } + }, + 'Name' => 'enum mlx5dv_flow_action_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '137905' => { + 'BaseType' => '96', + 'Header' => 'mlx5_user_ioctl_verbs.h', + 'Line' => '49', + 'Memb' => { + '0' => { + 'name' => 'MLX5_IB_UAPI_FLOW_ACTION_PACKET_REFORMAT_TYPE_L2_TUNNEL_TO_L2', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5_IB_UAPI_FLOW_ACTION_PACKET_REFORMAT_TYPE_L2_TO_L2_TUNNEL', + 'value' => '1' + }, + '2' => { + 'name' => 'MLX5_IB_UAPI_FLOW_ACTION_PACKET_REFORMAT_TYPE_L3_TUNNEL_TO_L2', + 'value' => '2' + }, + '3' => { + 'name' => 'MLX5_IB_UAPI_FLOW_ACTION_PACKET_REFORMAT_TYPE_L2_TO_L3_TUNNEL', + 'value' => '3' + } + }, + 'Name' => 'enum mlx5_ib_uapi_flow_action_packet_reformat_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '13796' => { + 'Name' => 'void(*)(struct mlx5dv_qp_ex*, struct ibv_ah*, uint32_t, uint64_t)', + 'Param' => { + '0' => { + 'type' => '13823' + }, + '1' => { + 'type' => '6541' + }, + '2' => { + 'type' => '85' + }, + '3' => { + 'type' => '5349' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '13823' => { + 'BaseType' => '13739', + 'Name' => 'struct mlx5dv_qp_ex*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '13828' => { + 'Name' => 'void(*)(struct mlx5dv_qp_ex*, struct mlx5dv_mkey*, uint32_t, uint32_t, uint16_t, struct mlx5dv_mr_interleaved*)', + 'Param' => { + '0' => { + 'type' => '13823' + }, + '1' => { + 'type' => '13865' + }, + '2' => { + 'type' => '85' + }, + '3' => { + 'type' => '85' + }, + '4' => { + 'type' => '5815' + }, + '5' => { + 'type' => '13903' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '138616' => { + 'BaseType' => '96', + 'Header' => 'mlx5_user_ioctl_verbs.h', + 'Line' => '42', + 'Memb' => { + '0' => { + 'name' => 'MLX5_IB_UAPI_FLOW_TABLE_TYPE_NIC_RX', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5_IB_UAPI_FLOW_TABLE_TYPE_NIC_TX', + 'value' => '1' + }, + '2' => { + 'name' => 'MLX5_IB_UAPI_FLOW_TABLE_TYPE_FDB', + 'value' => '2' + }, + '3' => { + 'name' => 'MLX5_IB_UAPI_FLOW_TABLE_TYPE_RDMA_RX', + 'value' => '3' + } + }, + 'Name' => 'enum mlx5_ib_uapi_flow_table_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '13865' => { + 'BaseType' => '13870', + 'Name' => 'struct mlx5dv_mkey*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '13870' => { + 'Header' => 'mlx5dv.h', + 'Line' => '183', + 'Memb' => { + '0' => { + 'name' => 'lkey', + 'offset' => '0', + 'type' => '85' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '4', + 'type' => '85' + } + }, + 'Name' => 'struct mlx5dv_mkey', + 'Size' => '8', + 'Type' => 'Struct' + }, + '13903' => { + 'BaseType' => '13908', + 'Name' => 'struct mlx5dv_mr_interleaved*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '13908' => { + 'Header' => 'mlx5dv.h', + 'Line' => '223', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '5349' + }, + '1' => { + 'name' => 'bytes_count', + 'offset' => '8', + 'type' => '85' + }, + '2' => { + 'name' => 'bytes_skip', + 'offset' => '12', + 'type' => '85' + }, + '3' => { + 'name' => 'lkey', + 'offset' => '16', + 'type' => '85' + } + }, + 'Name' => 'struct mlx5dv_mr_interleaved', + 'Size' => '24', + 'Type' => 'Struct' + }, + '13965' => { + 'Name' => 'void(*)(struct mlx5dv_qp_ex*, struct mlx5dv_mkey*, uint32_t, uint16_t, struct ibv_sge*)', + 'Param' => { + '0' => { + 'type' => '13823' + }, + '1' => { + 'type' => '13865' + }, + '2' => { + 'type' => '85' + }, + '3' => { + 'type' => '5815' + }, + '4' => { + 'type' => '5947' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '13997' => { + 'Header' => 'mlx5.h', + 'Line' => '331', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '1452' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '5528' + }, + '2' => { + 'name' => 'base', + 'offset' => '16', + 'type' => '171' + }, + '3' => { + 'name' => 'hmem', + 'offset' => '24', + 'type' => '14072' + }, + '4' => { + 'name' => 'type', + 'offset' => '32', + 'type' => '1157' + } + }, + 'Name' => 'struct mlx5_buf', + 'Size' => '40', + 'Type' => 'Struct' + }, + '14072' => { + 'BaseType' => '14077', + 'Name' => 'struct mlx5_hugetlb_mem*', + 'Size' => '64', + 'Type' => 'Pointer' + }, + '14077' => { + 'Header' => 'mlx5.h', + 'Line' => '324', + 'Memb' => { + '0' => { + 'name' => 'shmid', + 'offset' => '0', + 'type' => '171' + }, + '1' => { + 'name' => 'shmaddr', + 'offset' => '8', + 'type' => '1452' + }, + '2' => { + 'name' => 'bitmap', + 'offset' => '16', + 'type' => '14139' + }, + '3' => { + 'name' => 'entry', + 'offset' => '48', + 'type' => '1458' + } + }, + 'Name' => 'struct mlx5_hugetlb_mem', + 'Size' => '64', + 'Type' => 'Struct' + }, + '14139' => { + 'Header' => 'mlx5.h', + 'Line' => '315', + 'Memb' => { + '0' => { + 'name' => 'last', + 'offset' => '0', + 'type' => '85' + }, + '1' => { + 'name' => 'top', + 'offset' => '4', + 'type' => '85' + }, + '2' => { + 'name' => 'max', + 'offset' => '8', + 'type' => '85' + }, + '3' => { + 'name' => 'avail', + 'offset' => '12', + 'type' => '85' + }, + '4' => { + 'name' => 'mask', + 'offset' => '16', + 'type' => '85' + }, + '5' => { + 'name' => 'table', + 'offset' => '24', + 'type' => '14227' + } + }, + 'Name' => 'struct mlx5_bitmap', + 'Size' => '32', + 'Type' => 'Struct' + }, + '14227' => { + 'BaseType' => '1648', + 'Name' => 'unsigned long*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14438' => { + 'BaseType' => '14449', + 'Header' => 'stdio.h', + 'Line' => '90', + 'Name' => 'off_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '14449' => { + 'BaseType' => '5003', + 'Header' => 'types.h', + 'Line' => '131', + 'Name' => '__off_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '14496' => { + 'Header' => 'mlx5dv.h', + 'Line' => '1265', + 'Memb' => { + '0' => { + 'name' => 'reg_addr', + 'offset' => '0', + 'type' => '1452' + }, + '1' => { + 'name' => 'base_addr', + 'offset' => '8', + 'type' => '1452' + }, + '2' => { + 'name' => 'page_id', + 'offset' => '16', + 'type' => '85' + }, + '3' => { + 'name' => 'mmap_off', + 'offset' => '24', + 'type' => '14438' + }, + '4' => { + 'name' => 'comp_mask', + 'offset' => '32', + 'type' => '5349' + } + }, + 'Name' => 'struct mlx5dv_devx_uar', + 'Size' => '40', + 'Type' => 'Struct' + }, + '1452' => { + 'BaseType' => '1', + 'Name' => 'void*', + 'Type' => 'Pointer' + }, + '1453' => { + 'BaseType' => '1458', + 'Name' => 'struct list_node*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '14571' => { + 'Name' => '_Bool', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '1458' => { + 'Header' => 'list.h', + 'Line' => '24', + 'Memb' => { + '0' => { + 'name' => 'next', + 'offset' => '0', + 'type' => '1453' + }, + '1' => { + 'name' => 'prev', + 'offset' => '8', + 'type' => '1453' + } + }, + 'Name' => 'struct list_node', + 'Size' => '16', + 'Type' => 'Struct' + }, + '14671' => { + 'BaseType' => '4212', + 'Name' => 'uint8_t[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '14862' => { + 'BaseType' => '96', + 'Name' => 'unsigned int*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '14867' => { + 'BaseType' => '5793', + 'Name' => '__be32*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '1496' => { + 'Name' => 'char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '155256' => { + 'BaseType' => '155261', + 'Name' => 'struct mlx5dv_dr_action*', + 'Size' => '56', + 'Type' => 'Pointer' + }, + '155261' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '679', + 'Memb' => { + '0' => { + 'name' => 'action_type', + 'offset' => '0', + 'type' => '137569' + }, + '1' => { + 'name' => 'refcount', + 'offset' => '4', + 'type' => '40517' + }, + '2' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '155305' + } + }, + 'Name' => 'struct mlx5dv_dr_action', + 'Size' => '56', + 'Type' => 'Struct' + }, + '155305' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '682', + 'Memb' => { + '0' => { + 'name' => 'rewrite', + 'offset' => '0', + 'type' => '155323' + }, + '1' => { + 'name' => 'reformat', + 'offset' => '0', + 'type' => '155476' + }, + '2' => { + 'name' => 'dest_tbl', + 'offset' => '0', + 'type' => '157562' + }, + '3' => { + 'name' => 'ctr', + 'offset' => '0', + 'type' => '155603' + }, + '4' => { + 'name' => 'vport', + 'offset' => '0', + 'type' => '155648' + }, + '5' => { + 'name' => 'qp', + 'offset' => '0', + 'type' => '4408' + }, + '6' => { + 'name' => 'devx_obj', + 'offset' => '0', + 'type' => '156492' + }, + '7' => { + 'name' => 'flow_tag', + 'offset' => '0', + 'type' => '85' + } + }, + 'Name' => 'mlx5dv_dr_action::anon-union-mlx5dv_dr.h-682', + 'NameSpace' => 'mlx5dv_dr_action', + 'Size' => '48', + 'Type' => 'Union' + }, + '155323' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '683', + 'Memb' => { + '0' => { + 'name' => 'dmn', + 'offset' => '0', + 'type' => '155745' + }, + '1' => { + 'name' => 'is_root_level', + 'offset' => '8', + 'type' => '14571' + }, + '2' => { + 'name' => 'unnamed0', + 'offset' => '16', + 'type' => '155363' + } + }, + 'Name' => 'anon-struct-mlx5dv_dr.h-683', + 'Size' => '48', + 'Type' => 'Struct' + }, + '155363' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '686', + 'Memb' => { + '0' => { + 'name' => 'flow_action', + 'offset' => '0', + 'type' => '7516' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '155390' + } + }, + 'Name' => 'anon-struct-mlx5dv_dr.h-683::anon-union-mlx5dv_dr.h-686', + 'NameSpace' => 'anon-struct-mlx5dv_dr.h-683', + 'Size' => '32', + 'Type' => 'Union' + }, + '155390' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '688', + 'Memb' => { + '0' => { + 'name' => 'chunk', + 'offset' => '0', + 'type' => '157113' + }, + '1' => { + 'name' => 'data', + 'offset' => '8', + 'type' => '11908' + }, + '2' => { + 'name' => 'data_size', + 'offset' => '16', + 'type' => '85' + }, + '3' => { + 'name' => 'num_of_actions', + 'offset' => '20', + 'type' => '5815' + }, + '4' => { + 'name' => 'index', + 'offset' => '24', + 'type' => '85' + } + }, + 'Name' => 'anon-struct-mlx5dv_dr.h-688', + 'Size' => '32', + 'Type' => 'Struct' + }, + '155476' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '697', + 'Memb' => { + '0' => { + 'name' => 'dmn', + 'offset' => '0', + 'type' => '155745' + }, + '1' => { + 'name' => 'is_root_level', + 'offset' => '8', + 'type' => '14571' + }, + '2' => { + 'name' => 'unnamed0', + 'offset' => '16', + 'type' => '155516' + } + }, + 'Name' => 'anon-struct-mlx5dv_dr.h-697', + 'Size' => '32', + 'Type' => 'Struct' + }, + '155516' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '700', + 'Memb' => { + '0' => { + 'name' => 'flow_action', + 'offset' => '0', + 'type' => '7516' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '155543' + } + }, + 'Name' => 'anon-struct-mlx5dv_dr.h-697::anon-union-mlx5dv_dr.h-700', + 'NameSpace' => 'anon-struct-mlx5dv_dr.h-697', + 'Size' => '16', + 'Type' => 'Union' + }, + '155543' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '702', + 'Memb' => { + '0' => { + 'name' => 'dvo', + 'offset' => '0', + 'type' => '156492' + }, + '1' => { + 'name' => 'reformat_size', + 'offset' => '8', + 'type' => '85' + } + }, + 'Name' => 'anon-struct-mlx5dv_dr.h-702', + 'Size' => '16', + 'Type' => 'Struct' + }, + '155603' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '709', + 'Memb' => { + '0' => { + 'name' => 'devx_obj', + 'offset' => '0', + 'type' => '156492' + }, + '1' => { + 'name' => 'offeset', + 'offset' => '8', + 'type' => '85' + } + }, + 'Name' => 'anon-struct-mlx5dv_dr.h-709', + 'Size' => '16', + 'Type' => 'Struct' + }, + '155648' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '713', + 'Memb' => { + '0' => { + 'name' => 'dmn', + 'offset' => '0', + 'type' => '155745' + }, + '1' => { + 'name' => 'caps', + 'offset' => '8', + 'type' => '157059' + }, + '2' => { + 'name' => 'num', + 'offset' => '16', + 'type' => '85' + } + }, + 'Name' => 'anon-struct-mlx5dv_dr.h-713', + 'Size' => '24', + 'Type' => 'Struct' + }, + '155745' => { + 'BaseType' => '155750', + 'Name' => 'struct mlx5dv_dr_domain*', + 'Size' => '496', + 'Type' => 'Pointer' + }, + '155750' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '621', + 'Memb' => { + '0' => { + 'name' => 'ctx', + 'offset' => '0', + 'type' => '3435' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '4341' + }, + '2' => { + 'name' => 'uar', + 'offset' => '16', + 'type' => '155891' + }, + '3' => { + 'name' => 'type', + 'offset' => '24', + 'type' => '137219' + }, + '4' => { + 'name' => 'refcount', + 'offset' => '28', + 'type' => '40517' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '32', + 'type' => '4778' + }, + '6' => { + 'name' => 'ste_icm_pool', + 'offset' => '72', + 'type' => '155896' + }, + '7' => { + 'name' => 'action_icm_pool', + 'offset' => '80', + 'type' => '155896' + }, + '8' => { + 'name' => 'send_ring', + 'offset' => '88', + 'type' => '155906' + }, + '9' => { + 'name' => 'info', + 'offset' => '96', + 'type' => '156611' + } + }, + 'Name' => 'struct mlx5dv_dr_domain', + 'Size' => '496', + 'Type' => 'Struct' + }, + '155891' => { + 'BaseType' => '14496', + 'Name' => 'struct mlx5dv_devx_uar*', + 'Size' => '40', + 'Type' => 'Pointer' + }, + '155896' => { + 'BaseType' => '155901', + 'Name' => 'struct dr_icm_pool*', + 'Type' => 'Pointer' + }, + '155901' => { + 'Line' => '73', + 'Memb' => { + '0' => { + 'name' => 'buckets', + 'offset' => '0', + 'type' => '157258' + }, + '1' => { + 'name' => 'icm_type', + 'offset' => '8', + 'type' => '192113' + }, + '2' => { + 'name' => 'max_log_chunk_sz', + 'offset' => '12', + 'type' => '137412' + }, + '3' => { + 'name' => 'num_of_buckets', + 'offset' => '16', + 'type' => '137412' + }, + '4' => { + 'name' => 'icm_mr_list', + 'offset' => '24', + 'type' => '16065' + }, + '5' => { + 'name' => 'mr_mutex', + 'offset' => '40', + 'type' => '4778' + }, + '6' => { + 'name' => 'dmn', + 'offset' => '80', + 'type' => '155745' + } + }, + 'Name' => 'struct dr_icm_pool', + 'Size' => '88', + 'Source' => 'dr_icm_pool.c', + 'Type' => 'Struct' + }, + '155906' => { + 'BaseType' => '155911', + 'Name' => 'struct dr_send_ring*', + 'Size' => '3240', + 'Type' => 'Pointer' + }, + '155911' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '967', + 'Memb' => { + '0' => { + 'name' => 'cq', + 'offset' => '0', + 'type' => '156080' + }, + '1' => { + 'name' => 'qp', + 'offset' => '48', + 'type' => '156194' + }, + '10' => { + 'name' => 'sync_buff', + 'offset' => '3168', + 'type' => '14671' + }, + '11' => { + 'name' => 'sync_mr', + 'offset' => '3232', + 'type' => '5422' + }, + '2' => { + 'name' => 'mr', + 'offset' => '56', + 'type' => '5422' + }, + '3' => { + 'name' => 'pending_wqe', + 'offset' => '64', + 'type' => '85' + }, + '4' => { + 'name' => 'signal_th', + 'offset' => '68', + 'type' => '5815' + }, + '5' => { + 'name' => 'max_post_send_size', + 'offset' => '72', + 'type' => '85' + }, + '6' => { + 'name' => 'tx_head', + 'offset' => '76', + 'type' => '85' + }, + '7' => { + 'name' => 'buf', + 'offset' => '80', + 'type' => '1452' + }, + '8' => { + 'name' => 'buf_size', + 'offset' => '88', + 'type' => '85' + }, + '9' => { + 'name' => 'wc', + 'offset' => '96', + 'type' => '156587' + } + }, + 'Name' => 'struct dr_send_ring', + 'Size' => '3240', + 'Type' => 'Struct' + }, + '156080' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '953', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '11908' + }, + '1' => { + 'name' => 'cons_index', + 'offset' => '8', + 'type' => '85' + }, + '2' => { + 'name' => 'ncqe', + 'offset' => '12', + 'type' => '171' + }, + '3' => { + 'name' => 'qp', + 'offset' => '16', + 'type' => '156194' + }, + '4' => { + 'name' => 'db', + 'offset' => '24', + 'type' => '14867' + }, + '5' => { + 'name' => 'ibv_cq', + 'offset' => '32', + 'type' => '4592' + }, + '6' => { + 'name' => 'cqn', + 'offset' => '40', + 'type' => '85' + }, + '7' => { + 'name' => 'cqe_sz', + 'offset' => '44', + 'type' => '85' + } + }, + 'Name' => 'struct dr_cq', + 'Size' => '48', + 'Type' => 'Struct' + }, + '156194' => { + 'BaseType' => '156199', + 'Name' => 'struct dr_qp*', + 'Size' => '200', + 'Type' => 'Pointer' + }, + '156199' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '939', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '13997' + }, + '1' => { + 'name' => 'sq', + 'offset' => '40', + 'type' => '156352' + }, + '10' => { + 'name' => 'db_umem', + 'offset' => '192', + 'type' => '156559' + }, + '2' => { + 'name' => 'rq', + 'offset' => '88', + 'type' => '156352' + }, + '3' => { + 'name' => 'sq_size', + 'offset' => '136', + 'type' => '171' + }, + '4' => { + 'name' => 'sq_start', + 'offset' => '144', + 'type' => '1452' + }, + '5' => { + 'name' => 'max_inline_data', + 'offset' => '152', + 'type' => '171' + }, + '6' => { + 'name' => 'db', + 'offset' => '160', + 'type' => '14867' + }, + '7' => { + 'name' => 'obj', + 'offset' => '168', + 'type' => '156492' + }, + '8' => { + 'name' => 'uar', + 'offset' => '176', + 'type' => '155891' + }, + '9' => { + 'name' => 'buf_umem', + 'offset' => '184', + 'type' => '156559' + } + }, + 'Name' => 'struct dr_qp', + 'Size' => '200', + 'Type' => 'Struct' + }, + '156352' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '926', + 'Memb' => { + '0' => { + 'name' => 'wqe_head', + 'offset' => '0', + 'type' => '14862' + }, + '1' => { + 'name' => 'wqe_cnt', + 'offset' => '8', + 'type' => '96' + }, + '2' => { + 'name' => 'max_post', + 'offset' => '12', + 'type' => '96' + }, + '3' => { + 'name' => 'head', + 'offset' => '16', + 'type' => '96' + }, + '4' => { + 'name' => 'tail', + 'offset' => '20', + 'type' => '96' + }, + '5' => { + 'name' => 'cur_post', + 'offset' => '24', + 'type' => '96' + }, + '6' => { + 'name' => 'max_gs', + 'offset' => '28', + 'type' => '171' + }, + '7' => { + 'name' => 'wqe_shift', + 'offset' => '32', + 'type' => '171' + }, + '8' => { + 'name' => 'offset', + 'offset' => '36', + 'type' => '171' + }, + '9' => { + 'name' => 'qend', + 'offset' => '40', + 'type' => '1452' + } + }, + 'Name' => 'struct dr_wq', + 'Size' => '48', + 'Type' => 'Struct' + }, + '156492' => { + 'BaseType' => '156497', + 'Name' => 'struct mlx5dv_devx_obj*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '156497' => { + 'Header' => 'mlx5.h', + 'Line' => '614', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3435' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '85' + }, + '2' => { + 'name' => 'type', + 'offset' => '12', + 'type' => '137306' + }, + '3' => { + 'name' => 'object_id', + 'offset' => '16', + 'type' => '85' + } + }, + 'Name' => 'struct mlx5dv_devx_obj', + 'Size' => '24', + 'Type' => 'Struct' + }, + '156559' => { + 'BaseType' => '156564', + 'Name' => 'struct mlx5dv_devx_umem*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '156564' => { + 'Header' => 'mlx5dv.h', + 'Line' => '1257', + 'Memb' => { + '0' => { + 'name' => 'umem_id', + 'offset' => '0', + 'type' => '85' + } + }, + 'Name' => 'struct mlx5dv_devx_umem', + 'Size' => '4', + 'Type' => 'Struct' + }, + '156587' => { + 'BaseType' => '5586', + 'Name' => 'struct ibv_wc[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '156611' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '609', + 'Memb' => { + '0' => { + 'name' => 'supp_sw_steering', + 'offset' => '0', + 'type' => '14571' + }, + '1' => { + 'name' => 'max_inline_size', + 'offset' => '4', + 'type' => '85' + }, + '2' => { + 'name' => 'max_send_wr', + 'offset' => '8', + 'type' => '85' + }, + '3' => { + 'name' => 'max_log_sw_icm_sz', + 'offset' => '12', + 'type' => '85' + }, + '4' => { + 'name' => 'max_log_action_icm_sz', + 'offset' => '16', + 'type' => '85' + }, + '5' => { + 'name' => 'rx', + 'offset' => '24', + 'type' => '156740' + }, + '6' => { + 'name' => 'tx', + 'offset' => '48', + 'type' => '156740' + }, + '7' => { + 'name' => 'attr', + 'offset' => '72', + 'type' => '10358' + }, + '8' => { + 'name' => 'caps', + 'offset' => '304', + 'type' => '156789' + } + }, + 'Name' => 'struct dr_domain_info', + 'Size' => '400', + 'Type' => 'Struct' + }, + '156740' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '603', + 'Memb' => { + '0' => { + 'name' => 'drop_icm_addr', + 'offset' => '0', + 'type' => '5349' + }, + '1' => { + 'name' => 'default_icm_addr', + 'offset' => '8', + 'type' => '5349' + }, + '2' => { + 'name' => 'ste_type', + 'offset' => '16', + 'type' => '137350' + } + }, + 'Name' => 'struct dr_domain_rx_tx', + 'Size' => '24', + 'Type' => 'Struct' + }, + '156789' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '580', + 'Memb' => { + '0' => { + 'name' => 'gvmi', + 'offset' => '0', + 'type' => '5815' + }, + '1' => { + 'name' => 'nic_rx_drop_address', + 'offset' => '8', + 'type' => '5349' + }, + '10' => { + 'name' => 'flex_parser_id_icmp_dw1', + 'offset' => '69', + 'type' => '4212' + }, + '11' => { + 'name' => 'flex_parser_id_icmpv6_dw0', + 'offset' => '70', + 'type' => '4212' + }, + '12' => { + 'name' => 'flex_parser_id_icmpv6_dw1', + 'offset' => '71', + 'type' => '4212' + }, + '13' => { + 'name' => 'max_ft_level', + 'offset' => '72', + 'type' => '4212' + }, + '14' => { + 'name' => 'eswitch_manager', + 'offset' => '73', + 'type' => '14571' + }, + '15' => { + 'name' => 'rx_sw_owner', + 'offset' => '74', + 'type' => '14571' + }, + '16' => { + 'name' => 'tx_sw_owner', + 'offset' => '75', + 'type' => '14571' + }, + '17' => { + 'name' => 'fdb_sw_owner', + 'offset' => '76', + 'type' => '14571' + }, + '18' => { + 'name' => 'num_vports', + 'offset' => '80', + 'type' => '85' + }, + '19' => { + 'name' => 'vports_caps', + 'offset' => '88', + 'type' => '157059' + }, + '2' => { + 'name' => 'nic_tx_drop_address', + 'offset' => '16', + 'type' => '5349' + }, + '3' => { + 'name' => 'nic_tx_allow_address', + 'offset' => '24', + 'type' => '5349' + }, + '4' => { + 'name' => 'esw_rx_drop_address', + 'offset' => '32', + 'type' => '5349' + }, + '5' => { + 'name' => 'esw_tx_drop_address', + 'offset' => '40', + 'type' => '5349' + }, + '6' => { + 'name' => 'log_icm_size', + 'offset' => '48', + 'type' => '85' + }, + '7' => { + 'name' => 'hdr_modify_icm_addr', + 'offset' => '56', + 'type' => '5349' + }, + '8' => { + 'name' => 'flex_protocols', + 'offset' => '64', + 'type' => '85' + }, + '9' => { + 'name' => 'flex_parser_id_icmp_dw0', + 'offset' => '68', + 'type' => '4212' + } + }, + 'Name' => 'struct dr_devx_caps', + 'Size' => '96', + 'Type' => 'Struct' + }, + '157059' => { + 'BaseType' => '157064', + 'Name' => 'struct dr_devx_vport_cap*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '157064' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '574', + 'Memb' => { + '0' => { + 'name' => 'gvmi', + 'offset' => '0', + 'type' => '5815' + }, + '1' => { + 'name' => 'icm_address_rx', + 'offset' => '8', + 'type' => '5349' + }, + '2' => { + 'name' => 'icm_address_tx', + 'offset' => '16', + 'type' => '5349' + } + }, + 'Name' => 'struct dr_devx_vport_cap', + 'Size' => '24', + 'Type' => 'Struct' + }, + '157113' => { + 'BaseType' => '157118', + 'Name' => 'struct dr_icm_chunk*', + 'Size' => '80', + 'Type' => 'Pointer' + }, + '157118' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '757', + 'Memb' => { + '0' => { + 'name' => 'bucket', + 'offset' => '0', + 'type' => '157258' + }, + '1' => { + 'name' => 'chunk_list', + 'offset' => '8', + 'type' => '1458' + }, + '2' => { + 'name' => 'rkey', + 'offset' => '24', + 'type' => '85' + }, + '3' => { + 'name' => 'num_of_entries', + 'offset' => '28', + 'type' => '85' + }, + '4' => { + 'name' => 'byte_size', + 'offset' => '32', + 'type' => '85' + }, + '5' => { + 'name' => 'icm_addr', + 'offset' => '40', + 'type' => '5349' + }, + '6' => { + 'name' => 'mr_addr', + 'offset' => '48', + 'type' => '5349' + }, + '7' => { + 'name' => 'ste_arr', + 'offset' => '56', + 'type' => '157268' + }, + '8' => { + 'name' => 'hw_ste_arr', + 'offset' => '64', + 'type' => '11908' + }, + '9' => { + 'name' => 'miss_list', + 'offset' => '72', + 'type' => '16830' + } + }, + 'Name' => 'struct dr_icm_chunk', + 'Size' => '80', + 'Type' => 'Struct' + }, + '157258' => { + 'BaseType' => '157263', + 'Name' => 'struct dr_icm_bucket*', + 'Type' => 'Pointer' + }, + '157263' => { + 'Line' => '41', + 'Memb' => { + '0' => { + 'name' => 'pool', + 'offset' => '0', + 'type' => '155896' + }, + '1' => { + 'name' => 'free_list', + 'offset' => '8', + 'type' => '16065' + }, + '10' => { + 'name' => 'num_of_entries', + 'offset' => '104', + 'type' => '85' + }, + '11' => { + 'name' => 'entry_size', + 'offset' => '108', + 'type' => '85' + }, + '12' => { + 'name' => 'mutex', + 'offset' => '112', + 'type' => '4778' + }, + '2' => { + 'name' => 'free_list_count', + 'offset' => '24', + 'type' => '96' + }, + '3' => { + 'name' => 'used_list', + 'offset' => '32', + 'type' => '16065' + }, + '4' => { + 'name' => 'used_list_count', + 'offset' => '48', + 'type' => '96' + }, + '5' => { + 'name' => 'hot_list', + 'offset' => '56', + 'type' => '16065' + }, + '6' => { + 'name' => 'hot_list_count', + 'offset' => '72', + 'type' => '96' + }, + '7' => { + 'name' => 'tmp_list', + 'offset' => '80', + 'type' => '16065' + }, + '8' => { + 'name' => 'tmp_list_count', + 'offset' => '96', + 'type' => '96' + }, + '9' => { + 'name' => 'total_chunks', + 'offset' => '100', + 'type' => '85' + } + }, + 'Name' => 'struct dr_icm_bucket', + 'Size' => '152', + 'Source' => 'dr_icm_pool.c', + 'Type' => 'Struct' + }, + '157268' => { + 'BaseType' => '157273', + 'Name' => 'struct dr_ste*', + 'Size' => '72', + 'Type' => 'Pointer' + }, + '157273' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '208', + 'Memb' => { + '0' => { + 'name' => 'hw_ste', + 'offset' => '0', + 'type' => '11908' + }, + '1' => { + 'name' => 'refcount', + 'offset' => '8', + 'type' => '40517' + }, + '2' => { + 'name' => 'miss_list_node', + 'offset' => '16', + 'type' => '1458' + }, + '3' => { + 'name' => 'rule_list', + 'offset' => '32', + 'type' => '16065' + }, + '4' => { + 'name' => 'htbl', + 'offset' => '48', + 'type' => '157366' + }, + '5' => { + 'name' => 'next_htbl', + 'offset' => '56', + 'type' => '157366' + }, + '6' => { + 'name' => 'ste_chain_location', + 'offset' => '64', + 'type' => '4212' + } + }, + 'Name' => 'struct dr_ste', + 'Size' => '72', + 'Type' => 'Struct' + }, + '157366' => { + 'BaseType' => '157371', + 'Name' => 'struct dr_ste_htbl*', + 'Size' => '72', + 'Type' => 'Pointer' + }, + '157371' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '240', + 'Memb' => { + '0' => { + 'name' => 'lu_type', + 'offset' => '0', + 'type' => '4212' + }, + '1' => { + 'name' => 'byte_mask', + 'offset' => '2', + 'type' => '5815' + }, + '2' => { + 'name' => 'refcount', + 'offset' => '4', + 'type' => '40517' + }, + '3' => { + 'name' => 'chunk', + 'offset' => '8', + 'type' => '157113' + }, + '4' => { + 'name' => 'ste_arr', + 'offset' => '16', + 'type' => '157268' + }, + '5' => { + 'name' => 'hw_ste_arr', + 'offset' => '24', + 'type' => '11908' + }, + '6' => { + 'name' => 'miss_list', + 'offset' => '32', + 'type' => '16830' + }, + '7' => { + 'name' => 'chunk_size', + 'offset' => '40', + 'type' => '137412' + }, + '8' => { + 'name' => 'pointing_ste', + 'offset' => '48', + 'type' => '157268' + }, + '9' => { + 'name' => 'ctrl', + 'offset' => '56', + 'type' => '157505' + } + }, + 'Name' => 'struct dr_ste_htbl', + 'Size' => '72', + 'Type' => 'Struct' + }, + '157505' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '228', + 'Memb' => { + '0' => { + 'name' => 'num_of_valid_entries', + 'offset' => '0', + 'type' => '171' + }, + '1' => { + 'name' => 'num_of_collisions', + 'offset' => '4', + 'type' => '171' + }, + '2' => { + 'name' => 'increase_threshold', + 'offset' => '8', + 'type' => '171' + }, + '3' => { + 'name' => 'may_grow', + 'offset' => '12', + 'type' => '14571' + } + }, + 'Name' => 'struct dr_ste_htbl_ctrl', + 'Size' => '16', + 'Type' => 'Struct' + }, + '157562' => { + 'BaseType' => '157567', + 'Name' => 'struct mlx5dv_dr_table*', + 'Size' => '80', + 'Type' => 'Pointer' + }, + '157567' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '639', + 'Memb' => { + '0' => { + 'name' => 'dmn', + 'offset' => '0', + 'type' => '155745' + }, + '1' => { + 'name' => 'rx', + 'offset' => '8', + 'type' => '157681' + }, + '2' => { + 'name' => 'tx', + 'offset' => '24', + 'type' => '157681' + }, + '3' => { + 'name' => 'level', + 'offset' => '40', + 'type' => '85' + }, + '4' => { + 'name' => 'table_type', + 'offset' => '44', + 'type' => '85' + }, + '5' => { + 'name' => 'matcher_list', + 'offset' => '48', + 'type' => '16065' + }, + '6' => { + 'name' => 'devx_obj', + 'offset' => '64', + 'type' => '156492' + }, + '7' => { + 'name' => 'refcount', + 'offset' => '72', + 'type' => '40517' + } + }, + 'Name' => 'struct mlx5dv_dr_table', + 'Size' => '80', + 'Type' => 'Struct' + }, + '157681' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '634', + 'Memb' => { + '0' => { + 'name' => 's_anchor', + 'offset' => '0', + 'type' => '157366' + }, + '1' => { + 'name' => 'nic_dmn', + 'offset' => '8', + 'type' => '157717' + } + }, + 'Name' => 'struct dr_table_rx_tx', + 'Size' => '16', + 'Type' => 'Struct' + }, + '157717' => { + 'BaseType' => '156740', + 'Name' => 'struct dr_domain_rx_tx*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '158715' => { + 'BaseType' => '5349', + 'Name' => 'uint64_t[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '16065' => { + 'Header' => 'list.h', + 'Line' => '41', + 'Memb' => { + '0' => { + 'name' => 'n', + 'offset' => '0', + 'type' => '1458' + } + }, + 'Name' => 'struct list_head', + 'Size' => '16', + 'Type' => 'Struct' + }, + '161372' => { + 'BaseType' => '10847', + 'Name' => '__be64*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '16228' => { + 'Header' => 'mlx5dv.h', + 'Line' => '78', + 'Memb' => { + '0' => { + 'name' => 'max_num', + 'offset' => '0', + 'type' => '85' + }, + '1' => { + 'name' => 'supported_format', + 'offset' => '4', + 'type' => '85' + } + }, + 'Name' => 'struct mlx5dv_cqe_comp_caps', + 'Size' => '8', + 'Type' => 'Struct' + }, + '162678' => { + 'BaseType' => '156789', + 'Name' => 'struct dr_devx_caps*', + 'Size' => '96', + 'Type' => 'Pointer' + }, + '162888' => { + 'BaseType' => '162893', + 'Name' => 'struct mlx5dv_dr_matcher*', + 'Size' => '2080', + 'Type' => 'Pointer' + }, + '162893' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '659', + 'Memb' => { + '0' => { + 'name' => 'tbl', + 'offset' => '0', + 'type' => '157562' + }, + '1' => { + 'name' => 'rx', + 'offset' => '8', + 'type' => '163028' + }, + '2' => { + 'name' => 'tx', + 'offset' => '864', + 'type' => '163028' + }, + '3' => { + 'name' => 'matcher_list', + 'offset' => '1720', + 'type' => '1458' + }, + '4' => { + 'name' => 'prio', + 'offset' => '1736', + 'type' => '5815' + }, + '5' => { + 'name' => 'mask', + 'offset' => '1740', + 'type' => '163264' + }, + '6' => { + 'name' => 'match_criteria', + 'offset' => '2060', + 'type' => '4212' + }, + '7' => { + 'name' => 'refcount', + 'offset' => '2064', + 'type' => '40517' + }, + '8' => { + 'name' => 'dv_matcher', + 'offset' => '2072', + 'type' => '165100' + } + }, + 'Name' => 'struct mlx5dv_dr_matcher', + 'Size' => '2080', + 'Type' => 'Struct' + }, + '163028' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '650', + 'Memb' => { + '0' => { + 'name' => 's_htbl', + 'offset' => '0', + 'type' => '157366' + }, + '1' => { + 'name' => 'e_anchor', + 'offset' => '8', + 'type' => '157366' + }, + '2' => { + 'name' => 'ste_builder', + 'offset' => '16', + 'type' => '163120' + }, + '3' => { + 'name' => 'num_of_builders', + 'offset' => '832', + 'type' => '4212' + }, + '4' => { + 'name' => 'default_icm_addr', + 'offset' => '840', + 'type' => '5349' + }, + '5' => { + 'name' => 'nic_tbl', + 'offset' => '848', + 'type' => '165095' + } + }, + 'Name' => 'struct dr_matcher_rx_tx', + 'Size' => '856', + 'Type' => 'Struct' + }, + '163120' => { + 'BaseType' => '163132', + 'Name' => 'struct dr_ste_build[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '163132' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '271', + 'Memb' => { + '0' => { + 'name' => 'inner', + 'offset' => '0', + 'type' => '14571' + }, + '1' => { + 'name' => 'rx', + 'offset' => '1', + 'type' => '14571' + }, + '2' => { + 'name' => 'caps', + 'offset' => '8', + 'type' => '162678' + }, + '3' => { + 'name' => 'lu_type', + 'offset' => '16', + 'type' => '4212' + }, + '4' => { + 'name' => 'byte_mask', + 'offset' => '18', + 'type' => '5815' + }, + '5' => { + 'name' => 'bit_mask', + 'offset' => '20', + 'type' => '14671' + }, + '6' => { + 'name' => 'ste_build_tag_func', + 'offset' => '40', + 'type' => '163233' + } + }, + 'Name' => 'struct dr_ste_build', + 'Size' => '48', + 'Type' => 'Struct' + }, + '163233' => { + 'Name' => 'int(*)(struct dr_match_param*, struct dr_ste_build*, uint8_t*)', + 'Param' => { + '0' => { + 'type' => '163259' + }, + '1' => { + 'type' => '165090' + }, + '2' => { + 'type' => '11908' + } + }, + 'Return' => '171', + 'Type' => 'FuncPtr' + }, + '163259' => { + 'BaseType' => '163264', + 'Name' => 'struct dr_match_param*', + 'Size' => '320', + 'Type' => 'Pointer' + }, + '163264' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '554', + 'Memb' => { + '0' => { + 'name' => 'outer', + 'offset' => '0', + 'type' => '163341' + }, + '1' => { + 'name' => 'misc', + 'offset' => '64', + 'type' => '163801' + }, + '2' => { + 'name' => 'inner', + 'offset' => '128', + 'type' => '163341' + }, + '3' => { + 'name' => 'misc2', + 'offset' => '192', + 'type' => '164412' + }, + '4' => { + 'name' => 'misc3', + 'offset' => '256', + 'type' => '164833' + } + }, + 'Name' => 'struct dr_match_param', + 'Size' => '320', + 'Type' => 'Struct' + }, + '163341' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '432', + 'Memb' => { + '0' => { + 'name' => 'smac_47_16', + 'offset' => '0', + 'type' => '85' + }, + '1' => { + 'bitfield' => '16', + 'name' => 'ethertype', + 'offset' => '4', + 'type' => '85' + }, + '10' => { + 'bitfield' => '1', + 'name' => 'frag', + 'offset' => '16', + 'type' => '85' + }, + '11' => { + 'bitfield' => '1', + 'name' => 'svlan_tag', + 'offset' => '16', + 'type' => '85' + }, + '12' => { + 'bitfield' => '1', + 'name' => 'cvlan_tag', + 'offset' => '16', + 'type' => '85' + }, + '13' => { + 'bitfield' => '2', + 'name' => 'ip_ecn', + 'offset' => '16', + 'type' => '85' + }, + '14' => { + 'bitfield' => '6', + 'name' => 'ip_dscp', + 'offset' => '16', + 'type' => '85' + }, + '15' => { + 'bitfield' => '8', + 'name' => 'ip_protocol', + 'offset' => '16', + 'type' => '85' + }, + '16' => { + 'bitfield' => '16', + 'name' => 'tcp_dport', + 'offset' => '20', + 'type' => '85' + }, + '17' => { + 'bitfield' => '16', + 'name' => 'tcp_sport', + 'offset' => '20', + 'type' => '85' + }, + '18' => { + 'bitfield' => '8', + 'name' => 'ip_ttl_hoplimit', + 'offset' => '24', + 'type' => '85' + }, + '19' => { + 'bitfield' => '24', + 'name' => 'reserved', + 'offset' => '24', + 'type' => '85' + }, + '2' => { + 'bitfield' => '16', + 'name' => 'smac_15_0', + 'offset' => '4', + 'type' => '85' + }, + '20' => { + 'bitfield' => '16', + 'name' => 'udp_dport', + 'offset' => '28', + 'type' => '85' + }, + '21' => { + 'bitfield' => '16', + 'name' => 'udp_sport', + 'offset' => '28', + 'type' => '85' + }, + '22' => { + 'name' => 'src_ip_127_96', + 'offset' => '32', + 'type' => '85' + }, + '23' => { + 'name' => 'src_ip_95_64', + 'offset' => '36', + 'type' => '85' + }, + '24' => { + 'name' => 'src_ip_63_32', + 'offset' => '40', + 'type' => '85' + }, + '25' => { + 'name' => 'src_ip_31_0', + 'offset' => '44', + 'type' => '85' + }, + '26' => { + 'name' => 'dst_ip_127_96', + 'offset' => '48', + 'type' => '85' + }, + '27' => { + 'name' => 'dst_ip_95_64', + 'offset' => '52', + 'type' => '85' + }, + '28' => { + 'name' => 'dst_ip_63_32', + 'offset' => '56', + 'type' => '85' + }, + '29' => { + 'name' => 'dst_ip_31_0', + 'offset' => '60', + 'type' => '85' + }, + '3' => { + 'name' => 'dmac_47_16', + 'offset' => '8', + 'type' => '85' + }, + '4' => { + 'bitfield' => '12', + 'name' => 'first_vid', + 'offset' => '12', + 'type' => '85' + }, + '5' => { + 'bitfield' => '1', + 'name' => 'first_cfi', + 'offset' => '12', + 'type' => '85' + }, + '6' => { + 'bitfield' => '3', + 'name' => 'first_prio', + 'offset' => '12', + 'type' => '85' + }, + '7' => { + 'bitfield' => '16', + 'name' => 'dmac_15_0', + 'offset' => '12', + 'type' => '85' + }, + '8' => { + 'bitfield' => '9', + 'name' => 'tcp_flags', + 'offset' => '16', + 'type' => '85' + }, + '9' => { + 'bitfield' => '4', + 'name' => 'ip_version', + 'offset' => '16', + 'type' => '85' + } + }, + 'Name' => 'struct dr_match_spec', + 'Size' => '64', + 'Type' => 'Struct' + }, + '16348' => { + 'Header' => 'mlx5dv.h', + 'Line' => '83', + 'Memb' => { + '0' => { + 'name' => 'sw_parsing_offloads', + 'offset' => '0', + 'type' => '85' + }, + '1' => { + 'name' => 'supported_qpts', + 'offset' => '4', + 'type' => '85' + } + }, + 'Name' => 'struct mlx5dv_sw_parsing_caps', + 'Size' => '8', + 'Type' => 'Struct' + }, + '163801' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '465', + 'Memb' => { + '0' => { + 'bitfield' => '24', + 'name' => 'source_sqn', + 'offset' => '0', + 'type' => '85' + }, + '1' => { + 'bitfield' => '4', + 'name' => 'source_vhca_port', + 'offset' => '0', + 'type' => '85' + }, + '10' => { + 'bitfield' => '3', + 'name' => 'inner_second_prio', + 'offset' => '8', + 'type' => '85' + }, + '11' => { + 'bitfield' => '12', + 'name' => 'outer_second_vid', + 'offset' => '8', + 'type' => '85' + }, + '12' => { + 'bitfield' => '1', + 'name' => 'outer_second_cfi', + 'offset' => '8', + 'type' => '85' + }, + '13' => { + 'bitfield' => '3', + 'name' => 'outer_second_prio', + 'offset' => '8', + 'type' => '85' + }, + '14' => { + 'bitfield' => '16', + 'name' => 'gre_protocol', + 'offset' => '12', + 'type' => '85' + }, + '15' => { + 'bitfield' => '12', + 'name' => 'reserved_auto3', + 'offset' => '12', + 'type' => '85' + }, + '16' => { + 'bitfield' => '1', + 'name' => 'inner_second_svlan_tag', + 'offset' => '12', + 'type' => '85' + }, + '17' => { + 'bitfield' => '1', + 'name' => 'outer_second_svlan_tag', + 'offset' => '12', + 'type' => '85' + }, + '18' => { + 'bitfield' => '1', + 'name' => 'inner_second_cvlan_tag', + 'offset' => '12', + 'type' => '85' + }, + '19' => { + 'bitfield' => '1', + 'name' => 'outer_second_cvlan_tag', + 'offset' => '12', + 'type' => '85' + }, + '2' => { + 'bitfield' => '1', + 'name' => 'gre_s_present', + 'offset' => '0', + 'type' => '85' + }, + '20' => { + 'bitfield' => '8', + 'name' => 'gre_key_l', + 'offset' => '16', + 'type' => '85' + }, + '21' => { + 'bitfield' => '24', + 'name' => 'gre_key_h', + 'offset' => '16', + 'type' => '85' + }, + '22' => { + 'bitfield' => '8', + 'name' => 'reserved_auto4', + 'offset' => '20', + 'type' => '85' + }, + '23' => { + 'bitfield' => '24', + 'name' => 'vxlan_vni', + 'offset' => '20', + 'type' => '85' + }, + '24' => { + 'bitfield' => '1', + 'name' => 'geneve_oam', + 'offset' => '24', + 'type' => '85' + }, + '25' => { + 'bitfield' => '7', + 'name' => 'reserved_auto5', + 'offset' => '24', + 'type' => '85' + }, + '26' => { + 'bitfield' => '24', + 'name' => 'geneve_vni', + 'offset' => '24', + 'type' => '85' + }, + '27' => { + 'bitfield' => '20', + 'name' => 'outer_ipv6_flow_label', + 'offset' => '28', + 'type' => '85' + }, + '28' => { + 'bitfield' => '12', + 'name' => 'reserved_auto6', + 'offset' => '28', + 'type' => '85' + }, + '29' => { + 'bitfield' => '20', + 'name' => 'inner_ipv6_flow_label', + 'offset' => '32', + 'type' => '85' + }, + '3' => { + 'bitfield' => '1', + 'name' => 'gre_k_present', + 'offset' => '0', + 'type' => '85' + }, + '30' => { + 'bitfield' => '12', + 'name' => 'reserved_auto7', + 'offset' => '32', + 'type' => '85' + }, + '31' => { + 'bitfield' => '16', + 'name' => 'geneve_protocol_type', + 'offset' => '36', + 'type' => '85' + }, + '32' => { + 'bitfield' => '6', + 'name' => 'geneve_opt_len', + 'offset' => '36', + 'type' => '85' + }, + '33' => { + 'bitfield' => '10', + 'name' => 'reserved_auto8', + 'offset' => '36', + 'type' => '85' + }, + '34' => { + 'bitfield' => '24', + 'name' => 'bth_dst_qp', + 'offset' => '40', + 'type' => '85' + }, + '35' => { + 'bitfield' => '8', + 'name' => 'reserved_auto9', + 'offset' => '40', + 'type' => '85' + }, + '36' => { + 'name' => 'reserved_auto10', + 'offset' => '44', + 'type' => '14671' + }, + '4' => { + 'bitfield' => '1', + 'name' => 'reserved_auto1', + 'offset' => '0', + 'type' => '85' + }, + '5' => { + 'bitfield' => '1', + 'name' => 'gre_c_present', + 'offset' => '0', + 'type' => '85' + }, + '6' => { + 'bitfield' => '16', + 'name' => 'source_port', + 'offset' => '4', + 'type' => '85' + }, + '7' => { + 'bitfield' => '16', + 'name' => 'reserved_auto2', + 'offset' => '4', + 'type' => '85' + }, + '8' => { + 'bitfield' => '12', + 'name' => 'inner_second_vid', + 'offset' => '8', + 'type' => '85' + }, + '9' => { + 'bitfield' => '1', + 'name' => 'inner_second_cfi', + 'offset' => '8', + 'type' => '85' + } + }, + 'Name' => 'struct dr_match_misc', + 'Size' => '64', + 'Type' => 'Struct' + }, + '16381' => { + 'Header' => 'mlx5dv.h', + 'Line' => '88', + 'Memb' => { + '0' => { + 'name' => 'min_single_stride_log_num_of_bytes', + 'offset' => '0', + 'type' => '85' + }, + '1' => { + 'name' => 'max_single_stride_log_num_of_bytes', + 'offset' => '4', + 'type' => '85' + }, + '2' => { + 'name' => 'min_single_wqe_log_num_of_strides', + 'offset' => '8', + 'type' => '85' + }, + '3' => { + 'name' => 'max_single_wqe_log_num_of_strides', + 'offset' => '12', + 'type' => '85' + }, + '4' => { + 'name' => 'supported_qpts', + 'offset' => '16', + 'type' => '85' + } + }, + 'Name' => 'struct mlx5dv_striding_rq_caps', + 'Size' => '20', + 'Type' => 'Struct' + }, + '164412' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '505', + 'Memb' => { + '0' => { + 'bitfield' => '8', + 'name' => 'outer_first_mpls_ttl', + 'offset' => '0', + 'type' => '85' + }, + '1' => { + 'bitfield' => '1', + 'name' => 'outer_first_mpls_s_bos', + 'offset' => '0', + 'type' => '85' + }, + '10' => { + 'bitfield' => '3', + 'name' => 'outer_first_mpls_over_gre_exp', + 'offset' => '8', + 'type' => '85' + }, + '11' => { + 'bitfield' => '20', + 'name' => 'outer_first_mpls_over_gre_label', + 'offset' => '8', + 'type' => '85' + }, + '12' => { + 'bitfield' => '8', + 'name' => 'outer_first_mpls_over_udp_ttl', + 'offset' => '12', + 'type' => '85' + }, + '13' => { + 'bitfield' => '1', + 'name' => 'outer_first_mpls_over_udp_s_bos', + 'offset' => '12', + 'type' => '85' + }, + '14' => { + 'bitfield' => '3', + 'name' => 'outer_first_mpls_over_udp_exp', + 'offset' => '12', + 'type' => '85' + }, + '15' => { + 'bitfield' => '20', + 'name' => 'outer_first_mpls_over_udp_label', + 'offset' => '12', + 'type' => '85' + }, + '16' => { + 'name' => 'metadata_reg_c_7', + 'offset' => '16', + 'type' => '85' + }, + '17' => { + 'name' => 'metadata_reg_c_6', + 'offset' => '20', + 'type' => '85' + }, + '18' => { + 'name' => 'metadata_reg_c_5', + 'offset' => '24', + 'type' => '85' + }, + '19' => { + 'name' => 'metadata_reg_c_4', + 'offset' => '28', + 'type' => '85' + }, + '2' => { + 'bitfield' => '3', + 'name' => 'outer_first_mpls_exp', + 'offset' => '0', + 'type' => '85' + }, + '20' => { + 'name' => 'metadata_reg_c_3', + 'offset' => '32', + 'type' => '85' + }, + '21' => { + 'name' => 'metadata_reg_c_2', + 'offset' => '36', + 'type' => '85' + }, + '22' => { + 'name' => 'metadata_reg_c_1', + 'offset' => '40', + 'type' => '85' + }, + '23' => { + 'name' => 'metadata_reg_c_0', + 'offset' => '44', + 'type' => '85' + }, + '24' => { + 'name' => 'metadata_reg_a', + 'offset' => '48', + 'type' => '85' + }, + '25' => { + 'name' => 'metadata_reg_b', + 'offset' => '52', + 'type' => '85' + }, + '26' => { + 'name' => 'reserved_auto2', + 'offset' => '56', + 'type' => '14671' + }, + '3' => { + 'bitfield' => '20', + 'name' => 'outer_first_mpls_label', + 'offset' => '0', + 'type' => '85' + }, + '4' => { + 'bitfield' => '8', + 'name' => 'inner_first_mpls_ttl', + 'offset' => '4', + 'type' => '85' + }, + '5' => { + 'bitfield' => '1', + 'name' => 'inner_first_mpls_s_bos', + 'offset' => '4', + 'type' => '85' + }, + '6' => { + 'bitfield' => '3', + 'name' => 'inner_first_mpls_exp', + 'offset' => '4', + 'type' => '85' + }, + '7' => { + 'bitfield' => '20', + 'name' => 'inner_first_mpls_label', + 'offset' => '4', + 'type' => '85' + }, + '8' => { + 'bitfield' => '8', + 'name' => 'outer_first_mpls_over_gre_ttl', + 'offset' => '8', + 'type' => '85' + }, + '9' => { + 'bitfield' => '1', + 'name' => 'outer_first_mpls_over_gre_s_bos', + 'offset' => '8', + 'type' => '85' + } + }, + 'Name' => 'struct dr_match_misc2', + 'Size' => '64', + 'Type' => 'Struct' + }, + '1648' => { + 'Name' => 'unsigned long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '164833' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '535', + 'Memb' => { + '0' => { + 'name' => 'inner_tcp_seq_num', + 'offset' => '0', + 'type' => '85' + }, + '1' => { + 'name' => 'outer_tcp_seq_num', + 'offset' => '4', + 'type' => '85' + }, + '10' => { + 'name' => 'icmpv6_header_data', + 'offset' => '28', + 'type' => '85' + }, + '11' => { + 'bitfield' => '8', + 'name' => 'icmpv6_code', + 'offset' => '32', + 'type' => '85' + }, + '12' => { + 'bitfield' => '8', + 'name' => 'icmpv6_type', + 'offset' => '32', + 'type' => '85' + }, + '13' => { + 'bitfield' => '8', + 'name' => 'icmpv4_code', + 'offset' => '32', + 'type' => '85' + }, + '14' => { + 'bitfield' => '8', + 'name' => 'icmpv4_type', + 'offset' => '32', + 'type' => '85' + }, + '15' => { + 'name' => 'reserved_auto3', + 'offset' => '36', + 'type' => '14671' + }, + '2' => { + 'name' => 'inner_tcp_ack_num', + 'offset' => '8', + 'type' => '85' + }, + '3' => { + 'name' => 'outer_tcp_ack_num', + 'offset' => '12', + 'type' => '85' + }, + '4' => { + 'bitfield' => '24', + 'name' => 'outer_vxlan_gpe_vni', + 'offset' => '16', + 'type' => '85' + }, + '5' => { + 'bitfield' => '8', + 'name' => 'reserved_auto1', + 'offset' => '16', + 'type' => '85' + }, + '6' => { + 'bitfield' => '16', + 'name' => 'reserved_auto2', + 'offset' => '20', + 'type' => '85' + }, + '7' => { + 'bitfield' => '8', + 'name' => 'outer_vxlan_gpe_flags', + 'offset' => '20', + 'type' => '85' + }, + '8' => { + 'bitfield' => '8', + 'name' => 'outer_vxlan_gpe_next_protocol', + 'offset' => '20', + 'type' => '85' + }, + '9' => { + 'name' => 'icmpv4_header_data', + 'offset' => '24', + 'type' => '85' + } + }, + 'Name' => 'struct dr_match_misc3', + 'Size' => '64', + 'Type' => 'Struct' + }, + '165090' => { + 'BaseType' => '163132', + 'Name' => 'struct dr_ste_build*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '165095' => { + 'BaseType' => '157681', + 'Name' => 'struct dr_table_rx_tx*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '165100' => { + 'BaseType' => '165105', + 'Name' => 'struct mlx5dv_flow_matcher*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '165105' => { + 'Header' => 'mlx5.h', + 'Line' => '601', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3435' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '85' + } + }, + 'Name' => 'struct mlx5dv_flow_matcher', + 'Size' => '16', + 'Type' => 'Struct' + }, + '165141' => { + 'BaseType' => '163028', + 'Name' => 'struct dr_matcher_rx_tx*', + 'Size' => '856', + 'Type' => 'Pointer' + }, + '165146' => { + 'BaseType' => '155256', + 'Name' => 'struct mlx5dv_dr_action**', + 'Size' => '56', + 'Type' => 'Pointer' + }, + '165151' => { + 'BaseType' => '165156', + 'Name' => 'struct mlx5dv_flow_action_attr*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '165156' => { + 'Header' => 'mlx5dv.h', + 'Line' => '331', + 'Memb' => { + '0' => { + 'name' => 'type', + 'offset' => '0', + 'type' => '137849' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '165187' + } + }, + 'Name' => 'struct mlx5dv_flow_action_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '165187' => { + 'Header' => 'mlx5dv.h', + 'Line' => '333', + 'Memb' => { + '0' => { + 'name' => 'qp', + 'offset' => '0', + 'type' => '4408' + }, + '1' => { + 'name' => 'counter', + 'offset' => '0', + 'type' => '7010' + }, + '2' => { + 'name' => 'action', + 'offset' => '0', + 'type' => '7516' + }, + '3' => { + 'name' => 'tag_value', + 'offset' => '0', + 'type' => '85' + }, + '4' => { + 'name' => 'obj', + 'offset' => '0', + 'type' => '156492' + } + }, + 'Name' => 'mlx5dv_flow_action_attr::anon-union-mlx5dv.h-333', + 'NameSpace' => 'mlx5dv_flow_action_attr', + 'Size' => '8', + 'Type' => 'Union' + }, + '16519' => { + 'BaseType' => '4223', + 'Header' => 'int-ll64.h', + 'Line' => '20', + 'Name' => '__u8', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '16530' => { + 'BaseType' => '16519', + 'Name' => '__u8[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '16830' => { + 'BaseType' => '16065', + 'Name' => 'struct list_head*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '171' => { + 'Name' => 'int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '178' => { + 'BaseType' => '171', + 'Header' => 'verbs.h', + 'Line' => '90', + 'Memb' => { + '0' => { + 'name' => 'IBV_TRANSPORT_UNKNOWN', + 'value' => '-1' + }, + '1' => { + 'name' => 'IBV_TRANSPORT_IB', + 'value' => '0' + }, + '2' => { + 'name' => 'IBV_TRANSPORT_IWARP', + 'value' => '1' + }, + '3' => { + 'name' => 'IBV_TRANSPORT_USNIC', + 'value' => '2' + }, + '4' => { + 'name' => 'IBV_TRANSPORT_USNIC_UDP', + 'value' => '3' + }, + '5' => { + 'name' => 'IBV_TRANSPORT_UNSPECIFIED', + 'value' => '4' + } + }, + 'Name' => 'enum ibv_transport_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '191188' => { + 'BaseType' => '5815', + 'Name' => 'uint16_t*', + 'Size' => '2', + 'Type' => 'Pointer' + }, + '192113' => { + 'BaseType' => '96', + 'Header' => 'mlx5dv_dr.h', + 'Line' => '81', + 'Memb' => { + '0' => { + 'name' => 'DR_ICM_TYPE_STE', + 'value' => '0' + }, + '1' => { + 'name' => 'DR_ICM_TYPE_MODIFY_ACTION', + 'value' => '1' + } + }, + 'Name' => 'enum dr_icm_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '193681' => { + 'BaseType' => '96', + 'Header' => 'mlx5_user_ioctl_verbs.h', + 'Line' => '61', + 'Memb' => { + '0' => { + 'name' => 'MLX5_IB_UAPI_DM_TYPE_MEMIC', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5_IB_UAPI_DM_TYPE_STEERING_SW_ICM', + 'value' => '1' + }, + '2' => { + 'name' => 'MLX5_IB_UAPI_DM_TYPE_HEADER_MODIFY_SW_ICM', + 'value' => '2' + } + }, + 'Name' => 'enum mlx5_ib_uapi_dm_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '211716' => { + 'Header' => 'mlx5dv.h', + 'Line' => '455', + 'Memb' => { + '0' => { + 'name' => 'type', + 'offset' => '0', + 'type' => '193681' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '5349' + } + }, + 'Name' => 'struct mlx5dv_alloc_dm_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '227' => { + 'BaseType' => '96', + 'Header' => 'verbs.h', + 'Line' => '639', + 'Memb' => { + '0' => { + 'name' => 'IBV_MW_TYPE_1', + 'value' => '1' + }, + '1' => { + 'name' => 'IBV_MW_TYPE_2', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_mw_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '236502' => { + 'BaseType' => '236507', + 'Name' => 'struct mlx5dv_flow_match_parameters*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '236507' => { + 'Header' => 'mlx5dv.h', + 'Line' => '294', + 'Memb' => { + '0' => { + 'name' => 'match_sz', + 'offset' => '0', + 'type' => '5528' + }, + '1' => { + 'name' => 'match_buf', + 'offset' => '8', + 'type' => '158715' + } + }, + 'Name' => 'struct mlx5dv_flow_match_parameters', + 'Size' => '8', + 'Type' => 'Struct' + }, + '236654' => { + 'Header' => 'mlx5dv.h', + 'Line' => '303', + 'Memb' => { + '0' => { + 'name' => 'type', + 'offset' => '0', + 'type' => '1044' + }, + '1' => { + 'name' => 'flags', + 'offset' => '4', + 'type' => '85' + }, + '2' => { + 'name' => 'priority', + 'offset' => '8', + 'type' => '5815' + }, + '3' => { + 'name' => 'match_criteria_enable', + 'offset' => '10', + 'type' => '4212' + }, + '4' => { + 'name' => 'match_mask', + 'offset' => '16', + 'type' => '236502' + }, + '5' => { + 'name' => 'comp_mask', + 'offset' => '24', + 'type' => '5349' + }, + '6' => { + 'name' => 'ft_type', + 'offset' => '32', + 'type' => '138616' + } + }, + 'Name' => 'struct mlx5dv_flow_matcher_attr', + 'Size' => '40', + 'Type' => 'Struct' + }, + '253' => { + 'BaseType' => '96', + 'Header' => 'verbs.h', + 'Line' => '998', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_QPS_INIT', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_QPS_RTR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_QPS_RTS', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_QPS_SQD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_QPS_SQE', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_QPS_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_QPS_UNKNOWN', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_qp_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '279216' => { + 'BaseType' => '279221', + 'Name' => 'struct mlx5dv_dr_rule*', + 'Size' => '72', + 'Type' => 'Pointer' + }, + '279221' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '743', + 'Memb' => { + '0' => { + 'name' => 'matcher', + 'offset' => '0', + 'type' => '162888' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '279252' + }, + '2' => { + 'name' => 'rule_actions_list', + 'offset' => '56', + 'type' => '16065' + } + }, + 'Name' => 'struct mlx5dv_dr_rule', + 'Size' => '72', + 'Type' => 'Struct' + }, + '279252' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '745', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '279266' + }, + '1' => { + 'name' => 'flow', + 'offset' => '0', + 'type' => '7123' + } + }, + 'Name' => 'mlx5dv_dr_rule::anon-union-mlx5dv_dr.h-745', + 'NameSpace' => 'mlx5dv_dr_rule', + 'Size' => '48', + 'Type' => 'Union' + }, + '279266' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '746', + 'Memb' => { + '0' => { + 'name' => 'rx', + 'offset' => '0', + 'type' => '281596' + }, + '1' => { + 'name' => 'tx', + 'offset' => '24', + 'type' => '281596' + } + }, + 'Name' => 'anon-struct-mlx5dv_dr.h-746', + 'Size' => '48', + 'Type' => 'Struct' + }, + '281596' => { + 'Header' => 'mlx5dv_dr.h', + 'Line' => '738', + 'Memb' => { + '0' => { + 'name' => 'rule_members_list', + 'offset' => '0', + 'type' => '16065' + }, + '1' => { + 'name' => 'nic_matcher', + 'offset' => '16', + 'type' => '165141' + } + }, + 'Name' => 'struct dr_rule_rx_tx', + 'Size' => '24', + 'Type' => 'Struct' + }, + '315' => { + 'BaseType' => '96', + 'Header' => 'verbs.h', + 'Line' => '860', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPT_RC', + 'value' => '2' + }, + '1' => { + 'name' => 'IBV_QPT_UC', + 'value' => '3' + }, + '2' => { + 'name' => 'IBV_QPT_UD', + 'value' => '4' + }, + '3' => { + 'name' => 'IBV_QPT_RAW_PACKET', + 'value' => '8' + }, + '4' => { + 'name' => 'IBV_QPT_XRC_SEND', + 'value' => '9' + }, + '5' => { + 'name' => 'IBV_QPT_XRC_RECV', + 'value' => '10' + }, + '6' => { + 'name' => 'IBV_QPT_DRIVER', + 'value' => '255' + } + }, + 'Name' => 'enum ibv_qp_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '32050' => { + 'BaseType' => '7889', + 'Header' => 'types.h', + 'Line' => '28', + 'Name' => '__be16', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '32248' => { + 'Header' => 'mlx5dv.h', + 'Line' => '1168', + 'Memb' => { + '0' => { + 'name' => 'nsec', + 'offset' => '0', + 'type' => '5349' + }, + '1' => { + 'name' => 'last_cycles', + 'offset' => '8', + 'type' => '5349' + }, + '2' => { + 'name' => 'frac', + 'offset' => '16', + 'type' => '5349' + }, + '3' => { + 'name' => 'mult', + 'offset' => '24', + 'type' => '85' + }, + '4' => { + 'name' => 'shift', + 'offset' => '28', + 'type' => '85' + }, + '5' => { + 'name' => 'mask', + 'offset' => '32', + 'type' => '5349' + } + }, + 'Name' => 'struct mlx5dv_clock_info', + 'Size' => '40', + 'Type' => 'Struct' + }, + '3435' => { + 'BaseType' => '3440', + 'Name' => 'struct ibv_context*', + 'Size' => '328', + 'Type' => 'Pointer' + }, + '3440' => { + 'Header' => 'verbs.h', + 'Line' => '1935', + 'Memb' => { + '0' => { + 'name' => 'device', + 'offset' => '0', + 'type' => '3547' + }, + '1' => { + 'name' => 'ops', + 'offset' => '8', + 'type' => '3749' + }, + '2' => { + 'name' => 'cmd_fd', + 'offset' => '264', + 'type' => '171' + }, + '3' => { + 'name' => 'async_fd', + 'offset' => '268', + 'type' => '171' + }, + '4' => { + 'name' => 'num_comp_vectors', + 'offset' => '272', + 'type' => '171' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '280', + 'type' => '4778' + }, + '6' => { + 'name' => 'abi_compat', + 'offset' => '320', + 'type' => '1452' + } + }, + 'Name' => 'struct ibv_context', + 'Size' => '328', + 'Type' => 'Struct' + }, + '3547' => { + 'BaseType' => '3552', + 'Name' => 'struct ibv_device*', + 'Size' => '664', + 'Type' => 'Pointer' + }, + '3552' => { + 'Header' => 'verbs.h', + 'Line' => '1878', + 'Memb' => { + '0' => { + 'name' => '_ops', + 'offset' => '0', + 'type' => '3655' + }, + '1' => { + 'name' => 'node_type', + 'offset' => '16', + 'type' => '110' + }, + '2' => { + 'name' => 'transport_type', + 'offset' => '20', + 'type' => '178' + }, + '3' => { + 'name' => 'name', + 'offset' => '24', + 'type' => '3724' + }, + '4' => { + 'name' => 'dev_name', + 'offset' => '88', + 'type' => '3724' + }, + '5' => { + 'name' => 'dev_path', + 'offset' => '152', + 'type' => '3724' + }, + '6' => { + 'name' => 'ibdev_path', + 'offset' => '408', + 'type' => '3724' + } + }, + 'Name' => 'struct ibv_device', + 'Size' => '664', + 'Type' => 'Struct' + }, + '3655' => { + 'Header' => 'verbs.h', + 'Line' => '1868', + 'Memb' => { + '0' => { + 'name' => '_dummy1', + 'offset' => '0', + 'type' => '3691' + }, + '1' => { + 'name' => '_dummy2', + 'offset' => '8', + 'type' => '3712' + } + }, + 'Name' => 'struct _ibv_device_ops', + 'Size' => '16', + 'Type' => 'Struct' + }, + '3691' => { + 'Name' => 'struct ibv_context*(*)(struct ibv_device*, int)', + 'Param' => { + '0' => { + 'type' => '3547' + }, + '1' => { + 'type' => '171' + } + }, + 'Return' => '3435', + 'Type' => 'FuncPtr' + }, + '3712' => { + 'Name' => 'void(*)(struct ibv_context*)', + 'Param' => { + '0' => { + 'type' => '3435' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '372' => { + 'BaseType' => '96', + 'Header' => 'verbs.h', + 'Line' => '453', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SUCCESS', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_LOC_LEN_ERR', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_REM_ACCESS_ERR', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WC_REM_OP_ERR', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_WC_RETRY_EXC_ERR', + 'value' => '12' + }, + '13' => { + 'name' => 'IBV_WC_RNR_RETRY_EXC_ERR', + 'value' => '13' + }, + '14' => { + 'name' => 'IBV_WC_LOC_RDD_VIOL_ERR', + 'value' => '14' + }, + '15' => { + 'name' => 'IBV_WC_REM_INV_RD_REQ_ERR', + 'value' => '15' + }, + '16' => { + 'name' => 'IBV_WC_REM_ABORT_ERR', + 'value' => '16' + }, + '17' => { + 'name' => 'IBV_WC_INV_EECN_ERR', + 'value' => '17' + }, + '18' => { + 'name' => 'IBV_WC_INV_EEC_STATE_ERR', + 'value' => '18' + }, + '19' => { + 'name' => 'IBV_WC_FATAL_ERR', + 'value' => '19' + }, + '2' => { + 'name' => 'IBV_WC_LOC_QP_OP_ERR', + 'value' => '2' + }, + '20' => { + 'name' => 'IBV_WC_RESP_TIMEOUT_ERR', + 'value' => '20' + }, + '21' => { + 'name' => 'IBV_WC_GENERAL_ERR', + 'value' => '21' + }, + '22' => { + 'name' => 'IBV_WC_TM_ERR', + 'value' => '22' + }, + '23' => { + 'name' => 'IBV_WC_TM_RNDV_INCOMPLETE', + 'value' => '23' + }, + '3' => { + 'name' => 'IBV_WC_LOC_EEC_OP_ERR', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_LOC_PROT_ERR', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_WR_FLUSH_ERR', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_MW_BIND_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_BAD_RESP_ERR', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_LOC_ACCESS_ERR', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WC_REM_INV_REQ_ERR', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wc_status', + 'Size' => '4', + 'Type' => 'Enum' + }, + '3724' => { + 'BaseType' => '1496', + 'Name' => 'char[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '3749' => { + 'Header' => 'verbs.h', + 'Line' => '1893', + 'Memb' => { + '0' => { + 'name' => '_compat_query_device', + 'offset' => '0', + 'type' => '4176' + }, + '1' => { + 'name' => '_compat_query_port', + 'offset' => '8', + 'type' => '4186' + }, + '10' => { + 'name' => '_compat_create_cq', + 'offset' => '80', + 'type' => '4176' + }, + '11' => { + 'name' => 'poll_cq', + 'offset' => '88', + 'type' => '5555' + }, + '12' => { + 'name' => 'req_notify_cq', + 'offset' => '96', + 'type' => '5833' + }, + '13' => { + 'name' => '_compat_cq_event', + 'offset' => '104', + 'type' => '4176' + }, + '14' => { + 'name' => '_compat_resize_cq', + 'offset' => '112', + 'type' => '4176' + }, + '15' => { + 'name' => '_compat_destroy_cq', + 'offset' => '120', + 'type' => '4176' + }, + '16' => { + 'name' => '_compat_create_srq', + 'offset' => '128', + 'type' => '4176' + }, + '17' => { + 'name' => '_compat_modify_srq', + 'offset' => '136', + 'type' => '4176' + }, + '18' => { + 'name' => '_compat_query_srq', + 'offset' => '144', + 'type' => '4176' + }, + '19' => { + 'name' => '_compat_destroy_srq', + 'offset' => '152', + 'type' => '4176' + }, + '2' => { + 'name' => '_compat_alloc_pd', + 'offset' => '16', + 'type' => '4176' + }, + '20' => { + 'name' => 'post_srq_recv', + 'offset' => '160', + 'type' => '5854' + }, + '21' => { + 'name' => '_compat_create_qp', + 'offset' => '168', + 'type' => '4176' + }, + '22' => { + 'name' => '_compat_query_qp', + 'offset' => '176', + 'type' => '4176' + }, + '23' => { + 'name' => '_compat_modify_qp', + 'offset' => '184', + 'type' => '4176' + }, + '24' => { + 'name' => '_compat_destroy_qp', + 'offset' => '192', + 'type' => '4176' + }, + '25' => { + 'name' => 'post_send', + 'offset' => '200', + 'type' => '6006' + }, + '26' => { + 'name' => 'post_recv', + 'offset' => '208', + 'type' => '6600' + }, + '27' => { + 'name' => '_compat_create_ah', + 'offset' => '216', + 'type' => '4176' + }, + '28' => { + 'name' => '_compat_destroy_ah', + 'offset' => '224', + 'type' => '4176' + }, + '29' => { + 'name' => '_compat_attach_mcast', + 'offset' => '232', + 'type' => '4176' + }, + '3' => { + 'name' => '_compat_dealloc_pd', + 'offset' => '24', + 'type' => '4176' + }, + '30' => { + 'name' => '_compat_detach_mcast', + 'offset' => '240', + 'type' => '4176' + }, + '31' => { + 'name' => '_compat_async_event', + 'offset' => '248', + 'type' => '4176' + }, + '4' => { + 'name' => '_compat_reg_mr', + 'offset' => '32', + 'type' => '4176' + }, + '5' => { + 'name' => '_compat_rereg_mr', + 'offset' => '40', + 'type' => '4176' + }, + '6' => { + 'name' => '_compat_dereg_mr', + 'offset' => '48', + 'type' => '4176' + }, + '7' => { + 'name' => 'alloc_mw', + 'offset' => '56', + 'type' => '4240' + }, + '8' => { + 'name' => 'bind_mw', + 'offset' => '64', + 'type' => '4382' + }, + '9' => { + 'name' => 'dealloc_mw', + 'offset' => '72', + 'type' => '5539' + } + }, + 'Name' => 'struct ibv_context_ops', + 'Size' => '256', + 'Type' => 'Struct' + }, + '40517' => { + 'BaseType' => '171', + 'Header' => 'stdatomic.h', + 'Line' => '97', + 'Name' => 'atomic_int', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '411697' => { + 'Header' => 'mlx5dv.h', + 'Line' => '487', + 'Memb' => { + '0' => { + 'name' => 'qp', + 'offset' => '0', + 'type' => '411719' + }, + '1' => { + 'name' => 'cq', + 'offset' => '16', + 'type' => '411764' + }, + '2' => { + 'name' => 'srq', + 'offset' => '32', + 'type' => '411809' + }, + '3' => { + 'name' => 'rwq', + 'offset' => '48', + 'type' => '411854' + }, + '4' => { + 'name' => 'dm', + 'offset' => '64', + 'type' => '411899' + }, + '5' => { + 'name' => 'ah', + 'offset' => '80', + 'type' => '411944' + }, + '6' => { + 'name' => 'pd', + 'offset' => '96', + 'type' => '411989' + } + }, + 'Name' => 'struct mlx5dv_obj', + 'Size' => '112', + 'Type' => 'Struct' + }, + '411719' => { + 'Header' => 'mlx5dv.h', + 'Line' => '488', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '4408' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '412022' + } + }, + 'Name' => 'mlx5dv_obj::anon-struct-mlx5dv.h-488', + 'NameSpace' => 'mlx5dv_obj', + 'Size' => '16', + 'Type' => 'Struct' + }, + '411764' => { + 'Header' => 'mlx5dv.h', + 'Line' => '492', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '4592' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '412302' + } + }, + 'Name' => 'mlx5dv_obj::anon-struct-mlx5dv.h-492', + 'NameSpace' => 'mlx5dv_obj', + 'Size' => '16', + 'Type' => 'Struct' + }, + '411809' => { + 'Header' => 'mlx5dv.h', + 'Line' => '496', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '5189' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '412408' + } + }, + 'Name' => 'mlx5dv_obj::anon-struct-mlx5dv.h-496', + 'NameSpace' => 'mlx5dv_obj', + 'Size' => '16', + 'Type' => 'Struct' + }, + '411854' => { + 'Header' => 'mlx5dv.h', + 'Line' => '500', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '8681' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '412514' + } + }, + 'Name' => 'mlx5dv_obj::anon-struct-mlx5dv.h-500', + 'NameSpace' => 'mlx5dv_obj', + 'Size' => '16', + 'Type' => 'Struct' + }, + '411899' => { + 'Header' => 'mlx5dv.h', + 'Line' => '504', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '7278' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '412594' + } + }, + 'Name' => 'mlx5dv_obj::anon-struct-mlx5dv.h-504', + 'NameSpace' => 'mlx5dv_obj', + 'Size' => '16', + 'Type' => 'Struct' + }, + '411944' => { + 'Header' => 'mlx5dv.h', + 'Line' => '508', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '6541' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '412661' + } + }, + 'Name' => 'mlx5dv_obj::anon-struct-mlx5dv.h-508', + 'NameSpace' => 'mlx5dv_obj', + 'Size' => '16', + 'Type' => 'Struct' + }, + '411989' => { + 'Header' => 'mlx5dv.h', + 'Line' => '512', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '4341' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '412924' + } + }, + 'Name' => 'mlx5dv_obj::anon-struct-mlx5dv.h-512', + 'NameSpace' => 'mlx5dv_obj', + 'Size' => '16', + 'Type' => 'Struct' + }, + '412022' => { + 'BaseType' => '412027', + 'Name' => 'struct mlx5dv_qp*', + 'Size' => '96', + 'Type' => 'Pointer' + }, + '412027' => { + 'Header' => 'mlx5dv.h', + 'Line' => '398', + 'Memb' => { + '0' => { + 'name' => 'dbrec', + 'offset' => '0', + 'type' => '14867' + }, + '1' => { + 'name' => 'sq', + 'offset' => '8', + 'type' => '412062' + }, + '10' => { + 'name' => 'tir_icm_addr', + 'offset' => '88', + 'type' => '5349' + }, + '2' => { + 'name' => 'rq', + 'offset' => '24', + 'type' => '412120' + }, + '3' => { + 'name' => 'bf', + 'offset' => '40', + 'type' => '412178' + }, + '4' => { + 'name' => 'comp_mask', + 'offset' => '56', + 'type' => '5349' + }, + '5' => { + 'name' => 'uar_mmap_offset', + 'offset' => '64', + 'type' => '14438' + }, + '6' => { + 'name' => 'tirn', + 'offset' => '72', + 'type' => '85' + }, + '7' => { + 'name' => 'tisn', + 'offset' => '76', + 'type' => '85' + }, + '8' => { + 'name' => 'rqn', + 'offset' => '80', + 'type' => '85' + }, + '9' => { + 'name' => 'sqn', + 'offset' => '84', + 'type' => '85' + } + }, + 'Name' => 'struct mlx5dv_qp', + 'Size' => '96', + 'Type' => 'Struct' + }, + '412062' => { + 'Header' => 'mlx5dv.h', + 'Line' => '400', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '1452' + }, + '1' => { + 'name' => 'wqe_cnt', + 'offset' => '8', + 'type' => '85' + }, + '2' => { + 'name' => 'stride', + 'offset' => '12', + 'type' => '85' + } + }, + 'Name' => 'mlx5dv_qp::anon-struct-mlx5dv.h-400', + 'NameSpace' => 'mlx5dv_qp', + 'Size' => '16', + 'Type' => 'Struct' + }, + '412120' => { + 'Header' => 'mlx5dv.h', + 'Line' => '405', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '1452' + }, + '1' => { + 'name' => 'wqe_cnt', + 'offset' => '8', + 'type' => '85' + }, + '2' => { + 'name' => 'stride', + 'offset' => '12', + 'type' => '85' + } + }, + 'Name' => 'mlx5dv_qp::anon-struct-mlx5dv.h-405', + 'NameSpace' => 'mlx5dv_qp', + 'Size' => '16', + 'Type' => 'Struct' + }, + '412178' => { + 'Header' => 'mlx5dv.h', + 'Line' => '410', + 'Memb' => { + '0' => { + 'name' => 'reg', + 'offset' => '0', + 'type' => '1452' + }, + '1' => { + 'name' => 'size', + 'offset' => '8', + 'type' => '85' + } + }, + 'Name' => 'mlx5dv_qp::anon-struct-mlx5dv.h-410', + 'NameSpace' => 'mlx5dv_qp', + 'Size' => '16', + 'Type' => 'Struct' + }, + '412302' => { + 'BaseType' => '412307', + 'Name' => 'struct mlx5dv_cq*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '412307' => { + 'Header' => 'mlx5dv.h', + 'Line' => '423', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '1452' + }, + '1' => { + 'name' => 'dbrec', + 'offset' => '8', + 'type' => '14867' + }, + '2' => { + 'name' => 'cqe_cnt', + 'offset' => '16', + 'type' => '85' + }, + '3' => { + 'name' => 'cqe_size', + 'offset' => '20', + 'type' => '85' + }, + '4' => { + 'name' => 'cq_uar', + 'offset' => '24', + 'type' => '1452' + }, + '5' => { + 'name' => 'cqn', + 'offset' => '32', + 'type' => '85' + }, + '6' => { + 'name' => 'comp_mask', + 'offset' => '40', + 'type' => '5349' + } + }, + 'Name' => 'struct mlx5dv_cq', + 'Size' => '48', + 'Type' => 'Struct' + }, + '412408' => { + 'BaseType' => '412413', + 'Name' => 'struct mlx5dv_srq*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '412413' => { + 'Header' => 'mlx5dv.h', + 'Line' => '437', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '1452' + }, + '1' => { + 'name' => 'dbrec', + 'offset' => '8', + 'type' => '14867' + }, + '2' => { + 'name' => 'stride', + 'offset' => '16', + 'type' => '85' + }, + '3' => { + 'name' => 'head', + 'offset' => '20', + 'type' => '85' + }, + '4' => { + 'name' => 'tail', + 'offset' => '24', + 'type' => '85' + }, + '5' => { + 'name' => 'comp_mask', + 'offset' => '32', + 'type' => '5349' + }, + '6' => { + 'name' => 'srqn', + 'offset' => '40', + 'type' => '85' + } + }, + 'Name' => 'struct mlx5dv_srq', + 'Size' => '48', + 'Type' => 'Struct' + }, + '412514' => { + 'BaseType' => '412519', + 'Name' => 'struct mlx5dv_rwq*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '412519' => { + 'Header' => 'mlx5dv.h', + 'Line' => '447', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '1452' + }, + '1' => { + 'name' => 'dbrec', + 'offset' => '8', + 'type' => '14867' + }, + '2' => { + 'name' => 'wqe_cnt', + 'offset' => '16', + 'type' => '85' + }, + '3' => { + 'name' => 'stride', + 'offset' => '20', + 'type' => '85' + }, + '4' => { + 'name' => 'comp_mask', + 'offset' => '24', + 'type' => '5349' + } + }, + 'Name' => 'struct mlx5dv_rwq', + 'Size' => '32', + 'Type' => 'Struct' + }, + '412594' => { + 'BaseType' => '412599', + 'Name' => 'struct mlx5dv_dm*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '412599' => { + 'Header' => 'mlx5dv.h', + 'Line' => '464', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '1452' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '5349' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '16', + 'type' => '5349' + }, + '3' => { + 'name' => 'remote_va', + 'offset' => '24', + 'type' => '5349' + } + }, + 'Name' => 'struct mlx5dv_dm', + 'Size' => '32', + 'Type' => 'Struct' + }, + '412661' => { + 'BaseType' => '412666', + 'Name' => 'struct mlx5dv_ah*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '412666' => { + 'Header' => 'mlx5dv.h', + 'Line' => '477', + 'Memb' => { + '0' => { + 'name' => 'av', + 'offset' => '0', + 'type' => '412702' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '5349' + } + }, + 'Name' => 'struct mlx5dv_ah', + 'Size' => '16', + 'Type' => 'Struct' + }, + '412702' => { + 'BaseType' => '412707', + 'Name' => 'struct mlx5_wqe_av*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '412707' => { + 'Header' => 'mlx5dv.h', + 'Line' => '818', + 'Memb' => { + '0' => { + 'name' => 'key', + 'offset' => '0', + 'type' => '412729' + }, + '1' => { + 'name' => 'dqp_dct', + 'offset' => '8', + 'type' => '5793' + }, + '10' => { + 'name' => 'rgid', + 'offset' => '32', + 'type' => '14671' + }, + '2' => { + 'name' => 'stat_rate_sl', + 'offset' => '12', + 'type' => '4212' + }, + '3' => { + 'name' => 'fl_mlid', + 'offset' => '13', + 'type' => '4212' + }, + '4' => { + 'name' => 'rlid', + 'offset' => '14', + 'type' => '32050' + }, + '5' => { + 'name' => 'reserved0', + 'offset' => '16', + 'type' => '14671' + }, + '6' => { + 'name' => 'rmac', + 'offset' => '20', + 'type' => '14671' + }, + '7' => { + 'name' => 'tclass', + 'offset' => '26', + 'type' => '4212' + }, + '8' => { + 'name' => 'hop_limit', + 'offset' => '27', + 'type' => '4212' + }, + '9' => { + 'name' => 'grh_gid_fl', + 'offset' => '28', + 'type' => '5793' + } + }, + 'Name' => 'struct mlx5_wqe_av', + 'Size' => '48', + 'Type' => 'Struct' + }, + '412729' => { + 'Header' => 'mlx5dv.h', + 'Line' => '819', + 'Memb' => { + '0' => { + 'name' => 'qkey', + 'offset' => '0', + 'type' => '412747' + }, + '1' => { + 'name' => 'dc_key', + 'offset' => '0', + 'type' => '10847' + } + }, + 'Name' => 'mlx5_wqe_av::anon-union-mlx5dv.h-819', + 'NameSpace' => 'mlx5_wqe_av', + 'Size' => '8', + 'Type' => 'Union' + }, + '412747' => { + 'Header' => 'mlx5dv.h', + 'Line' => '820', + 'Memb' => { + '0' => { + 'name' => 'qkey', + 'offset' => '0', + 'type' => '5793' + }, + '1' => { + 'name' => 'reserved', + 'offset' => '4', + 'type' => '5793' + } + }, + 'Name' => 'anon-struct-mlx5dv.h-820', + 'Size' => '8', + 'Type' => 'Struct' + }, + '412924' => { + 'BaseType' => '412929', + 'Name' => 'struct mlx5dv_pd*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '412929' => { + 'Header' => 'mlx5dv.h', + 'Line' => '482', + 'Memb' => { + '0' => { + 'name' => 'pdn', + 'offset' => '0', + 'type' => '85' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '5349' + } + }, + 'Name' => 'struct mlx5dv_pd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '4176' => { + 'Name' => 'void*(*)()', + 'Return' => '1452', + 'Type' => 'FuncPtr' + }, + '4186' => { + 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct _compat_ibv_port_attr*)', + 'Param' => { + '0' => { + 'type' => '3435' + }, + '1' => { + 'type' => '4212' + }, + '2' => { + 'type' => '4230' + } + }, + 'Return' => '171', + 'Type' => 'FuncPtr' + }, + '4212' => { + 'BaseType' => '4223', + 'Header' => 'stdint.h', + 'Line' => '48', + 'Name' => 'uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '4223' => { + 'Name' => 'unsigned char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '4230' => { + 'BaseType' => '4235', + 'Name' => 'struct _compat_ibv_port_attr*', + 'Type' => 'Pointer' + }, + '4235' => { + 'Name' => 'struct _compat_ibv_port_attr', + 'Type' => 'Struct' + }, + '4240' => { + 'Name' => 'struct ibv_mw*(*)(struct ibv_pd*, enum ibv_mw_type)', + 'Param' => { + '0' => { + 'type' => '4341' + }, + '1' => { + 'type' => '227' + } + }, + 'Return' => '4261', + 'Type' => 'FuncPtr' + }, + '4261' => { + 'BaseType' => '4266', + 'Name' => 'struct ibv_mw*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '4266' => { + 'Header' => 'verbs.h', + 'Line' => '644', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3435' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '4341' + }, + '2' => { + 'name' => 'rkey', + 'offset' => '16', + 'type' => '85' + }, + '3' => { + 'name' => 'handle', + 'offset' => '20', + 'type' => '85' + }, + '4' => { + 'name' => 'type', + 'offset' => '24', + 'type' => '227' + } + }, + 'Name' => 'struct ibv_mw', + 'Size' => '32', + 'Type' => 'Struct' + }, + '427343' => { + 'BaseType' => '96', + 'Header' => 'mlx5dv.h', + 'Line' => '1144', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_CTX_ATTR_BUF_ALLOCATORS', + 'value' => '1' + } + }, + 'Name' => 'enum mlx5dv_set_ctx_attr_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '4341' => { + 'BaseType' => '4346', + 'Name' => 'struct ibv_pd*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '4346' => { + 'Header' => 'verbs.h', + 'Line' => '592', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3435' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '85' + } + }, + 'Name' => 'struct ibv_pd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '4382' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_mw*, struct ibv_mw_bind*)', + 'Param' => { + '0' => { + 'type' => '4408' + }, + '1' => { + 'type' => '4261' + }, + '2' => { + 'type' => '5295' + } + }, + 'Return' => '171', + 'Type' => 'FuncPtr' + }, + '4408' => { + 'BaseType' => '4413', + 'Name' => 'struct ibv_qp*', + 'Size' => '160', + 'Type' => 'Pointer' + }, + '4413' => { + 'Header' => 'verbs.h', + 'Line' => '1217', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3435' + }, + '1' => { + 'name' => 'qp_context', + 'offset' => '8', + 'type' => '1452' + }, + '10' => { + 'name' => 'mutex', + 'offset' => '64', + 'type' => '4778' + }, + '11' => { + 'name' => 'cond', + 'offset' => '104', + 'type' => '5010' + }, + '12' => { + 'name' => 'events_completed', + 'offset' => '152', + 'type' => '85' + }, + '2' => { + 'name' => 'pd', + 'offset' => '16', + 'type' => '4341' + }, + '3' => { + 'name' => 'send_cq', + 'offset' => '24', + 'type' => '4592' + }, + '4' => { + 'name' => 'recv_cq', + 'offset' => '32', + 'type' => '4592' + }, + '5' => { + 'name' => 'srq', + 'offset' => '40', + 'type' => '5189' + }, + '6' => { + 'name' => 'handle', + 'offset' => '48', + 'type' => '85' + }, + '7' => { + 'name' => 'qp_num', + 'offset' => '52', + 'type' => '85' + }, + '8' => { + 'name' => 'state', + 'offset' => '56', + 'type' => '253' + }, + '9' => { + 'name' => 'qp_type', + 'offset' => '60', + 'type' => '315' + } + }, + 'Name' => 'struct ibv_qp', + 'Size' => '160', + 'Type' => 'Struct' + }, + '445821' => { + 'BaseType' => '445826', + 'Name' => 'struct mlx5dv_context*', + 'Size' => '88', + 'Type' => 'Pointer' + }, + '445826' => { + 'Header' => 'mlx5dv.h', + 'Line' => '115', + 'Memb' => { + '0' => { + 'name' => 'version', + 'offset' => '0', + 'type' => '4212' + }, + '1' => { + 'name' => 'flags', + 'offset' => '8', + 'type' => '5349' + }, + '10' => { + 'name' => 'dc_odp_caps', + 'offset' => '84', + 'type' => '85' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '16', + 'type' => '5349' + }, + '3' => { + 'name' => 'cqe_comp_caps', + 'offset' => '24', + 'type' => '16228' + }, + '4' => { + 'name' => 'sw_parsing_caps', + 'offset' => '32', + 'type' => '16348' + }, + '5' => { + 'name' => 'striding_rq_caps', + 'offset' => '40', + 'type' => '16381' + }, + '6' => { + 'name' => 'tunnel_offloads_caps', + 'offset' => '60', + 'type' => '85' + }, + '7' => { + 'name' => 'max_dynamic_bfregs', + 'offset' => '64', + 'type' => '85' + }, + '8' => { + 'name' => 'max_clock_info_update_nsec', + 'offset' => '72', + 'type' => '5349' + }, + '9' => { + 'name' => 'flow_action_flags', + 'offset' => '80', + 'type' => '85' + } + }, + 'Name' => 'struct mlx5dv_context', + 'Size' => '88', + 'Type' => 'Struct' + }, + '445967' => { + 'BaseType' => '411697', + 'Name' => 'struct mlx5dv_obj*', + 'Size' => '112', + 'Type' => 'Pointer' + }, + '446302' => { + 'BaseType' => '446307', + 'Name' => 'struct mlx5dv_context_attr*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '446307' => { + 'Header' => 'mlx5dv.h', + 'Line' => '1234', + 'Memb' => { + '0' => { + 'name' => 'flags', + 'offset' => '0', + 'type' => '85' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '5349' + } + }, + 'Name' => 'struct mlx5dv_context_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '4592' => { + 'BaseType' => '4597', + 'Name' => 'struct ibv_cq*', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '4597' => { + 'Header' => 'verbs.h', + 'Line' => '1408', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3435' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '4724' + }, + '2' => { + 'name' => 'cq_context', + 'offset' => '16', + 'type' => '1452' + }, + '3' => { + 'name' => 'handle', + 'offset' => '24', + 'type' => '85' + }, + '4' => { + 'name' => 'cqe', + 'offset' => '28', + 'type' => '171' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '32', + 'type' => '4778' + }, + '6' => { + 'name' => 'cond', + 'offset' => '72', + 'type' => '5010' + }, + '7' => { + 'name' => 'comp_events_completed', + 'offset' => '120', + 'type' => '85' + }, + '8' => { + 'name' => 'async_events_completed', + 'offset' => '124', + 'type' => '85' + } + }, + 'Name' => 'struct ibv_cq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '4724' => { + 'BaseType' => '4729', + 'Name' => 'struct ibv_comp_channel*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '4729' => { + 'Header' => 'verbs.h', + 'Line' => '1402', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3435' + }, + '1' => { + 'name' => 'fd', + 'offset' => '8', + 'type' => '171' + }, + '2' => { + 'name' => 'refcnt', + 'offset' => '12', + 'type' => '171' + } + }, + 'Name' => 'struct ibv_comp_channel', + 'Size' => '16', + 'Type' => 'Struct' + }, + '4778' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '128', + 'Memb' => { + '0' => { + 'name' => '__data', + 'offset' => '0', + 'type' => '4830' + }, + '1' => { + 'name' => '__size', + 'offset' => '0', + 'type' => '3724' + }, + '2' => { + 'name' => '__align', + 'offset' => '0', + 'type' => '5003' + } + }, + 'Name' => 'union pthread_mutex_t', + 'Size' => '40', + 'Type' => 'Union' + }, + '4830' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '92', + 'Memb' => { + '0' => { + 'name' => '__lock', + 'offset' => '0', + 'type' => '171' + }, + '1' => { + 'name' => '__count', + 'offset' => '4', + 'type' => '96' + }, + '2' => { + 'name' => '__owner', + 'offset' => '8', + 'type' => '171' + }, + '3' => { + 'name' => '__nusers', + 'offset' => '12', + 'type' => '96' + }, + '4' => { + 'name' => '__kind', + 'offset' => '16', + 'type' => '171' + }, + '5' => { + 'name' => '__spins', + 'offset' => '20', + 'type' => '4935' + }, + '6' => { + 'name' => '__elision', + 'offset' => '22', + 'type' => '4935' + }, + '7' => { + 'name' => '__list', + 'offset' => '24', + 'type' => '4942' + } + }, + 'Name' => 'struct __pthread_mutex_s', + 'Size' => '40', + 'Type' => 'Struct' + }, + '4935' => { + 'Name' => 'short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '4942' => { + 'BaseType' => '4953', + 'Header' => 'pthreadtypes.h', + 'Line' => '79', + 'Name' => '__pthread_list_t', + 'Size' => '16', + 'Type' => 'Typedef' + }, + '4953' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '75', + 'Memb' => { + '0' => { + 'name' => '__prev', + 'offset' => '0', + 'type' => '4986' + }, + '1' => { + 'name' => '__next', + 'offset' => '8', + 'type' => '4986' + } + }, + 'Name' => 'struct __pthread_internal_list', + 'Size' => '16', + 'Type' => 'Struct' + }, + '496289' => { + 'Header' => 'mlx5dv.h', + 'Line' => '212', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '5349' + }, + '1' => { + 'name' => 'create_flags', + 'offset' => '8', + 'type' => '85' + }, + '2' => { + 'name' => 'dc_init_attr', + 'offset' => '16', + 'type' => '496346' + }, + '3' => { + 'name' => 'send_ops_flags', + 'offset' => '32', + 'type' => '5349' + } + }, + 'Name' => 'struct mlx5dv_qp_init_attr', + 'Size' => '40', + 'Type' => 'Struct' + }, + '496346' => { + 'Header' => 'mlx5dv.h', + 'Line' => '202', + 'Memb' => { + '0' => { + 'name' => 'dc_type', + 'offset' => '0', + 'type' => '1212' + }, + '1' => { + 'name' => 'dct_access_key', + 'offset' => '8', + 'type' => '5349' + } + }, + 'Name' => 'struct mlx5dv_dc_init_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '4986' => { + 'BaseType' => '4953', + 'Name' => 'struct __pthread_internal_list*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '5003' => { + 'Name' => 'long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '5010' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '154', + 'Memb' => { + '0' => { + 'name' => '__data', + 'offset' => '0', + 'type' => '5037' + }, + '1' => { + 'name' => '__size', + 'offset' => '0', + 'type' => '3724' + }, + '2' => { + 'name' => '__align', + 'offset' => '0', + 'type' => '5182' + } + }, + 'Name' => 'union pthread_cond_t', + 'Size' => '48', + 'Type' => 'Union' + }, + '50311' => { + 'BaseType' => '32248', + 'Name' => 'struct mlx5dv_clock_info*', + 'Size' => '40', + 'Type' => 'Pointer' + }, + '5037' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '141', + 'Memb' => { + '0' => { + 'name' => '__lock', + 'offset' => '0', + 'type' => '171' + }, + '1' => { + 'name' => '__futex', + 'offset' => '4', + 'type' => '96' + }, + '2' => { + 'name' => '__total_seq', + 'offset' => '8', + 'type' => '5163' + }, + '3' => { + 'name' => '__wakeup_seq', + 'offset' => '16', + 'type' => '5163' + }, + '4' => { + 'name' => '__woken_seq', + 'offset' => '24', + 'type' => '5163' + }, + '5' => { + 'name' => '__mutex', + 'offset' => '32', + 'type' => '1452' + }, + '6' => { + 'name' => '__nwaiters', + 'offset' => '40', + 'type' => '96' + }, + '7' => { + 'name' => '__broadcast_seq', + 'offset' => '44', + 'type' => '96' + } + }, + 'Name' => 'anon-struct-pthreadtypes.h-141', + 'Size' => '48', + 'Type' => 'Struct' + }, + '5163' => { + 'Name' => 'unsigned long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '5182' => { + 'Name' => 'long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '5189' => { + 'BaseType' => '5194', + 'Name' => 'struct ibv_srq*', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '5194' => { + 'Header' => 'verbs.h', + 'Line' => '1177', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3435' + }, + '1' => { + 'name' => 'srq_context', + 'offset' => '8', + 'type' => '1452' + }, + '2' => { + 'name' => 'pd', + 'offset' => '16', + 'type' => '4341' + }, + '3' => { + 'name' => 'handle', + 'offset' => '24', + 'type' => '85' + }, + '4' => { + 'name' => 'mutex', + 'offset' => '32', + 'type' => '4778' + }, + '5' => { + 'name' => 'cond', + 'offset' => '72', + 'type' => '5010' + }, + '6' => { + 'name' => 'events_completed', + 'offset' => '120', + 'type' => '85' + } + }, + 'Name' => 'struct ibv_srq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '520637' => { + 'BaseType' => '96', + 'Header' => 'mlx5_user_ioctl_verbs.h', + 'Line' => '67', + 'Memb' => { + '0' => { + 'name' => 'MLX5_IB_UAPI_DEVX_CR_EV_CH_FLAGS_OMIT_DATA', + 'value' => '1' + } + }, + 'Name' => 'enum mlx5_ib_uapi_devx_create_event_channel_flags', + 'Size' => '4', + 'Type' => 'Enum' + }, + '528524' => { + 'Header' => 'mlx5dv.h', + 'Line' => '1318', + 'Memb' => { + '0' => { + 'name' => 'fd', + 'offset' => '0', + 'type' => '171' + } + }, + 'Name' => 'struct mlx5dv_devx_event_channel', + 'Size' => '4', + 'Type' => 'Struct' + }, + '528547' => { + 'BaseType' => '528524', + 'Name' => 'struct mlx5dv_devx_event_channel*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '5295' => { + 'BaseType' => '5300', + 'Name' => 'struct ibv_mw_bind*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '530' => { + 'BaseType' => '96', + 'Header' => 'verbs.h', + 'Line' => '481', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SEND', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_RDMA_WRITE', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_TM_ADD', + 'value' => '130' + }, + '11' => { + 'name' => 'IBV_WC_TM_DEL', + 'value' => '131' + }, + '12' => { + 'name' => 'IBV_WC_TM_SYNC', + 'value' => '132' + }, + '13' => { + 'name' => 'IBV_WC_TM_RECV', + 'value' => '133' + }, + '14' => { + 'name' => 'IBV_WC_TM_NO_TAG', + 'value' => '134' + }, + '15' => { + 'name' => 'IBV_WC_DRIVER1', + 'value' => '135' + }, + '2' => { + 'name' => 'IBV_WC_RDMA_READ', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WC_COMP_SWAP', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_FETCH_ADD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_BIND_MW', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_LOCAL_INV', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_TSO', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_RECV', + 'value' => '128' + }, + '9' => { + 'name' => 'IBV_WC_RECV_RDMA_WITH_IMM', + 'value' => '129' + } + }, + 'Name' => 'enum ibv_wc_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '5300' => { + 'Header' => 'verbs.h', + 'Line' => '1171', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '5349' + }, + '1' => { + 'name' => 'send_flags', + 'offset' => '8', + 'type' => '96' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '16', + 'type' => '5360' + } + }, + 'Name' => 'struct ibv_mw_bind', + 'Size' => '48', + 'Type' => 'Struct' + }, + '5349' => { + 'BaseType' => '1648', + 'Header' => 'stdint.h', + 'Line' => '55', + 'Name' => 'uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '5360' => { + 'Header' => 'verbs.h', + 'Line' => '585', + 'Memb' => { + '0' => { + 'name' => 'mr', + 'offset' => '0', + 'type' => '5422' + }, + '1' => { + 'name' => 'addr', + 'offset' => '8', + 'type' => '5349' + }, + '2' => { + 'name' => 'length', + 'offset' => '16', + 'type' => '5349' + }, + '3' => { + 'name' => 'mw_access_flags', + 'offset' => '24', + 'type' => '96' + } + }, + 'Name' => 'struct ibv_mw_bind_info', + 'Size' => '32', + 'Type' => 'Struct' + }, + '5422' => { + 'BaseType' => '5427', + 'Name' => 'struct ibv_mr*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '5427' => { + 'Header' => 'verbs.h', + 'Line' => '629', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3435' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '4341' + }, + '2' => { + 'name' => 'addr', + 'offset' => '16', + 'type' => '1452' + }, + '3' => { + 'name' => 'length', + 'offset' => '24', + 'type' => '5528' + }, + '4' => { + 'name' => 'handle', + 'offset' => '32', + 'type' => '85' + }, + '5' => { + 'name' => 'lkey', + 'offset' => '36', + 'type' => '85' + }, + '6' => { + 'name' => 'rkey', + 'offset' => '40', + 'type' => '85' + } + }, + 'Name' => 'struct ibv_mr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '545071' => { + 'BaseType' => '545076', + 'Name' => 'struct mlx5dv_cq_init_attr*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '545076' => { + 'Header' => 'mlx5dv.h', + 'Line' => '153', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '5349' + }, + '1' => { + 'name' => 'cqe_comp_res_format', + 'offset' => '8', + 'type' => '4212' + }, + '2' => { + 'name' => 'flags', + 'offset' => '12', + 'type' => '85' + }, + '3' => { + 'name' => 'cqe_size', + 'offset' => '16', + 'type' => '5815' + } + }, + 'Name' => 'struct mlx5dv_cq_init_attr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '548146' => { + 'BaseType' => '496289', + 'Name' => 'struct mlx5dv_qp_init_attr*', + 'Size' => '40', + 'Type' => 'Pointer' + }, + '5528' => { + 'BaseType' => '1648', + 'Header' => 'stddef.h', + 'Line' => '62', + 'Name' => 'size_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '5539' => { + 'Name' => 'int(*)(struct ibv_mw*)', + 'Param' => { + '0' => { + 'type' => '4261' + } + }, + 'Return' => '171', + 'Type' => 'FuncPtr' + }, + '5555' => { + 'Name' => 'int(*)(struct ibv_cq*, int, struct ibv_wc*)', + 'Param' => { + '0' => { + 'type' => '4592' + }, + '1' => { + 'type' => '171' + }, + '2' => { + 'type' => '5581' + } + }, + 'Return' => '171', + 'Type' => 'FuncPtr' + }, + '5581' => { + 'BaseType' => '5586', + 'Name' => 'struct ibv_wc*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '5586' => { + 'Header' => 'verbs.h', + 'Line' => '553', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '5349' + }, + '1' => { + 'name' => 'status', + 'offset' => '8', + 'type' => '372' + }, + '10' => { + 'name' => 'slid', + 'offset' => '42', + 'type' => '5815' + }, + '11' => { + 'name' => 'sl', + 'offset' => '44', + 'type' => '4212' + }, + '12' => { + 'name' => 'dlid_path_bits', + 'offset' => '45', + 'type' => '4212' + }, + '2' => { + 'name' => 'opcode', + 'offset' => '12', + 'type' => '530' + }, + '3' => { + 'name' => 'vendor_err', + 'offset' => '16', + 'type' => '85' + }, + '4' => { + 'name' => 'byte_len', + 'offset' => '20', + 'type' => '85' + }, + '5' => { + 'name' => 'unnamed0', + 'offset' => '24', + 'type' => '5669' + }, + '6' => { + 'name' => 'qp_num', + 'offset' => '28', + 'type' => '85' + }, + '7' => { + 'name' => 'src_qp', + 'offset' => '32', + 'type' => '85' + }, + '8' => { + 'name' => 'wc_flags', + 'offset' => '36', + 'type' => '96' + }, + '9' => { + 'name' => 'pkey_index', + 'offset' => '40', + 'type' => '5815' + } + }, + 'Name' => 'struct ibv_wc', + 'Size' => '48', + 'Type' => 'Struct' + }, + '561100' => { + 'BaseType' => '561105', + 'Name' => 'struct mlx5dv_wq_init_attr*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '561105' => { + 'Header' => 'mlx5dv.h', + 'Line' => '538', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '5349' + }, + '1' => { + 'name' => 'striding_rq_attrs', + 'offset' => '8', + 'type' => '561141' + } + }, + 'Name' => 'struct mlx5dv_wq_init_attr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '561141' => { + 'Header' => 'mlx5dv.h', + 'Line' => '532', + 'Memb' => { + '0' => { + 'name' => 'single_stride_log_num_of_bytes', + 'offset' => '0', + 'type' => '85' + }, + '1' => { + 'name' => 'single_wqe_log_num_of_strides', + 'offset' => '4', + 'type' => '85' + }, + '2' => { + 'name' => 'two_byte_shift_en', + 'offset' => '8', + 'type' => '4212' + } + }, + 'Name' => 'struct mlx5dv_striding_rq_init_attr', + 'Size' => '12', + 'Type' => 'Struct' + }, + '5669' => { + 'Header' => 'verbs.h', + 'Line' => '562', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '5793' + }, + '1' => { + 'name' => 'invalidated_rkey', + 'offset' => '0', + 'type' => '85' + } + }, + 'Name' => 'ibv_wc::anon-union-verbs.h-562', + 'NameSpace' => 'ibv_wc', + 'Size' => '4', + 'Type' => 'Union' + }, + '5793' => { + 'BaseType' => '5804', + 'Header' => 'types.h', + 'Line' => '30', + 'Name' => '__be32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '5804' => { + 'BaseType' => '96', + 'Header' => 'int-ll64.h', + 'Line' => '26', + 'Name' => '__u32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '5815' => { + 'BaseType' => '5826', + 'Header' => 'stdint.h', + 'Line' => '49', + 'Name' => 'uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '5826' => { + 'Name' => 'unsigned short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '5833' => { + 'Name' => 'int(*)(struct ibv_cq*, int)', + 'Param' => { + '0' => { + 'type' => '4592' + }, + '1' => { + 'type' => '171' + } + }, + 'Return' => '171', + 'Type' => 'FuncPtr' + }, + '583427' => { + 'BaseType' => '583432', + 'Name' => 'struct mlx5dv_devx_cmd_comp*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '583432' => { + 'Header' => 'mlx5dv.h', + 'Line' => '1302', + 'Memb' => { + '0' => { + 'name' => 'fd', + 'offset' => '0', + 'type' => '171' + } + }, + 'Name' => 'struct mlx5dv_devx_cmd_comp', + 'Size' => '4', + 'Type' => 'Struct' + }, + '583455' => { + 'BaseType' => '583466', + 'Header' => 'types.h', + 'Line' => '109', + 'Name' => 'ssize_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '583466' => { + 'BaseType' => '5003', + 'Header' => 'types.h', + 'Line' => '172', + 'Name' => '__ssize_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '5854' => { + 'Name' => 'int(*)(struct ibv_srq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '5189' + }, + '1' => { + 'type' => '5880' + }, + '2' => { + 'type' => '6001' + } + }, + 'Return' => '171', + 'Type' => 'FuncPtr' + }, + '5880' => { + 'BaseType' => '5885', + 'Name' => 'struct ibv_recv_wr*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '5885' => { + 'Header' => 'verbs.h', + 'Line' => '1135', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '5349' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '5880' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '16', + 'type' => '5947' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '24', + 'type' => '171' + } + }, + 'Name' => 'struct ibv_recv_wr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '592627' => { + 'BaseType' => '592632', + 'Name' => 'struct mlx5dv_flow_action_esp*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '592632' => { + 'Header' => 'mlx5dv.h', + 'Line' => '289', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '5349' + }, + '1' => { + 'name' => 'action_flags', + 'offset' => '8', + 'type' => '85' + } + }, + 'Name' => 'struct mlx5dv_flow_action_esp', + 'Size' => '16', + 'Type' => 'Struct' + }, + '592680' => { + 'BaseType' => '211716', + 'Name' => 'struct mlx5dv_alloc_dm_attr*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '592721' => { + 'BaseType' => '236654', + 'Name' => 'struct mlx5dv_flow_matcher_attr*', + 'Size' => '40', + 'Type' => 'Pointer' + }, + '593004' => { + 'BaseType' => '593009', + 'Name' => 'struct mlx5_ib_uapi_devx_async_cmd_hdr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '593009' => { + 'Header' => 'mlx5_user_ioctl_verbs.h', + 'Line' => '56', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '7764' + }, + '1' => { + 'name' => 'out_data', + 'offset' => '8', + 'type' => '16530' + } + }, + 'Name' => 'struct mlx5_ib_uapi_devx_async_cmd_hdr', + 'Size' => '8', + 'Type' => 'Struct' + }, + '593054' => { + 'BaseType' => '593059', + 'Name' => 'struct mlx5_ib_uapi_devx_async_event_hdr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '593059' => { + 'Header' => 'mlx5_user_ioctl_verbs.h', + 'Line' => '71', + 'Memb' => { + '0' => { + 'name' => 'cookie', + 'offset' => '0', + 'type' => '7764' + }, + '1' => { + 'name' => 'out_data', + 'offset' => '8', + 'type' => '16530' + } + }, + 'Name' => 'struct mlx5_ib_uapi_devx_async_event_hdr', + 'Size' => '8', + 'Type' => 'Struct' + }, + '593105' => { + 'BaseType' => '593110', + 'Name' => 'struct mlx5dv_mkey_init_attr*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '593110' => { + 'Header' => 'mlx5dv.h', + 'Line' => '177', + 'Memb' => { + '0' => { + 'name' => 'pd', + 'offset' => '0', + 'type' => '4341' + }, + '1' => { + 'name' => 'create_flags', + 'offset' => '8', + 'type' => '85' + }, + '2' => { + 'name' => 'max_entries', + 'offset' => '12', + 'type' => '5815' + } + }, + 'Name' => 'struct mlx5dv_mkey_init_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '5947' => { + 'BaseType' => '5952', + 'Name' => 'struct ibv_sge*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '5952' => { + 'Header' => 'verbs.h', + 'Line' => '1079', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '5349' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '85' + }, + '2' => { + 'name' => 'lkey', + 'offset' => '12', + 'type' => '85' + } + }, + 'Name' => 'struct ibv_sge', + 'Size' => '16', + 'Type' => 'Struct' + }, + '6001' => { + 'BaseType' => '5880', + 'Name' => 'struct ibv_recv_wr**', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '6006' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_send_wr*, struct ibv_send_wr**)', + 'Param' => { + '0' => { + 'type' => '4408' + }, + '1' => { + 'type' => '6032' + }, + '2' => { + 'type' => '6595' + } + }, + 'Return' => '171', + 'Type' => 'FuncPtr' + }, + '6032' => { + 'BaseType' => '6037', + 'Name' => 'struct ibv_send_wr*', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '6037' => { + 'Header' => 'verbs.h', + 'Line' => '1085', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '5349' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '6032' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '16', + 'type' => '5947' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '24', + 'type' => '171' + }, + '4' => { + 'name' => 'opcode', + 'offset' => '28', + 'type' => '648' + }, + '5' => { + 'name' => 'send_flags', + 'offset' => '32', + 'type' => '96' + }, + '6' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '6133' + }, + '7' => { + 'name' => 'wr', + 'offset' => '40', + 'type' => '6178' + }, + '8' => { + 'name' => 'qp_type', + 'offset' => '72', + 'type' => '6371' + }, + '9' => { + 'name' => 'unnamed1', + 'offset' => '80', + 'type' => '6418' + } + }, + 'Name' => 'struct ibv_send_wr', + 'Size' => '128', + 'Type' => 'Struct' + }, + '6133' => { + 'Header' => 'verbs.h', + 'Line' => '1095', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '5793' + }, + '1' => { + 'name' => 'invalidate_rkey', + 'offset' => '0', + 'type' => '85' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1095', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '4', + 'Type' => 'Union' + }, + '6178' => { + 'Header' => 'verbs.h', + 'Line' => '1099', + 'Memb' => { + '0' => { + 'name' => 'rdma', + 'offset' => '0', + 'type' => '6196' + }, + '1' => { + 'name' => 'atomic', + 'offset' => '0', + 'type' => '6241' + }, + '2' => { + 'name' => 'ud', + 'offset' => '0', + 'type' => '6312' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1099', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '32', + 'Type' => 'Union' + }, + '6196' => { + 'Header' => 'verbs.h', + 'Line' => '1100', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '5349' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '85' + } + }, + 'Name' => 'anon-struct-verbs.h-1100', + 'Size' => '16', + 'Type' => 'Struct' + }, + '6241' => { + 'Header' => 'verbs.h', + 'Line' => '1104', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '5349' + }, + '1' => { + 'name' => 'compare_add', + 'offset' => '8', + 'type' => '5349' + }, + '2' => { + 'name' => 'swap', + 'offset' => '16', + 'type' => '5349' + }, + '3' => { + 'name' => 'rkey', + 'offset' => '24', + 'type' => '85' + } + }, + 'Name' => 'anon-struct-verbs.h-1104', + 'Size' => '32', + 'Type' => 'Struct' + }, + '6312' => { + 'Header' => 'verbs.h', + 'Line' => '1110', + 'Memb' => { + '0' => { + 'name' => 'ah', + 'offset' => '0', + 'type' => '6541' + }, + '1' => { + 'name' => 'remote_qpn', + 'offset' => '8', + 'type' => '85' + }, + '2' => { + 'name' => 'remote_qkey', + 'offset' => '12', + 'type' => '85' + } + }, + 'Name' => 'anon-struct-verbs.h-1110', + 'Size' => '16', + 'Type' => 'Struct' + }, + '6371' => { + 'Header' => 'verbs.h', + 'Line' => '1116', + 'Memb' => { + '0' => { + 'name' => 'xrc', + 'offset' => '0', + 'type' => '6389' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1116', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '4', + 'Type' => 'Union' + }, + '6389' => { + 'Header' => 'verbs.h', + 'Line' => '1117', + 'Memb' => { + '0' => { + 'name' => 'remote_srqn', + 'offset' => '0', + 'type' => '85' + } + }, + 'Name' => 'anon-struct-verbs.h-1117', + 'Size' => '4', + 'Type' => 'Struct' + }, + '6418' => { + 'Header' => 'verbs.h', + 'Line' => '1121', + 'Memb' => { + '0' => { + 'name' => 'bind_mw', + 'offset' => '0', + 'type' => '6436' + }, + '1' => { + 'name' => 'tso', + 'offset' => '0', + 'type' => '6494' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1121', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '48', + 'Type' => 'Union' + }, + '6436' => { + 'Header' => 'verbs.h', + 'Line' => '1122', + 'Memb' => { + '0' => { + 'name' => 'mw', + 'offset' => '0', + 'type' => '4261' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '85' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '16', + 'type' => '5360' + } + }, + 'Name' => 'anon-struct-verbs.h-1122', + 'Size' => '48', + 'Type' => 'Struct' + }, + '648' => { + 'BaseType' => '96', + 'Header' => 'verbs.h', + 'Line' => '1051', + 'Memb' => { + '0' => { + 'name' => 'IBV_WR_RDMA_WRITE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WR_RDMA_WRITE_WITH_IMM', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WR_TSO', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WR_DRIVER1', + 'value' => '11' + }, + '2' => { + 'name' => 'IBV_WR_SEND', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WR_SEND_WITH_IMM', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WR_RDMA_READ', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WR_ATOMIC_CMP_AND_SWP', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WR_ATOMIC_FETCH_AND_ADD', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WR_LOCAL_INV', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WR_BIND_MW', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WR_SEND_WITH_INV', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wr_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '6494' => { + 'Header' => 'verbs.h', + 'Line' => '1127', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1452' + }, + '1' => { + 'name' => 'hdr_sz', + 'offset' => '8', + 'type' => '5815' + }, + '2' => { + 'name' => 'mss', + 'offset' => '10', + 'type' => '5815' + } + }, + 'Name' => 'anon-struct-verbs.h-1127', + 'Size' => '16', + 'Type' => 'Struct' + }, + '6541' => { + 'BaseType' => '6546', + 'Name' => 'struct ibv_ah*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '6546' => { + 'Header' => 'verbs.h', + 'Line' => '1595', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3435' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '4341' + }, + '2' => { + 'name' => 'handle', + 'offset' => '16', + 'type' => '85' + } + }, + 'Name' => 'struct ibv_ah', + 'Size' => '24', + 'Type' => 'Struct' + }, + '6595' => { + 'BaseType' => '6032', + 'Name' => 'struct ibv_send_wr**', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '6600' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '4408' + }, + '1' => { + 'type' => '5880' + }, + '2' => { + 'type' => '6001' + } + }, + 'Return' => '171', + 'Type' => 'FuncPtr' + }, + '7010' => { + 'BaseType' => '7015', + 'Name' => 'struct ibv_counters*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7015' => { + 'Header' => 'verbs.h', + 'Line' => '1989', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3435' + } + }, + 'Name' => 'struct ibv_counters', + 'Size' => '8', + 'Type' => 'Struct' + }, + '7038' => { + 'BaseType' => '5349', + 'Name' => 'uint64_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7123' => { + 'BaseType' => '7128', + 'Name' => 'struct ibv_flow*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '7128' => { + 'Header' => 'verbs.h', + 'Line' => '1833', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '85' + }, + '1' => { + 'name' => 'context', + 'offset' => '8', + 'type' => '3435' + }, + '2' => { + 'name' => 'handle', + 'offset' => '16', + 'type' => '85' + } + }, + 'Name' => 'struct ibv_flow', + 'Size' => '24', + 'Type' => 'Struct' + }, + '7278' => { + 'BaseType' => '7283', + 'Name' => 'struct ibv_dm*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '7283' => { + 'Header' => 'verbs.h', + 'Line' => '145', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3435' + }, + '1' => { + 'name' => 'memcpy_to_dm', + 'offset' => '8', + 'type' => '7340' + }, + '2' => { + 'name' => 'memcpy_from_dm', + 'offset' => '16', + 'type' => '7377' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '24', + 'type' => '85' + } + }, + 'Name' => 'struct ibv_dm', + 'Size' => '32', + 'Type' => 'Struct' + }, + '7340' => { + 'Name' => 'int(*)(struct ibv_dm*, uint64_t, void const*, size_t)', + 'Param' => { + '0' => { + 'type' => '7278' + }, + '1' => { + 'type' => '5349' + }, + '2' => { + 'type' => '7371' + }, + '3' => { + 'type' => '5528' + } + }, + 'Return' => '171', + 'Type' => 'FuncPtr' + }, + '7371' => { + 'BaseType' => '7376', + 'Name' => 'void const*', + 'Type' => 'Pointer' + }, + '7376' => { + 'BaseType' => '1', + 'Name' => 'void const', + 'Type' => 'Const' + }, + '7377' => { + 'Name' => 'int(*)(void*, struct ibv_dm*, uint64_t, size_t)', + 'Param' => { + '0' => { + 'type' => '1452' + }, + '1' => { + 'type' => '7278' + }, + '2' => { + 'type' => '5349' + }, + '3' => { + 'type' => '5528' + } + }, + 'Return' => '171', + 'Type' => 'FuncPtr' + }, + '7429' => { + 'BaseType' => '7434', + 'Name' => 'struct ibv_alloc_dm_attr*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '7434' => { + 'Header' => 'verbs.h', + 'Line' => '139', + 'Memb' => { + '0' => { + 'name' => 'length', + 'offset' => '0', + 'type' => '5528' + }, + '1' => { + 'name' => 'log_align_req', + 'offset' => '8', + 'type' => '85' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '12', + 'type' => '85' + } + }, + 'Name' => 'struct ibv_alloc_dm_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '7516' => { + 'BaseType' => '7521', + 'Name' => 'struct ibv_flow_action*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7521' => { + 'Header' => 'verbs.h', + 'Line' => '1839', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3435' + } + }, + 'Name' => 'struct ibv_flow_action', + 'Size' => '8', + 'Type' => 'Struct' + }, + '7544' => { + 'BaseType' => '7549', + 'Name' => 'struct ibv_flow_action_esp_attr*', + 'Size' => '56', + 'Type' => 'Pointer' + }, + '7549' => { + 'Header' => 'verbs.h', + 'Line' => '1847', + 'Memb' => { + '0' => { + 'name' => 'esp_attr', + 'offset' => '0', + 'type' => '7689' + }, + '1' => { + 'name' => 'keymat_proto', + 'offset' => '8', + 'type' => '879' + }, + '2' => { + 'name' => 'keymat_len', + 'offset' => '12', + 'type' => '5815' + }, + '3' => { + 'name' => 'keymat_ptr', + 'offset' => '16', + 'type' => '1452' + }, + '4' => { + 'name' => 'replay_proto', + 'offset' => '24', + 'type' => '898' + }, + '5' => { + 'name' => 'replay_len', + 'offset' => '28', + 'type' => '5815' + }, + '6' => { + 'name' => 'replay_ptr', + 'offset' => '32', + 'type' => '1452' + }, + '7' => { + 'name' => 'esp_encap', + 'offset' => '40', + 'type' => '7775' + }, + '8' => { + 'name' => 'comp_mask', + 'offset' => '48', + 'type' => '85' + }, + '9' => { + 'name' => 'esn', + 'offset' => '52', + 'type' => '85' + } + }, + 'Name' => 'struct ibv_flow_action_esp_attr', + 'Size' => '56', + 'Type' => 'Struct' + }, + '7689' => { + 'BaseType' => '7694', + 'Name' => 'struct ib_uverbs_flow_action_esp*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '7694' => { + 'Header' => 'ib_user_ioctl_verbs.h', + 'Line' => '148', + 'Memb' => { + '0' => { + 'name' => 'spi', + 'offset' => '0', + 'type' => '5804' + }, + '1' => { + 'name' => 'seq', + 'offset' => '4', + 'type' => '5804' + }, + '2' => { + 'name' => 'tfc_pad', + 'offset' => '8', + 'type' => '5804' + }, + '3' => { + 'name' => 'flags', + 'offset' => '12', + 'type' => '5804' + }, + '4' => { + 'name' => 'hard_limit_pkts', + 'offset' => '16', + 'type' => '7764' + } + }, + 'Name' => 'struct ib_uverbs_flow_action_esp', + 'Size' => '24', + 'Type' => 'Struct' + }, + '7764' => { + 'BaseType' => '5163', + 'Header' => 'int-ll64.h', + 'Line' => '30', + 'Name' => '__u64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '7775' => { + 'BaseType' => '7780', + 'Name' => 'struct ib_uverbs_flow_action_esp_encap*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '7780' => { + 'Header' => 'ib_user_ioctl_verbs.h', + 'Line' => '138', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '7796' + }, + '1' => { + 'name' => 'unnamed1', + 'offset' => '8', + 'type' => '7834' + }, + '2' => { + 'name' => 'len', + 'offset' => '16', + 'type' => '7889' + }, + '3' => { + 'name' => 'type', + 'offset' => '18', + 'type' => '7889' + } + }, + 'Name' => 'struct ib_uverbs_flow_action_esp_encap', + 'Size' => '24', + 'Type' => 'Struct' + }, + '7796' => { + 'Header' => 'ib_user_ioctl_verbs.h', + 'Line' => '142', + 'Memb' => { + '0' => { + 'name' => 'val_ptr', + 'offset' => '0', + 'type' => '1452' + }, + '1' => { + 'name' => 'val_ptr_data_u64', + 'offset' => '0', + 'type' => '7764' + } + }, + 'Name' => 'ib_uverbs_flow_action_esp_encap::anon-union-ib_user_ioctl_verbs.h-142', + 'NameSpace' => 'ib_uverbs_flow_action_esp_encap', + 'Size' => '8', + 'Type' => 'Union' + }, + '7834' => { + 'Header' => 'ib_user_ioctl_verbs.h', + 'Line' => '143', + 'Memb' => { + '0' => { + 'name' => 'next_ptr', + 'offset' => '0', + 'type' => '7775' + }, + '1' => { + 'name' => 'next_ptr_data_u64', + 'offset' => '0', + 'type' => '7764' + } + }, + 'Name' => 'ib_uverbs_flow_action_esp_encap::anon-union-ib_user_ioctl_verbs.h-143', + 'NameSpace' => 'ib_uverbs_flow_action_esp_encap', + 'Size' => '8', + 'Type' => 'Union' + }, + '7889' => { + 'BaseType' => '5826', + 'Header' => 'int-ll64.h', + 'Line' => '23', + 'Name' => '__u16', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '85' => { + 'BaseType' => '96', + 'Header' => 'stdint.h', + 'Line' => '51', + 'Name' => 'uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '8534' => { + 'BaseType' => '8539', + 'Name' => 'struct ibv_rwq_ind_table*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '8539' => { + 'Header' => 'verbs.h', + 'Line' => '839', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3435' + }, + '1' => { + 'name' => 'ind_tbl_handle', + 'offset' => '8', + 'type' => '171' + }, + '2' => { + 'name' => 'ind_tbl_num', + 'offset' => '12', + 'type' => '171' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '16', + 'type' => '85' + } + }, + 'Name' => 'struct ibv_rwq_ind_table', + 'Size' => '24', + 'Type' => 'Struct' + }, + '8681' => { + 'BaseType' => '8686', + 'Name' => 'struct ibv_wq*', + 'Size' => '152', + 'Type' => 'Pointer' + }, + '8686' => { + 'Header' => 'verbs.h', + 'Line' => '1199', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3435' + }, + '1' => { + 'name' => 'wq_context', + 'offset' => '8', + 'type' => '1452' + }, + '10' => { + 'name' => 'cond', + 'offset' => '96', + 'type' => '5010' + }, + '11' => { + 'name' => 'events_completed', + 'offset' => '144', + 'type' => '85' + }, + '12' => { + 'name' => 'comp_mask', + 'offset' => '148', + 'type' => '85' + }, + '2' => { + 'name' => 'pd', + 'offset' => '16', + 'type' => '4341' + }, + '3' => { + 'name' => 'cq', + 'offset' => '24', + 'type' => '4592' + }, + '4' => { + 'name' => 'wq_num', + 'offset' => '32', + 'type' => '85' + }, + '5' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '85' + }, + '6' => { + 'name' => 'state', + 'offset' => '40', + 'type' => '955' + }, + '7' => { + 'name' => 'wq_type', + 'offset' => '44', + 'type' => '993' + }, + '8' => { + 'name' => 'post_recv', + 'offset' => '48', + 'type' => '8865' + }, + '9' => { + 'name' => 'mutex', + 'offset' => '56', + 'type' => '4778' + } + }, + 'Name' => 'struct ibv_wq', + 'Size' => '152', + 'Type' => 'Struct' + }, + '879' => { + 'BaseType' => '96', + 'Header' => 'ib_user_ioctl_verbs.h', + 'Line' => '97', + 'Memb' => { + '0' => { + 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_KEYMAT_AES_GCM', + 'value' => '0' + } + }, + 'Name' => 'enum ib_uverbs_flow_action_esp_keymat', + 'Size' => '4', + 'Type' => 'Enum' + }, + '8865' => { + 'Name' => 'int(*)(struct ibv_wq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '8681' + }, + '1' => { + 'type' => '5880' + }, + '2' => { + 'type' => '6001' + } + }, + 'Return' => '171', + 'Type' => 'FuncPtr' + }, + '898' => { + 'BaseType' => '96', + 'Header' => 'ib_user_ioctl_verbs.h', + 'Line' => '116', + 'Memb' => { + '0' => { + 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_REPLAY_NONE', + 'value' => '0' + }, + '1' => { + 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_REPLAY_BMP', + 'value' => '1' + } + }, + 'Name' => 'enum ib_uverbs_flow_action_esp_replay', + 'Size' => '4', + 'Type' => 'Enum' + }, + '9029' => { + 'BaseType' => '9034', + 'Name' => 'struct ibv_wq_init_attr*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '9034' => { + 'Header' => 'verbs.h', + 'Line' => '796', + 'Memb' => { + '0' => { + 'name' => 'wq_context', + 'offset' => '0', + 'type' => '1452' + }, + '1' => { + 'name' => 'wq_type', + 'offset' => '8', + 'type' => '993' + }, + '2' => { + 'name' => 'max_wr', + 'offset' => '12', + 'type' => '85' + }, + '3' => { + 'name' => 'max_sge', + 'offset' => '16', + 'type' => '85' + }, + '4' => { + 'name' => 'pd', + 'offset' => '24', + 'type' => '4341' + }, + '5' => { + 'name' => 'cq', + 'offset' => '32', + 'type' => '4592' + }, + '6' => { + 'name' => 'comp_mask', + 'offset' => '40', + 'type' => '85' + }, + '7' => { + 'name' => 'create_flags', + 'offset' => '44', + 'type' => '85' + } + }, + 'Name' => 'struct ibv_wq_init_attr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '9286' => { + 'BaseType' => '9291', + 'Name' => 'struct ibv_cq_ex*', + 'Size' => '288', + 'Type' => 'Pointer' + }, + '9291' => { + 'Header' => 'verbs.h', + 'Line' => '1430', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3435' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '4724' + }, + '10' => { + 'name' => 'status', + 'offset' => '132', + 'type' => '372' + }, + '11' => { + 'name' => 'wr_id', + 'offset' => '136', + 'type' => '5349' + }, + '12' => { + 'name' => 'start_poll', + 'offset' => '144', + 'type' => '9696' + }, + '13' => { + 'name' => 'next_poll', + 'offset' => '152', + 'type' => '9745' + }, + '14' => { + 'name' => 'end_poll', + 'offset' => '160', + 'type' => '9761' + }, + '15' => { + 'name' => 'read_opcode', + 'offset' => '168', + 'type' => '9773' + }, + '16' => { + 'name' => 'read_vendor_err', + 'offset' => '176', + 'type' => '9789' + }, + '17' => { + 'name' => 'read_byte_len', + 'offset' => '184', + 'type' => '9789' + }, + '18' => { + 'name' => 'read_imm_data', + 'offset' => '192', + 'type' => '9805' + }, + '19' => { + 'name' => 'read_qp_num', + 'offset' => '200', + 'type' => '9789' + }, + '2' => { + 'name' => 'cq_context', + 'offset' => '16', + 'type' => '1452' + }, + '20' => { + 'name' => 'read_src_qp', + 'offset' => '208', + 'type' => '9789' + }, + '21' => { + 'name' => 'read_wc_flags', + 'offset' => '216', + 'type' => '9821' + }, + '22' => { + 'name' => 'read_slid', + 'offset' => '224', + 'type' => '9789' + }, + '23' => { + 'name' => 'read_sl', + 'offset' => '232', + 'type' => '9837' + }, + '24' => { + 'name' => 'read_dlid_path_bits', + 'offset' => '240', + 'type' => '9837' + }, + '25' => { + 'name' => 'read_completion_ts', + 'offset' => '248', + 'type' => '9853' + }, + '26' => { + 'name' => 'read_cvlan', + 'offset' => '256', + 'type' => '9869' + }, + '27' => { + 'name' => 'read_flow_tag', + 'offset' => '264', + 'type' => '9789' + }, + '28' => { + 'name' => 'read_tm_info', + 'offset' => '272', + 'type' => '9885' + }, + '29' => { + 'name' => 'read_completion_wallclock_ns', + 'offset' => '280', + 'type' => '9853' + }, + '3' => { + 'name' => 'handle', + 'offset' => '24', + 'type' => '85' + }, + '4' => { + 'name' => 'cqe', + 'offset' => '28', + 'type' => '171' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '32', + 'type' => '4778' + }, + '6' => { + 'name' => 'cond', + 'offset' => '72', + 'type' => '5010' + }, + '7' => { + 'name' => 'comp_events_completed', + 'offset' => '120', + 'type' => '85' + }, + '8' => { + 'name' => 'async_events_completed', + 'offset' => '124', + 'type' => '85' + }, + '9' => { + 'name' => 'comp_mask', + 'offset' => '128', + 'type' => '85' + } + }, + 'Name' => 'struct ibv_cq_ex', + 'Size' => '288', + 'Type' => 'Struct' + }, + '955' => { + 'BaseType' => '96', + 'Header' => 'verbs.h', + 'Line' => '807', + 'Memb' => { + '0' => { + 'name' => 'IBV_WQS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WQS_RDY', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_WQS_ERR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WQS_UNKNOWN', + 'value' => '3' + } + }, + 'Name' => 'enum ibv_wq_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '96' => { + 'Name' => 'unsigned int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '9696' => { + 'Name' => 'int(*)(struct ibv_cq_ex*, struct ibv_poll_cq_attr*)', + 'Param' => { + '0' => { + 'type' => '9286' + }, + '1' => { + 'type' => '9717' + } + }, + 'Return' => '171', + 'Type' => 'FuncPtr' + }, + '9717' => { + 'BaseType' => '9722', + 'Name' => 'struct ibv_poll_cq_attr*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '9722' => { + 'Header' => 'verbs.h', + 'Line' => '1421', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '85' + } + }, + 'Name' => 'struct ibv_poll_cq_attr', + 'Size' => '4', + 'Type' => 'Struct' + }, + '9745' => { + 'Name' => 'int(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '9286' + } + }, + 'Return' => '171', + 'Type' => 'FuncPtr' + }, + '9761' => { + 'Name' => 'void(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '9286' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '9773' => { + 'Name' => 'enum ibv_wc_opcode(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '9286' + } + }, + 'Return' => '530', + 'Type' => 'FuncPtr' + }, + '9789' => { + 'Name' => 'uint32_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '9286' + } + }, + 'Return' => '85', + 'Type' => 'FuncPtr' + }, + '9805' => { + 'Name' => '__be32(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '9286' + } + }, + 'Return' => '5793', + 'Type' => 'FuncPtr' + }, + '9821' => { + 'Name' => 'unsigned int(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '9286' + } + }, + 'Return' => '96', + 'Type' => 'FuncPtr' + }, + '9837' => { + 'Name' => 'uint8_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '9286' + } + }, + 'Return' => '4212', + 'Type' => 'FuncPtr' + }, + '9853' => { + 'Name' => 'uint64_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '9286' + } + }, + 'Return' => '5349', + 'Type' => 'FuncPtr' + }, + '9869' => { + 'Name' => 'uint16_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '9286' + } + }, + 'Return' => '5815', + 'Type' => 'FuncPtr' + }, + '9885' => { + 'Name' => 'void(*)(struct ibv_cq_ex*, struct ibv_wc_tm_info*)', + 'Param' => { + '0' => { + 'type' => '9286' + }, + '1' => { + 'type' => '9902' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '9902' => { + 'BaseType' => '9907', + 'Name' => 'struct ibv_wc_tm_info*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '9907' => { + 'Header' => 'verbs.h', + 'Line' => '1425', + 'Memb' => { + '0' => { + 'name' => 'tag', + 'offset' => '0', + 'type' => '5349' + }, + '1' => { + 'name' => 'priv', + 'offset' => '8', + 'type' => '85' + } + }, + 'Name' => 'struct ibv_wc_tm_info', + 'Size' => '16', + 'Type' => 'Struct' + }, + '993' => { + 'BaseType' => '96', + 'Header' => 'verbs.h', + 'Line' => '779', + 'Memb' => { + '0' => { + 'name' => 'IBV_WQT_RQ', + 'value' => '0' + } + }, + 'Name' => 'enum ibv_wq_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '9943' => { + 'BaseType' => '9948', + 'Name' => 'struct ibv_cq_init_attr_ex*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '9948' => { + 'Header' => 'verbs.h', + 'Line' => '1954', + 'Memb' => { + '0' => { + 'name' => 'cqe', + 'offset' => '0', + 'type' => '85' + }, + '1' => { + 'name' => 'cq_context', + 'offset' => '8', + 'type' => '1452' + }, + '2' => { + 'name' => 'channel', + 'offset' => '16', + 'type' => '4724' + }, + '3' => { + 'name' => 'comp_vector', + 'offset' => '24', + 'type' => '85' + }, + '4' => { + 'name' => 'wc_flags', + 'offset' => '32', + 'type' => '5349' + }, + '5' => { + 'name' => 'comp_mask', + 'offset' => '40', + 'type' => '85' + }, + '6' => { + 'name' => 'flags', + 'offset' => '44', + 'type' => '85' + } + }, + 'Name' => 'struct ibv_cq_init_attr_ex', + 'Size' => '48', + 'Type' => 'Struct' + } + }, + 'UndefinedSymbols' => { + 'libmlx5.so.1.11.26.0' => { + '_ITM_deregisterTMCloneTable' => 0, + '_ITM_registerTMCloneTable' => 0, + '__assert_fail@GLIBC_2.2.5' => 0, + '__cxa_finalize@GLIBC_2.2.5' => 0, + '__errno_location@GLIBC_2.2.5' => 0, + '__gmon_start__' => 0, + '_verbs_init_and_alloc_context@IBVERBS_PRIVATE_25' => 0, + 'abort@GLIBC_2.2.5' => 0, + 'calloc@GLIBC_2.2.5' => 0, + 'close@GLIBC_2.2.5' => 0, + 'execute_ioctl@IBVERBS_PRIVATE_25' => 0, + 'fclose@GLIBC_2.2.5' => 0, + 'fgets_unlocked@GLIBC_2.2.5' => 0, + 'fopen@GLIBC_2.2.5' => 0, + 'fprintf@GLIBC_2.2.5' => 0, + 'free@GLIBC_2.2.5' => 0, + 'fwrite@GLIBC_2.2.5' => 0, + 'getenv@GLIBC_2.2.5' => 0, + 'gethostname@GLIBC_2.2.5' => 0, + 'ibv_alloc_pd@IBVERBS_1.1' => 0, + 'ibv_cmd_advise_mr@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_alloc_dm@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_alloc_mw@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_alloc_pd@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_attach_mcast@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_close_xrcd@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_ah@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_counters@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_cq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_cq_ex@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_flow@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_flow_action_esp@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_qp_ex2@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_qp_ex@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_rwq_ind_table@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_srq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_srq_ex@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_create_wq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_dealloc_mw@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_dealloc_pd@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_dereg_mr@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_ah@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_counters@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_cq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_flow@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_flow_action@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_qp@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_rwq_ind_table@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_srq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_destroy_wq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_detach_mcast@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_free_dm@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_get_context@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_modify_cq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_modify_flow_action_esp@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_modify_qp@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_modify_qp_ex@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_modify_srq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_modify_wq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_open_qp@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_open_xrcd@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_query_device@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_query_device_ex@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_query_port@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_query_qp@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_query_srq@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_read_counters@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_reg_dm_mr@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_reg_mr@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_rereg_mr@IBVERBS_PRIVATE_25' => 0, + 'ibv_cmd_resize_cq@IBVERBS_PRIVATE_25' => 0, + 'ibv_create_cq@IBVERBS_1.1' => 0, + 'ibv_dealloc_pd@IBVERBS_1.1' => 0, + 'ibv_dereg_mr@IBVERBS_1.1' => 0, + 'ibv_destroy_cq@IBVERBS_1.1' => 0, + 'ibv_dofork_range@IBVERBS_1.1' => 0, + 'ibv_dontfork_range@IBVERBS_1.1' => 0, + 'ibv_get_device_name@IBVERBS_1.1' => 0, + 'ibv_query_device@IBVERBS_1.1' => 0, + 'ibv_query_gid_type@IBVERBS_PRIVATE_25' => 0, + 'ibv_query_port@IBVERBS_1.1' => 0, + 'ibv_reg_mr@IBVERBS_1.1' => 0, + 'ibv_resolve_eth_l2_from_gid@IBVERBS_1.1' => 0, + 'malloc@GLIBC_2.2.5' => 0, + 'memcmp@GLIBC_2.2.5' => 0, + 'memcpy@GLIBC_2.14' => 0, + 'memset@GLIBC_2.2.5' => 0, + 'mmap@GLIBC_2.2.5' => 0, + 'munmap@GLIBC_2.2.5' => 0, + 'posix_memalign@GLIBC_2.2.5' => 0, + 'pthread_mutex_destroy@GLIBC_2.2.5' => 0, + 'pthread_mutex_init@GLIBC_2.2.5' => 0, + 'pthread_mutex_lock@GLIBC_2.2.5' => 0, + 'pthread_mutex_trylock@GLIBC_2.2.5' => 0, + 'pthread_mutex_unlock@GLIBC_2.2.5' => 0, + 'pthread_spin_destroy@GLIBC_2.2.5' => 0, + 'pthread_spin_init@GLIBC_2.2.5' => 0, + 'pthread_spin_lock@GLIBC_2.2.5' => 0, + 'pthread_spin_unlock@GLIBC_2.2.5' => 0, + 'rand@GLIBC_2.2.5' => 0, + 'read@GLIBC_2.2.5' => 0, + 'sched_getaffinity@GLIBC_2.3.4' => 0, + 'shmat@GLIBC_2.2.5' => 0, + 'shmctl@GLIBC_2.2.5' => 0, + 'shmdt@GLIBC_2.2.5' => 0, + 'shmget@GLIBC_2.2.5' => 0, + 'sleep@GLIBC_2.2.5' => 0, + 'snprintf@GLIBC_2.2.5' => 0, + 'sprintf@GLIBC_2.2.5' => 0, + 'stderr@GLIBC_2.2.5' => 0, + 'strcasecmp@GLIBC_2.2.5' => 0, + 'strchr@GLIBC_2.2.5' => 0, + 'strerror@GLIBC_2.2.5' => 0, + 'strncmp@GLIBC_2.2.5' => 0, + 'strncpy@GLIBC_2.2.5' => 0, + 'strrchr@GLIBC_2.2.5' => 0, + 'strtol@GLIBC_2.2.5' => 0, + 'strtoul@GLIBC_2.2.5' => 0, + 'sysconf@GLIBC_2.2.5' => 0, + 'verbs_allow_disassociate_destroy@IBVERBS_PRIVATE_25' => 0, + 'verbs_init_cq@IBVERBS_PRIVATE_25' => 0, + 'verbs_open_device@IBVERBS_PRIVATE_25' => 0, + 'verbs_register_driver_25@IBVERBS_PRIVATE_25' => 0, + 'verbs_set_ops@IBVERBS_PRIVATE_25' => 0, + 'verbs_uninit_context@IBVERBS_PRIVATE_25' => 0 + } + }, + 'WordSize' => '8' + }; diff --git a/ABI/rdmacm.dump b/ABI/rdmacm.dump new file mode 100644 index 00000000..0eca3fa4 --- /dev/null +++ b/ABI/rdmacm.dump @@ -0,0 +1,6043 @@ +$VAR1 = { + 'ABI_DUMPER_VERSION' => '0.99.12', + 'ABI_DUMP_VERSION' => '3.2', + 'Arch' => 'x86_64', + 'Compiler' => 'clang version 7.0.0 (tags/RELEASE_700/final)', + 'Headers' => { + 'in.h' => 1, + 'int-ll64.h' => 1, + 'poll.h' => 1, + 'pthreadtypes.h' => 1, + 'rdma_cma.h' => 1, + 'sa.h' => 1, + 'select.h' => 1, + 'sockaddr.h' => 1, + 'socket.h' => 1, + 'stddef.h' => 1, + 'stdint.h' => 1, + 'time.h' => 1, + 'types.h' => 1, + 'uio.h' => 1, + 'verbs.h' => 1 + }, + 'Language' => 'C', + 'LibraryName' => 'librdmacm.so.1.2.26.0', + 'LibraryVersion' => 'rdmacm', + 'NameSpaces' => {}, + 'Needed' => { + 'ld-linux-x86-64.so.2' => 1, + 'libc.so.6' => 1, + 'libibverbs.so.1' => 1, + 'libnl-3.so.200' => 1, + 'libpthread.so.0' => 1 + }, + 'Sources' => { + 'addrinfo.c' => 1, + 'cma.c' => 1, + 'rsocket.c' => 1 + }, + 'SymbolInfo' => { + '22719' => { + 'Line' => '624', + 'Param' => { + '0' => { + 'name' => 'channel', + 'type' => '14364' + }, + '1' => { + 'name' => 'id', + 'type' => '22793' + }, + '2' => { + 'name' => 'context', + 'type' => '2369' + }, + '3' => { + 'name' => 'ps', + 'type' => '4788' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '225', + 'ShortName' => 'rdma_create_id', + 'Source' => 'cma.c' + }, + '23367' => { + 'Line' => '402', + 'Param' => { + '0' => { + 'name' => 'num_devices', + 'type' => '40040' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '40025', + 'ShortName' => 'rdma_get_devices', + 'Source' => 'cma.c' + }, + '23473' => { + 'Line' => '423', + 'Param' => { + '0' => { + 'name' => 'list', + 'type' => '40025' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'rdma_free_devices', + 'Source' => 'cma.c' + }, + '23510' => { + 'Line' => '428', + 'Return' => '1', + 'ShortName' => 'rdma_create_event_channel', + 'Source' => 'cma.c' + }, + '23539' => { + 'Line' => '449', + 'Param' => { + '0' => { + 'name' => 'channel', + 'type' => '14364' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'rdma_destroy_event_channel', + 'Source' => 'cma.c' + }, + '24418' => { + 'Line' => '653', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '225', + 'ShortName' => 'rdma_destroy_id', + 'Source' => 'cma.c' + }, + '24634' => { + 'Line' => '1956', + 'Param' => { + '0' => { + 'name' => 'event', + 'type' => '15368' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '225', + 'ShortName' => 'rdma_ack_cm_event', + 'Source' => 'cma.c' + }, + '25662' => { + 'Line' => '890', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'addr', + 'type' => '1794' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '225', + 'ShortName' => 'rdma_bind_addr', + 'Source' => 'cma.c' + }, + '27651' => { + 'Line' => '2159', + 'Param' => { + '0' => { + 'name' => 'channel', + 'type' => '14364' + }, + '1' => { + 'name' => 'event', + 'type' => '40618' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '225', + 'ShortName' => 'rdma_get_cm_event', + 'Source' => 'cma.c' + }, + '29370' => { + 'Line' => '969', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'src_addr', + 'type' => '1794' + }, + '2' => { + 'name' => 'dst_addr', + 'type' => '1794' + }, + '3' => { + 'name' => 'timeout_ms', + 'type' => '225' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '225', + 'ShortName' => 'rdma_resolve_addr', + 'Source' => 'cma.c' + }, + '29959' => { + 'Line' => '2342', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'level', + 'type' => '225' + }, + '2' => { + 'name' => 'optname', + 'type' => '225' + }, + '3' => { + 'name' => 'optval', + 'type' => '2369' + }, + '4' => { + 'name' => 'optlen', + 'type' => '2370' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '225', + 'ShortName' => 'rdma_set_option', + 'Source' => 'cma.c' + }, + '30183' => { + 'Line' => '1031', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'timeout_ms', + 'type' => '225' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '225', + 'ShortName' => 'rdma_resolve_route', + 'Source' => 'cma.c' + }, + '30527' => { + 'Line' => '1061', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'qp_attr', + 'type' => '40956' + }, + '2' => { + 'name' => 'qp_attr_mask', + 'type' => '40040' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '225', + 'ShortName' => 'rdma_init_qp_attr', + 'Source' => 'cma.c' + }, + '30961' => { + 'Line' => '1314', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'attr', + 'type' => '22280' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '225', + 'ShortName' => 'rdma_create_srq_ex', + 'Source' => 'cma.c' + }, + '31376' => { + 'Line' => '1359', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'pd', + 'type' => '8700' + }, + '2' => { + 'name' => 'attr', + 'type' => '22575' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '225', + 'ShortName' => 'rdma_create_srq', + 'Source' => 'cma.c' + }, + '31483' => { + 'Line' => '1378', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'rdma_destroy_srq', + 'Source' => 'cma.c' + }, + '31860' => { + 'Line' => '1385', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'attr', + 'type' => '21943' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '225', + 'ShortName' => 'rdma_create_qp_ex', + 'Source' => 'cma.c' + }, + '32573' => { + 'Line' => '1449', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'pd', + 'type' => '8700' + }, + '2' => { + 'name' => 'qp_init_attr', + 'type' => '15978' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '1', + 'ShortName' => 'rdma_create_qp', + 'Source' => 'cma.c' + }, + '32638' => { + 'Line' => '1463', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'rdma_destroy_qp', + 'Source' => 'cma.c' + }, + '32775' => { + 'Line' => '1527', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'conn_param', + 'type' => '27166' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '225', + 'ShortName' => 'rdma_connect', + 'Source' => 'cma.c' + }, + '33130' => { + 'Line' => '1574', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'backlog', + 'type' => '225' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '225', + 'ShortName' => 'rdma_listen', + 'Source' => 'cma.c' + }, + '33504' => { + 'Line' => '1595', + 'Param' => { + '0' => { + 'name' => 'listen', + 'type' => '15471' + }, + '1' => { + 'name' => 'id', + 'type' => '22793' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '225', + 'ShortName' => 'rdma_get_request', + 'Source' => 'cma.c' + }, + '33852' => { + 'Line' => '1642', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'conn_param', + 'type' => '27166' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '225', + 'ShortName' => 'rdma_accept', + 'Source' => 'cma.c' + }, + '34651' => { + 'Line' => '1700', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'private_data', + 'type' => '15593' + }, + '2' => { + 'name' => 'private_data_len', + 'type' => '1152' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '225', + 'ShortName' => 'rdma_reject', + 'Source' => 'cma.c' + }, + '34797' => { + 'Line' => '1723', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'event', + 'type' => '12637' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '225', + 'ShortName' => 'rdma_notify', + 'Source' => 'cma.c' + }, + '35126' => { + 'Line' => '1756', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '225', + 'ShortName' => 'rdma_disconnect', + 'Source' => 'cma.c' + }, + '35240' => { + 'Line' => '1851', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'mc_join_attr', + 'type' => '41947' + }, + '2' => { + 'name' => 'context', + 'type' => '2369' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '225', + 'ShortName' => 'rdma_join_multicast_ex', + 'Source' => 'cma.c' + }, + '35749' => { + 'Line' => '1875', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'addr', + 'type' => '1794' + }, + '2' => { + 'name' => 'context', + 'type' => '2369' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '225', + 'ShortName' => 'rdma_join_multicast', + 'Source' => 'cma.c' + }, + '35893' => { + 'Line' => '1888', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'addr', + 'type' => '1794' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '225', + 'ShortName' => 'rdma_leave_multicast', + 'Source' => 'cma.c' + }, + '36204' => { + 'Line' => '2147', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '225', + 'ShortName' => 'rdma_establish', + 'Source' => 'cma.c' + }, + '36543' => { + 'Line' => '2302', + 'Param' => { + '0' => { + 'name' => 'event', + 'type' => '11975' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '5875', + 'ShortName' => 'rdma_event_str', + 'Source' => 'cma.c' + }, + '36699' => { + 'Line' => '2364', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + }, + '1' => { + 'name' => 'channel', + 'type' => '14364' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '225', + 'ShortName' => 'rdma_migrate_id', + 'Source' => 'cma.c' + }, + '37094' => { + 'Line' => '2448', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '22793' + }, + '1' => { + 'name' => 'res', + 'type' => '2790' + }, + '2' => { + 'name' => 'pd', + 'type' => '8700' + }, + '3' => { + 'name' => 'qp_init_attr', + 'type' => '15978' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '225', + 'ShortName' => 'rdma_create_ep', + 'Source' => 'cma.c' + }, + '37781' => { + 'Line' => '2512', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'rdma_destroy_ep', + 'Source' => 'cma.c' + }, + '38195' => { + 'Line' => '2561', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1170', + 'ShortName' => 'rdma_get_src_port', + 'Source' => 'cma.c' + }, + '38322' => { + 'Line' => '2566', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '15471' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1170', + 'ShortName' => 'rdma_get_dst_port', + 'Source' => 'cma.c' + }, + '60365' => { + 'Line' => '1174', + 'Param' => { + '0' => { + 'name' => 'domain', + 'type' => '225' + }, + '1' => { + 'name' => 'type', + 'type' => '225' + }, + '2' => { + 'name' => 'protocol', + 'type' => '225' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '225', + 'ShortName' => 'rsocket', + 'Source' => 'rsocket.c' + }, + '62129' => { + 'Line' => '1216', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'addr', + 'type' => '59521' + }, + '2' => { + 'name' => 'addrlen', + 'type' => '2459' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '225', + 'ShortName' => 'rbind', + 'Source' => 'rsocket.c' + }, + '62581' => { + 'Line' => '1239', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'backlog', + 'type' => '225' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '225', + 'ShortName' => 'rlisten', + 'Source' => 'rsocket.c' + }, + '63151' => { + 'Line' => '3561', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'addr', + 'type' => '1794' + }, + '2' => { + 'name' => 'addrlen', + 'type' => '6107' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '225', + 'ShortName' => 'rgetpeername', + 'Source' => 'rsocket.c' + }, + '63428' => { + 'Line' => '1330', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'addr', + 'type' => '1794' + }, + '2' => { + 'name' => 'addrlen', + 'type' => '6107' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '225', + 'ShortName' => 'raccept', + 'Source' => 'rsocket.c' + }, + '64405' => { + 'Line' => '1685', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'addr', + 'type' => '59521' + }, + '2' => { + 'name' => 'addrlen', + 'type' => '2459' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '225', + 'ShortName' => 'rconnect', + 'Source' => 'rsocket.c' + }, + '6500' => { + 'Line' => '237', + 'Param' => { + '0' => { + 'name' => 'node', + 'type' => '5875' + }, + '1' => { + 'name' => 'service', + 'type' => '5875' + }, + '2' => { + 'name' => 'hints', + 'type' => '4378' + }, + '3' => { + 'name' => 'res', + 'type' => '3212' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '225', + 'ShortName' => 'rdma_getaddrinfo', + 'Source' => 'addrinfo.c' + }, + '68594' => { + 'Line' => '2486', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'buf', + 'type' => '2369' + }, + '2' => { + 'name' => 'len', + 'type' => '2370' + }, + '3' => { + 'name' => 'flags', + 'type' => '225' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '62834', + 'ShortName' => 'rrecv', + 'Source' => 'rsocket.c' + }, + '70069' => { + 'Line' => '2557', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'buf', + 'type' => '2369' + }, + '2' => { + 'name' => 'len', + 'type' => '2370' + }, + '3' => { + 'name' => 'flags', + 'type' => '225' + }, + '4' => { + 'name' => 'src_addr', + 'type' => '1794' + }, + '5' => { + 'name' => 'addrlen', + 'type' => '6107' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9' + }, + 'Return' => '62834', + 'ShortName' => 'rrecvfrom', + 'Source' => 'rsocket.c' + }, + '70802' => { + 'Line' => '2589', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'msg', + 'type' => '94284' + }, + '2' => { + 'name' => 'flags', + 'type' => '225' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '62834', + 'ShortName' => 'rrecvmsg', + 'Source' => 'rsocket.c' + }, + '70959' => { + 'Line' => '2597', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'buf', + 'type' => '2369' + }, + '2' => { + 'name' => 'count', + 'type' => '2370' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '62834', + 'ShortName' => 'rread', + 'Source' => 'rsocket.c' + }, + '71028' => { + 'Line' => '2602', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'iov', + 'type' => '70759' + }, + '2' => { + 'name' => 'iovcnt', + 'type' => '225' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '62834', + 'ShortName' => 'rreadv', + 'Source' => 'rsocket.c' + }, + '71296' => { + 'Line' => '2760', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'buf', + 'type' => '15593' + }, + '2' => { + 'name' => 'len', + 'type' => '2370' + }, + '3' => { + 'name' => 'flags', + 'type' => '225' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx' + }, + 'Return' => '62834', + 'ShortName' => 'rsend', + 'Source' => 'rsocket.c' + }, + '7404' => { + 'Line' => '291', + 'Param' => { + '0' => { + 'name' => 'res', + 'type' => '2790' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '1', + 'ShortName' => 'rdma_freeaddrinfo', + 'Source' => 'addrinfo.c' + }, + '74599' => { + 'Line' => '2849', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'buf', + 'type' => '15593' + }, + '2' => { + 'name' => 'len', + 'type' => '2370' + }, + '3' => { + 'name' => 'flags', + 'type' => '225' + }, + '4' => { + 'name' => 'dest_addr', + 'type' => '59521' + }, + '5' => { + 'name' => 'addrlen', + 'type' => '2459' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9' + }, + 'Return' => '62834', + 'ShortName' => 'rsendto', + 'Source' => 'rsocket.c' + }, + '75028' => { + 'Line' => '2990', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'msg', + 'type' => '94289' + }, + '2' => { + 'name' => 'flags', + 'type' => '225' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '62834', + 'ShortName' => 'rsendmsg', + 'Source' => 'rsocket.c' + }, + '76130' => { + 'Line' => '2998', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'buf', + 'type' => '15593' + }, + '2' => { + 'name' => 'count', + 'type' => '2370' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '62834', + 'ShortName' => 'rwrite', + 'Source' => 'rsocket.c' + }, + '76199' => { + 'Line' => '3003', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'iov', + 'type' => '70759' + }, + '2' => { + 'name' => 'iovcnt', + 'type' => '225' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '62834', + 'ShortName' => 'rwritev', + 'Source' => 'rsocket.c' + }, + '76807' => { + 'Line' => '3306', + 'Param' => { + '0' => { + 'name' => 'fds', + 'type' => '51230' + }, + '1' => { + 'name' => 'nfds', + 'type' => '51527' + }, + '2' => { + 'name' => 'timeout', + 'type' => '225' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '225', + 'ShortName' => 'rpoll', + 'Source' => 'rsocket.c' + }, + '78180' => { + 'Line' => '3421', + 'Param' => { + '0' => { + 'name' => 'nfds', + 'type' => '225' + }, + '1' => { + 'name' => 'readfds', + 'type' => '77962' + }, + '2' => { + 'name' => 'writefds', + 'type' => '77962' + }, + '3' => { + 'name' => 'exceptfds', + 'type' => '77962' + }, + '4' => { + 'name' => 'timeout', + 'type' => '78033' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '225', + 'ShortName' => 'rselect', + 'Source' => 'rsocket.c' + }, + '78798' => { + 'Line' => '3452', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'how', + 'type' => '225' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '225', + 'ShortName' => 'rshutdown', + 'Source' => 'rsocket.c' + }, + '80323' => { + 'Line' => '3523', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + } + }, + 'Reg' => { + '0' => 'rdi' + }, + 'Return' => '225', + 'ShortName' => 'rclose', + 'Source' => 'rsocket.c' + }, + '80489' => { + 'Line' => '3576', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'addr', + 'type' => '1794' + }, + '2' => { + 'name' => 'addrlen', + 'type' => '6107' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '225', + 'ShortName' => 'rgetsockname', + 'Source' => 'rsocket.c' + }, + '80944' => { + 'Line' => '3618', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'level', + 'type' => '225' + }, + '2' => { + 'name' => 'optname', + 'type' => '225' + }, + '3' => { + 'name' => 'optval', + 'type' => '15593' + }, + '4' => { + 'name' => 'optlen', + 'type' => '2459' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '225', + 'ShortName' => 'rsetsockopt', + 'Source' => 'rsocket.c' + }, + '82067' => { + 'Line' => '3799', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'level', + 'type' => '225' + }, + '2' => { + 'name' => 'optname', + 'type' => '225' + }, + '3' => { + 'name' => 'optval', + 'type' => '2369' + }, + '4' => { + 'name' => 'optlen', + 'type' => '6107' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '225', + 'ShortName' => 'rgetsockopt', + 'Source' => 'rsocket.c' + }, + '82552' => { + 'Line' => '3944', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'cmd', + 'type' => '225' + }, + '2' => { + 'type' => '-1' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi' + }, + 'Return' => '225', + 'ShortName' => 'rfcntl', + 'Source' => 'rsocket.c' + }, + '82845' => { + 'Line' => '4001', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'buf', + 'type' => '2369' + }, + '2' => { + 'name' => 'len', + 'type' => '2370' + }, + '3' => { + 'name' => 'prot', + 'type' => '225' + }, + '4' => { + 'name' => 'flags', + 'type' => '225' + }, + '5' => { + 'name' => 'offset', + 'type' => '83806' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8', + '5' => 'r9' + }, + 'Return' => '83806', + 'ShortName' => 'riomap', + 'Source' => 'rsocket.c' + }, + '83412' => { + 'Line' => '4050', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'buf', + 'type' => '2369' + }, + '2' => { + 'name' => 'len', + 'type' => '2370' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx' + }, + 'Return' => '225', + 'ShortName' => 'riounmap', + 'Source' => 'rsocket.c' + }, + '84049' => { + 'Line' => '4097', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '225' + }, + '1' => { + 'name' => 'buf', + 'type' => '15593' + }, + '2' => { + 'name' => 'count', + 'type' => '2370' + }, + '3' => { + 'name' => 'offset', + 'type' => '83806' + }, + '4' => { + 'name' => 'flags', + 'type' => '225' + } + }, + 'Reg' => { + '0' => 'rdi', + '1' => 'rsi', + '2' => 'rdx', + '3' => 'rcx', + '4' => 'r8' + }, + 'Return' => '2370', + 'ShortName' => 'riowrite', + 'Source' => 'rsocket.c' + } + }, + 'SymbolVersion' => { + '_ITM_deregisterTMCloneTable' => 'write@@GLIBC_2.2.5', + '_ITM_registerTMCloneTable' => 'write@@GLIBC_2.2.5', + '__gmon_start__' => 'write@@GLIBC_2.2.5', + 'nl_connect' => 'write@@GLIBC_2.2.5', + 'nl_recvmsgs_default' => 'write@@GLIBC_2.2.5', + 'nl_send_auto' => 'write@@GLIBC_2.2.5', + 'nl_send_simple' => 'write@@GLIBC_2.2.5', + 'nl_socket_alloc' => 'write@@GLIBC_2.2.5', + 'nl_socket_disable_auto_ack' => 'write@@GLIBC_2.2.5', + 'nl_socket_disable_msg_peek' => 'write@@GLIBC_2.2.5', + 'nl_socket_free' => 'write@@GLIBC_2.2.5', + 'nl_socket_modify_cb' => 'write@@GLIBC_2.2.5', + 'nl_socket_modify_err_cb' => 'write@@GLIBC_2.2.5', + 'nla_get_string' => 'write@@GLIBC_2.2.5', + 'nla_get_u64' => 'write@@GLIBC_2.2.5', + 'nla_put' => 'write@@GLIBC_2.2.5', + 'nlmsg_alloc_simple' => 'write@@GLIBC_2.2.5', + 'nlmsg_free' => 'write@@GLIBC_2.2.5', + 'nlmsg_hdr' => 'write@@GLIBC_2.2.5', + 'nlmsg_parse' => 'write@@GLIBC_2.2.5', + 'raccept' => 'raccept@@RDMACM_1.0', + 'rbind' => 'rbind@@RDMACM_1.0', + 'rclose' => 'rclose@@RDMACM_1.0', + 'rconnect' => 'rconnect@@RDMACM_1.0', + 'rdma_accept' => 'rdma_accept@@RDMACM_1.0', + 'rdma_ack_cm_event' => 'rdma_ack_cm_event@@RDMACM_1.0', + 'rdma_bind_addr' => 'rdma_bind_addr@@RDMACM_1.0', + 'rdma_connect' => 'rdma_connect@@RDMACM_1.0', + 'rdma_create_ep' => 'rdma_create_ep@@RDMACM_1.0', + 'rdma_create_event_channel' => 'rdma_create_event_channel@@RDMACM_1.0', + 'rdma_create_id' => 'rdma_create_id@@RDMACM_1.0', + 'rdma_create_qp' => 'rdma_create_qp@@RDMACM_1.0', + 'rdma_create_qp_ex' => 'rdma_create_qp_ex@@RDMACM_1.0', + 'rdma_create_srq' => 'rdma_create_srq@@RDMACM_1.0', + 'rdma_create_srq_ex' => 'rdma_create_srq_ex@@RDMACM_1.0', + 'rdma_destroy_ep' => 'rdma_destroy_ep@@RDMACM_1.0', + 'rdma_destroy_event_channel' => 'rdma_destroy_event_channel@@RDMACM_1.0', + 'rdma_destroy_id' => 'rdma_destroy_id@@RDMACM_1.0', + 'rdma_destroy_qp' => 'rdma_destroy_qp@@RDMACM_1.0', + 'rdma_destroy_srq' => 'rdma_destroy_srq@@RDMACM_1.0', + 'rdma_disconnect' => 'rdma_disconnect@@RDMACM_1.0', + 'rdma_establish' => 'rdma_establish@@RDMACM_1.2', + 'rdma_event_str' => 'rdma_event_str@@RDMACM_1.0', + 'rdma_free_devices' => 'rdma_free_devices@@RDMACM_1.0', + 'rdma_freeaddrinfo' => 'rdma_freeaddrinfo@@RDMACM_1.0', + 'rdma_get_cm_event' => 'rdma_get_cm_event@@RDMACM_1.0', + 'rdma_get_devices' => 'rdma_get_devices@@RDMACM_1.0', + 'rdma_get_dst_port' => 'rdma_get_dst_port@@RDMACM_1.0', + 'rdma_get_request' => 'rdma_get_request@@RDMACM_1.0', + 'rdma_get_src_port' => 'rdma_get_src_port@@RDMACM_1.0', + 'rdma_getaddrinfo' => 'rdma_getaddrinfo@@RDMACM_1.0', + 'rdma_init_qp_attr' => 'rdma_init_qp_attr@@RDMACM_1.2', + 'rdma_join_multicast' => 'rdma_join_multicast@@RDMACM_1.0', + 'rdma_join_multicast_ex' => 'rdma_join_multicast_ex@@RDMACM_1.1', + 'rdma_leave_multicast' => 'rdma_leave_multicast@@RDMACM_1.0', + 'rdma_listen' => 'rdma_listen@@RDMACM_1.0', + 'rdma_migrate_id' => 'rdma_migrate_id@@RDMACM_1.0', + 'rdma_notify' => 'rdma_notify@@RDMACM_1.0', + 'rdma_reject' => 'rdma_reject@@RDMACM_1.0', + 'rdma_resolve_addr' => 'rdma_resolve_addr@@RDMACM_1.0', + 'rdma_resolve_route' => 'rdma_resolve_route@@RDMACM_1.0', + 'rdma_set_option' => 'rdma_set_option@@RDMACM_1.0', + 'rfcntl' => 'rfcntl@@RDMACM_1.0', + 'rgetpeername' => 'rgetpeername@@RDMACM_1.0', + 'rgetsockname' => 'rgetsockname@@RDMACM_1.0', + 'rgetsockopt' => 'rgetsockopt@@RDMACM_1.0', + 'riomap' => 'riomap@@RDMACM_1.0', + 'riounmap' => 'riounmap@@RDMACM_1.0', + 'riowrite' => 'riowrite@@RDMACM_1.0', + 'rlisten' => 'rlisten@@RDMACM_1.0', + 'rpoll' => 'rpoll@@RDMACM_1.0', + 'rread' => 'rread@@RDMACM_1.0', + 'rreadv' => 'rreadv@@RDMACM_1.0', + 'rrecv' => 'rrecv@@RDMACM_1.0', + 'rrecvfrom' => 'rrecvfrom@@RDMACM_1.0', + 'rrecvmsg' => 'rrecvmsg@@RDMACM_1.0', + 'rs_fds_alloc.rfds' => 'write@@GLIBC_2.2.5', + 'rselect' => 'rselect@@RDMACM_1.0', + 'rsend' => 'rsend@@RDMACM_1.0', + 'rsendmsg' => 'rsendmsg@@RDMACM_1.0', + 'rsendto' => 'rsendto@@RDMACM_1.0', + 'rsetsockopt' => 'rsetsockopt@@RDMACM_1.0', + 'rshutdown' => 'rshutdown@@RDMACM_1.0', + 'rsocket' => 'rsocket@@RDMACM_1.0', + 'rwrite' => 'rwrite@@RDMACM_1.0', + 'rwritev' => 'rwritev@@RDMACM_1.0' + }, + 'Symbols' => { + 'librdmacm.so.1.2.26.0' => { + 'raccept@@RDMACM_1.0' => 1, + 'rbind@@RDMACM_1.0' => 1, + 'rclose@@RDMACM_1.0' => 1, + 'rconnect@@RDMACM_1.0' => 1, + 'rdma_accept@@RDMACM_1.0' => 1, + 'rdma_ack_cm_event@@RDMACM_1.0' => 1, + 'rdma_bind_addr@@RDMACM_1.0' => 1, + 'rdma_connect@@RDMACM_1.0' => 1, + 'rdma_create_ep@@RDMACM_1.0' => 1, + 'rdma_create_event_channel@@RDMACM_1.0' => 1, + 'rdma_create_id@@RDMACM_1.0' => 1, + 'rdma_create_qp@@RDMACM_1.0' => 1, + 'rdma_create_qp_ex@@RDMACM_1.0' => 1, + 'rdma_create_srq@@RDMACM_1.0' => 1, + 'rdma_create_srq_ex@@RDMACM_1.0' => 1, + 'rdma_destroy_ep@@RDMACM_1.0' => 1, + 'rdma_destroy_event_channel@@RDMACM_1.0' => 1, + 'rdma_destroy_id@@RDMACM_1.0' => 1, + 'rdma_destroy_qp@@RDMACM_1.0' => 1, + 'rdma_destroy_srq@@RDMACM_1.0' => 1, + 'rdma_disconnect@@RDMACM_1.0' => 1, + 'rdma_establish@@RDMACM_1.2' => 1, + 'rdma_event_str@@RDMACM_1.0' => 1, + 'rdma_free_devices@@RDMACM_1.0' => 1, + 'rdma_freeaddrinfo@@RDMACM_1.0' => 1, + 'rdma_get_cm_event@@RDMACM_1.0' => 1, + 'rdma_get_devices@@RDMACM_1.0' => 1, + 'rdma_get_dst_port@@RDMACM_1.0' => 1, + 'rdma_get_request@@RDMACM_1.0' => 1, + 'rdma_get_src_port@@RDMACM_1.0' => 1, + 'rdma_getaddrinfo@@RDMACM_1.0' => 1, + 'rdma_init_qp_attr@@RDMACM_1.2' => 1, + 'rdma_join_multicast@@RDMACM_1.0' => 1, + 'rdma_join_multicast_ex@@RDMACM_1.1' => 1, + 'rdma_leave_multicast@@RDMACM_1.0' => 1, + 'rdma_listen@@RDMACM_1.0' => 1, + 'rdma_migrate_id@@RDMACM_1.0' => 1, + 'rdma_notify@@RDMACM_1.0' => 1, + 'rdma_reject@@RDMACM_1.0' => 1, + 'rdma_resolve_addr@@RDMACM_1.0' => 1, + 'rdma_resolve_route@@RDMACM_1.0' => 1, + 'rdma_set_option@@RDMACM_1.0' => 1, + 'rfcntl@@RDMACM_1.0' => 1, + 'rgetpeername@@RDMACM_1.0' => 1, + 'rgetsockname@@RDMACM_1.0' => 1, + 'rgetsockopt@@RDMACM_1.0' => 1, + 'riomap@@RDMACM_1.0' => 1, + 'riounmap@@RDMACM_1.0' => 1, + 'riowrite@@RDMACM_1.0' => 1, + 'rlisten@@RDMACM_1.0' => 1, + 'rpoll@@RDMACM_1.0' => 1, + 'rread@@RDMACM_1.0' => 1, + 'rreadv@@RDMACM_1.0' => 1, + 'rrecv@@RDMACM_1.0' => 1, + 'rrecvfrom@@RDMACM_1.0' => 1, + 'rrecvmsg@@RDMACM_1.0' => 1, + 'rselect@@RDMACM_1.0' => 1, + 'rsend@@RDMACM_1.0' => 1, + 'rsendmsg@@RDMACM_1.0' => 1, + 'rsendto@@RDMACM_1.0' => 1, + 'rsetsockopt@@RDMACM_1.0' => 1, + 'rshutdown@@RDMACM_1.0' => 1, + 'rsocket@@RDMACM_1.0' => 1, + 'rwrite@@RDMACM_1.0' => 1, + 'rwritev@@RDMACM_1.0' => 1 + } + }, + 'Target' => 'unix', + 'TypeInfo' => { + '-1' => { + 'Name' => '...', + 'Type' => 'Intrinsic' + }, + '1' => { + 'Name' => 'void', + 'Type' => 'Intrinsic' + }, + '10068' => { + 'Name' => 'int(*)(struct ibv_mw*)', + 'Param' => { + '0' => { + 'type' => '8620' + } + }, + 'Return' => '225', + 'Type' => 'FuncPtr' + }, + '10084' => { + 'Name' => 'int(*)(struct ibv_cq*, int, struct ibv_wc*)', + 'Param' => { + '0' => { + 'type' => '8995' + }, + '1' => { + 'type' => '225' + }, + '2' => { + 'type' => '10110' + } + }, + 'Return' => '225', + 'Type' => 'FuncPtr' + }, + '10110' => { + 'BaseType' => '10115', + 'Name' => 'struct ibv_wc*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '10115' => { + 'Header' => 'verbs.h', + 'Line' => '553', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '3142' + }, + '1' => { + 'name' => 'status', + 'offset' => '8', + 'type' => '10322' + }, + '10' => { + 'name' => 'slid', + 'offset' => '42', + 'type' => '253' + }, + '11' => { + 'name' => 'sl', + 'offset' => '44', + 'type' => '1152' + }, + '12' => { + 'name' => 'dlid_path_bits', + 'offset' => '45', + 'type' => '1152' + }, + '2' => { + 'name' => 'opcode', + 'offset' => '12', + 'type' => '10480' + }, + '3' => { + 'name' => 'vendor_err', + 'offset' => '16', + 'type' => '844' + }, + '4' => { + 'name' => 'byte_len', + 'offset' => '20', + 'type' => '844' + }, + '5' => { + 'name' => 'unnamed0', + 'offset' => '24', + 'type' => '10198' + }, + '6' => { + 'name' => 'qp_num', + 'offset' => '28', + 'type' => '844' + }, + '7' => { + 'name' => 'src_qp', + 'offset' => '32', + 'type' => '844' + }, + '8' => { + 'name' => 'wc_flags', + 'offset' => '36', + 'type' => '449' + }, + '9' => { + 'name' => 'pkey_index', + 'offset' => '40', + 'type' => '253' + } + }, + 'Name' => 'struct ibv_wc', + 'Size' => '48', + 'Type' => 'Struct' + }, + '10198' => { + 'Header' => 'verbs.h', + 'Line' => '562', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '1192' + }, + '1' => { + 'name' => 'invalidated_rkey', + 'offset' => '0', + 'type' => '844' + } + }, + 'Name' => 'ibv_wc::anon-union-verbs.h-562', + 'NameSpace' => 'ibv_wc', + 'Size' => '4', + 'Type' => 'Union' + }, + '10322' => { + 'BaseType' => '449', + 'Header' => 'verbs.h', + 'Line' => '453', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SUCCESS', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_LOC_LEN_ERR', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_REM_ACCESS_ERR', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WC_REM_OP_ERR', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_WC_RETRY_EXC_ERR', + 'value' => '12' + }, + '13' => { + 'name' => 'IBV_WC_RNR_RETRY_EXC_ERR', + 'value' => '13' + }, + '14' => { + 'name' => 'IBV_WC_LOC_RDD_VIOL_ERR', + 'value' => '14' + }, + '15' => { + 'name' => 'IBV_WC_REM_INV_RD_REQ_ERR', + 'value' => '15' + }, + '16' => { + 'name' => 'IBV_WC_REM_ABORT_ERR', + 'value' => '16' + }, + '17' => { + 'name' => 'IBV_WC_INV_EECN_ERR', + 'value' => '17' + }, + '18' => { + 'name' => 'IBV_WC_INV_EEC_STATE_ERR', + 'value' => '18' + }, + '19' => { + 'name' => 'IBV_WC_FATAL_ERR', + 'value' => '19' + }, + '2' => { + 'name' => 'IBV_WC_LOC_QP_OP_ERR', + 'value' => '2' + }, + '20' => { + 'name' => 'IBV_WC_RESP_TIMEOUT_ERR', + 'value' => '20' + }, + '21' => { + 'name' => 'IBV_WC_GENERAL_ERR', + 'value' => '21' + }, + '22' => { + 'name' => 'IBV_WC_TM_ERR', + 'value' => '22' + }, + '23' => { + 'name' => 'IBV_WC_TM_RNDV_INCOMPLETE', + 'value' => '23' + }, + '3' => { + 'name' => 'IBV_WC_LOC_EEC_OP_ERR', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_LOC_PROT_ERR', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_WR_FLUSH_ERR', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_MW_BIND_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_BAD_RESP_ERR', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_LOC_ACCESS_ERR', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WC_REM_INV_REQ_ERR', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wc_status', + 'Size' => '4', + 'Type' => 'Enum' + }, + '10480' => { + 'BaseType' => '449', + 'Header' => 'verbs.h', + 'Line' => '481', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SEND', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_RDMA_WRITE', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_TM_ADD', + 'value' => '130' + }, + '11' => { + 'name' => 'IBV_WC_TM_DEL', + 'value' => '131' + }, + '12' => { + 'name' => 'IBV_WC_TM_SYNC', + 'value' => '132' + }, + '13' => { + 'name' => 'IBV_WC_TM_RECV', + 'value' => '133' + }, + '14' => { + 'name' => 'IBV_WC_TM_NO_TAG', + 'value' => '134' + }, + '15' => { + 'name' => 'IBV_WC_DRIVER1', + 'value' => '135' + }, + '2' => { + 'name' => 'IBV_WC_RDMA_READ', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WC_COMP_SWAP', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_FETCH_ADD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_BIND_MW', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_LOCAL_INV', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_TSO', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_RECV', + 'value' => '128' + }, + '9' => { + 'name' => 'IBV_WC_RECV_RDMA_WITH_IMM', + 'value' => '129' + } + }, + 'Name' => 'enum ibv_wc_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '1049' => { + 'BaseType' => '1060', + 'Header' => 'types.h', + 'Line' => '32', + 'Name' => '__be64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '1060' => { + 'BaseType' => '1071', + 'Header' => 'int-ll64.h', + 'Line' => '30', + 'Name' => '__u64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '10638' => { + 'Name' => 'int(*)(struct ibv_cq*, int)', + 'Param' => { + '0' => { + 'type' => '8995' + }, + '1' => { + 'type' => '225' + } + }, + 'Return' => '225', + 'Type' => 'FuncPtr' + }, + '10659' => { + 'Name' => 'int(*)(struct ibv_srq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '9592' + }, + '1' => { + 'type' => '10685' + }, + '2' => { + 'type' => '10806' + } + }, + 'Return' => '225', + 'Type' => 'FuncPtr' + }, + '10685' => { + 'BaseType' => '10690', + 'Name' => 'struct ibv_recv_wr*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '10690' => { + 'Header' => 'verbs.h', + 'Line' => '1135', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '3142' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '10685' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '16', + 'type' => '10752' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '24', + 'type' => '225' + } + }, + 'Name' => 'struct ibv_recv_wr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '1071' => { + 'Name' => 'unsigned long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '10752' => { + 'BaseType' => '10757', + 'Name' => 'struct ibv_sge*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '10757' => { + 'Header' => 'verbs.h', + 'Line' => '1079', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '3142' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '844' + }, + '2' => { + 'name' => 'lkey', + 'offset' => '12', + 'type' => '844' + } + }, + 'Name' => 'struct ibv_sge', + 'Size' => '16', + 'Type' => 'Struct' + }, + '1078' => { + 'Header' => 'verbs.h', + 'Line' => '63', + 'Memb' => { + '0' => { + 'name' => 'raw', + 'offset' => '0', + 'type' => '1140' + }, + '1' => { + 'name' => 'global', + 'offset' => '0', + 'type' => '1110' + } + }, + 'Name' => 'union ibv_gid', + 'Size' => '16', + 'Type' => 'Union' + }, + '10806' => { + 'BaseType' => '10685', + 'Name' => 'struct ibv_recv_wr**', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '10811' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_send_wr*, struct ibv_send_wr**)', + 'Param' => { + '0' => { + 'type' => '8811' + }, + '1' => { + 'type' => '10837' + }, + '2' => { + 'type' => '11486' + } + }, + 'Return' => '225', + 'Type' => 'FuncPtr' + }, + '10837' => { + 'BaseType' => '10842', + 'Name' => 'struct ibv_send_wr*', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '10842' => { + 'Header' => 'verbs.h', + 'Line' => '1085', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '3142' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '10837' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '16', + 'type' => '10752' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '24', + 'type' => '225' + }, + '4' => { + 'name' => 'opcode', + 'offset' => '28', + 'type' => '11346' + }, + '5' => { + 'name' => 'send_flags', + 'offset' => '32', + 'type' => '449' + }, + '6' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '10938' + }, + '7' => { + 'name' => 'wr', + 'offset' => '40', + 'type' => '10983' + }, + '8' => { + 'name' => 'qp_type', + 'offset' => '72', + 'type' => '11176' + }, + '9' => { + 'name' => 'unnamed1', + 'offset' => '80', + 'type' => '11223' + } + }, + 'Name' => 'struct ibv_send_wr', + 'Size' => '128', + 'Type' => 'Struct' + }, + '10938' => { + 'Header' => 'verbs.h', + 'Line' => '1095', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '1192' + }, + '1' => { + 'name' => 'invalidate_rkey', + 'offset' => '0', + 'type' => '844' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1095', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '4', + 'Type' => 'Union' + }, + '10983' => { + 'Header' => 'verbs.h', + 'Line' => '1099', + 'Memb' => { + '0' => { + 'name' => 'rdma', + 'offset' => '0', + 'type' => '11001' + }, + '1' => { + 'name' => 'atomic', + 'offset' => '0', + 'type' => '11046' + }, + '2' => { + 'name' => 'ud', + 'offset' => '0', + 'type' => '11117' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1099', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '32', + 'Type' => 'Union' + }, + '11001' => { + 'Header' => 'verbs.h', + 'Line' => '1100', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '3142' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '844' + } + }, + 'Name' => 'anon-struct-verbs.h-1100', + 'Size' => '16', + 'Type' => 'Struct' + }, + '11046' => { + 'Header' => 'verbs.h', + 'Line' => '1104', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '3142' + }, + '1' => { + 'name' => 'compare_add', + 'offset' => '8', + 'type' => '3142' + }, + '2' => { + 'name' => 'swap', + 'offset' => '16', + 'type' => '3142' + }, + '3' => { + 'name' => 'rkey', + 'offset' => '24', + 'type' => '844' + } + }, + 'Name' => 'anon-struct-verbs.h-1104', + 'Size' => '32', + 'Type' => 'Struct' + }, + '1110' => { + 'Header' => 'verbs.h', + 'Line' => '65', + 'Memb' => { + '0' => { + 'name' => 'subnet_prefix', + 'offset' => '0', + 'type' => '1049' + }, + '1' => { + 'name' => 'interface_id', + 'offset' => '8', + 'type' => '1049' + } + }, + 'Name' => 'anon-struct-verbs.h-65', + 'Size' => '16', + 'Type' => 'Struct' + }, + '11117' => { + 'Header' => 'verbs.h', + 'Line' => '1110', + 'Memb' => { + '0' => { + 'name' => 'ah', + 'offset' => '0', + 'type' => '11432' + }, + '1' => { + 'name' => 'remote_qpn', + 'offset' => '8', + 'type' => '844' + }, + '2' => { + 'name' => 'remote_qkey', + 'offset' => '12', + 'type' => '844' + } + }, + 'Name' => 'anon-struct-verbs.h-1110', + 'Size' => '16', + 'Type' => 'Struct' + }, + '11176' => { + 'Header' => 'verbs.h', + 'Line' => '1116', + 'Memb' => { + '0' => { + 'name' => 'xrc', + 'offset' => '0', + 'type' => '11194' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1116', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '4', + 'Type' => 'Union' + }, + '11194' => { + 'Header' => 'verbs.h', + 'Line' => '1117', + 'Memb' => { + '0' => { + 'name' => 'remote_srqn', + 'offset' => '0', + 'type' => '844' + } + }, + 'Name' => 'anon-struct-verbs.h-1117', + 'Size' => '4', + 'Type' => 'Struct' + }, + '11223' => { + 'Header' => 'verbs.h', + 'Line' => '1121', + 'Memb' => { + '0' => { + 'name' => 'bind_mw', + 'offset' => '0', + 'type' => '11241' + }, + '1' => { + 'name' => 'tso', + 'offset' => '0', + 'type' => '11299' + } + }, + 'Name' => 'ibv_send_wr::anon-union-verbs.h-1121', + 'NameSpace' => 'ibv_send_wr', + 'Size' => '48', + 'Type' => 'Union' + }, + '11241' => { + 'Header' => 'verbs.h', + 'Line' => '1122', + 'Memb' => { + '0' => { + 'name' => 'mw', + 'offset' => '0', + 'type' => '8620' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '844' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '16', + 'type' => '9889' + } + }, + 'Name' => 'anon-struct-verbs.h-1122', + 'Size' => '48', + 'Type' => 'Struct' + }, + '11299' => { + 'Header' => 'verbs.h', + 'Line' => '1127', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '2369' + }, + '1' => { + 'name' => 'hdr_sz', + 'offset' => '8', + 'type' => '253' + }, + '2' => { + 'name' => 'mss', + 'offset' => '10', + 'type' => '253' + } + }, + 'Name' => 'anon-struct-verbs.h-1127', + 'Size' => '16', + 'Type' => 'Struct' + }, + '11346' => { + 'BaseType' => '449', + 'Header' => 'verbs.h', + 'Line' => '1051', + 'Memb' => { + '0' => { + 'name' => 'IBV_WR_RDMA_WRITE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WR_RDMA_WRITE_WITH_IMM', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WR_TSO', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WR_DRIVER1', + 'value' => '11' + }, + '2' => { + 'name' => 'IBV_WR_SEND', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WR_SEND_WITH_IMM', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WR_RDMA_READ', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WR_ATOMIC_CMP_AND_SWP', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WR_ATOMIC_FETCH_AND_ADD', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WR_LOCAL_INV', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WR_BIND_MW', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WR_SEND_WITH_INV', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wr_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '1140' => { + 'BaseType' => '1152', + 'Name' => 'uint8_t[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '11432' => { + 'BaseType' => '11437', + 'Name' => 'struct ibv_ah*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '11437' => { + 'Header' => 'verbs.h', + 'Line' => '1595', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7662' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '8700' + }, + '2' => { + 'name' => 'handle', + 'offset' => '16', + 'type' => '844' + } + }, + 'Name' => 'struct ibv_ah', + 'Size' => '24', + 'Type' => 'Struct' + }, + '11486' => { + 'BaseType' => '10837', + 'Name' => 'struct ibv_send_wr**', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '11491' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '8811' + }, + '1' => { + 'type' => '10685' + }, + '2' => { + 'type' => '10806' + } + }, + 'Return' => '225', + 'Type' => 'FuncPtr' + }, + '11517' => { + 'BaseType' => '11522', + 'Name' => 'struct ibv_xrcd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1152' => { + 'BaseType' => '1163', + 'Header' => 'stdint.h', + 'Line' => '48', + 'Name' => 'uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '11522' => { + 'Header' => 'verbs.h', + 'Line' => '617', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7662' + } + }, + 'Name' => 'struct ibv_xrcd', + 'Size' => '8', + 'Type' => 'Struct' + }, + '1163' => { + 'Name' => 'unsigned char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '1170' => { + 'BaseType' => '1181', + 'Header' => 'types.h', + 'Line' => '28', + 'Name' => '__be16', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '1181' => { + 'BaseType' => '264', + 'Header' => 'int-ll64.h', + 'Line' => '23', + 'Name' => '__u16', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '1192' => { + 'BaseType' => '1203', + 'Header' => 'types.h', + 'Line' => '30', + 'Name' => '__be32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '11975' => { + 'BaseType' => '449', + 'Header' => 'rdma_cma.h', + 'Line' => '50', + 'Memb' => { + '0' => { + 'name' => 'RDMA_CM_EVENT_ADDR_RESOLVED', + 'value' => '0' + }, + '1' => { + 'name' => 'RDMA_CM_EVENT_ADDR_ERROR', + 'value' => '1' + }, + '10' => { + 'name' => 'RDMA_CM_EVENT_DISCONNECTED', + 'value' => '10' + }, + '11' => { + 'name' => 'RDMA_CM_EVENT_DEVICE_REMOVAL', + 'value' => '11' + }, + '12' => { + 'name' => 'RDMA_CM_EVENT_MULTICAST_JOIN', + 'value' => '12' + }, + '13' => { + 'name' => 'RDMA_CM_EVENT_MULTICAST_ERROR', + 'value' => '13' + }, + '14' => { + 'name' => 'RDMA_CM_EVENT_ADDR_CHANGE', + 'value' => '14' + }, + '15' => { + 'name' => 'RDMA_CM_EVENT_TIMEWAIT_EXIT', + 'value' => '15' + }, + '2' => { + 'name' => 'RDMA_CM_EVENT_ROUTE_RESOLVED', + 'value' => '2' + }, + '3' => { + 'name' => 'RDMA_CM_EVENT_ROUTE_ERROR', + 'value' => '3' + }, + '4' => { + 'name' => 'RDMA_CM_EVENT_CONNECT_REQUEST', + 'value' => '4' + }, + '5' => { + 'name' => 'RDMA_CM_EVENT_CONNECT_RESPONSE', + 'value' => '5' + }, + '6' => { + 'name' => 'RDMA_CM_EVENT_CONNECT_ERROR', + 'value' => '6' + }, + '7' => { + 'name' => 'RDMA_CM_EVENT_UNREACHABLE', + 'value' => '7' + }, + '8' => { + 'name' => 'RDMA_CM_EVENT_REJECTED', + 'value' => '8' + }, + '9' => { + 'name' => 'RDMA_CM_EVENT_ESTABLISHED', + 'value' => '9' + } + }, + 'Name' => 'enum rdma_cm_event_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '1203' => { + 'BaseType' => '449', + 'Header' => 'int-ll64.h', + 'Line' => '26', + 'Name' => '__u32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '12231' => { + 'BaseType' => '449', + 'Header' => 'verbs.h', + 'Line' => '335', + 'Memb' => { + '0' => { + 'name' => 'IBV_MTU_256', + 'value' => '1' + }, + '1' => { + 'name' => 'IBV_MTU_512', + 'value' => '2' + }, + '2' => { + 'name' => 'IBV_MTU_1024', + 'value' => '3' + }, + '3' => { + 'name' => 'IBV_MTU_2048', + 'value' => '4' + }, + '4' => { + 'name' => 'IBV_MTU_4096', + 'value' => '5' + } + }, + 'Name' => 'enum ibv_mtu', + 'Size' => '4', + 'Type' => 'Enum' + }, + '12275' => { + 'BaseType' => '449', + 'Header' => 'verbs.h', + 'Line' => '1009', + 'Memb' => { + '0' => { + 'name' => 'IBV_MIG_MIGRATED', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_MIG_REARM', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_MIG_ARMED', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_mig_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '12476' => { + 'BaseType' => '449', + 'Header' => 'verbs.h', + 'Line' => '747', + 'Memb' => { + '0' => { + 'name' => 'IBV_SRQT_BASIC', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_SRQT_XRC', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_SRQT_TM', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_srq_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '12637' => { + 'BaseType' => '449', + 'Header' => 'verbs.h', + 'Line' => '419', + 'Memb' => { + '0' => { + 'name' => 'IBV_EVENT_CQ_ERR', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_EVENT_QP_FATAL', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_EVENT_PORT_ERR', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_EVENT_LID_CHANGE', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_EVENT_PKEY_CHANGE', + 'value' => '12' + }, + '13' => { + 'name' => 'IBV_EVENT_SM_CHANGE', + 'value' => '13' + }, + '14' => { + 'name' => 'IBV_EVENT_SRQ_ERR', + 'value' => '14' + }, + '15' => { + 'name' => 'IBV_EVENT_SRQ_LIMIT_REACHED', + 'value' => '15' + }, + '16' => { + 'name' => 'IBV_EVENT_QP_LAST_WQE_REACHED', + 'value' => '16' + }, + '17' => { + 'name' => 'IBV_EVENT_CLIENT_REREGISTER', + 'value' => '17' + }, + '18' => { + 'name' => 'IBV_EVENT_GID_CHANGE', + 'value' => '18' + }, + '19' => { + 'name' => 'IBV_EVENT_WQ_FATAL', + 'value' => '19' + }, + '2' => { + 'name' => 'IBV_EVENT_QP_REQ_ERR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_EVENT_QP_ACCESS_ERR', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_EVENT_COMM_EST', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_EVENT_SQ_DRAINED', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_EVENT_PATH_MIG', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_EVENT_PATH_MIG_ERR', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_EVENT_DEVICE_FATAL', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_EVENT_PORT_ACTIVE', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_event_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '14164' => { + 'Header' => 'rdma_cma.h', + 'Line' => '122', + 'Memb' => { + '0' => { + 'name' => 'verbs', + 'offset' => '0', + 'type' => '7662' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '14364' + }, + '10' => { + 'name' => 'recv_cq_channel', + 'offset' => '376', + 'type' => '9127' + }, + '11' => { + 'name' => 'recv_cq', + 'offset' => '384', + 'type' => '8995' + }, + '12' => { + 'name' => 'srq', + 'offset' => '392', + 'type' => '9592' + }, + '13' => { + 'name' => 'pd', + 'offset' => '400', + 'type' => '8700' + }, + '14' => { + 'name' => 'qp_type', + 'offset' => '408', + 'type' => '5068' + }, + '2' => { + 'name' => 'context', + 'offset' => '16', + 'type' => '2369' + }, + '3' => { + 'name' => 'qp', + 'offset' => '24', + 'type' => '8811' + }, + '4' => { + 'name' => 'route', + 'offset' => '32', + 'type' => '14390' + }, + '5' => { + 'name' => 'ps', + 'offset' => '344', + 'type' => '4788' + }, + '6' => { + 'name' => 'port_num', + 'offset' => '348', + 'type' => '1152' + }, + '7' => { + 'name' => 'event', + 'offset' => '352', + 'type' => '15368' + }, + '8' => { + 'name' => 'send_cq_channel', + 'offset' => '360', + 'type' => '9127' + }, + '9' => { + 'name' => 'send_cq', + 'offset' => '368', + 'type' => '8995' + } + }, + 'Name' => 'struct rdma_cm_id', + 'Size' => '416', + 'Type' => 'Struct' + }, + '14364' => { + 'BaseType' => '14369', + 'Name' => 'struct rdma_event_channel*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '14369' => { + 'Header' => 'rdma_cma.h', + 'Line' => '118', + 'Memb' => { + '0' => { + 'name' => 'fd', + 'offset' => '0', + 'type' => '225' + } + }, + 'Name' => 'struct rdma_event_channel', + 'Size' => '4', + 'Type' => 'Struct' + }, + '14390' => { + 'Header' => 'rdma_cma.h', + 'Line' => '112', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '14438' + }, + '1' => { + 'name' => 'path_rec', + 'offset' => '296', + 'type' => '15126' + }, + '2' => { + 'name' => 'num_paths', + 'offset' => '304', + 'type' => '225' + } + }, + 'Name' => 'struct rdma_route', + 'Size' => '312', + 'Type' => 'Struct' + }, + '14438' => { + 'Header' => 'rdma_cma.h', + 'Line' => '94', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '14455' + }, + '1' => { + 'name' => 'unnamed1', + 'offset' => '128', + 'type' => '14516' + }, + '2' => { + 'name' => 'addr', + 'offset' => '256', + 'type' => '14582' + } + }, + 'Name' => 'struct rdma_addr', + 'Size' => '296', + 'Type' => 'Struct' + }, + '14455' => { + 'Header' => 'rdma_cma.h', + 'Line' => '95', + 'Memb' => { + '0' => { + 'name' => 'src_addr', + 'offset' => '0', + 'type' => '1799' + }, + '1' => { + 'name' => 'src_sin', + 'offset' => '0', + 'type' => '1517' + }, + '2' => { + 'name' => 'src_sin6', + 'offset' => '0', + 'type' => '1634' + }, + '3' => { + 'name' => 'src_storage', + 'offset' => '0', + 'type' => '14940' + } + }, + 'Name' => 'rdma_addr::anon-union-rdma_cma.h-95', + 'NameSpace' => 'rdma_addr', + 'Size' => '128', + 'Type' => 'Union' + }, + '14516' => { + 'Header' => 'rdma_cma.h', + 'Line' => '101', + 'Memb' => { + '0' => { + 'name' => 'dst_addr', + 'offset' => '0', + 'type' => '1799' + }, + '1' => { + 'name' => 'dst_sin', + 'offset' => '0', + 'type' => '1517' + }, + '2' => { + 'name' => 'dst_sin6', + 'offset' => '0', + 'type' => '1634' + }, + '3' => { + 'name' => 'dst_storage', + 'offset' => '0', + 'type' => '14940' + } + }, + 'Name' => 'rdma_addr::anon-union-rdma_cma.h-101', + 'NameSpace' => 'rdma_addr', + 'Size' => '128', + 'Type' => 'Union' + }, + '14582' => { + 'Header' => 'rdma_cma.h', + 'Line' => '107', + 'Memb' => { + '0' => { + 'name' => 'ibaddr', + 'offset' => '0', + 'type' => '14997' + } + }, + 'Name' => 'rdma_addr::anon-union-rdma_cma.h-107', + 'NameSpace' => 'rdma_addr', + 'Size' => '40', + 'Type' => 'Union' + }, + '14940' => { + 'Header' => 'socket.h', + 'Line' => '166', + 'Memb' => { + '0' => { + 'name' => 'ss_family', + 'offset' => '0', + 'type' => '1574' + }, + '1' => { + 'name' => '__ss_padding', + 'offset' => '2', + 'type' => '512' + }, + '2' => { + 'name' => '__ss_align', + 'offset' => '120', + 'type' => '2381' + } + }, + 'Name' => 'struct sockaddr_storage', + 'Size' => '128', + 'Type' => 'Struct' + }, + '14997' => { + 'Header' => 'rdma_cma.h', + 'Line' => '88', + 'Memb' => { + '0' => { + 'name' => 'sgid', + 'offset' => '0', + 'type' => '1078' + }, + '1' => { + 'name' => 'dgid', + 'offset' => '16', + 'type' => '1078' + }, + '2' => { + 'name' => 'pkey', + 'offset' => '32', + 'type' => '1170' + } + }, + 'Name' => 'struct rdma_ib_addr', + 'Size' => '40', + 'Type' => 'Struct' + }, + '1507' => { + 'BaseType' => '524', + 'Name' => 'char*', + 'Size' => '1', + 'Type' => 'Pointer' + }, + '15126' => { + 'BaseType' => '15131', + 'Name' => 'struct ibv_sa_path_rec*', + 'Size' => '64', + 'Type' => 'Pointer' + }, + '15131' => { + 'Header' => 'sa.h', + 'Line' => '40', + 'Memb' => { + '0' => { + 'name' => 'dgid', + 'offset' => '0', + 'type' => '1078' + }, + '1' => { + 'name' => 'sgid', + 'offset' => '16', + 'type' => '1078' + }, + '10' => { + 'name' => 'pkey', + 'offset' => '54', + 'type' => '1170' + }, + '11' => { + 'name' => 'sl', + 'offset' => '56', + 'type' => '1152' + }, + '12' => { + 'name' => 'mtu_selector', + 'offset' => '57', + 'type' => '1152' + }, + '13' => { + 'name' => 'mtu', + 'offset' => '58', + 'type' => '1152' + }, + '14' => { + 'name' => 'rate_selector', + 'offset' => '59', + 'type' => '1152' + }, + '15' => { + 'name' => 'rate', + 'offset' => '60', + 'type' => '1152' + }, + '16' => { + 'name' => 'packet_life_time_selector', + 'offset' => '61', + 'type' => '1152' + }, + '17' => { + 'name' => 'packet_life_time', + 'offset' => '62', + 'type' => '1152' + }, + '18' => { + 'name' => 'preference', + 'offset' => '63', + 'type' => '1152' + }, + '2' => { + 'name' => 'dlid', + 'offset' => '32', + 'type' => '1170' + }, + '3' => { + 'name' => 'slid', + 'offset' => '34', + 'type' => '1170' + }, + '4' => { + 'name' => 'raw_traffic', + 'offset' => '36', + 'type' => '225' + }, + '5' => { + 'name' => 'flow_label', + 'offset' => '40', + 'type' => '1192' + }, + '6' => { + 'name' => 'hop_limit', + 'offset' => '44', + 'type' => '1152' + }, + '7' => { + 'name' => 'traffic_class', + 'offset' => '45', + 'type' => '1152' + }, + '8' => { + 'name' => 'reversible', + 'offset' => '48', + 'type' => '225' + }, + '9' => { + 'name' => 'numb_path', + 'offset' => '52', + 'type' => '1152' + } + }, + 'Name' => 'struct ibv_sa_path_rec', + 'Size' => '64', + 'Type' => 'Struct' + }, + '1517' => { + 'Header' => 'in.h', + 'Line' => '239', + 'Memb' => { + '0' => { + 'name' => 'sin_family', + 'offset' => '0', + 'type' => '1574' + }, + '1' => { + 'name' => 'sin_port', + 'offset' => '2', + 'type' => '1585' + }, + '2' => { + 'name' => 'sin_addr', + 'offset' => '4', + 'type' => '1596' + }, + '3' => { + 'name' => 'sin_zero', + 'offset' => '8', + 'type' => '1617' + } + }, + 'Name' => 'struct sockaddr_in', + 'Size' => '16', + 'Type' => 'Struct' + }, + '15368' => { + 'BaseType' => '15373', + 'Name' => 'struct rdma_cm_event*', + 'Size' => '80', + 'Type' => 'Pointer' + }, + '15373' => { + 'Header' => 'rdma_cma.h', + 'Line' => '166', + 'Memb' => { + '0' => { + 'name' => 'id', + 'offset' => '0', + 'type' => '15471' + }, + '1' => { + 'name' => 'listen_id', + 'offset' => '8', + 'type' => '15471' + }, + '2' => { + 'name' => 'event', + 'offset' => '16', + 'type' => '11975' + }, + '3' => { + 'name' => 'status', + 'offset' => '20', + 'type' => '225' + }, + '4' => { + 'name' => 'param', + 'offset' => '24', + 'type' => '15441' + } + }, + 'Name' => 'struct rdma_cm_event', + 'Size' => '80', + 'Type' => 'Struct' + }, + '15441' => { + 'Header' => 'rdma_cma.h', + 'Line' => '171', + 'Memb' => { + '0' => { + 'name' => 'conn', + 'offset' => '0', + 'type' => '15476' + }, + '1' => { + 'name' => 'ud', + 'offset' => '0', + 'type' => '15599' + } + }, + 'Name' => 'rdma_cm_event::anon-union-rdma_cma.h-171', + 'NameSpace' => 'rdma_cm_event', + 'Size' => '56', + 'Type' => 'Union' + }, + '15471' => { + 'BaseType' => '14164', + 'Name' => 'struct rdma_cm_id*', + 'Size' => '416', + 'Type' => 'Pointer' + }, + '15476' => { + 'Header' => 'rdma_cma.h', + 'Line' => '145', + 'Memb' => { + '0' => { + 'name' => 'private_data', + 'offset' => '0', + 'type' => '15593' + }, + '1' => { + 'name' => 'private_data_len', + 'offset' => '8', + 'type' => '1152' + }, + '2' => { + 'name' => 'responder_resources', + 'offset' => '9', + 'type' => '1152' + }, + '3' => { + 'name' => 'initiator_depth', + 'offset' => '10', + 'type' => '1152' + }, + '4' => { + 'name' => 'flow_control', + 'offset' => '11', + 'type' => '1152' + }, + '5' => { + 'name' => 'retry_count', + 'offset' => '12', + 'type' => '1152' + }, + '6' => { + 'name' => 'rnr_retry_count', + 'offset' => '13', + 'type' => '1152' + }, + '7' => { + 'name' => 'srq', + 'offset' => '14', + 'type' => '1152' + }, + '8' => { + 'name' => 'qp_num', + 'offset' => '16', + 'type' => '844' + } + }, + 'Name' => 'struct rdma_conn_param', + 'Size' => '24', + 'Type' => 'Struct' + }, + '15593' => { + 'BaseType' => '15598', + 'Name' => 'void const*', + 'Type' => 'Pointer' + }, + '15598' => { + 'BaseType' => '1', + 'Name' => 'void const', + 'Type' => 'Const' + }, + '15599' => { + 'Header' => 'rdma_cma.h', + 'Line' => '158', + 'Memb' => { + '0' => { + 'name' => 'private_data', + 'offset' => '0', + 'type' => '15593' + }, + '1' => { + 'name' => 'private_data_len', + 'offset' => '8', + 'type' => '1152' + }, + '2' => { + 'name' => 'ah_attr', + 'offset' => '16', + 'type' => '15668' + }, + '3' => { + 'name' => 'qp_num', + 'offset' => '48', + 'type' => '844' + }, + '4' => { + 'name' => 'qkey', + 'offset' => '52', + 'type' => '844' + } + }, + 'Name' => 'struct rdma_ud_param', + 'Size' => '56', + 'Type' => 'Struct' + }, + '15668' => { + 'Header' => 'verbs.h', + 'Line' => '721', + 'Memb' => { + '0' => { + 'name' => 'grh', + 'offset' => '0', + 'type' => '15769' + }, + '1' => { + 'name' => 'dlid', + 'offset' => '24', + 'type' => '253' + }, + '2' => { + 'name' => 'sl', + 'offset' => '26', + 'type' => '1152' + }, + '3' => { + 'name' => 'src_path_bits', + 'offset' => '27', + 'type' => '1152' + }, + '4' => { + 'name' => 'static_rate', + 'offset' => '28', + 'type' => '1152' + }, + '5' => { + 'name' => 'is_global', + 'offset' => '29', + 'type' => '1152' + }, + '6' => { + 'name' => 'port_num', + 'offset' => '30', + 'type' => '1152' + } + }, + 'Name' => 'struct ibv_ah_attr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '1574' => { + 'BaseType' => '264', + 'Header' => 'sockaddr.h', + 'Line' => '28', + 'Name' => 'sa_family_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '15769' => { + 'Header' => 'verbs.h', + 'Line' => '652', + 'Memb' => { + '0' => { + 'name' => 'dgid', + 'offset' => '0', + 'type' => '1078' + }, + '1' => { + 'name' => 'flow_label', + 'offset' => '16', + 'type' => '844' + }, + '2' => { + 'name' => 'sgid_index', + 'offset' => '20', + 'type' => '1152' + }, + '3' => { + 'name' => 'hop_limit', + 'offset' => '21', + 'type' => '1152' + }, + '4' => { + 'name' => 'traffic_class', + 'offset' => '22', + 'type' => '1152' + } + }, + 'Name' => 'struct ibv_global_route', + 'Size' => '24', + 'Type' => 'Struct' + }, + '1585' => { + 'BaseType' => '253', + 'Header' => 'in.h', + 'Line' => '119', + 'Name' => 'in_port_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '1596' => { + 'Header' => 'in.h', + 'Line' => '31', + 'Memb' => { + '0' => { + 'name' => 's_addr', + 'offset' => '0', + 'type' => '833' + } + }, + 'Name' => 'struct in_addr', + 'Size' => '4', + 'Type' => 'Struct' + }, + '15978' => { + 'BaseType' => '15983', + 'Name' => 'struct ibv_qp_init_attr*', + 'Size' => '64', + 'Type' => 'Pointer' + }, + '15983' => { + 'Header' => 'verbs.h', + 'Line' => '878', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '2369' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '8995' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '16', + 'type' => '8995' + }, + '3' => { + 'name' => 'srq', + 'offset' => '24', + 'type' => '9592' + }, + '4' => { + 'name' => 'cap', + 'offset' => '32', + 'type' => '16084' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '52', + 'type' => '5068' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '56', + 'type' => '225' + } + }, + 'Name' => 'struct ibv_qp_init_attr', + 'Size' => '64', + 'Type' => 'Struct' + }, + '16084' => { + 'Header' => 'verbs.h', + 'Line' => '870', + 'Memb' => { + '0' => { + 'name' => 'max_send_wr', + 'offset' => '0', + 'type' => '844' + }, + '1' => { + 'name' => 'max_recv_wr', + 'offset' => '4', + 'type' => '844' + }, + '2' => { + 'name' => 'max_send_sge', + 'offset' => '8', + 'type' => '844' + }, + '3' => { + 'name' => 'max_recv_sge', + 'offset' => '12', + 'type' => '844' + }, + '4' => { + 'name' => 'max_inline_data', + 'offset' => '16', + 'type' => '844' + } + }, + 'Name' => 'struct ibv_qp_cap', + 'Size' => '20', + 'Type' => 'Struct' + }, + '1617' => { + 'BaseType' => '1163', + 'Name' => 'unsigned char[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '1634' => { + 'Header' => 'in.h', + 'Line' => '254', + 'Memb' => { + '0' => { + 'name' => 'sin6_family', + 'offset' => '0', + 'type' => '1574' + }, + '1' => { + 'name' => 'sin6_port', + 'offset' => '2', + 'type' => '1585' + }, + '2' => { + 'name' => 'sin6_flowinfo', + 'offset' => '4', + 'type' => '844' + }, + '3' => { + 'name' => 'sin6_addr', + 'offset' => '8', + 'type' => '1708' + }, + '4' => { + 'name' => 'sin6_scope_id', + 'offset' => '24', + 'type' => '844' + } + }, + 'Name' => 'struct sockaddr_in6', + 'Size' => '28', + 'Type' => 'Struct' + }, + '1708' => { + 'Header' => 'in.h', + 'Line' => '211', + 'Memb' => { + '0' => { + 'name' => '__in6_u', + 'offset' => '0', + 'type' => '1728' + } + }, + 'Name' => 'struct in6_addr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '1728' => { + 'Header' => 'in.h', + 'Line' => '213', + 'Memb' => { + '0' => { + 'name' => '__u6_addr8', + 'offset' => '0', + 'type' => '1140' + }, + '1' => { + 'name' => '__u6_addr16', + 'offset' => '0', + 'type' => '1770' + }, + '2' => { + 'name' => '__u6_addr32', + 'offset' => '0', + 'type' => '1782' + } + }, + 'Name' => 'in6_addr::anon-union-in.h-213', + 'NameSpace' => 'in6_addr', + 'Size' => '16', + 'Type' => 'Union' + }, + '1770' => { + 'BaseType' => '253', + 'Name' => 'uint16_t[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '1782' => { + 'BaseType' => '844', + 'Name' => 'uint32_t[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '1794' => { + 'BaseType' => '1799', + 'Name' => 'struct sockaddr*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '1799' => { + 'Header' => 'socket.h', + 'Line' => '153', + 'Memb' => { + '0' => { + 'name' => 'sa_family', + 'offset' => '0', + 'type' => '1574' + }, + '1' => { + 'name' => 'sa_data', + 'offset' => '2', + 'type' => '512' + } + }, + 'Name' => 'struct sockaddr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '18968' => { + 'BaseType' => '18973', + 'Name' => 'struct ibv_rwq_ind_table*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '18973' => { + 'Header' => 'verbs.h', + 'Line' => '839', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7662' + }, + '1' => { + 'name' => 'ind_tbl_handle', + 'offset' => '8', + 'type' => '225' + }, + '2' => { + 'name' => 'ind_tbl_num', + 'offset' => '12', + 'type' => '225' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '16', + 'type' => '844' + } + }, + 'Name' => 'struct ibv_rwq_ind_table', + 'Size' => '24', + 'Type' => 'Struct' + }, + '19677' => { + 'BaseType' => '538', + 'Header' => 'types.h', + 'Line' => '139', + 'Name' => '__time_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '21943' => { + 'BaseType' => '21948', + 'Name' => 'struct ibv_qp_init_attr_ex*', + 'Size' => '136', + 'Type' => 'Pointer' + }, + '21948' => { + 'Header' => 'verbs.h', + 'Line' => '929', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '2369' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '8995' + }, + '10' => { + 'name' => 'create_flags', + 'offset' => '80', + 'type' => '844' + }, + '11' => { + 'name' => 'max_tso_header', + 'offset' => '84', + 'type' => '253' + }, + '12' => { + 'name' => 'rwq_ind_tbl', + 'offset' => '88', + 'type' => '18968' + }, + '13' => { + 'name' => 'rx_hash_conf', + 'offset' => '96', + 'type' => '22166' + }, + '14' => { + 'name' => 'source_qpn', + 'offset' => '120', + 'type' => '844' + }, + '15' => { + 'name' => 'send_ops_flags', + 'offset' => '128', + 'type' => '3142' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '16', + 'type' => '8995' + }, + '3' => { + 'name' => 'srq', + 'offset' => '24', + 'type' => '9592' + }, + '4' => { + 'name' => 'cap', + 'offset' => '32', + 'type' => '16084' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '52', + 'type' => '5068' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '56', + 'type' => '225' + }, + '7' => { + 'name' => 'comp_mask', + 'offset' => '60', + 'type' => '844' + }, + '8' => { + 'name' => 'pd', + 'offset' => '64', + 'type' => '8700' + }, + '9' => { + 'name' => 'xrcd', + 'offset' => '72', + 'type' => '11517' + } + }, + 'Name' => 'struct ibv_qp_init_attr_ex', + 'Size' => '136', + 'Type' => 'Struct' + }, + '22166' => { + 'Header' => 'verbs.h', + 'Line' => '920', + 'Memb' => { + '0' => { + 'name' => 'rx_hash_function', + 'offset' => '0', + 'type' => '1152' + }, + '1' => { + 'name' => 'rx_hash_key_len', + 'offset' => '1', + 'type' => '1152' + }, + '2' => { + 'name' => 'rx_hash_key', + 'offset' => '8', + 'type' => '22228' + }, + '3' => { + 'name' => 'rx_hash_fields_mask', + 'offset' => '16', + 'type' => '3142' + } + }, + 'Name' => 'struct ibv_rx_hash_conf', + 'Size' => '24', + 'Type' => 'Struct' + }, + '22228' => { + 'BaseType' => '1152', + 'Name' => 'uint8_t*', + 'Size' => '1', + 'Type' => 'Pointer' + }, + '22280' => { + 'BaseType' => '22285', + 'Name' => 'struct ibv_srq_init_attr_ex*', + 'Size' => '64', + 'Type' => 'Pointer' + }, + '22285' => { + 'Header' => 'verbs.h', + 'Line' => '767', + 'Memb' => { + '0' => { + 'name' => 'srq_context', + 'offset' => '0', + 'type' => '2369' + }, + '1' => { + 'name' => 'attr', + 'offset' => '8', + 'type' => '22399' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '20', + 'type' => '844' + }, + '3' => { + 'name' => 'srq_type', + 'offset' => '24', + 'type' => '12476' + }, + '4' => { + 'name' => 'pd', + 'offset' => '32', + 'type' => '8700' + }, + '5' => { + 'name' => 'xrcd', + 'offset' => '40', + 'type' => '11517' + }, + '6' => { + 'name' => 'cq', + 'offset' => '48', + 'type' => '8995' + }, + '7' => { + 'name' => 'tm_cap', + 'offset' => '56', + 'type' => '22448' + } + }, + 'Name' => 'struct ibv_srq_init_attr_ex', + 'Size' => '64', + 'Type' => 'Struct' + }, + '22399' => { + 'Header' => 'verbs.h', + 'Line' => '736', + 'Memb' => { + '0' => { + 'name' => 'max_wr', + 'offset' => '0', + 'type' => '844' + }, + '1' => { + 'name' => 'max_sge', + 'offset' => '4', + 'type' => '844' + }, + '2' => { + 'name' => 'srq_limit', + 'offset' => '8', + 'type' => '844' + } + }, + 'Name' => 'struct ibv_srq_attr', + 'Size' => '12', + 'Type' => 'Struct' + }, + '22448' => { + 'Header' => 'verbs.h', + 'Line' => '762', + 'Memb' => { + '0' => { + 'name' => 'max_num_tags', + 'offset' => '0', + 'type' => '844' + }, + '1' => { + 'name' => 'max_ops', + 'offset' => '4', + 'type' => '844' + } + }, + 'Name' => 'struct ibv_tm_cap', + 'Size' => '8', + 'Type' => 'Struct' + }, + '225' => { + 'Name' => 'int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '22575' => { + 'BaseType' => '22580', + 'Name' => 'struct ibv_srq_init_attr*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '22580' => { + 'Header' => 'verbs.h', + 'Line' => '742', + 'Memb' => { + '0' => { + 'name' => 'srq_context', + 'offset' => '0', + 'type' => '2369' + }, + '1' => { + 'name' => 'attr', + 'offset' => '8', + 'type' => '22399' + } + }, + 'Name' => 'struct ibv_srq_init_attr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '22793' => { + 'BaseType' => '15471', + 'Name' => 'struct rdma_cm_id**', + 'Size' => '416', + 'Type' => 'Pointer' + }, + '2316' => { + 'BaseType' => '538', + 'Header' => 'types.h', + 'Line' => '131', + 'Name' => '__off_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '2369' => { + 'BaseType' => '1', + 'Name' => 'void*', + 'Type' => 'Pointer' + }, + '2370' => { + 'BaseType' => '2381', + 'Header' => 'stddef.h', + 'Line' => '62', + 'Name' => 'size_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '2381' => { + 'Name' => 'unsigned long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '2459' => { + 'BaseType' => '2470', + 'Header' => 'socket.h', + 'Line' => '33', + 'Name' => 'socklen_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '2470' => { + 'BaseType' => '449', + 'Header' => 'types.h', + 'Line' => '189', + 'Name' => '__socklen_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '253' => { + 'BaseType' => '264', + 'Header' => 'stdint.h', + 'Line' => '49', + 'Name' => 'uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '264' => { + 'Name' => 'unsigned short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '27166' => { + 'BaseType' => '15476', + 'Name' => 'struct rdma_conn_param*', + 'Size' => '24', + 'Type' => 'Pointer' + }, + '27209' => { + 'Header' => 'verbs.h', + 'Line' => '1015', + 'Memb' => { + '0' => { + 'name' => 'qp_state', + 'offset' => '0', + 'type' => '9698' + }, + '1' => { + 'name' => 'cur_qp_state', + 'offset' => '4', + 'type' => '9698' + }, + '10' => { + 'name' => 'ah_attr', + 'offset' => '56', + 'type' => '15668' + }, + '11' => { + 'name' => 'alt_ah_attr', + 'offset' => '88', + 'type' => '15668' + }, + '12' => { + 'name' => 'pkey_index', + 'offset' => '120', + 'type' => '253' + }, + '13' => { + 'name' => 'alt_pkey_index', + 'offset' => '122', + 'type' => '253' + }, + '14' => { + 'name' => 'en_sqd_async_notify', + 'offset' => '124', + 'type' => '1152' + }, + '15' => { + 'name' => 'sq_draining', + 'offset' => '125', + 'type' => '1152' + }, + '16' => { + 'name' => 'max_rd_atomic', + 'offset' => '126', + 'type' => '1152' + }, + '17' => { + 'name' => 'max_dest_rd_atomic', + 'offset' => '127', + 'type' => '1152' + }, + '18' => { + 'name' => 'min_rnr_timer', + 'offset' => '128', + 'type' => '1152' + }, + '19' => { + 'name' => 'port_num', + 'offset' => '129', + 'type' => '1152' + }, + '2' => { + 'name' => 'path_mtu', + 'offset' => '8', + 'type' => '12231' + }, + '20' => { + 'name' => 'timeout', + 'offset' => '130', + 'type' => '1152' + }, + '21' => { + 'name' => 'retry_cnt', + 'offset' => '131', + 'type' => '1152' + }, + '22' => { + 'name' => 'rnr_retry', + 'offset' => '132', + 'type' => '1152' + }, + '23' => { + 'name' => 'alt_port_num', + 'offset' => '133', + 'type' => '1152' + }, + '24' => { + 'name' => 'alt_timeout', + 'offset' => '134', + 'type' => '1152' + }, + '25' => { + 'name' => 'rate_limit', + 'offset' => '136', + 'type' => '844' + }, + '3' => { + 'name' => 'path_mig_state', + 'offset' => '12', + 'type' => '12275' + }, + '4' => { + 'name' => 'qkey', + 'offset' => '16', + 'type' => '844' + }, + '5' => { + 'name' => 'rq_psn', + 'offset' => '20', + 'type' => '844' + }, + '6' => { + 'name' => 'sq_psn', + 'offset' => '24', + 'type' => '844' + }, + '7' => { + 'name' => 'dest_qp_num', + 'offset' => '28', + 'type' => '844' + }, + '8' => { + 'name' => 'qp_access_flags', + 'offset' => '32', + 'type' => '449' + }, + '9' => { + 'name' => 'cap', + 'offset' => '36', + 'type' => '16084' + } + }, + 'Name' => 'struct ibv_qp_attr', + 'Size' => '144', + 'Type' => 'Struct' + }, + '2790' => { + 'BaseType' => '2795', + 'Name' => 'struct rdma_addrinfo*', + 'Size' => '96', + 'Type' => 'Pointer' + }, + '2795' => { + 'Header' => 'rdma_cma.h', + 'Line' => '182', + 'Memb' => { + '0' => { + 'name' => 'ai_flags', + 'offset' => '0', + 'type' => '225' + }, + '1' => { + 'name' => 'ai_family', + 'offset' => '4', + 'type' => '225' + }, + '10' => { + 'name' => 'ai_route_len', + 'offset' => '56', + 'type' => '2370' + }, + '11' => { + 'name' => 'ai_route', + 'offset' => '64', + 'type' => '2369' + }, + '12' => { + 'name' => 'ai_connect_len', + 'offset' => '72', + 'type' => '2370' + }, + '13' => { + 'name' => 'ai_connect', + 'offset' => '80', + 'type' => '2369' + }, + '14' => { + 'name' => 'ai_next', + 'offset' => '88', + 'type' => '2790' + }, + '2' => { + 'name' => 'ai_qp_type', + 'offset' => '8', + 'type' => '225' + }, + '3' => { + 'name' => 'ai_port_space', + 'offset' => '12', + 'type' => '225' + }, + '4' => { + 'name' => 'ai_src_len', + 'offset' => '16', + 'type' => '2459' + }, + '5' => { + 'name' => 'ai_dst_len', + 'offset' => '20', + 'type' => '2459' + }, + '6' => { + 'name' => 'ai_src_addr', + 'offset' => '24', + 'type' => '1794' + }, + '7' => { + 'name' => 'ai_dst_addr', + 'offset' => '32', + 'type' => '1794' + }, + '8' => { + 'name' => 'ai_src_canonname', + 'offset' => '40', + 'type' => '1507' + }, + '9' => { + 'name' => 'ai_dst_canonname', + 'offset' => '48', + 'type' => '1507' + } + }, + 'Name' => 'struct rdma_addrinfo', + 'Size' => '96', + 'Type' => 'Struct' + }, + '292' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '128', + 'Memb' => { + '0' => { + 'name' => '__data', + 'offset' => '0', + 'type' => '344' + }, + '1' => { + 'name' => '__size', + 'offset' => '0', + 'type' => '512' + }, + '2' => { + 'name' => '__align', + 'offset' => '0', + 'type' => '538' + } + }, + 'Name' => 'union pthread_mutex_t', + 'Size' => '40', + 'Type' => 'Union' + }, + '3142' => { + 'BaseType' => '2381', + 'Header' => 'stdint.h', + 'Line' => '55', + 'Name' => 'uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '3212' => { + 'BaseType' => '2790', + 'Name' => 'struct rdma_addrinfo**', + 'Size' => '96', + 'Type' => 'Pointer' + }, + '344' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '92', + 'Memb' => { + '0' => { + 'name' => '__lock', + 'offset' => '0', + 'type' => '225' + }, + '1' => { + 'name' => '__count', + 'offset' => '4', + 'type' => '449' + }, + '2' => { + 'name' => '__owner', + 'offset' => '8', + 'type' => '225' + }, + '3' => { + 'name' => '__nusers', + 'offset' => '12', + 'type' => '449' + }, + '4' => { + 'name' => '__kind', + 'offset' => '16', + 'type' => '225' + }, + '5' => { + 'name' => '__spins', + 'offset' => '20', + 'type' => '456' + }, + '6' => { + 'name' => '__elision', + 'offset' => '22', + 'type' => '456' + }, + '7' => { + 'name' => '__list', + 'offset' => '24', + 'type' => '463' + } + }, + 'Name' => 'struct __pthread_mutex_s', + 'Size' => '40', + 'Type' => 'Struct' + }, + '40025' => { + 'BaseType' => '7662', + 'Name' => 'struct ibv_context**', + 'Size' => '328', + 'Type' => 'Pointer' + }, + '40040' => { + 'BaseType' => '225', + 'Name' => 'int*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '40618' => { + 'BaseType' => '15368', + 'Name' => 'struct rdma_cm_event**', + 'Size' => '80', + 'Type' => 'Pointer' + }, + '40956' => { + 'BaseType' => '27209', + 'Name' => 'struct ibv_qp_attr*', + 'Size' => '144', + 'Type' => 'Pointer' + }, + '41947' => { + 'BaseType' => '41952', + 'Name' => 'struct rdma_cm_join_mc_attr_ex*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '41952' => { + 'Header' => 'rdma_cma.h', + 'Line' => '214', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '844' + }, + '1' => { + 'name' => 'join_flags', + 'offset' => '4', + 'type' => '844' + }, + '2' => { + 'name' => 'addr', + 'offset' => '8', + 'type' => '1794' + } + }, + 'Name' => 'struct rdma_cm_join_mc_attr_ex', + 'Size' => '16', + 'Type' => 'Struct' + }, + '4378' => { + 'BaseType' => '4383', + 'Name' => 'struct rdma_addrinfo const*', + 'Size' => '96', + 'Type' => 'Pointer' + }, + '4383' => { + 'BaseType' => '2795', + 'Name' => 'struct rdma_addrinfo const', + 'Size' => '96', + 'Type' => 'Const' + }, + '449' => { + 'Name' => 'unsigned int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '456' => { + 'Name' => 'short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '463' => { + 'BaseType' => '474', + 'Header' => 'pthreadtypes.h', + 'Line' => '79', + 'Name' => '__pthread_list_t', + 'Size' => '16', + 'Type' => 'Typedef' + }, + '474' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '75', + 'Memb' => { + '0' => { + 'name' => '__prev', + 'offset' => '0', + 'type' => '507' + }, + '1' => { + 'name' => '__next', + 'offset' => '8', + 'type' => '507' + } + }, + 'Name' => 'struct __pthread_internal_list', + 'Size' => '16', + 'Type' => 'Struct' + }, + '4788' => { + 'BaseType' => '449', + 'Header' => 'rdma_cma.h', + 'Line' => '69', + 'Memb' => { + '0' => { + 'name' => 'RDMA_PS_IPOIB', + 'value' => '2' + }, + '1' => { + 'name' => 'RDMA_PS_TCP', + 'value' => '262' + }, + '2' => { + 'name' => 'RDMA_PS_UDP', + 'value' => '273' + }, + '3' => { + 'name' => 'RDMA_PS_IB', + 'value' => '319' + } + }, + 'Name' => 'enum rdma_port_space', + 'Size' => '4', + 'Type' => 'Enum' + }, + '5068' => { + 'BaseType' => '449', + 'Header' => 'verbs.h', + 'Line' => '860', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPT_RC', + 'value' => '2' + }, + '1' => { + 'name' => 'IBV_QPT_UC', + 'value' => '3' + }, + '2' => { + 'name' => 'IBV_QPT_UD', + 'value' => '4' + }, + '3' => { + 'name' => 'IBV_QPT_RAW_PACKET', + 'value' => '8' + }, + '4' => { + 'name' => 'IBV_QPT_XRC_SEND', + 'value' => '9' + }, + '5' => { + 'name' => 'IBV_QPT_XRC_RECV', + 'value' => '10' + }, + '6' => { + 'name' => 'IBV_QPT_DRIVER', + 'value' => '255' + } + }, + 'Name' => 'enum ibv_qp_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '507' => { + 'BaseType' => '474', + 'Name' => 'struct __pthread_internal_list*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '512' => { + 'BaseType' => '524', + 'Name' => 'char[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '51230' => { + 'BaseType' => '51235', + 'Name' => 'struct pollfd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '51235' => { + 'Header' => 'poll.h', + 'Line' => '39', + 'Memb' => { + '0' => { + 'name' => 'fd', + 'offset' => '0', + 'type' => '225' + }, + '1' => { + 'name' => 'events', + 'offset' => '4', + 'type' => '456' + }, + '2' => { + 'name' => 'revents', + 'offset' => '6', + 'type' => '456' + } + }, + 'Name' => 'struct pollfd', + 'Size' => '8', + 'Type' => 'Struct' + }, + '51527' => { + 'BaseType' => '2381', + 'Header' => 'poll.h', + 'Line' => '36', + 'Name' => 'nfds_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '524' => { + 'Name' => 'char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '538' => { + 'Name' => 'long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '5875' => { + 'BaseType' => '5880', + 'Name' => 'char const*', + 'Size' => '1', + 'Type' => 'Pointer' + }, + '5880' => { + 'BaseType' => '524', + 'Name' => 'char const', + 'Size' => '1', + 'Type' => 'Const' + }, + '59521' => { + 'BaseType' => '59526', + 'Name' => 'struct sockaddr const*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '59526' => { + 'BaseType' => '1799', + 'Name' => 'struct sockaddr const', + 'Size' => '16', + 'Type' => 'Const' + }, + '59531' => { + 'BaseType' => '538', + 'Header' => 'select.h', + 'Line' => '54', + 'Name' => '__fd_mask', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '6107' => { + 'BaseType' => '2459', + 'Name' => 'socklen_t*', + 'Size' => '4', + 'Type' => 'Pointer' + }, + '62834' => { + 'BaseType' => '62845', + 'Header' => 'types.h', + 'Line' => '109', + 'Name' => 'ssize_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '62845' => { + 'BaseType' => '538', + 'Header' => 'types.h', + 'Line' => '172', + 'Name' => '__ssize_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '70759' => { + 'BaseType' => '70764', + 'Name' => 'struct iovec const*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '70764' => { + 'BaseType' => '70769', + 'Name' => 'struct iovec const', + 'Size' => '16', + 'Type' => 'Const' + }, + '70769' => { + 'Header' => 'uio.h', + 'Line' => '43', + 'Memb' => { + '0' => { + 'name' => 'iov_base', + 'offset' => '0', + 'type' => '2369' + }, + '1' => { + 'name' => 'iov_len', + 'offset' => '8', + 'type' => '2370' + } + }, + 'Name' => 'struct iovec', + 'Size' => '16', + 'Type' => 'Struct' + }, + '72600' => { + 'Header' => 'socket.h', + 'Line' => '228', + 'Memb' => { + '0' => { + 'name' => 'msg_name', + 'offset' => '0', + 'type' => '2369' + }, + '1' => { + 'name' => 'msg_namelen', + 'offset' => '8', + 'type' => '2459' + }, + '2' => { + 'name' => 'msg_iov', + 'offset' => '16', + 'type' => '72693' + }, + '3' => { + 'name' => 'msg_iovlen', + 'offset' => '24', + 'type' => '2370' + }, + '4' => { + 'name' => 'msg_control', + 'offset' => '32', + 'type' => '2369' + }, + '5' => { + 'name' => 'msg_controllen', + 'offset' => '40', + 'type' => '2370' + }, + '6' => { + 'name' => 'msg_flags', + 'offset' => '48', + 'type' => '225' + } + }, + 'Name' => 'struct msghdr', + 'Size' => '56', + 'Type' => 'Struct' + }, + '72693' => { + 'BaseType' => '70769', + 'Name' => 'struct iovec*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '7662' => { + 'BaseType' => '7667', + 'Name' => 'struct ibv_context*', + 'Size' => '328', + 'Type' => 'Pointer' + }, + '7667' => { + 'Header' => 'verbs.h', + 'Line' => '1935', + 'Memb' => { + '0' => { + 'name' => 'device', + 'offset' => '0', + 'type' => '7774' + }, + '1' => { + 'name' => 'ops', + 'offset' => '8', + 'type' => '8107' + }, + '2' => { + 'name' => 'cmd_fd', + 'offset' => '264', + 'type' => '225' + }, + '3' => { + 'name' => 'async_fd', + 'offset' => '268', + 'type' => '225' + }, + '4' => { + 'name' => 'num_comp_vectors', + 'offset' => '272', + 'type' => '225' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '280', + 'type' => '292' + }, + '6' => { + 'name' => 'abi_compat', + 'offset' => '320', + 'type' => '2369' + } + }, + 'Name' => 'struct ibv_context', + 'Size' => '328', + 'Type' => 'Struct' + }, + '7774' => { + 'BaseType' => '7779', + 'Name' => 'struct ibv_device*', + 'Size' => '664', + 'Type' => 'Pointer' + }, + '7779' => { + 'Header' => 'verbs.h', + 'Line' => '1878', + 'Memb' => { + '0' => { + 'name' => '_ops', + 'offset' => '0', + 'type' => '7882' + }, + '1' => { + 'name' => 'node_type', + 'offset' => '16', + 'type' => '7958' + }, + '2' => { + 'name' => 'transport_type', + 'offset' => '20', + 'type' => '8019' + }, + '3' => { + 'name' => 'name', + 'offset' => '24', + 'type' => '512' + }, + '4' => { + 'name' => 'dev_name', + 'offset' => '88', + 'type' => '512' + }, + '5' => { + 'name' => 'dev_path', + 'offset' => '152', + 'type' => '512' + }, + '6' => { + 'name' => 'ibdev_path', + 'offset' => '408', + 'type' => '512' + } + }, + 'Name' => 'struct ibv_device', + 'Size' => '664', + 'Type' => 'Struct' + }, + '77962' => { + 'BaseType' => '77967', + 'Name' => 'fd_set*', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '77967' => { + 'Header' => 'select.h', + 'Line' => '75', + 'Memb' => { + '0' => { + 'name' => 'fds_bits', + 'offset' => '0', + 'type' => '77995' + } + }, + 'Name' => 'struct fd_set', + 'Size' => '128', + 'Type' => 'Struct' + }, + '77995' => { + 'BaseType' => '59531', + 'Name' => '__fd_mask[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '78033' => { + 'BaseType' => '78038', + 'Name' => 'struct timeval*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '78038' => { + 'Header' => 'time.h', + 'Line' => '30', + 'Memb' => { + '0' => { + 'name' => 'tv_sec', + 'offset' => '0', + 'type' => '19677' + }, + '1' => { + 'name' => 'tv_usec', + 'offset' => '8', + 'type' => '78071' + } + }, + 'Name' => 'struct timeval', + 'Size' => '16', + 'Type' => 'Struct' + }, + '78071' => { + 'BaseType' => '538', + 'Header' => 'types.h', + 'Line' => '141', + 'Name' => '__suseconds_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '7882' => { + 'Header' => 'verbs.h', + 'Line' => '1868', + 'Memb' => { + '0' => { + 'name' => '_dummy1', + 'offset' => '0', + 'type' => '7918' + }, + '1' => { + 'name' => '_dummy2', + 'offset' => '8', + 'type' => '7946' + } + }, + 'Name' => 'struct _ibv_device_ops', + 'Size' => '16', + 'Type' => 'Struct' + }, + '7918' => { + 'Name' => 'struct ibv_context*(*)(struct ibv_device*, int)', + 'Param' => { + '0' => { + 'type' => '7774' + }, + '1' => { + 'type' => '225' + } + }, + 'Return' => '7662', + 'Type' => 'FuncPtr' + }, + '7946' => { + 'Name' => 'void(*)(struct ibv_context*)', + 'Param' => { + '0' => { + 'type' => '7662' + } + }, + 'Return' => '1', + 'Type' => 'FuncPtr' + }, + '7958' => { + 'BaseType' => '225', + 'Header' => 'verbs.h', + 'Line' => '79', + 'Memb' => { + '0' => { + 'name' => 'IBV_NODE_UNKNOWN', + 'value' => '-1' + }, + '1' => { + 'name' => 'IBV_NODE_CA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_NODE_SWITCH', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_NODE_ROUTER', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_NODE_RNIC', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_NODE_USNIC', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_NODE_USNIC_UDP', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_NODE_UNSPECIFIED', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_node_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '8019' => { + 'BaseType' => '225', + 'Header' => 'verbs.h', + 'Line' => '90', + 'Memb' => { + '0' => { + 'name' => 'IBV_TRANSPORT_UNKNOWN', + 'value' => '-1' + }, + '1' => { + 'name' => 'IBV_TRANSPORT_IB', + 'value' => '0' + }, + '2' => { + 'name' => 'IBV_TRANSPORT_IWARP', + 'value' => '1' + }, + '3' => { + 'name' => 'IBV_TRANSPORT_USNIC', + 'value' => '2' + }, + '4' => { + 'name' => 'IBV_TRANSPORT_USNIC_UDP', + 'value' => '3' + }, + '5' => { + 'name' => 'IBV_TRANSPORT_UNSPECIFIED', + 'value' => '4' + } + }, + 'Name' => 'enum ibv_transport_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '8107' => { + 'Header' => 'verbs.h', + 'Line' => '1893', + 'Memb' => { + '0' => { + 'name' => '_compat_query_device', + 'offset' => '0', + 'type' => '8534' + }, + '1' => { + 'name' => '_compat_query_port', + 'offset' => '8', + 'type' => '8545' + }, + '10' => { + 'name' => '_compat_create_cq', + 'offset' => '80', + 'type' => '8534' + }, + '11' => { + 'name' => 'poll_cq', + 'offset' => '88', + 'type' => '10084' + }, + '12' => { + 'name' => 'req_notify_cq', + 'offset' => '96', + 'type' => '10638' + }, + '13' => { + 'name' => '_compat_cq_event', + 'offset' => '104', + 'type' => '8534' + }, + '14' => { + 'name' => '_compat_resize_cq', + 'offset' => '112', + 'type' => '8534' + }, + '15' => { + 'name' => '_compat_destroy_cq', + 'offset' => '120', + 'type' => '8534' + }, + '16' => { + 'name' => '_compat_create_srq', + 'offset' => '128', + 'type' => '8534' + }, + '17' => { + 'name' => '_compat_modify_srq', + 'offset' => '136', + 'type' => '8534' + }, + '18' => { + 'name' => '_compat_query_srq', + 'offset' => '144', + 'type' => '8534' + }, + '19' => { + 'name' => '_compat_destroy_srq', + 'offset' => '152', + 'type' => '8534' + }, + '2' => { + 'name' => '_compat_alloc_pd', + 'offset' => '16', + 'type' => '8534' + }, + '20' => { + 'name' => 'post_srq_recv', + 'offset' => '160', + 'type' => '10659' + }, + '21' => { + 'name' => '_compat_create_qp', + 'offset' => '168', + 'type' => '8534' + }, + '22' => { + 'name' => '_compat_query_qp', + 'offset' => '176', + 'type' => '8534' + }, + '23' => { + 'name' => '_compat_modify_qp', + 'offset' => '184', + 'type' => '8534' + }, + '24' => { + 'name' => '_compat_destroy_qp', + 'offset' => '192', + 'type' => '8534' + }, + '25' => { + 'name' => 'post_send', + 'offset' => '200', + 'type' => '10811' + }, + '26' => { + 'name' => 'post_recv', + 'offset' => '208', + 'type' => '11491' + }, + '27' => { + 'name' => '_compat_create_ah', + 'offset' => '216', + 'type' => '8534' + }, + '28' => { + 'name' => '_compat_destroy_ah', + 'offset' => '224', + 'type' => '8534' + }, + '29' => { + 'name' => '_compat_attach_mcast', + 'offset' => '232', + 'type' => '8534' + }, + '3' => { + 'name' => '_compat_dealloc_pd', + 'offset' => '24', + 'type' => '8534' + }, + '30' => { + 'name' => '_compat_detach_mcast', + 'offset' => '240', + 'type' => '8534' + }, + '31' => { + 'name' => '_compat_async_event', + 'offset' => '248', + 'type' => '8534' + }, + '4' => { + 'name' => '_compat_reg_mr', + 'offset' => '32', + 'type' => '8534' + }, + '5' => { + 'name' => '_compat_rereg_mr', + 'offset' => '40', + 'type' => '8534' + }, + '6' => { + 'name' => '_compat_dereg_mr', + 'offset' => '48', + 'type' => '8534' + }, + '7' => { + 'name' => 'alloc_mw', + 'offset' => '56', + 'type' => '8599' + }, + '8' => { + 'name' => 'bind_mw', + 'offset' => '64', + 'type' => '8785' + }, + '9' => { + 'name' => 'dealloc_mw', + 'offset' => '72', + 'type' => '10068' + } + }, + 'Name' => 'struct ibv_context_ops', + 'Size' => '256', + 'Type' => 'Struct' + }, + '833' => { + 'BaseType' => '844', + 'Header' => 'in.h', + 'Line' => '30', + 'Name' => 'in_addr_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '83806' => { + 'BaseType' => '2316', + 'Header' => 'types.h', + 'Line' => '86', + 'Name' => 'off_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '844' => { + 'BaseType' => '449', + 'Header' => 'stdint.h', + 'Line' => '51', + 'Name' => 'uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '8534' => { + 'Name' => 'void*(*)()', + 'Return' => '2369', + 'Type' => 'FuncPtr' + }, + '8545' => { + 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct _compat_ibv_port_attr*)', + 'Param' => { + '0' => { + 'type' => '7662' + }, + '1' => { + 'type' => '1152' + }, + '2' => { + 'type' => '8589' + } + }, + 'Return' => '225', + 'Type' => 'FuncPtr' + }, + '8589' => { + 'BaseType' => '8594', + 'Name' => 'struct _compat_ibv_port_attr*', + 'Type' => 'Pointer' + }, + '8594' => { + 'Name' => 'struct _compat_ibv_port_attr', + 'Type' => 'Struct' + }, + '8599' => { + 'Name' => 'struct ibv_mw*(*)(struct ibv_pd*, enum ibv_mw_type)', + 'Param' => { + '0' => { + 'type' => '8700' + }, + '1' => { + 'type' => '8759' + } + }, + 'Return' => '8620', + 'Type' => 'FuncPtr' + }, + '8620' => { + 'BaseType' => '8625', + 'Name' => 'struct ibv_mw*', + 'Size' => '32', + 'Type' => 'Pointer' + }, + '8625' => { + 'Header' => 'verbs.h', + 'Line' => '644', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7662' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '8700' + }, + '2' => { + 'name' => 'rkey', + 'offset' => '16', + 'type' => '844' + }, + '3' => { + 'name' => 'handle', + 'offset' => '20', + 'type' => '844' + }, + '4' => { + 'name' => 'type', + 'offset' => '24', + 'type' => '8759' + } + }, + 'Name' => 'struct ibv_mw', + 'Size' => '32', + 'Type' => 'Struct' + }, + '8700' => { + 'BaseType' => '8705', + 'Name' => 'struct ibv_pd*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '8705' => { + 'Header' => 'verbs.h', + 'Line' => '592', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7662' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '844' + } + }, + 'Name' => 'struct ibv_pd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '8759' => { + 'BaseType' => '449', + 'Header' => 'verbs.h', + 'Line' => '639', + 'Memb' => { + '0' => { + 'name' => 'IBV_MW_TYPE_1', + 'value' => '1' + }, + '1' => { + 'name' => 'IBV_MW_TYPE_2', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_mw_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '8785' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_mw*, struct ibv_mw_bind*)', + 'Param' => { + '0' => { + 'type' => '8811' + }, + '1' => { + 'type' => '8620' + }, + '2' => { + 'type' => '9817' + } + }, + 'Return' => '225', + 'Type' => 'FuncPtr' + }, + '8811' => { + 'BaseType' => '8816', + 'Name' => 'struct ibv_qp*', + 'Size' => '160', + 'Type' => 'Pointer' + }, + '8816' => { + 'Header' => 'verbs.h', + 'Line' => '1217', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7662' + }, + '1' => { + 'name' => 'qp_context', + 'offset' => '8', + 'type' => '2369' + }, + '10' => { + 'name' => 'mutex', + 'offset' => '64', + 'type' => '292' + }, + '11' => { + 'name' => 'cond', + 'offset' => '104', + 'type' => '9413' + }, + '12' => { + 'name' => 'events_completed', + 'offset' => '152', + 'type' => '844' + }, + '2' => { + 'name' => 'pd', + 'offset' => '16', + 'type' => '8700' + }, + '3' => { + 'name' => 'send_cq', + 'offset' => '24', + 'type' => '8995' + }, + '4' => { + 'name' => 'recv_cq', + 'offset' => '32', + 'type' => '8995' + }, + '5' => { + 'name' => 'srq', + 'offset' => '40', + 'type' => '9592' + }, + '6' => { + 'name' => 'handle', + 'offset' => '48', + 'type' => '844' + }, + '7' => { + 'name' => 'qp_num', + 'offset' => '52', + 'type' => '844' + }, + '8' => { + 'name' => 'state', + 'offset' => '56', + 'type' => '9698' + }, + '9' => { + 'name' => 'qp_type', + 'offset' => '60', + 'type' => '5068' + } + }, + 'Name' => 'struct ibv_qp', + 'Size' => '160', + 'Type' => 'Struct' + }, + '8995' => { + 'BaseType' => '9000', + 'Name' => 'struct ibv_cq*', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '9000' => { + 'Header' => 'verbs.h', + 'Line' => '1408', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7662' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '9127' + }, + '2' => { + 'name' => 'cq_context', + 'offset' => '16', + 'type' => '2369' + }, + '3' => { + 'name' => 'handle', + 'offset' => '24', + 'type' => '844' + }, + '4' => { + 'name' => 'cqe', + 'offset' => '28', + 'type' => '225' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '32', + 'type' => '292' + }, + '6' => { + 'name' => 'cond', + 'offset' => '72', + 'type' => '9413' + }, + '7' => { + 'name' => 'comp_events_completed', + 'offset' => '120', + 'type' => '844' + }, + '8' => { + 'name' => 'async_events_completed', + 'offset' => '124', + 'type' => '844' + } + }, + 'Name' => 'struct ibv_cq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '9127' => { + 'BaseType' => '9132', + 'Name' => 'struct ibv_comp_channel*', + 'Size' => '16', + 'Type' => 'Pointer' + }, + '9132' => { + 'Header' => 'verbs.h', + 'Line' => '1402', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7662' + }, + '1' => { + 'name' => 'fd', + 'offset' => '8', + 'type' => '225' + }, + '2' => { + 'name' => 'refcnt', + 'offset' => '12', + 'type' => '225' + } + }, + 'Name' => 'struct ibv_comp_channel', + 'Size' => '16', + 'Type' => 'Struct' + }, + '9413' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '154', + 'Memb' => { + '0' => { + 'name' => '__data', + 'offset' => '0', + 'type' => '9440' + }, + '1' => { + 'name' => '__size', + 'offset' => '0', + 'type' => '512' + }, + '2' => { + 'name' => '__align', + 'offset' => '0', + 'type' => '9585' + } + }, + 'Name' => 'union pthread_cond_t', + 'Size' => '48', + 'Type' => 'Union' + }, + '94284' => { + 'BaseType' => '72600', + 'Name' => 'struct msghdr*', + 'Size' => '56', + 'Type' => 'Pointer' + }, + '94289' => { + 'BaseType' => '94294', + 'Name' => 'struct msghdr const*', + 'Size' => '56', + 'Type' => 'Pointer' + }, + '94294' => { + 'BaseType' => '72600', + 'Name' => 'struct msghdr const', + 'Size' => '56', + 'Type' => 'Const' + }, + '9440' => { + 'Header' => 'pthreadtypes.h', + 'Line' => '141', + 'Memb' => { + '0' => { + 'name' => '__lock', + 'offset' => '0', + 'type' => '225' + }, + '1' => { + 'name' => '__futex', + 'offset' => '4', + 'type' => '449' + }, + '2' => { + 'name' => '__total_seq', + 'offset' => '8', + 'type' => '1071' + }, + '3' => { + 'name' => '__wakeup_seq', + 'offset' => '16', + 'type' => '1071' + }, + '4' => { + 'name' => '__woken_seq', + 'offset' => '24', + 'type' => '1071' + }, + '5' => { + 'name' => '__mutex', + 'offset' => '32', + 'type' => '2369' + }, + '6' => { + 'name' => '__nwaiters', + 'offset' => '40', + 'type' => '449' + }, + '7' => { + 'name' => '__broadcast_seq', + 'offset' => '44', + 'type' => '449' + } + }, + 'Name' => 'anon-struct-pthreadtypes.h-141', + 'Size' => '48', + 'Type' => 'Struct' + }, + '9585' => { + 'Name' => 'long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '9592' => { + 'BaseType' => '9597', + 'Name' => 'struct ibv_srq*', + 'Size' => '128', + 'Type' => 'Pointer' + }, + '9597' => { + 'Header' => 'verbs.h', + 'Line' => '1177', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7662' + }, + '1' => { + 'name' => 'srq_context', + 'offset' => '8', + 'type' => '2369' + }, + '2' => { + 'name' => 'pd', + 'offset' => '16', + 'type' => '8700' + }, + '3' => { + 'name' => 'handle', + 'offset' => '24', + 'type' => '844' + }, + '4' => { + 'name' => 'mutex', + 'offset' => '32', + 'type' => '292' + }, + '5' => { + 'name' => 'cond', + 'offset' => '72', + 'type' => '9413' + }, + '6' => { + 'name' => 'events_completed', + 'offset' => '120', + 'type' => '844' + } + }, + 'Name' => 'struct ibv_srq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '9698' => { + 'BaseType' => '449', + 'Header' => 'verbs.h', + 'Line' => '998', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_QPS_INIT', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_QPS_RTR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_QPS_RTS', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_QPS_SQD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_QPS_SQE', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_QPS_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_QPS_UNKNOWN', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_qp_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '9817' => { + 'BaseType' => '9822', + 'Name' => 'struct ibv_mw_bind*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '9822' => { + 'Header' => 'verbs.h', + 'Line' => '1171', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '3142' + }, + '1' => { + 'name' => 'send_flags', + 'offset' => '8', + 'type' => '449' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '16', + 'type' => '9889' + } + }, + 'Name' => 'struct ibv_mw_bind', + 'Size' => '48', + 'Type' => 'Struct' + }, + '9889' => { + 'Header' => 'verbs.h', + 'Line' => '585', + 'Memb' => { + '0' => { + 'name' => 'mr', + 'offset' => '0', + 'type' => '9951' + }, + '1' => { + 'name' => 'addr', + 'offset' => '8', + 'type' => '3142' + }, + '2' => { + 'name' => 'length', + 'offset' => '16', + 'type' => '3142' + }, + '3' => { + 'name' => 'mw_access_flags', + 'offset' => '24', + 'type' => '449' + } + }, + 'Name' => 'struct ibv_mw_bind_info', + 'Size' => '32', + 'Type' => 'Struct' + }, + '9951' => { + 'BaseType' => '9956', + 'Name' => 'struct ibv_mr*', + 'Size' => '48', + 'Type' => 'Pointer' + }, + '9956' => { + 'Header' => 'verbs.h', + 'Line' => '629', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '7662' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '8700' + }, + '2' => { + 'name' => 'addr', + 'offset' => '16', + 'type' => '2369' + }, + '3' => { + 'name' => 'length', + 'offset' => '24', + 'type' => '2370' + }, + '4' => { + 'name' => 'handle', + 'offset' => '32', + 'type' => '844' + }, + '5' => { + 'name' => 'lkey', + 'offset' => '36', + 'type' => '844' + }, + '6' => { + 'name' => 'rkey', + 'offset' => '40', + 'type' => '844' + } + }, + 'Name' => 'struct ibv_mr', + 'Size' => '48', + 'Type' => 'Struct' + } + }, + 'UndefinedSymbols' => { + 'librdmacm.so.1.2.26.0' => { + '_ITM_deregisterTMCloneTable' => 0, + '_ITM_registerTMCloneTable' => 0, + '__assert_fail@GLIBC_2.2.5' => 0, + '__cxa_finalize@GLIBC_2.2.5' => 0, + '__errno_location@GLIBC_2.2.5' => 0, + '__fxstat@GLIBC_2.2.5' => 0, + '__gmon_start__' => 0, + '__isoc99_fscanf@GLIBC_2.7' => 0, + '__strdup@GLIBC_2.2.5' => 0, + '__tls_get_addr@GLIBC_2.3' => 0, + 'asprintf@GLIBC_2.2.5' => 0, + 'bind@GLIBC_2.2.5' => 0, + 'calloc@GLIBC_2.2.5' => 0, + 'clock_gettime@GLIBC_2.17' => 0, + 'close@GLIBC_2.2.5' => 0, + 'connect@GLIBC_2.2.5' => 0, + 'epoll_create@GLIBC_2.3.2' => 0, + 'epoll_ctl@GLIBC_2.3.2' => 0, + 'epoll_wait@GLIBC_2.3.2' => 0, + 'eventfd@GLIBC_2.7' => 0, + 'fclose@GLIBC_2.2.5' => 0, + 'fcntl@GLIBC_2.2.5' => 0, + 'fopen@GLIBC_2.2.5' => 0, + 'free@GLIBC_2.2.5' => 0, + 'freeaddrinfo@GLIBC_2.2.5' => 0, + 'fscanf@GLIBC_2.2.5' => 0, + 'getaddrinfo@GLIBC_2.2.5' => 0, + 'getpeername@GLIBC_2.2.5' => 0, + 'getsockname@GLIBC_2.2.5' => 0, + 'ibv_ack_cq_events@IBVERBS_1.1' => 0, + 'ibv_alloc_pd@IBVERBS_1.1' => 0, + 'ibv_attach_mcast@IBVERBS_1.1' => 0, + 'ibv_close_device@IBVERBS_1.1' => 0, + 'ibv_copy_ah_attr_from_kern@IBVERBS_1.1' => 0, + 'ibv_copy_path_rec_from_kern@IBVERBS_1.0' => 0, + 'ibv_copy_qp_attr_from_kern@IBVERBS_1.0' => 0, + 'ibv_create_ah@IBVERBS_1.1' => 0, + 'ibv_create_comp_channel@IBVERBS_1.0' => 0, + 'ibv_create_cq@IBVERBS_1.1' => 0, + 'ibv_create_qp@IBVERBS_1.1' => 0, + 'ibv_create_srq@IBVERBS_1.1' => 0, + 'ibv_dealloc_pd@IBVERBS_1.1' => 0, + 'ibv_dereg_mr@IBVERBS_1.1' => 0, + 'ibv_destroy_ah@IBVERBS_1.1' => 0, + 'ibv_destroy_comp_channel@IBVERBS_1.0' => 0, + 'ibv_destroy_cq@IBVERBS_1.1' => 0, + 'ibv_destroy_qp@IBVERBS_1.1' => 0, + 'ibv_destroy_srq@IBVERBS_1.1' => 0, + 'ibv_detach_mcast@IBVERBS_1.1' => 0, + 'ibv_free_device_list@IBVERBS_1.1' => 0, + 'ibv_get_cq_event@IBVERBS_1.1' => 0, + 'ibv_get_device_guid@IBVERBS_1.1' => 0, + 'ibv_get_device_list@IBVERBS_1.1' => 0, + 'ibv_get_pkey_index@IBVERBS_1.5' => 0, + 'ibv_get_sysfs_path@IBVERBS_1.0' => 0, + 'ibv_modify_qp@IBVERBS_1.1' => 0, + 'ibv_open_device@IBVERBS_1.1' => 0, + 'ibv_query_device@IBVERBS_1.1' => 0, + 'ibv_query_gid@IBVERBS_1.1' => 0, + 'ibv_query_port@IBVERBS_1.1' => 0, + 'ibv_read_sysfs_file@IBVERBS_1.0' => 0, + 'ibv_reg_mr@IBVERBS_1.1' => 0, + 'in6addr_any@GLIBC_2.2.5' => 0, + 'in6addr_loopback@GLIBC_2.2.5' => 0, + 'inotify_add_watch@GLIBC_2.4' => 0, + 'inotify_init1@GLIBC_2.9' => 0, + 'malloc@GLIBC_2.2.5' => 0, + 'memcmp@GLIBC_2.2.5' => 0, + 'memcpy@GLIBC_2.14' => 0, + 'memset@GLIBC_2.2.5' => 0, + 'nl_connect' => 0, + 'nl_recvmsgs_default' => 0, + 'nl_send_auto' => 0, + 'nl_send_simple' => 0, + 'nl_socket_alloc' => 0, + 'nl_socket_disable_auto_ack' => 0, + 'nl_socket_disable_msg_peek' => 0, + 'nl_socket_free' => 0, + 'nl_socket_modify_cb' => 0, + 'nl_socket_modify_err_cb' => 0, + 'nla_get_string' => 0, + 'nla_get_u64' => 0, + 'nla_put' => 0, + 'nlmsg_alloc_simple' => 0, + 'nlmsg_free' => 0, + 'nlmsg_hdr' => 0, + 'nlmsg_parse' => 0, + 'open@GLIBC_2.2.5' => 0, + 'poll@GLIBC_2.2.5' => 0, + 'pthread_cond_destroy@GLIBC_2.3.2' => 0, + 'pthread_cond_init@GLIBC_2.3.2' => 0, + 'pthread_cond_signal@GLIBC_2.3.2' => 0, + 'pthread_cond_wait@GLIBC_2.3.2' => 0, + 'pthread_create@GLIBC_2.2.5' => 0, + 'pthread_join@GLIBC_2.2.5' => 0, + 'pthread_mutex_destroy@GLIBC_2.2.5' => 0, + 'pthread_mutex_init@GLIBC_2.2.5' => 0, + 'pthread_mutex_lock@GLIBC_2.2.5' => 0, + 'pthread_mutex_unlock@GLIBC_2.2.5' => 0, + 'pthread_yield@GLIBC_2.2.5' => 0, + 'read@GLIBC_2.2.5' => 0, + 'recv@GLIBC_2.2.5' => 0, + 'recvfrom@GLIBC_2.2.5' => 0, + 'sem_destroy@GLIBC_2.2.5' => 0, + 'sem_init@GLIBC_2.2.5' => 0, + 'sem_post@GLIBC_2.2.5' => 0, + 'sem_wait@GLIBC_2.2.5' => 0, + 'send@GLIBC_2.2.5' => 0, + 'sendmsg@GLIBC_2.2.5' => 0, + 'setsockopt@GLIBC_2.2.5' => 0, + 'shutdown@GLIBC_2.2.5' => 0, + 'snprintf@GLIBC_2.2.5' => 0, + 'socket@GLIBC_2.2.5' => 0, + 'socketpair@GLIBC_2.2.5' => 0, + 'strlen@GLIBC_2.2.5' => 0, + 'strtol@GLIBC_2.2.5' => 0, + 'syslog@GLIBC_2.2.5' => 0, + 'tdelete@GLIBC_2.2.5' => 0, + 'tdestroy@GLIBC_2.2.5' => 0, + 'tfind@GLIBC_2.2.5' => 0, + 'timerfd_create@GLIBC_2.8' => 0, + 'timerfd_settime@GLIBC_2.8' => 0, + 'tsearch@GLIBC_2.2.5' => 0, + 'write@GLIBC_2.2.5' => 0 + } + }, + 'WordSize' => '8' + }; -- 2.20.1