From 36179cfd96f57679f327ef12d6885dad82935bb8 Mon Sep 17 00:00:00 2001 From: CentOS Sources Date: Tue, 9 Nov 2021 05:08:32 -0500 Subject: [PATCH] import rdma-core-35.0-1.el8 --- .gitignore | 2 +- .rdma-core.metadata | 2 +- SOURCES/0001-ABI-Files.patch | 51546 ---------------- ...ix-cmd_fd-leak-in-mlx5_alloc_context.patch | 91 - ...for-i40e-device-with-specific-PCI-ID.patch | 71 + ...x-reported-error-code-from-create_cq.patch | 36 - ...x-reported-error-code-from-create_cq.patch | 36 - ...combining-writes-before-writing-to-t.patch | 86 - ...-specify-the-HCA-name-and-Port-numbe.patch | 78 - ...x-reported-error-code-from-create_cq.patch | 34 - ...-Set-XRC-functions-only-in-RoCE-mode.patch | 75 - ...overwrite-errno-returned-from-libibv.patch | 52 - ...-single-threaded-mode-for-shared-UAR.patch | 33 - ...id-ICM-depletion-on-multiple-domains.patch | 75 - ...C-UAR-as-default-but-fall-back-to-WC.patch | 41 - ...rrect-use-of-fl_roce_enabled-capabil.patch | 176 - ...e-parameter-in-wqe-signature-calcula.patch | 38 - .../0001-pyverbs-Add-mlx5dv-CQ-support.patch | 57 - .../0001-qedr-fix-USE_AFTER_FREE-issue.patch | 41 - ...Add-s-option-in-rdma_server-s-manual.patch | 34 - ...01-srp_daemon-Fix-systemd-dependency.patch | 34 - SOURCES/0001-tests-Add-mlx5-CQ-tests.patch | 358 - ...-CQE-compression-cap-before-using-it.patch | 45 - ...daddy-Fix-create_reply_ah-error-flow.patch | 71 - ...-with-RQ-in-max_recv_sge-s-documents.patch | 48 - ...-type-of-some-variables-in-documents.patch | 122 - ...ERNEL-as-default-interface-naming-co.patch | 23 +- SPECS/rdma-core.spec | 58 +- 28 files changed, 96 insertions(+), 53267 deletions(-) delete mode 100644 SOURCES/0001-ABI-Files.patch delete mode 100644 SOURCES/0001-Fix-cmd_fd-leak-in-mlx5_alloc_context.patch create mode 100644 SOURCES/0001-Only-load-i40iw-for-i40e-device-with-specific-PCI-ID.patch delete mode 100644 SOURCES/0001-bnxt_re-Fix-reported-error-code-from-create_cq.patch delete mode 100644 SOURCES/0001-cxgb4-Fix-reported-error-code-from-create_cq.patch delete mode 100644 SOURCES/0001-efa-Flush-write-combining-writes-before-writing-to-t.patch delete mode 100644 SOURCES/0001-infiniband-diags-specify-the-HCA-name-and-Port-numbe.patch delete mode 100644 SOURCES/0001-libqedr-Fix-reported-error-code-from-create_cq.patch delete mode 100644 SOURCES/0001-libqedr-Set-XRC-functions-only-in-RoCE-mode.patch delete mode 100644 SOURCES/0001-librdmacm-Don-t-overwrite-errno-returned-from-libibv.patch delete mode 100644 SOURCES/0001-mlx5-Consider-single-threaded-mode-for-shared-UAR.patch delete mode 100644 SOURCES/0001-mlx5-DR-Avoid-ICM-depletion-on-multiple-domains.patch delete mode 100644 SOURCES/0001-mlx5-DR-Create-NC-UAR-as-default-but-fall-back-to-WC.patch delete mode 100644 SOURCES/0001-mlx5-DR-Fix-incorrect-use-of-fl_roce_enabled-capabil.patch delete mode 100644 SOURCES/0001-mlx5-Fix-wqe-size-parameter-in-wqe-signature-calcula.patch delete mode 100644 SOURCES/0001-pyverbs-Add-mlx5dv-CQ-support.patch delete mode 100644 SOURCES/0001-qedr-fix-USE_AFTER_FREE-issue.patch delete mode 100644 SOURCES/0001-rdma_server-Add-s-option-in-rdma_server-s-manual.patch delete mode 100644 SOURCES/0001-srp_daemon-Fix-systemd-dependency.patch delete mode 100644 SOURCES/0001-tests-Add-mlx5-CQ-tests.patch delete mode 100644 SOURCES/0001-tests-Check-CQE-compression-cap-before-using-it.patch delete mode 100644 SOURCES/0001-udaddy-Fix-create_reply_ah-error-flow.patch delete mode 100644 SOURCES/0001-verbs-Replace-SQ-with-RQ-in-max_recv_sge-s-documents.patch delete mode 100644 SOURCES/0001-verbs-Update-the-type-of-some-variables-in-documents.patch diff --git a/.gitignore b/.gitignore index 1349132..4d96517 100644 --- a/.gitignore +++ b/.gitignore @@ -1,2 +1,2 @@ -SOURCES/rdma-core-32.0.tar.gz +SOURCES/rdma-core-35.0.tar.gz SOURCES/rxe_cfg.8.gz diff --git a/.rdma-core.metadata b/.rdma-core.metadata index c7514ec..e647ac3 100644 --- a/.rdma-core.metadata +++ b/.rdma-core.metadata @@ -1,2 +1,2 @@ -9b86b0000880648824ffdb11dde4d06c206f2536 SOURCES/rdma-core-32.0.tar.gz +de4b0a7d11ba10b4d35687a6dce8d3d6a60df342 SOURCES/rdma-core-35.0.tar.gz 9187638355d9bee854989bbfc6c2956301fd52aa SOURCES/rxe_cfg.8.gz diff --git a/SOURCES/0001-ABI-Files.patch b/SOURCES/0001-ABI-Files.patch deleted file mode 100644 index c0c74de..0000000 --- a/SOURCES/0001-ABI-Files.patch +++ /dev/null @@ -1,51546 +0,0 @@ -From 5d58cd7344c67d54ec80fe53b19653caf5dd7658 Mon Sep 17 00:00:00 2001 -From: Nicolas Morey-Chaisemartin -Date: Mon, 2 Nov 2020 10:39:37 +0100 -Subject: [PATCH] ABI Files - -Signed-off-by: Nicolas Morey-Chaisemartin ---- - ABI/.gitignore | 0 - ABI/efa.dump | 2451 ++++++ - ABI/ibmad.dump | 7386 +++++++++++++++++ - ABI/ibnetdisc.dump | 1145 +++ - ABI/ibumad.dump | 1427 ++++ - ABI/ibverbs.dump | 18507 +++++++++++++++++++++++++++++++++++++++++++ - ABI/mlx4.dump | 2967 +++++++ - ABI/mlx5.dump | 11976 ++++++++++++++++++++++++++++ - ABI/rdmacm.dump | 5606 +++++++++++++ - 9 files changed, 51465 insertions(+) - create mode 100644 ABI/.gitignore - create mode 100644 ABI/efa.dump - create mode 100644 ABI/ibmad.dump - create mode 100644 ABI/ibnetdisc.dump - create mode 100644 ABI/ibumad.dump - create mode 100644 ABI/ibverbs.dump - create mode 100644 ABI/mlx4.dump - create mode 100644 ABI/mlx5.dump - create mode 100644 ABI/rdmacm.dump - -diff --git a/ABI/.gitignore b/ABI/.gitignore -new file mode 100644 -index 000000000000..e69de29bb2d1 -diff --git a/ABI/efa.dump b/ABI/efa.dump -new file mode 100644 -index 000000000000..0fb2f40344a9 ---- /dev/null -+++ b/ABI/efa.dump -@@ -0,0 +1,2451 @@ -+$VAR1 = { -+ 'ABI_DUMPER_VERSION' => '1.1', -+ 'ABI_DUMP_VERSION' => '3.5', -+ 'Arch' => 'x86_64', -+ 'GccVersion' => '10.2.0', -+ 'Headers' => {}, -+ 'Language' => 'C', -+ 'LibraryName' => 'libefa.so.1.1.32.0', -+ 'LibraryVersion' => 'efa', -+ 'MissedOffsets' => '1', -+ 'MissedRegs' => '1', -+ 'NameSpaces' => {}, -+ 'Needed' => { -+ 'libc.so.6' => 1, -+ 'libibverbs.so.1' => 1, -+ 'libpthread.so.0' => 1 -+ }, -+ 'Sources' => {}, -+ 'SymbolInfo' => { -+ '49192' => { -+ 'Header' => undef, -+ 'Line' => '1934', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ibvah', -+ 'type' => '10321' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '49422' -+ }, -+ '2' => { -+ 'name' => 'inlen', -+ 'type' => '2203' -+ } -+ }, -+ 'Return' => '121', -+ 'ShortName' => 'efadv_query_ah' -+ }, -+ '59434' => { -+ 'Header' => undef, -+ 'Line' => '1229', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ibvctx', -+ 'type' => '3849' -+ }, -+ '1' => { -+ 'name' => 'attr_ex', -+ 'type' => '16431' -+ }, -+ '2' => { -+ 'name' => 'efa_attr', -+ 'type' => '59790' -+ }, -+ '3' => { -+ 'name' => 'inlen', -+ 'type' => '2203' -+ } -+ }, -+ 'Return' => '6209', -+ 'ShortName' => 'efadv_create_qp_ex' -+ }, -+ '59796' => { -+ 'Header' => undef, -+ 'Line' => '1199', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ibvpd', -+ 'type' => '7601' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '19337' -+ }, -+ '2' => { -+ 'name' => 'driver_qp_type', -+ 'type' => '2203' -+ } -+ }, -+ 'Return' => '6209', -+ 'ShortName' => 'efadv_create_driver_qp' -+ }, -+ '70816' => { -+ 'Header' => undef, -+ 'Line' => '125', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ibvctx', -+ 'type' => '3849' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '71061' -+ }, -+ '2' => { -+ 'name' => 'inlen', -+ 'type' => '2203' -+ } -+ }, -+ 'Return' => '121', -+ 'ShortName' => 'efadv_query_device' -+ } -+ }, -+ 'SymbolVersion' => { -+ 'efadv_create_driver_qp' => 'efadv_create_driver_qp@@EFA_1.0', -+ 'efadv_create_qp_ex' => 'efadv_create_qp_ex@@EFA_1.1', -+ 'efadv_query_ah' => 'efadv_query_ah@@EFA_1.1', -+ 'efadv_query_device' => 'efadv_query_device@@EFA_1.1' -+ }, -+ 'Symbols' => { -+ 'libefa.so.1.1.32.0' => { -+ 'efadv_create_driver_qp@@EFA_1.0' => 1, -+ 'efadv_create_qp_ex@@EFA_1.1' => 1, -+ 'efadv_query_ah@@EFA_1.1' => 1, -+ 'efadv_query_device@@EFA_1.1' => 1 -+ } -+ }, -+ 'Target' => 'unix', -+ 'TypeInfo' => { -+ '1' => { -+ 'Name' => 'void', -+ 'Type' => 'Intrinsic' -+ }, -+ '10008' => { -+ 'Header' => undef, -+ 'Line' => '1095', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'addr', -+ 'offset' => '0', -+ 'type' => '2215' -+ }, -+ '1' => { -+ 'name' => 'length', -+ 'offset' => '8', -+ 'type' => '2203' -+ }, -+ '2' => { -+ 'name' => 'lkey', -+ 'offset' => '12', -+ 'type' => '2203' -+ } -+ }, -+ 'Name' => 'struct ibv_sge', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '10070' => { -+ 'Header' => undef, -+ 'Line' => '1111', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'imm_data', -+ 'offset' => '0', -+ 'type' => '2316' -+ }, -+ '1' => { -+ 'name' => 'invalidate_rkey', -+ 'offset' => '0', -+ 'type' => '2203' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Union' -+ }, -+ '10107' => { -+ 'Header' => undef, -+ 'Line' => '1116', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'remote_addr', -+ 'offset' => '0', -+ 'type' => '2215' -+ }, -+ '1' => { -+ 'name' => 'rkey', -+ 'offset' => '8', -+ 'type' => '2203' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '10146' => { -+ 'Header' => undef, -+ 'Line' => '1120', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'remote_addr', -+ 'offset' => '0', -+ 'type' => '2215' -+ }, -+ '1' => { -+ 'name' => 'compare_add', -+ 'offset' => '8', -+ 'type' => '2215' -+ }, -+ '2' => { -+ 'name' => 'swap', -+ 'offset' => '16', -+ 'type' => '2215' -+ }, -+ '3' => { -+ 'name' => 'rkey', -+ 'offset' => '24', -+ 'type' => '2203' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '10213' => { -+ 'Header' => undef, -+ 'Line' => '1126', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'ah', -+ 'offset' => '0', -+ 'type' => '10321' -+ }, -+ '1' => { -+ 'name' => 'remote_qpn', -+ 'offset' => '8', -+ 'type' => '2203' -+ }, -+ '2' => { -+ 'name' => 'remote_qkey', -+ 'offset' => '12', -+ 'type' => '2203' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '10265' => { -+ 'Header' => undef, -+ 'Line' => '1626', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '3849' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'offset' => '8', -+ 'type' => '7601' -+ }, -+ '2' => { -+ 'name' => 'handle', -+ 'offset' => '16', -+ 'type' => '2203' -+ } -+ }, -+ 'Name' => 'struct ibv_ah', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '10321' => { -+ 'BaseType' => '10265', -+ 'Name' => 'struct ibv_ah*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10327' => { -+ 'Header' => undef, -+ 'Line' => '1115', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rdma', -+ 'offset' => '0', -+ 'type' => '10107' -+ }, -+ '1' => { -+ 'name' => 'atomic', -+ 'offset' => '0', -+ 'type' => '10146' -+ }, -+ '2' => { -+ 'name' => 'ud', -+ 'offset' => '0', -+ 'type' => '10213' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Union' -+ }, -+ '10376' => { -+ 'Header' => undef, -+ 'Line' => '1133', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'remote_srqn', -+ 'offset' => '0', -+ 'type' => '2203' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '10401' => { -+ 'Header' => undef, -+ 'Line' => '1132', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'xrc', -+ 'offset' => '0', -+ 'type' => '10376' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Union' -+ }, -+ '10425' => { -+ 'Header' => undef, -+ 'Line' => '1138', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'mw', -+ 'offset' => '0', -+ 'type' => '10477' -+ }, -+ '1' => { -+ 'name' => 'rkey', -+ 'offset' => '8', -+ 'type' => '2203' -+ }, -+ '2' => { -+ 'name' => 'bind_info', -+ 'offset' => '16', -+ 'type' => '7222' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '10477' => { -+ 'BaseType' => '7639', -+ 'Name' => 'struct ibv_mw*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10483' => { -+ 'Header' => undef, -+ 'Line' => '1143', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '67' -+ }, -+ '1' => { -+ 'name' => 'hdr_sz', -+ 'offset' => '8', -+ 'type' => '2191' -+ }, -+ '2' => { -+ 'name' => 'mss', -+ 'offset' => '10', -+ 'type' => '2191' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '10536' => { -+ 'Header' => undef, -+ 'Line' => '1137', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'bind_mw', -+ 'offset' => '0', -+ 'type' => '10425' -+ }, -+ '1' => { -+ 'name' => 'tso', -+ 'offset' => '0', -+ 'type' => '10483' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Union' -+ }, -+ '10573' => { -+ 'Header' => undef, -+ 'Line' => '1101', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '2215' -+ }, -+ '1' => { -+ 'name' => 'next', -+ 'offset' => '8', -+ 'type' => '10711' -+ }, -+ '2' => { -+ 'name' => 'sg_list', -+ 'offset' => '16', -+ 'type' => '10717' -+ }, -+ '3' => { -+ 'name' => 'num_sge', -+ 'offset' => '24', -+ 'type' => '121' -+ }, -+ '4' => { -+ 'name' => 'opcode', -+ 'offset' => '28', -+ 'type' => '9868' -+ }, -+ '5' => { -+ 'name' => 'send_flags', -+ 'offset' => '32', -+ 'type' => '60' -+ }, -+ '6' => { -+ 'name' => 'unnamed0', -+ 'offset' => '36', -+ 'type' => '10070' -+ }, -+ '7' => { -+ 'name' => 'wr', -+ 'offset' => '40', -+ 'type' => '10327' -+ }, -+ '8' => { -+ 'name' => 'qp_type', -+ 'offset' => '72', -+ 'type' => '10401' -+ }, -+ '9' => { -+ 'name' => 'unnamed1', -+ 'offset' => '80', -+ 'type' => '10536' -+ } -+ }, -+ 'Name' => 'struct ibv_send_wr', -+ 'Size' => '128', -+ 'Type' => 'Struct' -+ }, -+ '10711' => { -+ 'BaseType' => '10573', -+ 'Name' => 'struct ibv_send_wr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10717' => { -+ 'BaseType' => '10008', -+ 'Name' => 'struct ibv_sge*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10723' => { -+ 'Header' => undef, -+ 'Line' => '1151', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '2215' -+ }, -+ '1' => { -+ 'name' => 'next', -+ 'offset' => '8', -+ 'type' => '10794' -+ }, -+ '2' => { -+ 'name' => 'sg_list', -+ 'offset' => '16', -+ 'type' => '10717' -+ }, -+ '3' => { -+ 'name' => 'num_sge', -+ 'offset' => '24', -+ 'type' => '121' -+ } -+ }, -+ 'Name' => 'struct ibv_recv_wr', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '10794' => { -+ 'BaseType' => '10723', -+ 'Name' => 'struct ibv_recv_wr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '109' => { -+ 'BaseType' => '76', -+ 'Header' => undef, -+ 'Line' => '40', -+ 'Name' => '__uint16_t', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '11062' => { -+ 'Header' => undef, -+ 'Line' => '1187', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '2215' -+ }, -+ '1' => { -+ 'name' => 'send_flags', -+ 'offset' => '8', -+ 'type' => '60' -+ }, -+ '2' => { -+ 'name' => 'bind_info', -+ 'offset' => '16', -+ 'type' => '7222' -+ } -+ }, -+ 'Name' => 'struct ibv_mw_bind', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '11144' => { -+ 'BaseType' => '10794', -+ 'Name' => 'struct ibv_recv_wr**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '12019' => { -+ 'Header' => undef, -+ 'Line' => '1433', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '3849' -+ }, -+ '1' => { -+ 'name' => 'fd', -+ 'offset' => '8', -+ 'type' => '121' -+ }, -+ '2' => { -+ 'name' => 'refcnt', -+ 'offset' => '12', -+ 'type' => '121' -+ } -+ }, -+ 'Name' => 'struct ibv_comp_channel', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '12075' => { -+ 'BaseType' => '12019', -+ 'Name' => 'struct ibv_comp_channel*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '121' => { -+ 'Name' => 'int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '133' => { -+ 'BaseType' => '60', -+ 'Header' => undef, -+ 'Line' => '42', -+ 'Name' => '__uint32_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '13369' => { -+ 'Header' => undef, -+ 'Line' => '1899', -+ 'Memb' => { -+ '0' => { -+ 'name' => '_dummy1', -+ 'offset' => '0', -+ 'type' => '13558' -+ }, -+ '1' => { -+ 'name' => '_dummy2', -+ 'offset' => '8', -+ 'type' => '13575' -+ } -+ }, -+ 'Name' => 'struct _ibv_device_ops', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '13432' => { -+ 'BaseType' => '13438', -+ 'Name' => 'struct ibv_device*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '13438' => { -+ 'Header' => undef, -+ 'Line' => '1909', -+ 'Memb' => { -+ '0' => { -+ 'name' => '_ops', -+ 'offset' => '0', -+ 'type' => '13369' -+ }, -+ '1' => { -+ 'name' => 'node_type', -+ 'offset' => '16', -+ 'type' => '3452' -+ }, -+ '2' => { -+ 'name' => 'transport_type', -+ 'offset' => '20', -+ 'type' => '3519' -+ }, -+ '3' => { -+ 'name' => 'name', -+ 'offset' => '24', -+ 'type' => '4467' -+ }, -+ '4' => { -+ 'name' => 'dev_name', -+ 'offset' => '88', -+ 'type' => '4467' -+ }, -+ '5' => { -+ 'name' => 'dev_path', -+ 'offset' => '152', -+ 'type' => '13610' -+ }, -+ '6' => { -+ 'name' => 'ibdev_path', -+ 'offset' => '408', -+ 'type' => '13610' -+ } -+ }, -+ 'Name' => 'struct ibv_device', -+ 'Size' => '664', -+ 'Type' => 'Struct' -+ }, -+ '13558' => { -+ 'Name' => 'struct ibv_context*(*)(struct ibv_device*, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '13432' -+ }, -+ '1' => { -+ 'type' => '121' -+ } -+ }, -+ 'Return' => '3849', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '13575' => { -+ 'Name' => 'void(*)(struct ibv_context*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '3849' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '13610' => { -+ 'BaseType' => '200', -+ 'Name' => 'char[256]', -+ 'Size' => '256', -+ 'Type' => 'Array' -+ }, -+ '13626' => { -+ 'Header' => undef, -+ 'Line' => '1924', -+ 'Memb' => { -+ '0' => { -+ 'name' => '_compat_query_device', -+ 'offset' => '0', -+ 'type' => '14095' -+ }, -+ '1' => { -+ 'name' => '_compat_query_port', -+ 'offset' => '8', -+ 'type' => '14137' -+ }, -+ '10' => { -+ 'name' => '_compat_create_cq', -+ 'offset' => '80', -+ 'type' => '14095' -+ }, -+ '11' => { -+ 'name' => 'poll_cq', -+ 'offset' => '88', -+ 'type' => '14258' -+ }, -+ '12' => { -+ 'name' => 'req_notify_cq', -+ 'offset' => '96', -+ 'type' => '14284' -+ }, -+ '13' => { -+ 'name' => '_compat_cq_event', -+ 'offset' => '104', -+ 'type' => '14095' -+ }, -+ '14' => { -+ 'name' => '_compat_resize_cq', -+ 'offset' => '112', -+ 'type' => '14095' -+ }, -+ '15' => { -+ 'name' => '_compat_destroy_cq', -+ 'offset' => '120', -+ 'type' => '14095' -+ }, -+ '16' => { -+ 'name' => '_compat_create_srq', -+ 'offset' => '128', -+ 'type' => '14095' -+ }, -+ '17' => { -+ 'name' => '_compat_modify_srq', -+ 'offset' => '136', -+ 'type' => '14095' -+ }, -+ '18' => { -+ 'name' => '_compat_query_srq', -+ 'offset' => '144', -+ 'type' => '14095' -+ }, -+ '19' => { -+ 'name' => '_compat_destroy_srq', -+ 'offset' => '152', -+ 'type' => '14095' -+ }, -+ '2' => { -+ 'name' => '_compat_alloc_pd', -+ 'offset' => '16', -+ 'type' => '14095' -+ }, -+ '20' => { -+ 'name' => 'post_srq_recv', -+ 'offset' => '160', -+ 'type' => '14315' -+ }, -+ '21' => { -+ 'name' => '_compat_create_qp', -+ 'offset' => '168', -+ 'type' => '14095' -+ }, -+ '22' => { -+ 'name' => '_compat_query_qp', -+ 'offset' => '176', -+ 'type' => '14095' -+ }, -+ '23' => { -+ 'name' => '_compat_modify_qp', -+ 'offset' => '184', -+ 'type' => '14095' -+ }, -+ '24' => { -+ 'name' => '_compat_destroy_qp', -+ 'offset' => '192', -+ 'type' => '14095' -+ }, -+ '25' => { -+ 'name' => 'post_send', -+ 'offset' => '200', -+ 'type' => '14352' -+ }, -+ '26' => { -+ 'name' => 'post_recv', -+ 'offset' => '208', -+ 'type' => '14383' -+ }, -+ '27' => { -+ 'name' => '_compat_create_ah', -+ 'offset' => '216', -+ 'type' => '14095' -+ }, -+ '28' => { -+ 'name' => '_compat_destroy_ah', -+ 'offset' => '224', -+ 'type' => '14095' -+ }, -+ '29' => { -+ 'name' => '_compat_attach_mcast', -+ 'offset' => '232', -+ 'type' => '14095' -+ }, -+ '3' => { -+ 'name' => '_compat_dealloc_pd', -+ 'offset' => '24', -+ 'type' => '14095' -+ }, -+ '30' => { -+ 'name' => '_compat_detach_mcast', -+ 'offset' => '240', -+ 'type' => '14095' -+ }, -+ '31' => { -+ 'name' => '_compat_async_event', -+ 'offset' => '248', -+ 'type' => '14095' -+ }, -+ '4' => { -+ 'name' => '_compat_reg_mr', -+ 'offset' => '32', -+ 'type' => '14095' -+ }, -+ '5' => { -+ 'name' => '_compat_rereg_mr', -+ 'offset' => '40', -+ 'type' => '14095' -+ }, -+ '6' => { -+ 'name' => '_compat_dereg_mr', -+ 'offset' => '48', -+ 'type' => '14095' -+ }, -+ '7' => { -+ 'name' => 'alloc_mw', -+ 'offset' => '56', -+ 'type' => '14163' -+ }, -+ '8' => { -+ 'name' => 'bind_mw', -+ 'offset' => '64', -+ 'type' => '14200' -+ }, -+ '9' => { -+ 'name' => 'dealloc_mw', -+ 'offset' => '72', -+ 'type' => '14221' -+ } -+ }, -+ 'Name' => 'struct ibv_context_ops', -+ 'Size' => '256', -+ 'Type' => 'Struct' -+ }, -+ '14095' => { -+ 'Name' => 'void*(*)()', -+ 'Return' => '67', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '14126' => { -+ 'BaseType' => '14132', -+ 'Name' => 'struct _compat_ibv_port_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '14132' => { -+ 'Name' => 'struct _compat_ibv_port_attr', -+ 'Type' => 'Struct' -+ }, -+ '14137' => { -+ 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct _compat_ibv_port_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '3849' -+ }, -+ '1' => { -+ 'type' => '2179' -+ }, -+ '2' => { -+ 'type' => '14126' -+ } -+ }, -+ 'Return' => '121', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '14163' => { -+ 'Name' => 'struct ibv_mw*(*)(struct ibv_pd*, enum ibv_mw_type)', -+ 'Param' => { -+ '0' => { -+ 'type' => '7601' -+ }, -+ '1' => { -+ 'type' => '7607' -+ } -+ }, -+ 'Return' => '10477', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '14194' => { -+ 'BaseType' => '11062', -+ 'Name' => 'struct ibv_mw_bind*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '14200' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_mw*, struct ibv_mw_bind*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6209' -+ }, -+ '1' => { -+ 'type' => '10477' -+ }, -+ '2' => { -+ 'type' => '14194' -+ } -+ }, -+ 'Return' => '121', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '14221' => { -+ 'Name' => 'int(*)(struct ibv_mw*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10477' -+ } -+ }, -+ 'Return' => '121', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '14252' => { -+ 'BaseType' => '7034', -+ 'Name' => 'struct ibv_wc*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '14258' => { -+ 'Name' => 'int(*)(struct ibv_cq*, int, struct ibv_wc*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6007' -+ }, -+ '1' => { -+ 'type' => '121' -+ }, -+ '2' => { -+ 'type' => '14252' -+ } -+ }, -+ 'Return' => '121', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '14284' => { -+ 'Name' => 'int(*)(struct ibv_cq*, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6007' -+ }, -+ '1' => { -+ 'type' => '121' -+ } -+ }, -+ 'Return' => '121', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '14315' => { -+ 'Name' => 'int(*)(struct ibv_srq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6327' -+ }, -+ '1' => { -+ 'type' => '10794' -+ }, -+ '2' => { -+ 'type' => '11144' -+ } -+ }, -+ 'Return' => '121', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '14346' => { -+ 'BaseType' => '10711', -+ 'Name' => 'struct ibv_send_wr**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '14352' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_send_wr*, struct ibv_send_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6209' -+ }, -+ '1' => { -+ 'type' => '10711' -+ }, -+ '2' => { -+ 'type' => '14346' -+ } -+ }, -+ 'Return' => '121', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '14383' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_recv_wr*, struct ibv_recv_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6209' -+ }, -+ '1' => { -+ 'type' => '10794' -+ }, -+ '2' => { -+ 'type' => '11144' -+ } -+ }, -+ 'Return' => '121', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '152' => { -+ 'BaseType' => '53', -+ 'Header' => undef, -+ 'Line' => '45', -+ 'Name' => '__uint64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '16431' => { -+ 'BaseType' => '8983', -+ 'Name' => 'struct ibv_qp_init_attr_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '19337' => { -+ 'BaseType' => '8793', -+ 'Name' => 'struct ibv_qp_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '200' => { -+ 'Name' => 'char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '2179' => { -+ 'BaseType' => '90', -+ 'Header' => undef, -+ 'Line' => '24', -+ 'Name' => 'uint8_t', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ }, -+ '2191' => { -+ 'BaseType' => '109', -+ 'Header' => undef, -+ 'Line' => '25', -+ 'Name' => 'uint16_t', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '2203' => { -+ 'BaseType' => '133', -+ 'Header' => undef, -+ 'Line' => '26', -+ 'Name' => 'uint32_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '2215' => { -+ 'BaseType' => '152', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => 'uint64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '2292' => { -+ 'BaseType' => '60', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => '__u32', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '2316' => { -+ 'BaseType' => '2292', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => '__be32', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '3452' => { -+ 'Header' => undef, -+ 'Line' => '94', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_NODE_UNKNOWN', -+ 'value' => '18446744073709551615 (-1)' -+ }, -+ '1' => { -+ 'name' => 'IBV_NODE_CA', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_NODE_SWITCH', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_NODE_ROUTER', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_NODE_RNIC', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_NODE_USNIC', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_NODE_USNIC_UDP', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_NODE_UNSPECIFIED', -+ 'value' => '7' -+ } -+ }, -+ 'Name' => 'enum ibv_node_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '3519' => { -+ 'Header' => undef, -+ 'Line' => '105', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_TRANSPORT_UNKNOWN', -+ 'value' => '18446744073709551615 (-1)' -+ }, -+ '1' => { -+ 'name' => 'IBV_TRANSPORT_IB', -+ 'value' => '0' -+ }, -+ '2' => { -+ 'name' => 'IBV_TRANSPORT_IWARP', -+ 'value' => '1' -+ }, -+ '3' => { -+ 'name' => 'IBV_TRANSPORT_USNIC', -+ 'value' => '2' -+ }, -+ '4' => { -+ 'name' => 'IBV_TRANSPORT_USNIC_UDP', -+ 'value' => '3' -+ }, -+ '5' => { -+ 'name' => 'IBV_TRANSPORT_UNSPECIFIED', -+ 'value' => '4' -+ } -+ }, -+ 'Name' => 'enum ibv_transport_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '3730' => { -+ 'Header' => undef, -+ 'Line' => '1966', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'device', -+ 'offset' => '0', -+ 'type' => '13432' -+ }, -+ '1' => { -+ 'name' => 'ops', -+ 'offset' => '8', -+ 'type' => '13626' -+ }, -+ '2' => { -+ 'name' => 'cmd_fd', -+ 'offset' => '264', -+ 'type' => '121' -+ }, -+ '3' => { -+ 'name' => 'async_fd', -+ 'offset' => '268', -+ 'type' => '121' -+ }, -+ '4' => { -+ 'name' => 'num_comp_vectors', -+ 'offset' => '272', -+ 'type' => '121' -+ }, -+ '5' => { -+ 'name' => 'mutex', -+ 'offset' => '280', -+ 'type' => '769' -+ }, -+ '6' => { -+ 'name' => 'abi_compat', -+ 'offset' => '320', -+ 'type' => '67' -+ } -+ }, -+ 'Name' => 'struct ibv_context', -+ 'Size' => '328', -+ 'Type' => 'Struct' -+ }, -+ '38013' => { -+ 'BaseType' => '2179', -+ 'Name' => 'uint8_t[6]', -+ 'Size' => '6', -+ 'Type' => 'Array' -+ }, -+ '3849' => { -+ 'BaseType' => '3730', -+ 'Name' => 'struct ibv_context*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '41' => { -+ 'BaseType' => '53', -+ 'Header' => undef, -+ 'Line' => '209', -+ 'Name' => 'size_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '4467' => { -+ 'BaseType' => '200', -+ 'Name' => 'char[64]', -+ 'Size' => '64', -+ 'Type' => 'Array' -+ }, -+ '48417' => { -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '2215' -+ }, -+ '1' => { -+ 'name' => 'driver_qp_type', -+ 'offset' => '8', -+ 'type' => '2203' -+ }, -+ '2' => { -+ 'name' => 'reserved', -+ 'offset' => '12', -+ 'type' => '48470' -+ } -+ }, -+ 'Name' => 'struct efadv_qp_init_attr', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '48470' => { -+ 'BaseType' => '2179', -+ 'Name' => 'uint8_t[4]', -+ 'Size' => '4', -+ 'Type' => 'Array' -+ }, -+ '48513' => { -+ 'Header' => undef, -+ 'Line' => '43', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '2215' -+ }, -+ '1' => { -+ 'name' => 'max_sq_wr', -+ 'offset' => '8', -+ 'type' => '2203' -+ }, -+ '2' => { -+ 'name' => 'max_rq_wr', -+ 'offset' => '12', -+ 'type' => '2203' -+ }, -+ '3' => { -+ 'name' => 'max_sq_sge', -+ 'offset' => '16', -+ 'type' => '2191' -+ }, -+ '4' => { -+ 'name' => 'max_rq_sge', -+ 'offset' => '18', -+ 'type' => '2191' -+ }, -+ '5' => { -+ 'name' => 'inline_buf_size', -+ 'offset' => '20', -+ 'type' => '2191' -+ }, -+ '6' => { -+ 'name' => 'reserved', -+ 'offset' => '22', -+ 'type' => '48644' -+ }, -+ '7' => { -+ 'name' => 'device_caps', -+ 'offset' => '24', -+ 'type' => '2203' -+ }, -+ '8' => { -+ 'name' => 'max_rdma_size', -+ 'offset' => '28', -+ 'type' => '2203' -+ } -+ }, -+ 'Name' => 'struct efadv_device_attr', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '48644' => { -+ 'BaseType' => '2179', -+ 'Name' => 'uint8_t[2]', -+ 'Size' => '2', -+ 'Type' => 'Array' -+ }, -+ '48660' => { -+ 'Header' => undef, -+ 'Line' => '59', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '2215' -+ }, -+ '1' => { -+ 'name' => 'ahn', -+ 'offset' => '8', -+ 'type' => '2191' -+ }, -+ '2' => { -+ 'name' => 'reserved', -+ 'offset' => '10', -+ 'type' => '38013' -+ } -+ }, -+ 'Name' => 'struct efadv_ah_attr', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '49422' => { -+ 'BaseType' => '48660', -+ 'Name' => 'struct efadv_ah_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '53' => { -+ 'Name' => 'unsigned long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '5866' => { -+ 'Header' => undef, -+ 'Line' => '1439', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '3849' -+ }, -+ '1' => { -+ 'name' => 'channel', -+ 'offset' => '8', -+ 'type' => '12075' -+ }, -+ '2' => { -+ 'name' => 'cq_context', -+ 'offset' => '16', -+ 'type' => '67' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '24', -+ 'type' => '2203' -+ }, -+ '4' => { -+ 'name' => 'cqe', -+ 'offset' => '28', -+ 'type' => '121' -+ }, -+ '5' => { -+ 'name' => 'mutex', -+ 'offset' => '32', -+ 'type' => '769' -+ }, -+ '6' => { -+ 'name' => 'cond', -+ 'offset' => '72', -+ 'type' => '843' -+ }, -+ '7' => { -+ 'name' => 'comp_events_completed', -+ 'offset' => '120', -+ 'type' => '2203' -+ }, -+ '8' => { -+ 'name' => 'async_events_completed', -+ 'offset' => '124', -+ 'type' => '2203' -+ } -+ }, -+ 'Name' => 'struct ibv_cq', -+ 'Size' => '128', -+ 'Type' => 'Struct' -+ }, -+ '59790' => { -+ 'BaseType' => '48417', -+ 'Name' => 'struct efadv_qp_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '60' => { -+ 'Name' => 'unsigned int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '6007' => { -+ 'BaseType' => '5866', -+ 'Name' => 'struct ibv_cq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '6013' => { -+ 'Header' => undef, -+ 'Line' => '1233', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '3849' -+ }, -+ '1' => { -+ 'name' => 'qp_context', -+ 'offset' => '8', -+ 'type' => '67' -+ }, -+ '10' => { -+ 'name' => 'mutex', -+ 'offset' => '64', -+ 'type' => '769' -+ }, -+ '11' => { -+ 'name' => 'cond', -+ 'offset' => '104', -+ 'type' => '843' -+ }, -+ '12' => { -+ 'name' => 'events_completed', -+ 'offset' => '152', -+ 'type' => '2203' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'offset' => '16', -+ 'type' => '7601' -+ }, -+ '3' => { -+ 'name' => 'send_cq', -+ 'offset' => '24', -+ 'type' => '6007' -+ }, -+ '4' => { -+ 'name' => 'recv_cq', -+ 'offset' => '32', -+ 'type' => '6007' -+ }, -+ '5' => { -+ 'name' => 'srq', -+ 'offset' => '40', -+ 'type' => '6327' -+ }, -+ '6' => { -+ 'name' => 'handle', -+ 'offset' => '48', -+ 'type' => '2203' -+ }, -+ '7' => { -+ 'name' => 'qp_num', -+ 'offset' => '52', -+ 'type' => '2203' -+ }, -+ '8' => { -+ 'name' => 'state', -+ 'offset' => '56', -+ 'type' => '9312' -+ }, -+ '9' => { -+ 'name' => 'qp_type', -+ 'offset' => '60', -+ 'type' => '8646' -+ } -+ }, -+ 'Name' => 'struct ibv_qp', -+ 'Size' => '160', -+ 'Type' => 'Struct' -+ }, -+ '6209' => { -+ 'BaseType' => '6013', -+ 'Name' => 'struct ibv_qp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '6215' => { -+ 'Header' => undef, -+ 'Line' => '1193', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '3849' -+ }, -+ '1' => { -+ 'name' => 'srq_context', -+ 'offset' => '8', -+ 'type' => '67' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'offset' => '16', -+ 'type' => '7601' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '24', -+ 'type' => '2203' -+ }, -+ '4' => { -+ 'name' => 'mutex', -+ 'offset' => '32', -+ 'type' => '769' -+ }, -+ '5' => { -+ 'name' => 'cond', -+ 'offset' => '72', -+ 'type' => '843' -+ }, -+ '6' => { -+ 'name' => 'events_completed', -+ 'offset' => '120', -+ 'type' => '2203' -+ } -+ }, -+ 'Name' => 'struct ibv_srq', -+ 'Size' => '128', -+ 'Type' => 'Struct' -+ }, -+ '6327' => { -+ 'BaseType' => '6215', -+ 'Name' => 'struct ibv_srq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '6577' => { -+ 'Header' => undef, -+ 'Line' => '468', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WC_SUCCESS', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WC_LOC_LEN_ERR', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IBV_WC_REM_ACCESS_ERR', -+ 'value' => '10' -+ }, -+ '11' => { -+ 'name' => 'IBV_WC_REM_OP_ERR', -+ 'value' => '11' -+ }, -+ '12' => { -+ 'name' => 'IBV_WC_RETRY_EXC_ERR', -+ 'value' => '12' -+ }, -+ '13' => { -+ 'name' => 'IBV_WC_RNR_RETRY_EXC_ERR', -+ 'value' => '13' -+ }, -+ '14' => { -+ 'name' => 'IBV_WC_LOC_RDD_VIOL_ERR', -+ 'value' => '14' -+ }, -+ '15' => { -+ 'name' => 'IBV_WC_REM_INV_RD_REQ_ERR', -+ 'value' => '15' -+ }, -+ '16' => { -+ 'name' => 'IBV_WC_REM_ABORT_ERR', -+ 'value' => '16' -+ }, -+ '17' => { -+ 'name' => 'IBV_WC_INV_EECN_ERR', -+ 'value' => '17' -+ }, -+ '18' => { -+ 'name' => 'IBV_WC_INV_EEC_STATE_ERR', -+ 'value' => '18' -+ }, -+ '19' => { -+ 'name' => 'IBV_WC_FATAL_ERR', -+ 'value' => '19' -+ }, -+ '2' => { -+ 'name' => 'IBV_WC_LOC_QP_OP_ERR', -+ 'value' => '2' -+ }, -+ '20' => { -+ 'name' => 'IBV_WC_RESP_TIMEOUT_ERR', -+ 'value' => '20' -+ }, -+ '21' => { -+ 'name' => 'IBV_WC_GENERAL_ERR', -+ 'value' => '21' -+ }, -+ '22' => { -+ 'name' => 'IBV_WC_TM_ERR', -+ 'value' => '22' -+ }, -+ '23' => { -+ 'name' => 'IBV_WC_TM_RNDV_INCOMPLETE', -+ 'value' => '23' -+ }, -+ '3' => { -+ 'name' => 'IBV_WC_LOC_EEC_OP_ERR', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_WC_LOC_PROT_ERR', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_WC_WR_FLUSH_ERR', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_WC_MW_BIND_ERR', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_WC_BAD_RESP_ERR', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'IBV_WC_LOC_ACCESS_ERR', -+ 'value' => '8' -+ }, -+ '9' => { -+ 'name' => 'IBV_WC_REM_INV_REQ_ERR', -+ 'value' => '9' -+ } -+ }, -+ 'Name' => 'enum ibv_wc_status', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '67' => { -+ 'BaseType' => '1', -+ 'Name' => 'void*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '6741' => { -+ 'Header' => undef, -+ 'Line' => '496', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WC_SEND', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WC_RDMA_WRITE', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IBV_WC_TM_ADD', -+ 'value' => '130' -+ }, -+ '11' => { -+ 'name' => 'IBV_WC_TM_DEL', -+ 'value' => '131' -+ }, -+ '12' => { -+ 'name' => 'IBV_WC_TM_SYNC', -+ 'value' => '132' -+ }, -+ '13' => { -+ 'name' => 'IBV_WC_TM_RECV', -+ 'value' => '133' -+ }, -+ '14' => { -+ 'name' => 'IBV_WC_TM_NO_TAG', -+ 'value' => '134' -+ }, -+ '15' => { -+ 'name' => 'IBV_WC_DRIVER1', -+ 'value' => '135' -+ }, -+ '2' => { -+ 'name' => 'IBV_WC_RDMA_READ', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_WC_COMP_SWAP', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_WC_FETCH_ADD', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_WC_BIND_MW', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_WC_LOCAL_INV', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_WC_TSO', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'IBV_WC_RECV', -+ 'value' => '128' -+ }, -+ '9' => { -+ 'name' => 'IBV_WC_RECV_RDMA_WITH_IMM', -+ 'value' => '129' -+ } -+ }, -+ 'Name' => 'enum ibv_wc_opcode', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '69' => { -+ 'Name' => 'unsigned char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '6997' => { -+ 'Header' => undef, -+ 'Line' => '577', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'imm_data', -+ 'offset' => '0', -+ 'type' => '2316' -+ }, -+ '1' => { -+ 'name' => 'invalidated_rkey', -+ 'offset' => '0', -+ 'type' => '2203' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Union' -+ }, -+ '7034' => { -+ 'Header' => undef, -+ 'Line' => '568', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '2215' -+ }, -+ '1' => { -+ 'name' => 'status', -+ 'offset' => '8', -+ 'type' => '6577' -+ }, -+ '10' => { -+ 'name' => 'slid', -+ 'offset' => '42', -+ 'type' => '2191' -+ }, -+ '11' => { -+ 'name' => 'sl', -+ 'offset' => '44', -+ 'type' => '2179' -+ }, -+ '12' => { -+ 'name' => 'dlid_path_bits', -+ 'offset' => '45', -+ 'type' => '2179' -+ }, -+ '2' => { -+ 'name' => 'opcode', -+ 'offset' => '12', -+ 'type' => '6741' -+ }, -+ '3' => { -+ 'name' => 'vendor_err', -+ 'offset' => '16', -+ 'type' => '2203' -+ }, -+ '4' => { -+ 'name' => 'byte_len', -+ 'offset' => '20', -+ 'type' => '2203' -+ }, -+ '5' => { -+ 'name' => 'unnamed0', -+ 'offset' => '24', -+ 'type' => '6997' -+ }, -+ '6' => { -+ 'name' => 'qp_num', -+ 'offset' => '28', -+ 'type' => '2203' -+ }, -+ '7' => { -+ 'name' => 'src_qp', -+ 'offset' => '32', -+ 'type' => '2203' -+ }, -+ '8' => { -+ 'name' => 'wc_flags', -+ 'offset' => '36', -+ 'type' => '60' -+ }, -+ '9' => { -+ 'name' => 'pkey_index', -+ 'offset' => '40', -+ 'type' => '2191' -+ } -+ }, -+ 'Name' => 'struct ibv_wc', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '71061' => { -+ 'BaseType' => '48513', -+ 'Name' => 'struct efadv_device_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '7222' => { -+ 'Header' => undef, -+ 'Line' => '602', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'mr', -+ 'offset' => '0', -+ 'type' => '7409' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'offset' => '8', -+ 'type' => '2215' -+ }, -+ '2' => { -+ 'name' => 'length', -+ 'offset' => '16', -+ 'type' => '2215' -+ }, -+ '3' => { -+ 'name' => 'mw_access_flags', -+ 'offset' => '24', -+ 'type' => '60' -+ } -+ }, -+ 'Name' => 'struct ibv_mw_bind_info', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '7297' => { -+ 'Header' => undef, -+ 'Line' => '645', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '3849' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'offset' => '8', -+ 'type' => '7601' -+ }, -+ '2' => { -+ 'name' => 'addr', -+ 'offset' => '16', -+ 'type' => '67' -+ }, -+ '3' => { -+ 'name' => 'length', -+ 'offset' => '24', -+ 'type' => '41' -+ }, -+ '4' => { -+ 'name' => 'handle', -+ 'offset' => '32', -+ 'type' => '2203' -+ }, -+ '5' => { -+ 'name' => 'lkey', -+ 'offset' => '36', -+ 'type' => '2203' -+ }, -+ '6' => { -+ 'name' => 'rkey', -+ 'offset' => '40', -+ 'type' => '2203' -+ } -+ }, -+ 'Name' => 'struct ibv_mr', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '7409' => { -+ 'BaseType' => '7297', -+ 'Name' => 'struct ibv_mr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '7415' => { -+ 'Header' => undef, -+ 'Line' => '609', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '3849' -+ }, -+ '1' => { -+ 'name' => 'handle', -+ 'offset' => '8', -+ 'type' => '2203' -+ } -+ }, -+ 'Name' => 'struct ibv_pd', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '7572' => { -+ 'Header' => undef, -+ 'Line' => '634', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '3849' -+ } -+ }, -+ 'Name' => 'struct ibv_xrcd', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '76' => { -+ 'Name' => 'unsigned short', -+ 'Size' => '2', -+ 'Type' => 'Intrinsic' -+ }, -+ '7601' => { -+ 'BaseType' => '7415', -+ 'Name' => 'struct ibv_pd*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '7607' => { -+ 'Header' => undef, -+ 'Line' => '655', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_MW_TYPE_1', -+ 'value' => '1' -+ }, -+ '1' => { -+ 'name' => 'IBV_MW_TYPE_2', -+ 'value' => '2' -+ } -+ }, -+ 'Name' => 'enum ibv_mw_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '7639' => { -+ 'Header' => undef, -+ 'Line' => '660', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '3849' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'offset' => '8', -+ 'type' => '7601' -+ }, -+ '2' => { -+ 'name' => 'rkey', -+ 'offset' => '16', -+ 'type' => '2203' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '20', -+ 'type' => '2203' -+ }, -+ '4' => { -+ 'name' => 'type', -+ 'offset' => '24', -+ 'type' => '7607' -+ } -+ }, -+ 'Name' => 'struct ibv_mw', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '8226' => { -+ 'BaseType' => '7572', -+ 'Name' => 'struct ibv_xrcd*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '8512' => { -+ 'Header' => undef, -+ 'Line' => '855', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '3849' -+ }, -+ '1' => { -+ 'name' => 'ind_tbl_handle', -+ 'offset' => '8', -+ 'type' => '121' -+ }, -+ '2' => { -+ 'name' => 'ind_tbl_num', -+ 'offset' => '12', -+ 'type' => '121' -+ }, -+ '3' => { -+ 'name' => 'comp_mask', -+ 'offset' => '16', -+ 'type' => '2203' -+ } -+ }, -+ 'Name' => 'struct ibv_rwq_ind_table', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '8646' => { -+ 'Header' => undef, -+ 'Line' => '876', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_QPT_RC', -+ 'value' => '2' -+ }, -+ '1' => { -+ 'name' => 'IBV_QPT_UC', -+ 'value' => '3' -+ }, -+ '2' => { -+ 'name' => 'IBV_QPT_UD', -+ 'value' => '4' -+ }, -+ '3' => { -+ 'name' => 'IBV_QPT_RAW_PACKET', -+ 'value' => '8' -+ }, -+ '4' => { -+ 'name' => 'IBV_QPT_XRC_SEND', -+ 'value' => '9' -+ }, -+ '5' => { -+ 'name' => 'IBV_QPT_XRC_RECV', -+ 'value' => '10' -+ }, -+ '6' => { -+ 'name' => 'IBV_QPT_DRIVER', -+ 'value' => '255' -+ } -+ }, -+ 'Name' => 'enum ibv_qp_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '8708' => { -+ 'Header' => undef, -+ 'Line' => '886', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'max_send_wr', -+ 'offset' => '0', -+ 'type' => '2203' -+ }, -+ '1' => { -+ 'name' => 'max_recv_wr', -+ 'offset' => '4', -+ 'type' => '2203' -+ }, -+ '2' => { -+ 'name' => 'max_send_sge', -+ 'offset' => '8', -+ 'type' => '2203' -+ }, -+ '3' => { -+ 'name' => 'max_recv_sge', -+ 'offset' => '12', -+ 'type' => '2203' -+ }, -+ '4' => { -+ 'name' => 'max_inline_data', -+ 'offset' => '16', -+ 'type' => '2203' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_cap', -+ 'Size' => '20', -+ 'Type' => 'Struct' -+ }, -+ '8793' => { -+ 'Header' => undef, -+ 'Line' => '894', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp_context', -+ 'offset' => '0', -+ 'type' => '67' -+ }, -+ '1' => { -+ 'name' => 'send_cq', -+ 'offset' => '8', -+ 'type' => '6007' -+ }, -+ '2' => { -+ 'name' => 'recv_cq', -+ 'offset' => '16', -+ 'type' => '6007' -+ }, -+ '3' => { -+ 'name' => 'srq', -+ 'offset' => '24', -+ 'type' => '6327' -+ }, -+ '4' => { -+ 'name' => 'cap', -+ 'offset' => '32', -+ 'type' => '8708' -+ }, -+ '5' => { -+ 'name' => 'qp_type', -+ 'offset' => '52', -+ 'type' => '8646' -+ }, -+ '6' => { -+ 'name' => 'sq_sig_all', -+ 'offset' => '56', -+ 'type' => '121' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_init_attr', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '8906' => { -+ 'Header' => undef, -+ 'Line' => '936', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rx_hash_function', -+ 'offset' => '0', -+ 'type' => '2179' -+ }, -+ '1' => { -+ 'name' => 'rx_hash_key_len', -+ 'offset' => '1', -+ 'type' => '2179' -+ }, -+ '2' => { -+ 'name' => 'rx_hash_key', -+ 'offset' => '8', -+ 'type' => '8977' -+ }, -+ '3' => { -+ 'name' => 'rx_hash_fields_mask', -+ 'offset' => '16', -+ 'type' => '2215' -+ } -+ }, -+ 'Name' => 'struct ibv_rx_hash_conf', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '8977' => { -+ 'BaseType' => '2179', -+ 'Name' => 'uint8_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '8983' => { -+ 'Header' => undef, -+ 'Line' => '945', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp_context', -+ 'offset' => '0', -+ 'type' => '67' -+ }, -+ '1' => { -+ 'name' => 'send_cq', -+ 'offset' => '8', -+ 'type' => '6007' -+ }, -+ '10' => { -+ 'name' => 'create_flags', -+ 'offset' => '80', -+ 'type' => '2203' -+ }, -+ '11' => { -+ 'name' => 'max_tso_header', -+ 'offset' => '84', -+ 'type' => '2191' -+ }, -+ '12' => { -+ 'name' => 'rwq_ind_tbl', -+ 'offset' => '88', -+ 'type' => '9221' -+ }, -+ '13' => { -+ 'name' => 'rx_hash_conf', -+ 'offset' => '96', -+ 'type' => '8906' -+ }, -+ '14' => { -+ 'name' => 'source_qpn', -+ 'offset' => '120', -+ 'type' => '2203' -+ }, -+ '15' => { -+ 'name' => 'send_ops_flags', -+ 'offset' => '128', -+ 'type' => '2215' -+ }, -+ '2' => { -+ 'name' => 'recv_cq', -+ 'offset' => '16', -+ 'type' => '6007' -+ }, -+ '3' => { -+ 'name' => 'srq', -+ 'offset' => '24', -+ 'type' => '6327' -+ }, -+ '4' => { -+ 'name' => 'cap', -+ 'offset' => '32', -+ 'type' => '8708' -+ }, -+ '5' => { -+ 'name' => 'qp_type', -+ 'offset' => '52', -+ 'type' => '8646' -+ }, -+ '6' => { -+ 'name' => 'sq_sig_all', -+ 'offset' => '56', -+ 'type' => '121' -+ }, -+ '7' => { -+ 'name' => 'comp_mask', -+ 'offset' => '60', -+ 'type' => '2203' -+ }, -+ '8' => { -+ 'name' => 'pd', -+ 'offset' => '64', -+ 'type' => '7601' -+ }, -+ '9' => { -+ 'name' => 'xrcd', -+ 'offset' => '72', -+ 'type' => '8226' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_init_attr_ex', -+ 'Size' => '136', -+ 'Type' => 'Struct' -+ }, -+ '90' => { -+ 'BaseType' => '69', -+ 'Header' => undef, -+ 'Line' => '38', -+ 'Name' => '__uint8_t', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ }, -+ '9221' => { -+ 'BaseType' => '8512', -+ 'Name' => 'struct ibv_rwq_ind_table*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '9312' => { -+ 'Header' => undef, -+ 'Line' => '1014', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_QPS_RESET', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_QPS_INIT', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_QPS_RTR', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_QPS_RTS', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_QPS_SQD', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_QPS_SQE', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_QPS_ERR', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_QPS_UNKNOWN', -+ 'value' => '7' -+ } -+ }, -+ 'Name' => 'enum ibv_qp_state', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '9868' => { -+ 'Header' => undef, -+ 'Line' => '1067', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WR_RDMA_WRITE', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WR_RDMA_WRITE_WITH_IMM', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IBV_WR_TSO', -+ 'value' => '10' -+ }, -+ '11' => { -+ 'name' => 'IBV_WR_DRIVER1', -+ 'value' => '11' -+ }, -+ '2' => { -+ 'name' => 'IBV_WR_SEND', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_WR_SEND_WITH_IMM', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_WR_RDMA_READ', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_WR_ATOMIC_CMP_AND_SWP', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_WR_ATOMIC_FETCH_AND_ADD', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_WR_LOCAL_INV', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'IBV_WR_BIND_MW', -+ 'value' => '8' -+ }, -+ '9' => { -+ 'name' => 'IBV_WR_SEND_WITH_INV', -+ 'value' => '9' -+ } -+ }, -+ 'Name' => 'enum ibv_wr_opcode', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ } -+ }, -+ 'UndefinedSymbols' => { -+ 'libefa.so.1.1.32.0' => { -+ '_ITM_deregisterTMCloneTable' => 0, -+ '_ITM_registerTMCloneTable' => 0, -+ '__cxa_finalize@GLIBC_2.2.5' => 0, -+ '__errno_location@GLIBC_2.2.5' => 0, -+ '__gmon_start__' => 0, -+ '__snprintf_chk@GLIBC_2.3.4' => 0, -+ '__stack_chk_fail@GLIBC_2.4' => 0, -+ '_verbs_init_and_alloc_context@IBVERBS_PRIVATE_25' => 0, -+ 'calloc@GLIBC_2.2.5' => 0, -+ 'free@GLIBC_2.2.5' => 0, -+ 'ibv_cmd_alloc_pd@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_ah@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_cq_ex@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_qp_ex@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_dealloc_pd@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_dereg_mr@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_ah@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_cq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_qp@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_get_context@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_modify_qp@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_query_device@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_query_device_ex@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_query_port@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_query_qp@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_reg_mr@IBVERBS_PRIVATE_25' => 0, -+ 'malloc@GLIBC_2.2.5' => 0, -+ 'memcpy@GLIBC_2.14' => 0, -+ 'memset@GLIBC_2.2.5' => 0, -+ 'mmap@GLIBC_2.2.5' => 0, -+ 'munmap@GLIBC_2.2.5' => 0, -+ 'pthread_spin_destroy@GLIBC_2.2.5' => 0, -+ 'pthread_spin_init@GLIBC_2.2.5' => 0, -+ 'pthread_spin_lock@GLIBC_2.2.5' => 0, -+ 'pthread_spin_unlock@GLIBC_2.2.5' => 0, -+ 'sysconf@GLIBC_2.2.5' => 0, -+ 'verbs_register_driver_25@IBVERBS_PRIVATE_25' => 0, -+ 'verbs_set_ops@IBVERBS_PRIVATE_25' => 0, -+ 'verbs_uninit_context@IBVERBS_PRIVATE_25' => 0 -+ } -+ }, -+ 'WordSize' => '8' -+ }; -diff --git a/ABI/ibmad.dump b/ABI/ibmad.dump -new file mode 100644 -index 000000000000..d98f36d8398c ---- /dev/null -+++ b/ABI/ibmad.dump -@@ -0,0 +1,7386 @@ -+$VAR1 = { -+ 'ABI_DUMPER_VERSION' => '1.1', -+ 'ABI_DUMP_VERSION' => '3.5', -+ 'Arch' => 'x86_64', -+ 'GccVersion' => '10.2.0', -+ 'Headers' => {}, -+ 'Language' => 'C', -+ 'LibraryName' => 'libibmad.so.5.3.32.0', -+ 'LibraryVersion' => 'ibmad', -+ 'MissedOffsets' => '1', -+ 'MissedRegs' => '1', -+ 'NameSpaces' => {}, -+ 'Needed' => { -+ 'libc.so.6' => 1, -+ 'libibumad.so.3' => 1 -+ }, -+ 'Sources' => {}, -+ 'SymbolInfo' => { -+ '107272' => { -+ 'Header' => undef, -+ 'Line' => '164', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ }, -+ '1' => { -+ 'name' => 'guid', -+ 'type' => '257' -+ }, -+ '2' => { -+ 'name' => 'sm_id', -+ 'type' => '2561' -+ }, -+ '3' => { -+ 'name' => 'buf', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'ib_node_query_via' -+ }, -+ '107830' => { -+ 'Header' => undef, -+ 'Line' => '139', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srcgid', -+ 'type' => '2555' -+ }, -+ '1' => { -+ 'name' => 'destgid', -+ 'type' => '2555' -+ }, -+ '2' => { -+ 'name' => 'sm_id', -+ 'type' => '2561' -+ }, -+ '3' => { -+ 'name' => 'buf', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'ib_path_query' -+ }, -+ '108607' => { -+ 'Header' => undef, -+ 'Line' => '79', -+ 'Param' => { -+ '0' => { -+ 'name' => 'rcvbuf', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'sa', -+ 'type' => '108763' -+ }, -+ '3' => { -+ 'name' => 'timeout', -+ 'type' => '108' -+ } -+ }, -+ 'Return' => '2555', -+ 'ShortName' => 'sa_call' -+ }, -+ '108769' => { -+ 'Header' => undef, -+ 'Line' => '44', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ibmad_port', -+ 'type' => '5292' -+ }, -+ '1' => { -+ 'name' => 'rcvbuf', -+ 'type' => '208' -+ }, -+ '2' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '3' => { -+ 'name' => 'sa', -+ 'type' => '108763' -+ }, -+ '4' => { -+ 'name' => 'timeout', -+ 'type' => '108' -+ } -+ }, -+ 'Return' => '2555', -+ 'ShortName' => 'sa_rpc_call' -+ }, -+ '11468' => { -+ 'Header' => undef, -+ 'Line' => '1707', -+ 'Param' => { -+ '0' => { -+ 'name' => 'file', -+ 'type' => '783' -+ }, -+ '1' => { -+ 'name' => 'msg', -+ 'type' => '78' -+ }, -+ '2' => { -+ 'name' => 'p', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'size', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'xdump' -+ }, -+ '116370' => { -+ 'Header' => undef, -+ 'Line' => '193', -+ 'Param' => { -+ '0' => { -+ 'name' => 'umad', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_free' -+ }, -+ '116489' => { -+ 'Header' => undef, -+ 'Line' => '188', -+ 'Return' => '208', -+ 'ShortName' => 'mad_alloc' -+ }, -+ '116601' => { -+ 'Header' => undef, -+ 'Line' => '171', -+ 'Param' => { -+ '0' => { -+ 'name' => 'umad', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'timeout', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'srcport', -+ 'type' => '2578' -+ } -+ }, -+ 'Return' => '208', -+ 'ShortName' => 'mad_receive_via' -+ }, -+ '117221' => { -+ 'Header' => undef, -+ 'Line' => '166', -+ 'Param' => { -+ '0' => { -+ 'name' => 'umad', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'timeout', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '208', -+ 'ShortName' => 'mad_receive' -+ }, -+ '117324' => { -+ 'Header' => undef, -+ 'Line' => '87', -+ 'Param' => { -+ '0' => { -+ 'name' => 'umad', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'rstatus', -+ 'type' => '245' -+ }, -+ '3' => { -+ 'name' => 'srcport', -+ 'type' => '2578' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'mad_respond_via' -+ }, -+ '11838' => { -+ 'Header' => undef, -+ 'Line' => '1180', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_portinfo_ext' -+ }, -+ '118692' => { -+ 'Header' => undef, -+ 'Line' => '82', -+ 'Param' => { -+ '0' => { -+ 'name' => 'umad', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'rstatus', -+ 'type' => '245' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'mad_respond' -+ }, -+ '119169' => { -+ 'Header' => undef, -+ 'Line' => '47', -+ 'Param' => { -+ '0' => { -+ 'name' => 'rpc', -+ 'type' => '119119' -+ }, -+ '1' => { -+ 'name' => 'dport', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'rmpp', -+ 'type' => '119125' -+ }, -+ '3' => { -+ 'name' => 'data', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'mad_send' -+ }, -+ '12060' => { -+ 'Header' => undef, -+ 'Line' => '1174', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_classportinfo' -+ }, -+ '121445' => { -+ 'Header' => undef, -+ 'Line' => '141', -+ 'Param' => { -+ '0' => { -+ 'name' => 'rcvbuf', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'attrid', -+ 'type' => '108' -+ }, -+ '3' => { -+ 'name' => 'mod', -+ 'type' => '108' -+ }, -+ '4' => { -+ 'name' => 'timeout', -+ 'type' => '108' -+ } -+ }, -+ 'Return' => '2555', -+ 'ShortName' => 'smp_query' -+ }, -+ '121856' => { -+ 'Header' => undef, -+ 'Line' => '101', -+ 'Param' => { -+ '0' => { -+ 'name' => 'rcvbuf', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'attrid', -+ 'type' => '108' -+ }, -+ '3' => { -+ 'name' => 'mod', -+ 'type' => '108' -+ }, -+ '4' => { -+ 'name' => 'timeout', -+ 'type' => '108' -+ }, -+ '5' => { -+ 'name' => 'rstatus', -+ 'type' => '5286' -+ }, -+ '6' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ } -+ }, -+ 'Return' => '2555', -+ 'ShortName' => 'smp_query_status_via' -+ }, -+ '12223' => { -+ 'Header' => undef, -+ 'Line' => '1168', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_cc_timestamp' -+ }, -+ '122304' => { -+ 'Header' => undef, -+ 'Line' => '95', -+ 'Param' => { -+ '0' => { -+ 'name' => 'data', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'attrid', -+ 'type' => '108' -+ }, -+ '3' => { -+ 'name' => 'mod', -+ 'type' => '108' -+ }, -+ '4' => { -+ 'name' => 'timeout', -+ 'type' => '108' -+ } -+ }, -+ 'Return' => '2555', -+ 'ShortName' => 'smp_set' -+ }, -+ '122488' => { -+ 'Header' => undef, -+ 'Line' => '87', -+ 'Param' => { -+ '0' => { -+ 'name' => 'data', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'attrid', -+ 'type' => '108' -+ }, -+ '3' => { -+ 'name' => 'mod', -+ 'type' => '108' -+ }, -+ '4' => { -+ 'name' => 'timeout', -+ 'type' => '108' -+ }, -+ '5' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ } -+ }, -+ 'Return' => '2555', -+ 'ShortName' => 'smp_set_via' -+ }, -+ '122697' => { -+ 'Header' => undef, -+ 'Line' => '55', -+ 'Param' => { -+ '0' => { -+ 'name' => 'data', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'attrid', -+ 'type' => '108' -+ }, -+ '3' => { -+ 'name' => 'mod', -+ 'type' => '108' -+ }, -+ '4' => { -+ 'name' => 'timeout', -+ 'type' => '108' -+ }, -+ '5' => { -+ 'name' => 'rstatus', -+ 'type' => '5286' -+ }, -+ '6' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ } -+ }, -+ 'Return' => '2555', -+ 'ShortName' => 'smp_set_status_via' -+ }, -+ '123139' => { -+ 'Header' => undef, -+ 'Line' => '50', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ } -+ }, -+ 'Return' => '257', -+ 'ShortName' => 'smp_mkey_get' -+ }, -+ '123188' => { -+ 'Header' => undef, -+ 'Line' => '45', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srcport', -+ 'type' => '2578' -+ }, -+ '1' => { -+ 'name' => 'mkey', -+ 'type' => '257' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'smp_mkey_set' -+ }, -+ '12388' => { -+ 'Header' => undef, -+ 'Line' => '1162', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_cc_congestioncontroltableentry' -+ }, -+ '125394' => { -+ 'Header' => undef, -+ 'Line' => '58', -+ 'Param' => { -+ '0' => { -+ 'name' => 'data', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'call', -+ 'type' => '126156' -+ }, -+ '3' => { -+ 'name' => 'srcport', -+ 'type' => '2578' -+ } -+ }, -+ 'Return' => '2555', -+ 'ShortName' => 'ib_vendor_call_via' -+ }, -+ '12553' => { -+ 'Header' => undef, -+ 'Line' => '1156', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_cc_congestioncontroltable' -+ }, -+ '126189' => { -+ 'Header' => undef, -+ 'Line' => '52', -+ 'Param' => { -+ '0' => { -+ 'name' => 'data', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'call', -+ 'type' => '126156' -+ } -+ }, -+ 'Return' => '2555', -+ 'ShortName' => 'ib_vendor_call' -+ }, -+ '12718' => { -+ 'Header' => undef, -+ 'Line' => '1150', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_cc_cacongestionentry' -+ }, -+ '12883' => { -+ 'Header' => undef, -+ 'Line' => '1144', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_cc_cacongestionsetting' -+ }, -+ '13048' => { -+ 'Header' => undef, -+ 'Line' => '1138', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_cc_switchportcongestionsettingelement' -+ }, -+ '13213' => { -+ 'Header' => undef, -+ 'Line' => '1132', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_cc_switchcongestionsetting' -+ }, -+ '13378' => { -+ 'Header' => undef, -+ 'Line' => '1126', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_cc_congestionlogentryca' -+ }, -+ '13543' => { -+ 'Header' => undef, -+ 'Line' => '1120', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_cc_congestionlogca' -+ }, -+ '13708' => { -+ 'Header' => undef, -+ 'Line' => '1114', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_cc_congestionlogentryswitch' -+ }, -+ '13873' => { -+ 'Header' => undef, -+ 'Line' => '1108', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_cc_congestionlogswitch' -+ }, -+ '14038' => { -+ 'Header' => undef, -+ 'Line' => '1102', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_cc_congestionlog' -+ }, -+ '14203' => { -+ 'Header' => undef, -+ 'Line' => '1096', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_cc_congestionkeyinfo' -+ }, -+ '14368' => { -+ 'Header' => undef, -+ 'Line' => '1090', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_cc_congestioninfo' -+ }, -+ '14533' => { -+ 'Header' => undef, -+ 'Line' => '1084', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_mlnx_ext_port_info' -+ }, -+ '14698' => { -+ 'Header' => undef, -+ 'Line' => '1071', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters_vl_xmit_time_cong' -+ }, -+ '14918' => { -+ 'Header' => undef, -+ 'Line' => '1058', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters_xmit_con_ctrl' -+ }, -+ '15138' => { -+ 'Header' => undef, -+ 'Line' => '1045', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters_sl_rcv_becn' -+ }, -+ '15358' => { -+ 'Header' => undef, -+ 'Line' => '1032', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters_sl_rcv_fecn' -+ }, -+ '15578' => { -+ 'Header' => undef, -+ 'Line' => '1018', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters_rcv_con_ctrl' -+ }, -+ '15798' => { -+ 'Header' => undef, -+ 'Line' => '1005', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters_sw_port_vl_congestion' -+ }, -+ '16018' => { -+ 'Header' => undef, -+ 'Line' => '992', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters_port_vl_xmit_wait_counters' -+ }, -+ '1618' => { -+ 'Data' => 1, -+ 'Header' => undef, -+ 'Line' => '1669', -+ 'Return' => '71', -+ 'ShortName' => 'ibdebug' -+ }, -+ '16238' => { -+ 'Header' => undef, -+ 'Line' => '979', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters_port_vl_xmit_flow_ctl_update_errors' -+ }, -+ '1631' => { -+ 'Header' => undef, -+ 'Line' => '47', -+ 'Param' => { -+ '0' => { -+ 'name' => 'data', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'call', -+ 'type' => '2567' -+ }, -+ '3' => { -+ 'name' => 'srcport', -+ 'type' => '2578' -+ } -+ }, -+ 'Return' => '2555', -+ 'ShortName' => 'bm_call_via' -+ }, -+ '16458' => { -+ 'Header' => undef, -+ 'Line' => '966', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters_port_vl_op_data' -+ }, -+ '16678' => { -+ 'Header' => undef, -+ 'Line' => '953', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters_port_vl_op_packet' -+ }, -+ '16898' => { -+ 'Header' => undef, -+ 'Line' => '940', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters_port_flow_ctl_counters' -+ }, -+ '17118' => { -+ 'Header' => undef, -+ 'Line' => '927', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters_port_op_rcv_counters' -+ }, -+ '17338' => { -+ 'Header' => undef, -+ 'Line' => '922', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_port_ext_speeds_counters' -+ }, -+ '17503' => { -+ 'Header' => undef, -+ 'Line' => '915', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_port_ext_speeds_counters_rsfec_active' -+ }, -+ '17668' => { -+ 'Header' => undef, -+ 'Line' => '910', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_portsamples_result' -+ }, -+ '17833' => { -+ 'Header' => undef, -+ 'Line' => '905', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_portsamples_control' -+ }, -+ '17998' => { -+ 'Header' => undef, -+ 'Line' => '892', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters_rcv_err' -+ }, -+ '18218' => { -+ 'Header' => undef, -+ 'Line' => '879', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters_xmt_disc' -+ }, -+ '18438' => { -+ 'Header' => undef, -+ 'Line' => '866', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters_rcv_sl' -+ }, -+ '18657' => { -+ 'Header' => undef, -+ 'Line' => '853', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters_xmt_sl' -+ }, -+ '18875' => { -+ 'Header' => undef, -+ 'Line' => '841', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters_ext' -+ }, -+ '19095' => { -+ 'Header' => undef, -+ 'Line' => '823', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_perfcounters' -+ }, -+ '19387' => { -+ 'Header' => undef, -+ 'Line' => '818', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_switchinfo' -+ }, -+ '19550' => { -+ 'Header' => undef, -+ 'Line' => '813', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_portstates' -+ }, -+ '19713' => { -+ 'Header' => undef, -+ 'Line' => '801', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_portinfo' -+ }, -+ '19932' => { -+ 'Header' => undef, -+ 'Line' => '796', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_nodeinfo' -+ }, -+ '20095' => { -+ 'Header' => undef, -+ 'Line' => '788', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_nodedesc' -+ }, -+ '20314' => { -+ 'Header' => undef, -+ 'Line' => '782', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ }, -+ '4' => { -+ 'name' => 'start', -+ 'type' => '71' -+ }, -+ '5' => { -+ 'name' => 'end', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_fields' -+ }, -+ '20869' => { -+ 'Header' => undef, -+ 'Line' => '730', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'num', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_vlarbitration' -+ }, -+ '21754' => { -+ 'Header' => undef, -+ 'Line' => '715', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_sltovl' -+ }, -+ '22442' => { -+ 'Header' => undef, -+ 'Line' => '669', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_node_type' -+ }, -+ '23054' => { -+ 'Header' => undef, -+ 'Line' => '661', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_string' -+ }, -+ '23322' => { -+ 'Header' => undef, -+ 'Line' => '649', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_array' -+ }, -+ '23582' => { -+ 'Header' => undef, -+ 'Line' => '644', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_bitfield' -+ }, -+ '23822' => { -+ 'Header' => undef, -+ 'Line' => '621', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_portcapmask2' -+ }, -+ '24402' => { -+ 'Header' => undef, -+ 'Line' => '548', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_portcapmask' -+ }, -+ '26278' => { -+ 'Header' => undef, -+ 'Line' => '520', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_opervls' -+ }, -+ '27216' => { -+ 'Header' => undef, -+ 'Line' => '495', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_vlcap' -+ }, -+ '28041' => { -+ 'Header' => undef, -+ 'Line' => '470', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_mtu' -+ }, -+ '2860' => { -+ 'Header' => undef, -+ 'Line' => '1514', -+ 'Param' => { -+ '0' => { -+ 'name' => 'rpc', -+ 'type' => 119119 -+ }, -+ '1' => { -+ 'name' => 'dport', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'rmpp', -+ 'type' => '119125' -+ }, -+ '3' => { -+ 'name' => 'data', -+ 'type' => '208' -+ }, -+ '4' => { -+ 'name' => 'srcport', -+ 'type' => '2578' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'mad_send_via' -+ }, -+ '2873' => { -+ 'Header' => undef, -+ 'Line' => '1478', -+ 'Param' => { -+ '0' => { -+ 'name' => 'port', -+ 'type' => '5292' -+ }, -+ '1' => { -+ 'name' => 'rpc', -+ 'type' => '94635' -+ }, -+ '2' => { -+ 'name' => 'dport', -+ 'type' => '2561' -+ }, -+ '3' => { -+ 'name' => 'payload', -+ 'type' => '208' -+ }, -+ '4' => { -+ 'name' => 'rcvdata', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '208', -+ 'ShortName' => 'mad_rpc' -+ }, -+ '2886' => { -+ 'Header' => undef, -+ 'Line' => '1427', -+ 'Param' => { -+ '0' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ } -+ }, -+ 'Return' => '215', -+ 'ShortName' => 'portid2str' -+ }, -+ '28866' => { -+ 'Header' => undef, -+ 'Line' => '436', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_physportstate' -+ }, -+ '30030' => { -+ 'Header' => undef, -+ 'Line' => '416', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_linkdowndefstate' -+ }, -+ '30642' => { -+ 'Header' => undef, -+ 'Line' => '391', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_portstate' -+ }, -+ '31467' => { -+ 'Header' => undef, -+ 'Line' => '380', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_linkspeedexten' -+ }, -+ '31686' => { -+ 'Header' => undef, -+ 'Line' => '373', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_linkspeedextsup' -+ }, -+ '32520' => { -+ 'Header' => undef, -+ 'Line' => '321', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_linkspeedext' -+ }, -+ '33245' => { -+ 'Header' => undef, -+ 'Line' => '314', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_linkspeeden' -+ }, -+ '33324' => { -+ 'Header' => undef, -+ 'Line' => '307', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_linkspeedsup' -+ }, -+ '34157' => { -+ 'Header' => undef, -+ 'Line' => '249', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_linkspeed' -+ }, -+ '34875' => { -+ 'Header' => undef, -+ 'Line' => '242', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_linkwidthen' -+ }, -+ '35034' => { -+ 'Header' => undef, -+ 'Line' => '215', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_linkwidthsup' -+ }, -+ '36138' => { -+ 'Header' => undef, -+ 'Line' => '165', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_linkwidth' -+ }, -+ '36965' => { -+ 'Header' => undef, -+ 'Line' => '129', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_rhex' -+ }, -+ '37825' => { -+ 'Header' => undef, -+ 'Line' => '93', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_hex' -+ }, -+ '38685' => { -+ 'Header' => undef, -+ 'Line' => '68', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_uint' -+ }, -+ '39311' => { -+ 'Header' => undef, -+ 'Line' => '43', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'valsz', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_dump_int' -+ }, -+ '40303' => { -+ 'Header' => undef, -+ 'Line' => '1452', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '2555' -+ }, -+ '1' => { -+ 'name' => 'field', -+ 'type' => '6656' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_decode_field' -+ }, -+ '40316' => { -+ 'Header' => undef, -+ 'Line' => '1251', -+ 'Param' => { -+ '0' => { -+ 'name' => 'field', -+ 'type' => '6656' -+ }, -+ '1' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '2' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '3' => { -+ 'name' => 'val', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '215', -+ 'ShortName' => 'mad_dump_field' -+ }, -+ '46743' => { -+ 'Header' => undef, -+ 'Line' => '1265', -+ 'Param' => { -+ '0' => { -+ 'name' => 'field', -+ 'type' => '6656' -+ } -+ }, -+ 'Return' => '78', -+ 'ShortName' => 'mad_field_name' -+ }, -+ '46794' => { -+ 'Header' => undef, -+ 'Line' => '1258', -+ 'Param' => { -+ '0' => { -+ 'name' => 'field', -+ 'type' => '6656' -+ }, -+ '1' => { -+ 'name' => 'buf', -+ 'type' => '215' -+ }, -+ '2' => { -+ 'name' => 'bufsz', -+ 'type' => '71' -+ }, -+ '3' => { -+ 'name' => 'val', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '215', -+ 'ShortName' => 'mad_dump_val' -+ }, -+ '47210' => { -+ 'Header' => undef, -+ 'Line' => '1244', -+ 'Param' => { -+ '0' => { -+ 'name' => 'field', -+ 'type' => '6656' -+ }, -+ '1' => { -+ 'name' => 'name', -+ 'type' => '78' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'mad_print_field' -+ }, -+ '4829' => { -+ 'Header' => undef, -+ 'Line' => '79', -+ 'Param' => { -+ '0' => { -+ 'name' => 'payload', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'rcvbuf', -+ 'type' => '208' -+ }, -+ '2' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '3' => { -+ 'name' => 'attrid', -+ 'type' => '108' -+ }, -+ '4' => { -+ 'name' => 'mod', -+ 'type' => '108' -+ }, -+ '5' => { -+ 'name' => 'timeout', -+ 'type' => '108' -+ }, -+ '6' => { -+ 'name' => 'rstatus', -+ 'type' => '5286' -+ }, -+ '7' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ }, -+ '8' => { -+ 'name' => 'cckey', -+ 'type' => '257' -+ } -+ }, -+ 'Return' => '208', -+ 'ShortName' => 'cc_config_status_via' -+ }, -+ '48486' => { -+ 'Header' => undef, -+ 'Line' => '1453', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '2555' -+ }, -+ '1' => { -+ 'name' => 'field', -+ 'type' => '6656' -+ }, -+ '2' => { -+ 'name' => 'val', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_encode_field' -+ }, -+ '49518' => { -+ 'Header' => undef, -+ 'Line' => '1146', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'base_offs', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'field', -+ 'type' => '6656' -+ }, -+ '3' => { -+ 'name' => 'val', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_get_array' -+ }, -+ '49822' => { -+ 'Header' => undef, -+ 'Line' => '1450', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'base_offs', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'field', -+ 'type' => '6656' -+ }, -+ '3' => { -+ 'name' => 'val', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_set_array' -+ }, -+ '50126' => { -+ 'Header' => undef, -+ 'Line' => '1448', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'base_offs', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'field', -+ 'type' => '6656' -+ }, -+ '3' => { -+ 'name' => 'val', -+ 'type' => '257' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_set_field64' -+ }, -+ '50429' => { -+ 'Header' => undef, -+ 'Line' => '1447', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'base_offs', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'field', -+ 'type' => '6656' -+ } -+ }, -+ 'Return' => '257', -+ 'ShortName' => 'mad_get_field64' -+ }, -+ '50631' => { -+ 'Header' => undef, -+ 'Line' => '1444', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'base_offs', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'field', -+ 'type' => '6656' -+ }, -+ '3' => { -+ 'name' => 'val', -+ 'type' => '245' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_set_field' -+ }, -+ '50809' => { -+ 'Header' => undef, -+ 'Line' => '1443', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'base_offs', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'field', -+ 'type' => '6656' -+ } -+ }, -+ 'Return' => '245', -+ 'ShortName' => 'mad_get_field' -+ }, -+ '5319' => { -+ 'Header' => undef, -+ 'Line' => '44', -+ 'Param' => { -+ '0' => { -+ 'name' => 'rcvbuf', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'attrid', -+ 'type' => '108' -+ }, -+ '3' => { -+ 'name' => 'mod', -+ 'type' => '108' -+ }, -+ '4' => { -+ 'name' => 'timeout', -+ 'type' => '108' -+ }, -+ '5' => { -+ 'name' => 'rstatus', -+ 'type' => '5286' -+ }, -+ '6' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ }, -+ '7' => { -+ 'name' => 'cckey', -+ 'type' => '257' -+ } -+ }, -+ 'Return' => '208', -+ 'ShortName' => 'cc_query_status_via' -+ }, -+ '58624' => { -+ 'Header' => undef, -+ 'Line' => '83', -+ 'Param' => { -+ '0' => { -+ 'name' => 'rcvbuf', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'dest', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'port', -+ 'type' => '71' -+ }, -+ '3' => { -+ 'name' => 'mask', -+ 'type' => '108' -+ }, -+ '4' => { -+ 'name' => 'timeout', -+ 'type' => '108' -+ }, -+ '5' => { -+ 'name' => 'id', -+ 'type' => '108' -+ }, -+ '6' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ } -+ }, -+ 'Return' => '2555', -+ 'ShortName' => 'performance_reset_via' -+ }, -+ '59473' => { -+ 'Header' => undef, -+ 'Line' => '46', -+ 'Param' => { -+ '0' => { -+ 'name' => 'rcvbuf', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'dest', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'port', -+ 'type' => '71' -+ }, -+ '3' => { -+ 'name' => 'timeout', -+ 'type' => '108' -+ }, -+ '4' => { -+ 'name' => 'id', -+ 'type' => '108' -+ }, -+ '5' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ } -+ }, -+ 'Return' => '2555', -+ 'ShortName' => 'pma_query_via' -+ }, -+ '67359' => { -+ 'Header' => undef, -+ 'Line' => '1462', -+ 'Param' => { -+ '0' => { -+ 'name' => 'umad', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'rpc', -+ 'type' => '68142' -+ }, -+ '2' => { -+ 'name' => 'dport', -+ 'type' => '2561' -+ }, -+ '3' => { -+ 'name' => 'rmpp', -+ 'type' => '68154' -+ }, -+ '4' => { -+ 'name' => 'data', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'mad_build_pkt' -+ }, -+ '68166' => { -+ 'Header' => undef, -+ 'Line' => '82', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'rpc', -+ 'type' => '68142' -+ }, -+ '2' => { -+ 'name' => 'drpath', -+ 'type' => '69575' -+ }, -+ '3' => { -+ 'name' => 'data', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '208', -+ 'ShortName' => 'mad_encode' -+ }, -+ '69608' => { -+ 'Header' => undef, -+ 'Line' => '1489', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'mad_get_retries' -+ }, -+ '69663' => { -+ 'Header' => undef, -+ 'Line' => '1488', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ }, -+ '1' => { -+ 'name' => 'override_ms', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'mad_get_timeout' -+ }, -+ '69726' => { -+ 'Header' => undef, -+ 'Line' => '1461', -+ 'Return' => '257', -+ 'ShortName' => 'mad_trid' -+ }, -+ '71230' => { -+ 'Header' => undef, -+ 'Line' => '110', -+ 'Param' => { -+ '0' => { -+ 'name' => 'path', -+ 'type' => '71599' -+ }, -+ '1' => { -+ 'name' => 'dstr', -+ 'type' => '215' -+ }, -+ '2' => { -+ 'name' => 'dstr_size', -+ 'type' => '45' -+ } -+ }, -+ 'Return' => '215', -+ 'ShortName' => 'drpath2str' -+ }, -+ '71605' => { -+ 'Header' => undef, -+ 'Line' => '1429', -+ 'Param' => { -+ '0' => { -+ 'name' => 'path', -+ 'type' => '71599' -+ }, -+ '1' => { -+ 'name' => 'routepath', -+ 'type' => '215' -+ }, -+ '2' => { -+ 'name' => 'drslid', -+ 'type' => '71' -+ }, -+ '3' => { -+ 'name' => 'drdlid', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'str2drpath' -+ }, -+ '72660' => { -+ 'Header' => undef, -+ 'Line' => '44', -+ 'Param' => { -+ '0' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'portid2portnum' -+ }, -+ '74028' => { -+ 'Header' => undef, -+ 'Line' => '126', -+ 'Param' => { -+ '0' => { -+ 'name' => 'mgmt', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'rmpp_version', -+ 'type' => '221' -+ }, -+ '2' => { -+ 'name' => 'method_mask', -+ 'type' => '74781' -+ }, -+ '3' => { -+ 'name' => 'class_oui', -+ 'type' => '245' -+ }, -+ '4' => { -+ 'name' => 'srcport', -+ 'type' => '2578' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'mad_register_server_via' -+ }, -+ '74840' => { -+ 'Header' => undef, -+ 'Line' => '119', -+ 'Param' => { -+ '0' => { -+ 'name' => 'mgmt', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'rmpp_version', -+ 'type' => '221' -+ }, -+ '2' => { -+ 'name' => 'method_mask', -+ 'type' => '74781' -+ }, -+ '3' => { -+ 'name' => 'class_oui', -+ 'type' => '245' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'mad_register_server' -+ }, -+ '75000' => { -+ 'Header' => undef, -+ 'Line' => '1499', -+ 'Param' => { -+ '0' => { -+ 'name' => 'mgmt', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'rmpp_version', -+ 'type' => '221' -+ }, -+ '2' => { -+ 'name' => 'srcport', -+ 'type' => '2578' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'mad_register_client_via' -+ }, -+ '75168' => { -+ 'Header' => undef, -+ 'Line' => '97', -+ 'Param' => { -+ '0' => { -+ 'name' => 'mgmt', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'rmpp_version', -+ 'type' => '221' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'mad_register_client' -+ }, -+ '75655' => { -+ 'Header' => undef, -+ 'Line' => '74', -+ 'Param' => { -+ '0' => { -+ 'name' => 'mgmt', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'mad_class_agent' -+ }, -+ '76013' => { -+ 'Header' => undef, -+ 'Line' => '107', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srcport', -+ 'type' => '2578' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'mad_rpc_portid' -+ }, -+ '82032' => { -+ 'Header' => undef, -+ 'Line' => '241', -+ 'Param' => { -+ '0' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '1' => { -+ 'name' => 'portnum', -+ 'type' => '5286' -+ }, -+ '2' => { -+ 'name' => 'gid', -+ 'type' => '82174' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'ib_resolve_self' -+ }, -+ '82180' => { -+ 'Header' => undef, -+ 'Line' => '213', -+ 'Param' => { -+ '0' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '1' => { -+ 'name' => 'portnum', -+ 'type' => '5286' -+ }, -+ '2' => { -+ 'name' => 'gid', -+ 'type' => '82174' -+ }, -+ '3' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'ib_resolve_self_via' -+ }, -+ '82757' => { -+ 'Header' => undef, -+ 'Line' => '206', -+ 'Param' => { -+ '0' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '1' => { -+ 'name' => 'addr_str', -+ 'type' => '215' -+ }, -+ '2' => { -+ 'name' => 'dest_type', -+ 'type' => '81860' -+ }, -+ '3' => { -+ 'name' => 'sm_id', -+ 'type' => '2561' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'ib_resolve_portid_str' -+ }, -+ '82914' => { -+ 'Header' => undef, -+ 'Line' => '137', -+ 'Param' => { -+ '0' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '1' => { -+ 'name' => 'addr_str', -+ 'type' => '215' -+ }, -+ '2' => { -+ 'name' => 'dest_type', -+ 'type' => '81860' -+ }, -+ '3' => { -+ 'name' => 'sm_id', -+ 'type' => '2561' -+ }, -+ '4' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'ib_resolve_portid_str_via' -+ }, -+ '83886' => { -+ 'Header' => undef, -+ 'Line' => '97', -+ 'Param' => { -+ '0' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '1' => { -+ 'name' => 'guid', -+ 'type' => '84505' -+ }, -+ '2' => { -+ 'name' => 'sm_id', -+ 'type' => '2561' -+ }, -+ '3' => { -+ 'name' => 'timeout', -+ 'type' => '71' -+ }, -+ '4' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'ib_resolve_guid_via' -+ }, -+ '84527' => { -+ 'Header' => undef, -+ 'Line' => '75', -+ 'Param' => { -+ '0' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '1' => { -+ 'name' => 'gid', -+ 'type' => '2555' -+ }, -+ '2' => { -+ 'name' => 'sm_id', -+ 'type' => '2561' -+ }, -+ '3' => { -+ 'name' => 'timeout', -+ 'type' => '71' -+ }, -+ '4' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'ib_resolve_gid_via' -+ }, -+ '84808' => { -+ 'Header' => undef, -+ 'Line' => '70', -+ 'Param' => { -+ '0' => { -+ 'name' => 'sm_id', -+ 'type' => '2561' -+ }, -+ '1' => { -+ 'name' => 'timeout', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'ib_resolve_smlid' -+ }, -+ '84911' => { -+ 'Header' => undef, -+ 'Line' => '48', -+ 'Param' => { -+ '0' => { -+ 'name' => 'sm_id', -+ 'type' => '2561' -+ }, -+ '1' => { -+ 'name' => 'timeout', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'ib_resolve_smlid_via' -+ }, -+ '85597' => { -+ 'Header' => undef, -+ 'Line' => '133', -+ 'Param' => { -+ '0' => { -+ 'name' => 'rcvbuf', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'portid', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'attrid', -+ 'type' => '108' -+ }, -+ '3' => { -+ 'name' => 'mod', -+ 'type' => '108' -+ }, -+ '4' => { -+ 'name' => 'timeout', -+ 'type' => '108' -+ }, -+ '5' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ } -+ }, -+ 'Return' => '2555', -+ 'ShortName' => 'smp_query_via' -+ }, -+ '85744' => { -+ 'Header' => undef, -+ 'Line' => '111', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srcport', -+ 'type' => '5292' -+ }, -+ '1' => { -+ 'name' => 'srcgid', -+ 'type' => '2555' -+ }, -+ '2' => { -+ 'name' => 'destgid', -+ 'type' => '2555' -+ }, -+ '3' => { -+ 'name' => 'sm_id', -+ 'type' => '2561' -+ }, -+ '4' => { -+ 'name' => 'buf', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'ib_path_query_via' -+ }, -+ '92575' => { -+ 'Header' => undef, -+ 'Line' => '428', -+ 'Param' => { -+ '0' => { -+ 'name' => 'port', -+ 'type' => '2578' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_rpc_close_port' -+ }, -+ '92662' => { -+ 'Header' => undef, -+ 'Line' => '373', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dev_name', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'dev_port', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'mgmt_classes', -+ 'type' => '5286' -+ }, -+ '3' => { -+ 'name' => 'num_classes', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '2578', -+ 'ShortName' => 'mad_rpc_open_port' -+ }, -+ '93669' => { -+ 'Header' => undef, -+ 'Line' => '345', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dev_name', -+ 'type' => '215' -+ }, -+ '1' => { -+ 'name' => 'dev_port', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'mgmt_classes', -+ 'type' => '5286' -+ }, -+ '3' => { -+ 'name' => 'num_classes', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'madrpc_init' -+ }, -+ '94473' => { -+ 'Header' => undef, -+ 'Line' => '338', -+ 'Param' => { -+ '0' => { -+ 'name' => 'rpc', -+ 'type' => '94635' -+ }, -+ '1' => { -+ 'name' => 'dport', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'rmpp', -+ 'type' => '94647' -+ }, -+ '3' => { -+ 'name' => 'data', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '208', -+ 'ShortName' => 'madrpc_rmpp' -+ }, -+ '94653' => { -+ 'Header' => undef, -+ 'Line' => '333', -+ 'Param' => { -+ '0' => { -+ 'name' => 'rpc', -+ 'type' => '94635' -+ }, -+ '1' => { -+ 'name' => 'dport', -+ 'type' => '2561' -+ }, -+ '2' => { -+ 'name' => 'payload', -+ 'type' => '208' -+ }, -+ '3' => { -+ 'name' => 'rcvdata', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '208', -+ 'ShortName' => 'madrpc' -+ }, -+ '94815' => { -+ 'Header' => undef, -+ 'Line' => '1481', -+ 'Param' => { -+ '0' => { -+ 'name' => 'port', -+ 'type' => '5292' -+ }, -+ '1' => { -+ 'name' => 'rpc', -+ 'type' => '94635' -+ }, -+ '2' => { -+ 'name' => 'dport', -+ 'type' => '2561' -+ }, -+ '3' => { -+ 'name' => 'rmpp', -+ 'type' => '94647' -+ }, -+ '4' => { -+ 'name' => 'data', -+ 'type' => '208' -+ } -+ }, -+ 'Return' => '208', -+ 'ShortName' => 'mad_rpc_rmpp' -+ }, -+ '99581' => { -+ 'Header' => undef, -+ 'Line' => '112', -+ 'Param' => { -+ '0' => { -+ 'name' => 'port', -+ 'type' => '2578' -+ }, -+ '1' => { -+ 'name' => 'class', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'mad_rpc_class_agent' -+ }, -+ '99693' => { -+ 'Header' => undef, -+ 'Line' => '102', -+ 'Return' => '71', -+ 'ShortName' => 'madrpc_portid' -+ }, -+ '99723' => { -+ 'Header' => undef, -+ 'Line' => '97', -+ 'Param' => { -+ '0' => { -+ 'name' => 'port', -+ 'type' => '2578' -+ }, -+ '1' => { -+ 'name' => 'timeout', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_rpc_set_timeout' -+ }, -+ '99782' => { -+ 'Header' => undef, -+ 'Line' => '92', -+ 'Param' => { -+ '0' => { -+ 'name' => 'port', -+ 'type' => '2578' -+ }, -+ '1' => { -+ 'name' => 'retries', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mad_rpc_set_retries' -+ }, -+ '99841' => { -+ 'Header' => undef, -+ 'Line' => '86', -+ 'Param' => { -+ '0' => { -+ 'name' => 'timeout', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'madrpc_set_timeout' -+ }, -+ '99890' => { -+ 'Header' => undef, -+ 'Line' => '79', -+ 'Param' => { -+ '0' => { -+ 'name' => 'retries', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => 'madrpc_set_retries' -+ }, -+ '99939' => { -+ 'Header' => undef, -+ 'Line' => '73', -+ 'Param' => { -+ '0' => { -+ 'name' => 'madbuf', -+ 'type' => '208' -+ }, -+ '1' => { -+ 'name' => 'len', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'madrpc_save_mad' -+ }, -+ '99998' => { -+ 'Header' => undef, -+ 'Line' => '68', -+ 'Param' => { -+ '0' => { -+ 'name' => 'set', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'madrpc_show_errors' -+ } -+ }, -+ 'SymbolVersion' => { -+ 'bm_call_via' => 'bm_call_via@@IBMAD_1.3', -+ 'cc_config_status_via' => 'cc_config_status_via@@IBMAD_1.3', -+ 'cc_query_status_via' => 'cc_query_status_via@@IBMAD_1.3', -+ 'drpath2str' => 'drpath2str@@IBMAD_1.3', -+ 'ib_node_query_via' => 'ib_node_query_via@@IBMAD_1.3', -+ 'ib_path_query' => 'ib_path_query@@IBMAD_1.3', -+ 'ib_path_query_via' => 'ib_path_query_via@@IBMAD_1.3', -+ 'ib_resolve_gid_via' => 'ib_resolve_gid_via@@IBMAD_1.3', -+ 'ib_resolve_guid_via' => 'ib_resolve_guid_via@@IBMAD_1.3', -+ 'ib_resolve_portid_str' => 'ib_resolve_portid_str@@IBMAD_1.3', -+ 'ib_resolve_portid_str_via' => 'ib_resolve_portid_str_via@@IBMAD_1.3', -+ 'ib_resolve_self' => 'ib_resolve_self@@IBMAD_1.3', -+ 'ib_resolve_self_via' => 'ib_resolve_self_via@@IBMAD_1.3', -+ 'ib_resolve_smlid' => 'ib_resolve_smlid@@IBMAD_1.3', -+ 'ib_resolve_smlid_via' => 'ib_resolve_smlid_via@@IBMAD_1.3', -+ 'ib_vendor_call' => 'ib_vendor_call@@IBMAD_1.3', -+ 'ib_vendor_call_via' => 'ib_vendor_call_via@@IBMAD_1.3', -+ 'ibdebug' => 'ibdebug@@IBMAD_1.3', -+ 'mad_alloc' => 'mad_alloc@@IBMAD_1.3', -+ 'mad_build_pkt' => 'mad_build_pkt@@IBMAD_1.3', -+ 'mad_class_agent' => 'mad_class_agent@@IBMAD_1.3', -+ 'mad_decode_field' => 'mad_decode_field@@IBMAD_1.3', -+ 'mad_dump_array' => 'mad_dump_array@@IBMAD_1.3', -+ 'mad_dump_bitfield' => 'mad_dump_bitfield@@IBMAD_1.3', -+ 'mad_dump_cc_cacongestionentry' => 'mad_dump_cc_cacongestionentry@@IBMAD_1.3', -+ 'mad_dump_cc_cacongestionsetting' => 'mad_dump_cc_cacongestionsetting@@IBMAD_1.3', -+ 'mad_dump_cc_congestioncontroltable' => 'mad_dump_cc_congestioncontroltable@@IBMAD_1.3', -+ 'mad_dump_cc_congestioncontroltableentry' => 'mad_dump_cc_congestioncontroltableentry@@IBMAD_1.3', -+ 'mad_dump_cc_congestioninfo' => 'mad_dump_cc_congestioninfo@@IBMAD_1.3', -+ 'mad_dump_cc_congestionkeyinfo' => 'mad_dump_cc_congestionkeyinfo@@IBMAD_1.3', -+ 'mad_dump_cc_congestionlog' => 'mad_dump_cc_congestionlog@@IBMAD_1.3', -+ 'mad_dump_cc_congestionlogca' => 'mad_dump_cc_congestionlogca@@IBMAD_1.3', -+ 'mad_dump_cc_congestionlogentryca' => 'mad_dump_cc_congestionlogentryca@@IBMAD_1.3', -+ 'mad_dump_cc_congestionlogentryswitch' => 'mad_dump_cc_congestionlogentryswitch@@IBMAD_1.3', -+ 'mad_dump_cc_congestionlogswitch' => 'mad_dump_cc_congestionlogswitch@@IBMAD_1.3', -+ 'mad_dump_cc_switchcongestionsetting' => 'mad_dump_cc_switchcongestionsetting@@IBMAD_1.3', -+ 'mad_dump_cc_switchportcongestionsettingelement' => 'mad_dump_cc_switchportcongestionsettingelement@@IBMAD_1.3', -+ 'mad_dump_cc_timestamp' => 'mad_dump_cc_timestamp@@IBMAD_1.3', -+ 'mad_dump_classportinfo' => 'mad_dump_classportinfo@@IBMAD_1.3', -+ 'mad_dump_field' => 'mad_dump_field@@IBMAD_1.3', -+ 'mad_dump_fields' => 'mad_dump_fields@@IBMAD_1.3', -+ 'mad_dump_hex' => 'mad_dump_hex@@IBMAD_1.3', -+ 'mad_dump_int' => 'mad_dump_int@@IBMAD_1.3', -+ 'mad_dump_linkdowndefstate' => 'mad_dump_linkdowndefstate@@IBMAD_1.3', -+ 'mad_dump_linkspeed' => 'mad_dump_linkspeed@@IBMAD_1.3', -+ 'mad_dump_linkspeeden' => 'mad_dump_linkspeeden@@IBMAD_1.3', -+ 'mad_dump_linkspeedext' => 'mad_dump_linkspeedext@@IBMAD_1.3', -+ 'mad_dump_linkspeedexten' => 'mad_dump_linkspeedexten@@IBMAD_1.3', -+ 'mad_dump_linkspeedextsup' => 'mad_dump_linkspeedextsup@@IBMAD_1.3', -+ 'mad_dump_linkspeedsup' => 'mad_dump_linkspeedsup@@IBMAD_1.3', -+ 'mad_dump_linkwidth' => 'mad_dump_linkwidth@@IBMAD_1.3', -+ 'mad_dump_linkwidthen' => 'mad_dump_linkwidthen@@IBMAD_1.3', -+ 'mad_dump_linkwidthsup' => 'mad_dump_linkwidthsup@@IBMAD_1.3', -+ 'mad_dump_mlnx_ext_port_info' => 'mad_dump_mlnx_ext_port_info@@IBMAD_1.3', -+ 'mad_dump_mtu' => 'mad_dump_mtu@@IBMAD_1.3', -+ 'mad_dump_node_type' => 'mad_dump_node_type@@IBMAD_1.3', -+ 'mad_dump_nodedesc' => 'mad_dump_nodedesc@@IBMAD_1.3', -+ 'mad_dump_nodeinfo' => 'mad_dump_nodeinfo@@IBMAD_1.3', -+ 'mad_dump_opervls' => 'mad_dump_opervls@@IBMAD_1.3', -+ 'mad_dump_perfcounters' => 'mad_dump_perfcounters@@IBMAD_1.3', -+ 'mad_dump_perfcounters_ext' => 'mad_dump_perfcounters_ext@@IBMAD_1.3', -+ 'mad_dump_perfcounters_port_flow_ctl_counters' => 'mad_dump_perfcounters_port_flow_ctl_counters@@IBMAD_1.3', -+ 'mad_dump_perfcounters_port_op_rcv_counters' => 'mad_dump_perfcounters_port_op_rcv_counters@@IBMAD_1.3', -+ 'mad_dump_perfcounters_port_vl_op_data' => 'mad_dump_perfcounters_port_vl_op_data@@IBMAD_1.3', -+ 'mad_dump_perfcounters_port_vl_op_packet' => 'mad_dump_perfcounters_port_vl_op_packet@@IBMAD_1.3', -+ 'mad_dump_perfcounters_port_vl_xmit_flow_ctl_update_errors' => 'mad_dump_perfcounters_port_vl_xmit_flow_ctl_update_errors@@IBMAD_1.3', -+ 'mad_dump_perfcounters_port_vl_xmit_wait_counters' => 'mad_dump_perfcounters_port_vl_xmit_wait_counters@@IBMAD_1.3', -+ 'mad_dump_perfcounters_rcv_con_ctrl' => 'mad_dump_perfcounters_rcv_con_ctrl@@IBMAD_1.3', -+ 'mad_dump_perfcounters_rcv_err' => 'mad_dump_perfcounters_rcv_err@@IBMAD_1.3', -+ 'mad_dump_perfcounters_rcv_sl' => 'mad_dump_perfcounters_rcv_sl@@IBMAD_1.3', -+ 'mad_dump_perfcounters_sl_rcv_becn' => 'mad_dump_perfcounters_sl_rcv_becn@@IBMAD_1.3', -+ 'mad_dump_perfcounters_sl_rcv_fecn' => 'mad_dump_perfcounters_sl_rcv_fecn@@IBMAD_1.3', -+ 'mad_dump_perfcounters_sw_port_vl_congestion' => 'mad_dump_perfcounters_sw_port_vl_congestion@@IBMAD_1.3', -+ 'mad_dump_perfcounters_vl_xmit_time_cong' => 'mad_dump_perfcounters_vl_xmit_time_cong@@IBMAD_1.3', -+ 'mad_dump_perfcounters_xmit_con_ctrl' => 'mad_dump_perfcounters_xmit_con_ctrl@@IBMAD_1.3', -+ 'mad_dump_perfcounters_xmt_disc' => 'mad_dump_perfcounters_xmt_disc@@IBMAD_1.3', -+ 'mad_dump_perfcounters_xmt_sl' => 'mad_dump_perfcounters_xmt_sl@@IBMAD_1.3', -+ 'mad_dump_physportstate' => 'mad_dump_physportstate@@IBMAD_1.3', -+ 'mad_dump_port_ext_speeds_counters' => 'mad_dump_port_ext_speeds_counters@@IBMAD_1.3', -+ 'mad_dump_port_ext_speeds_counters_rsfec_active' => 'mad_dump_port_ext_speeds_counters_rsfec_active@@IBMAD_1.3', -+ 'mad_dump_portcapmask' => 'mad_dump_portcapmask@@IBMAD_1.3', -+ 'mad_dump_portcapmask2' => 'mad_dump_portcapmask2@@IBMAD_1.3', -+ 'mad_dump_portinfo' => 'mad_dump_portinfo@@IBMAD_1.3', -+ 'mad_dump_portinfo_ext' => 'mad_dump_portinfo_ext@@IBMAD_1.3', -+ 'mad_dump_portsamples_control' => 'mad_dump_portsamples_control@@IBMAD_1.3', -+ 'mad_dump_portsamples_result' => 'mad_dump_portsamples_result@@IBMAD_1.3', -+ 'mad_dump_portstate' => 'mad_dump_portstate@@IBMAD_1.3', -+ 'mad_dump_portstates' => 'mad_dump_portstates@@IBMAD_1.3', -+ 'mad_dump_rhex' => 'mad_dump_rhex@@IBMAD_1.3', -+ 'mad_dump_sltovl' => 'mad_dump_sltovl@@IBMAD_1.3', -+ 'mad_dump_string' => 'mad_dump_string@@IBMAD_1.3', -+ 'mad_dump_switchinfo' => 'mad_dump_switchinfo@@IBMAD_1.3', -+ 'mad_dump_uint' => 'mad_dump_uint@@IBMAD_1.3', -+ 'mad_dump_val' => 'mad_dump_val@@IBMAD_1.3', -+ 'mad_dump_vlarbitration' => 'mad_dump_vlarbitration@@IBMAD_1.3', -+ 'mad_dump_vlcap' => 'mad_dump_vlcap@@IBMAD_1.3', -+ 'mad_encode' => 'mad_encode@@IBMAD_1.3', -+ 'mad_encode_field' => 'mad_encode_field@@IBMAD_1.3', -+ 'mad_field_name' => 'mad_field_name@@IBMAD_1.3', -+ 'mad_free' => 'mad_free@@IBMAD_1.3', -+ 'mad_get_array' => 'mad_get_array@@IBMAD_1.3', -+ 'mad_get_field' => 'mad_get_field@@IBMAD_1.3', -+ 'mad_get_field64' => 'mad_get_field64@@IBMAD_1.3', -+ 'mad_get_retries' => 'mad_get_retries@@IBMAD_1.3', -+ 'mad_get_timeout' => 'mad_get_timeout@@IBMAD_1.3', -+ 'mad_print_field' => 'mad_print_field@@IBMAD_1.3', -+ 'mad_receive' => 'mad_receive@@IBMAD_1.3', -+ 'mad_receive_via' => 'mad_receive_via@@IBMAD_1.3', -+ 'mad_register_client' => 'mad_register_client@@IBMAD_1.3', -+ 'mad_register_client_via' => 'mad_register_client_via@@IBMAD_1.3', -+ 'mad_register_server' => 'mad_register_server@@IBMAD_1.3', -+ 'mad_register_server_via' => 'mad_register_server_via@@IBMAD_1.3', -+ 'mad_respond' => 'mad_respond@@IBMAD_1.3', -+ 'mad_respond_via' => 'mad_respond_via@@IBMAD_1.3', -+ 'mad_rpc' => 'mad_rpc@@IBMAD_1.3', -+ 'mad_rpc_class_agent' => 'mad_rpc_class_agent@@IBMAD_1.3', -+ 'mad_rpc_close_port' => 'mad_rpc_close_port@@IBMAD_1.3', -+ 'mad_rpc_open_port' => 'mad_rpc_open_port@@IBMAD_1.3', -+ 'mad_rpc_portid' => 'mad_rpc_portid@@IBMAD_1.3', -+ 'mad_rpc_rmpp' => 'mad_rpc_rmpp@@IBMAD_1.3', -+ 'mad_rpc_set_retries' => 'mad_rpc_set_retries@@IBMAD_1.3', -+ 'mad_rpc_set_timeout' => 'mad_rpc_set_timeout@@IBMAD_1.3', -+ 'mad_send' => 'mad_send@@IBMAD_1.3', -+ 'mad_send_via' => 'mad_send_via@@IBMAD_1.3', -+ 'mad_set_array' => 'mad_set_array@@IBMAD_1.3', -+ 'mad_set_field' => 'mad_set_field@@IBMAD_1.3', -+ 'mad_set_field64' => 'mad_set_field64@@IBMAD_1.3', -+ 'mad_trid' => 'mad_trid@@IBMAD_1.3', -+ 'madrpc' => 'madrpc@@IBMAD_1.3', -+ 'madrpc_init' => 'madrpc_init@@IBMAD_1.3', -+ 'madrpc_portid' => 'madrpc_portid@@IBMAD_1.3', -+ 'madrpc_rmpp' => 'madrpc_rmpp@@IBMAD_1.3', -+ 'madrpc_save_mad' => 'madrpc_save_mad@@IBMAD_1.3', -+ 'madrpc_set_retries' => 'madrpc_set_retries@@IBMAD_1.3', -+ 'madrpc_set_timeout' => 'madrpc_set_timeout@@IBMAD_1.3', -+ 'madrpc_show_errors' => 'madrpc_show_errors@@IBMAD_1.3', -+ 'performance_reset_via' => 'performance_reset_via@@IBMAD_1.3', -+ 'pma_query_via' => 'pma_query_via@@IBMAD_1.3', -+ 'portid2portnum' => 'portid2portnum@@IBMAD_1.3', -+ 'portid2str' => 'portid2str@@IBMAD_1.3', -+ 'sa_call' => 'sa_call@@IBMAD_1.3', -+ 'sa_rpc_call' => 'sa_rpc_call@@IBMAD_1.3', -+ 'smp_mkey_get' => 'smp_mkey_get@@IBMAD_1.3', -+ 'smp_mkey_set' => 'smp_mkey_set@@IBMAD_1.3', -+ 'smp_query' => 'smp_query@@IBMAD_1.3', -+ 'smp_query_status_via' => 'smp_query_status_via@@IBMAD_1.3', -+ 'smp_query_via' => 'smp_query_via@@IBMAD_1.3', -+ 'smp_set' => 'smp_set@@IBMAD_1.3', -+ 'smp_set_status_via' => 'smp_set_status_via@@IBMAD_1.3', -+ 'smp_set_via' => 'smp_set_via@@IBMAD_1.3', -+ 'str2drpath' => 'str2drpath@@IBMAD_1.3', -+ 'xdump' => 'xdump@@IBMAD_1.3' -+ }, -+ 'Symbols' => { -+ 'libibmad.so.5.3.32.0' => { -+ 'bm_call_via@@IBMAD_1.3' => 1, -+ 'cc_config_status_via@@IBMAD_1.3' => 1, -+ 'cc_query_status_via@@IBMAD_1.3' => 1, -+ 'drpath2str@@IBMAD_1.3' => 1, -+ 'ib_node_query_via@@IBMAD_1.3' => 1, -+ 'ib_path_query@@IBMAD_1.3' => 1, -+ 'ib_path_query_via@@IBMAD_1.3' => 1, -+ 'ib_resolve_gid_via@@IBMAD_1.3' => 1, -+ 'ib_resolve_guid_via@@IBMAD_1.3' => 1, -+ 'ib_resolve_portid_str@@IBMAD_1.3' => 1, -+ 'ib_resolve_portid_str_via@@IBMAD_1.3' => 1, -+ 'ib_resolve_self@@IBMAD_1.3' => 1, -+ 'ib_resolve_self_via@@IBMAD_1.3' => 1, -+ 'ib_resolve_smlid@@IBMAD_1.3' => 1, -+ 'ib_resolve_smlid_via@@IBMAD_1.3' => 1, -+ 'ib_vendor_call@@IBMAD_1.3' => 1, -+ 'ib_vendor_call_via@@IBMAD_1.3' => 1, -+ 'ibdebug@@IBMAD_1.3' => -4, -+ 'mad_alloc@@IBMAD_1.3' => 1, -+ 'mad_build_pkt@@IBMAD_1.3' => 1, -+ 'mad_class_agent@@IBMAD_1.3' => 1, -+ 'mad_decode_field@@IBMAD_1.3' => 1, -+ 'mad_dump_array@@IBMAD_1.3' => 1, -+ 'mad_dump_bitfield@@IBMAD_1.3' => 1, -+ 'mad_dump_cc_cacongestionentry@@IBMAD_1.3' => 1, -+ 'mad_dump_cc_cacongestionsetting@@IBMAD_1.3' => 1, -+ 'mad_dump_cc_congestioncontroltable@@IBMAD_1.3' => 1, -+ 'mad_dump_cc_congestioncontroltableentry@@IBMAD_1.3' => 1, -+ 'mad_dump_cc_congestioninfo@@IBMAD_1.3' => 1, -+ 'mad_dump_cc_congestionkeyinfo@@IBMAD_1.3' => 1, -+ 'mad_dump_cc_congestionlog@@IBMAD_1.3' => 1, -+ 'mad_dump_cc_congestionlogca@@IBMAD_1.3' => 1, -+ 'mad_dump_cc_congestionlogentryca@@IBMAD_1.3' => 1, -+ 'mad_dump_cc_congestionlogentryswitch@@IBMAD_1.3' => 1, -+ 'mad_dump_cc_congestionlogswitch@@IBMAD_1.3' => 1, -+ 'mad_dump_cc_switchcongestionsetting@@IBMAD_1.3' => 1, -+ 'mad_dump_cc_switchportcongestionsettingelement@@IBMAD_1.3' => 1, -+ 'mad_dump_cc_timestamp@@IBMAD_1.3' => 1, -+ 'mad_dump_classportinfo@@IBMAD_1.3' => 1, -+ 'mad_dump_field@@IBMAD_1.3' => 1, -+ 'mad_dump_fields@@IBMAD_1.3' => 1, -+ 'mad_dump_hex@@IBMAD_1.3' => 1, -+ 'mad_dump_int@@IBMAD_1.3' => 1, -+ 'mad_dump_linkdowndefstate@@IBMAD_1.3' => 1, -+ 'mad_dump_linkspeed@@IBMAD_1.3' => 1, -+ 'mad_dump_linkspeeden@@IBMAD_1.3' => 1, -+ 'mad_dump_linkspeedext@@IBMAD_1.3' => 1, -+ 'mad_dump_linkspeedexten@@IBMAD_1.3' => 1, -+ 'mad_dump_linkspeedextsup@@IBMAD_1.3' => 1, -+ 'mad_dump_linkspeedsup@@IBMAD_1.3' => 1, -+ 'mad_dump_linkwidth@@IBMAD_1.3' => 1, -+ 'mad_dump_linkwidthen@@IBMAD_1.3' => 1, -+ 'mad_dump_linkwidthsup@@IBMAD_1.3' => 1, -+ 'mad_dump_mlnx_ext_port_info@@IBMAD_1.3' => 1, -+ 'mad_dump_mtu@@IBMAD_1.3' => 1, -+ 'mad_dump_node_type@@IBMAD_1.3' => 1, -+ 'mad_dump_nodedesc@@IBMAD_1.3' => 1, -+ 'mad_dump_nodeinfo@@IBMAD_1.3' => 1, -+ 'mad_dump_opervls@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters_ext@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters_port_flow_ctl_counters@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters_port_op_rcv_counters@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters_port_vl_op_data@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters_port_vl_op_packet@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters_port_vl_xmit_flow_ctl_update_errors@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters_port_vl_xmit_wait_counters@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters_rcv_con_ctrl@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters_rcv_err@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters_rcv_sl@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters_sl_rcv_becn@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters_sl_rcv_fecn@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters_sw_port_vl_congestion@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters_vl_xmit_time_cong@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters_xmit_con_ctrl@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters_xmt_disc@@IBMAD_1.3' => 1, -+ 'mad_dump_perfcounters_xmt_sl@@IBMAD_1.3' => 1, -+ 'mad_dump_physportstate@@IBMAD_1.3' => 1, -+ 'mad_dump_port_ext_speeds_counters@@IBMAD_1.3' => 1, -+ 'mad_dump_port_ext_speeds_counters_rsfec_active@@IBMAD_1.3' => 1, -+ 'mad_dump_portcapmask2@@IBMAD_1.3' => 1, -+ 'mad_dump_portcapmask@@IBMAD_1.3' => 1, -+ 'mad_dump_portinfo@@IBMAD_1.3' => 1, -+ 'mad_dump_portinfo_ext@@IBMAD_1.3' => 1, -+ 'mad_dump_portsamples_control@@IBMAD_1.3' => 1, -+ 'mad_dump_portsamples_result@@IBMAD_1.3' => 1, -+ 'mad_dump_portstate@@IBMAD_1.3' => 1, -+ 'mad_dump_portstates@@IBMAD_1.3' => 1, -+ 'mad_dump_rhex@@IBMAD_1.3' => 1, -+ 'mad_dump_sltovl@@IBMAD_1.3' => 1, -+ 'mad_dump_string@@IBMAD_1.3' => 1, -+ 'mad_dump_switchinfo@@IBMAD_1.3' => 1, -+ 'mad_dump_uint@@IBMAD_1.3' => 1, -+ 'mad_dump_val@@IBMAD_1.3' => 1, -+ 'mad_dump_vlarbitration@@IBMAD_1.3' => 1, -+ 'mad_dump_vlcap@@IBMAD_1.3' => 1, -+ 'mad_encode@@IBMAD_1.3' => 1, -+ 'mad_encode_field@@IBMAD_1.3' => 1, -+ 'mad_field_name@@IBMAD_1.3' => 1, -+ 'mad_free@@IBMAD_1.3' => 1, -+ 'mad_get_array@@IBMAD_1.3' => 1, -+ 'mad_get_field64@@IBMAD_1.3' => 1, -+ 'mad_get_field@@IBMAD_1.3' => 1, -+ 'mad_get_retries@@IBMAD_1.3' => 1, -+ 'mad_get_timeout@@IBMAD_1.3' => 1, -+ 'mad_print_field@@IBMAD_1.3' => 1, -+ 'mad_receive@@IBMAD_1.3' => 1, -+ 'mad_receive_via@@IBMAD_1.3' => 1, -+ 'mad_register_client@@IBMAD_1.3' => 1, -+ 'mad_register_client_via@@IBMAD_1.3' => 1, -+ 'mad_register_server@@IBMAD_1.3' => 1, -+ 'mad_register_server_via@@IBMAD_1.3' => 1, -+ 'mad_respond@@IBMAD_1.3' => 1, -+ 'mad_respond_via@@IBMAD_1.3' => 1, -+ 'mad_rpc@@IBMAD_1.3' => 1, -+ 'mad_rpc_class_agent@@IBMAD_1.3' => 1, -+ 'mad_rpc_close_port@@IBMAD_1.3' => 1, -+ 'mad_rpc_open_port@@IBMAD_1.3' => 1, -+ 'mad_rpc_portid@@IBMAD_1.3' => 1, -+ 'mad_rpc_rmpp@@IBMAD_1.3' => 1, -+ 'mad_rpc_set_retries@@IBMAD_1.3' => 1, -+ 'mad_rpc_set_timeout@@IBMAD_1.3' => 1, -+ 'mad_send@@IBMAD_1.3' => 1, -+ 'mad_send_via@@IBMAD_1.3' => 1, -+ 'mad_set_array@@IBMAD_1.3' => 1, -+ 'mad_set_field64@@IBMAD_1.3' => 1, -+ 'mad_set_field@@IBMAD_1.3' => 1, -+ 'mad_trid@@IBMAD_1.3' => 1, -+ 'madrpc@@IBMAD_1.3' => 1, -+ 'madrpc_init@@IBMAD_1.3' => 1, -+ 'madrpc_portid@@IBMAD_1.3' => 1, -+ 'madrpc_rmpp@@IBMAD_1.3' => 1, -+ 'madrpc_save_mad@@IBMAD_1.3' => 1, -+ 'madrpc_set_retries@@IBMAD_1.3' => 1, -+ 'madrpc_set_timeout@@IBMAD_1.3' => 1, -+ 'madrpc_show_errors@@IBMAD_1.3' => 1, -+ 'performance_reset_via@@IBMAD_1.3' => 1, -+ 'pma_query_via@@IBMAD_1.3' => 1, -+ 'portid2portnum@@IBMAD_1.3' => 1, -+ 'portid2str@@IBMAD_1.3' => 1, -+ 'sa_call@@IBMAD_1.3' => 1, -+ 'sa_rpc_call@@IBMAD_1.3' => 1, -+ 'smp_mkey_get@@IBMAD_1.3' => 1, -+ 'smp_mkey_set@@IBMAD_1.3' => 1, -+ 'smp_query@@IBMAD_1.3' => 1, -+ 'smp_query_status_via@@IBMAD_1.3' => 1, -+ 'smp_query_via@@IBMAD_1.3' => 1, -+ 'smp_set@@IBMAD_1.3' => 1, -+ 'smp_set_status_via@@IBMAD_1.3' => 1, -+ 'smp_set_via@@IBMAD_1.3' => 1, -+ 'str2drpath@@IBMAD_1.3' => 1, -+ 'xdump@@IBMAD_1.3' => 1 -+ } -+ }, -+ 'Target' => 'unix', -+ 'TypeInfo' => { -+ '1' => { -+ 'Name' => 'void', -+ 'Type' => 'Intrinsic' -+ }, -+ '101' => { -+ 'Name' => 'unsigned char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '1040' => { -+ 'BaseType' => '806', -+ 'Header' => undef, -+ 'Line' => '243', -+ 'Name' => 'ibmad_gid_t', -+ 'Size' => '16', -+ 'Type' => 'Typedef' -+ }, -+ '107011' => { -+ 'Header' => undef, -+ 'Line' => '1367', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'attrid', -+ 'offset' => '0', -+ 'type' => '108' -+ }, -+ '1' => { -+ 'name' => 'mod', -+ 'offset' => '4', -+ 'type' => '108' -+ }, -+ '2' => { -+ 'name' => 'mask', -+ 'offset' => '8', -+ 'type' => '257' -+ }, -+ '3' => { -+ 'name' => 'method', -+ 'offset' => '16', -+ 'type' => '108' -+ }, -+ '4' => { -+ 'name' => 'trid', -+ 'offset' => '24', -+ 'type' => '257' -+ }, -+ '5' => { -+ 'name' => 'recsz', -+ 'offset' => '32', -+ 'type' => '108' -+ }, -+ '6' => { -+ 'name' => 'rmpp', -+ 'offset' => '36', -+ 'type' => '106998' -+ } -+ }, -+ 'Name' => 'struct ib_sa_call', -+ 'Size' => '56', -+ 'Type' => 'Struct' -+ }, -+ '107124' => { -+ 'BaseType' => '107011', -+ 'Header' => undef, -+ 'Line' => '1376', -+ 'Name' => 'ib_sa_call_t', -+ 'Size' => '56', -+ 'Type' => 'Typedef' -+ }, -+ '108' => { -+ 'Name' => 'unsigned int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '108763' => { -+ 'BaseType' => '107124', -+ 'Name' => 'ib_sa_call_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '115' => { -+ 'Name' => 'signed char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '122' => { -+ 'BaseType' => '101', -+ 'Header' => undef, -+ 'Line' => '38', -+ 'Name' => '__uint8_t', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ }, -+ '125138' => { -+ 'Header' => undef, -+ 'Line' => '1378', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'method', -+ 'offset' => '0', -+ 'type' => '108' -+ }, -+ '1' => { -+ 'name' => 'mgmt_class', -+ 'offset' => '4', -+ 'type' => '108' -+ }, -+ '2' => { -+ 'name' => 'attrid', -+ 'offset' => '8', -+ 'type' => '108' -+ }, -+ '3' => { -+ 'name' => 'mod', -+ 'offset' => '12', -+ 'type' => '108' -+ }, -+ '4' => { -+ 'name' => 'oui', -+ 'offset' => '16', -+ 'type' => '245' -+ }, -+ '5' => { -+ 'name' => 'timeout', -+ 'offset' => '20', -+ 'type' => '108' -+ }, -+ '6' => { -+ 'name' => 'rmpp', -+ 'offset' => '24', -+ 'type' => '125125' -+ } -+ }, -+ 'Name' => 'struct ib_vendor_call', -+ 'Size' => '44', -+ 'Type' => 'Struct' -+ }, -+ '125251' => { -+ 'BaseType' => '125138', -+ 'Header' => undef, -+ 'Line' => '1386', -+ 'Name' => 'ib_vendor_call_t', -+ 'Size' => '44', -+ 'Type' => 'Typedef' -+ }, -+ '126156' => { -+ 'BaseType' => '125251', -+ 'Name' => 'ib_vendor_call_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '1382' => { -+ 'Header' => undef, -+ 'Line' => '308', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'lid', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'drpath', -+ 'offset' => '4', -+ 'type' => '1128' -+ }, -+ '2' => { -+ 'name' => 'grh_present', -+ 'offset' => '76', -+ 'type' => '71' -+ }, -+ '3' => { -+ 'name' => 'gid', -+ 'offset' => '80', -+ 'type' => '1040' -+ }, -+ '4' => { -+ 'name' => 'qp', -+ 'offset' => '96', -+ 'type' => '245' -+ }, -+ '5' => { -+ 'name' => 'qkey', -+ 'offset' => '100', -+ 'type' => '245' -+ }, -+ '6' => { -+ 'name' => 'sl', -+ 'offset' => '104', -+ 'type' => '221' -+ }, -+ '7' => { -+ 'name' => 'pkey_idx', -+ 'offset' => '108', -+ 'type' => '108' -+ } -+ }, -+ 'Name' => 'struct portid', -+ 'Size' => '112', -+ 'Type' => 'Struct' -+ }, -+ '1507' => { -+ 'BaseType' => '1382', -+ 'Header' => undef, -+ 'Line' => '317', -+ 'Name' => 'ib_portid_t', -+ 'Size' => '112', -+ 'Type' => 'Typedef' -+ }, -+ '1520' => { -+ 'Header' => undef, -+ 'Line' => '1388', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'method', -+ 'offset' => '0', -+ 'type' => '108' -+ }, -+ '1' => { -+ 'name' => 'attrid', -+ 'offset' => '4', -+ 'type' => '108' -+ }, -+ '2' => { -+ 'name' => 'mod', -+ 'offset' => '8', -+ 'type' => '108' -+ }, -+ '3' => { -+ 'name' => 'timeout', -+ 'offset' => '12', -+ 'type' => '108' -+ }, -+ '4' => { -+ 'name' => 'bkey', -+ 'offset' => '16', -+ 'type' => '257' -+ } -+ }, -+ 'Name' => 'struct ib_bm_call', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '153' => { -+ 'BaseType' => '108', -+ 'Header' => undef, -+ 'Line' => '42', -+ 'Name' => '__uint32_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '1605' => { -+ 'BaseType' => '1520', -+ 'Header' => undef, -+ 'Line' => '1394', -+ 'Name' => 'ib_bm_call_t', -+ 'Size' => '24', -+ 'Type' => 'Typedef' -+ }, -+ '165' => { -+ 'Name' => 'long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '172' => { -+ 'BaseType' => '57', -+ 'Header' => undef, -+ 'Line' => '45', -+ 'Name' => '__uint64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '184' => { -+ 'BaseType' => '165', -+ 'Header' => undef, -+ 'Line' => '152', -+ 'Name' => '__off_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '196' => { -+ 'BaseType' => '165', -+ 'Header' => undef, -+ 'Line' => '153', -+ 'Name' => '__off64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '208' => { -+ 'BaseType' => '1', -+ 'Name' => 'void*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '215' => { -+ 'BaseType' => '89', -+ 'Name' => 'char*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '221' => { -+ 'BaseType' => '122', -+ 'Header' => undef, -+ 'Line' => '24', -+ 'Name' => 'uint8_t', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ }, -+ '245' => { -+ 'BaseType' => '153', -+ 'Header' => undef, -+ 'Line' => '26', -+ 'Name' => 'uint32_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '2555' => { -+ 'BaseType' => '221', -+ 'Name' => 'uint8_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '2561' => { -+ 'BaseType' => '1507', -+ 'Name' => 'ib_portid_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '2567' => { -+ 'BaseType' => '1605', -+ 'Name' => 'ib_bm_call_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '257' => { -+ 'BaseType' => '172', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => 'uint64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '2573' => { -+ 'Header' => undef, -+ 'Line' => '39', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'port_id', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'class_agents', -+ 'offset' => '4', -+ 'type' => '4813' -+ }, -+ '2' => { -+ 'name' => 'timeout', -+ 'offset' => '1028', -+ 'type' => '71' -+ }, -+ '3' => { -+ 'name' => 'retries', -+ 'offset' => '1032', -+ 'type' => '71' -+ }, -+ '4' => { -+ 'name' => 'smp_mkey', -+ 'offset' => '1040', -+ 'type' => '257' -+ } -+ }, -+ 'Name' => 'struct ibmad_port', -+ 'Size' => '1048', -+ 'Type' => 'Struct' -+ }, -+ '2578' => { -+ 'BaseType' => '2573', -+ 'Name' => 'struct ibmad_port*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '295' => { -+ 'Header' => undef, -+ 'Line' => '49', -+ 'Memb' => { -+ '0' => { -+ 'name' => '_flags', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => '_IO_read_ptr', -+ 'offset' => '8', -+ 'type' => '215' -+ }, -+ '10' => { -+ 'name' => '_IO_backup_base', -+ 'offset' => '80', -+ 'type' => '215' -+ }, -+ '11' => { -+ 'name' => '_IO_save_end', -+ 'offset' => '88', -+ 'type' => '215' -+ }, -+ '12' => { -+ 'name' => '_markers', -+ 'offset' => '96', -+ 'type' => '711' -+ }, -+ '13' => { -+ 'name' => '_chain', -+ 'offset' => '104', -+ 'type' => '717' -+ }, -+ '14' => { -+ 'name' => '_fileno', -+ 'offset' => '112', -+ 'type' => '71' -+ }, -+ '15' => { -+ 'name' => '_flags2', -+ 'offset' => '116', -+ 'type' => '71' -+ }, -+ '16' => { -+ 'name' => '_old_offset', -+ 'offset' => '120', -+ 'type' => '184' -+ }, -+ '17' => { -+ 'name' => '_cur_column', -+ 'offset' => '128', -+ 'type' => '64' -+ }, -+ '18' => { -+ 'name' => '_vtable_offset', -+ 'offset' => '130', -+ 'type' => '115' -+ }, -+ '19' => { -+ 'name' => '_shortbuf', -+ 'offset' => '131', -+ 'type' => '723' -+ }, -+ '2' => { -+ 'name' => '_IO_read_end', -+ 'offset' => '16', -+ 'type' => '215' -+ }, -+ '20' => { -+ 'name' => '_lock', -+ 'offset' => '136', -+ 'type' => '739' -+ }, -+ '21' => { -+ 'name' => '_offset', -+ 'offset' => '144', -+ 'type' => '196' -+ }, -+ '22' => { -+ 'name' => '_codecvt', -+ 'offset' => '152', -+ 'type' => '750' -+ }, -+ '23' => { -+ 'name' => '_wide_data', -+ 'offset' => '160', -+ 'type' => '761' -+ }, -+ '24' => { -+ 'name' => '_freeres_list', -+ 'offset' => '168', -+ 'type' => '717' -+ }, -+ '25' => { -+ 'name' => '_freeres_buf', -+ 'offset' => '176', -+ 'type' => '208' -+ }, -+ '26' => { -+ 'name' => '__pad5', -+ 'offset' => '184', -+ 'type' => '45' -+ }, -+ '27' => { -+ 'name' => '_mode', -+ 'offset' => '192', -+ 'type' => '71' -+ }, -+ '28' => { -+ 'name' => '_unused2', -+ 'offset' => '196', -+ 'type' => '767' -+ }, -+ '3' => { -+ 'name' => '_IO_read_base', -+ 'offset' => '24', -+ 'type' => '215' -+ }, -+ '4' => { -+ 'name' => '_IO_write_base', -+ 'offset' => '32', -+ 'type' => '215' -+ }, -+ '5' => { -+ 'name' => '_IO_write_ptr', -+ 'offset' => '40', -+ 'type' => '215' -+ }, -+ '6' => { -+ 'name' => '_IO_write_end', -+ 'offset' => '48', -+ 'type' => '215' -+ }, -+ '7' => { -+ 'name' => '_IO_buf_base', -+ 'offset' => '56', -+ 'type' => '215' -+ }, -+ '8' => { -+ 'name' => '_IO_buf_end', -+ 'offset' => '64', -+ 'type' => '215' -+ }, -+ '9' => { -+ 'name' => '_IO_save_base', -+ 'offset' => '72', -+ 'type' => '215' -+ } -+ }, -+ 'Name' => 'struct _IO_FILE', -+ 'Size' => '216', -+ 'Type' => 'Struct' -+ }, -+ '45' => { -+ 'BaseType' => '57', -+ 'Header' => undef, -+ 'Line' => '209', -+ 'Name' => 'size_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '4808' => { -+ 'BaseType' => '2573', -+ 'Name' => 'struct ibmad_port const', -+ 'Size' => '1048', -+ 'Type' => 'Const' -+ }, -+ '4813' => { -+ 'BaseType' => '71', -+ 'Name' => 'int[256]', -+ 'Size' => '1024', -+ 'Type' => 'Array' -+ }, -+ '5286' => { -+ 'BaseType' => '71', -+ 'Name' => 'int*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '5292' => { -+ 'BaseType' => '4808', -+ 'Name' => 'struct ibmad_port const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '57' => { -+ 'Name' => 'unsigned long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '64' => { -+ 'Name' => 'unsigned short', -+ 'Size' => '2', -+ 'Type' => 'Intrinsic' -+ }, -+ '6656' => { -+ 'Header' => undef, -+ 'Line' => '330', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IB_NO_FIELD', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IB_GID_PREFIX_F', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IB_DRSMP_HOPPTR_F', -+ 'value' => '10' -+ }, -+ '100' => { -+ 'name' => 'IB_SW_OPT_SLTOVL_MAPPING_F', -+ 'value' => '97' -+ }, -+ '101' => { -+ 'name' => 'IB_SW_LIDS_PER_PORT_F', -+ 'value' => '98' -+ }, -+ '102' => { -+ 'name' => 'IB_SW_PARTITION_ENFORCE_CAP_F', -+ 'value' => '99' -+ }, -+ '103' => { -+ 'name' => 'IB_SW_PARTITION_ENF_INB_F', -+ 'value' => '100' -+ }, -+ '104' => { -+ 'name' => 'IB_SW_PARTITION_ENF_OUTB_F', -+ 'value' => '101' -+ }, -+ '105' => { -+ 'name' => 'IB_SW_FILTER_RAW_INB_F', -+ 'value' => '102' -+ }, -+ '106' => { -+ 'name' => 'IB_SW_FILTER_RAW_OUTB_F', -+ 'value' => '103' -+ }, -+ '107' => { -+ 'name' => 'IB_SW_ENHANCED_PORT0_F', -+ 'value' => '104' -+ }, -+ '108' => { -+ 'name' => 'IB_SW_MCAST_FDB_TOP_F', -+ 'value' => '105' -+ }, -+ '109' => { -+ 'name' => 'IB_SW_LAST_F', -+ 'value' => '106' -+ }, -+ '11' => { -+ 'name' => 'IB_DRSMP_STATUS_F', -+ 'value' => '11' -+ }, -+ '110' => { -+ 'name' => 'IB_LINEAR_FORW_TBL_F', -+ 'value' => '107' -+ }, -+ '111' => { -+ 'name' => 'IB_MULTICAST_FORW_TBL_F', -+ 'value' => '108' -+ }, -+ '112' => { -+ 'name' => 'IB_NODE_DESC_F', -+ 'value' => '109' -+ }, -+ '113' => { -+ 'name' => 'IB_NOTICE_IS_GENERIC_F', -+ 'value' => '110' -+ }, -+ '114' => { -+ 'name' => 'IB_NOTICE_TYPE_F', -+ 'value' => '111' -+ }, -+ '115' => { -+ 'name' => 'IB_NOTICE_PRODUCER_F', -+ 'value' => '112' -+ }, -+ '116' => { -+ 'name' => 'IB_NOTICE_TRAP_NUMBER_F', -+ 'value' => '113' -+ }, -+ '117' => { -+ 'name' => 'IB_NOTICE_ISSUER_LID_F', -+ 'value' => '114' -+ }, -+ '118' => { -+ 'name' => 'IB_NOTICE_TOGGLE_F', -+ 'value' => '115' -+ }, -+ '119' => { -+ 'name' => 'IB_NOTICE_COUNT_F', -+ 'value' => '116' -+ }, -+ '12' => { -+ 'name' => 'IB_DRSMP_DIRECTION_F', -+ 'value' => '12' -+ }, -+ '120' => { -+ 'name' => 'IB_NOTICE_DATA_DETAILS_F', -+ 'value' => '117' -+ }, -+ '121' => { -+ 'name' => 'IB_NOTICE_DATA_LID_F', -+ 'value' => '118' -+ }, -+ '122' => { -+ 'name' => 'IB_NOTICE_DATA_144_LID_F', -+ 'value' => '119' -+ }, -+ '123' => { -+ 'name' => 'IB_NOTICE_DATA_144_CAPMASK_F', -+ 'value' => '120' -+ }, -+ '124' => { -+ 'name' => 'IB_PC_FIRST_F', -+ 'value' => '121' -+ }, -+ '125' => { -+ 'name' => 'IB_PC_PORT_SELECT_F', -+ 'value' => '121' -+ }, -+ '126' => { -+ 'name' => 'IB_PC_COUNTER_SELECT_F', -+ 'value' => '122' -+ }, -+ '127' => { -+ 'name' => 'IB_PC_ERR_SYM_F', -+ 'value' => '123' -+ }, -+ '128' => { -+ 'name' => 'IB_PC_LINK_RECOVERS_F', -+ 'value' => '124' -+ }, -+ '129' => { -+ 'name' => 'IB_PC_LINK_DOWNED_F', -+ 'value' => '125' -+ }, -+ '13' => { -+ 'name' => 'IB_MAD_TRID_F', -+ 'value' => '13' -+ }, -+ '130' => { -+ 'name' => 'IB_PC_ERR_RCV_F', -+ 'value' => '126' -+ }, -+ '131' => { -+ 'name' => 'IB_PC_ERR_PHYSRCV_F', -+ 'value' => '127' -+ }, -+ '132' => { -+ 'name' => 'IB_PC_ERR_SWITCH_REL_F', -+ 'value' => '128' -+ }, -+ '133' => { -+ 'name' => 'IB_PC_XMT_DISCARDS_F', -+ 'value' => '129' -+ }, -+ '134' => { -+ 'name' => 'IB_PC_ERR_XMTCONSTR_F', -+ 'value' => '130' -+ }, -+ '135' => { -+ 'name' => 'IB_PC_ERR_RCVCONSTR_F', -+ 'value' => '131' -+ }, -+ '136' => { -+ 'name' => 'IB_PC_COUNTER_SELECT2_F', -+ 'value' => '132' -+ }, -+ '137' => { -+ 'name' => 'IB_PC_ERR_LOCALINTEG_F', -+ 'value' => '133' -+ }, -+ '138' => { -+ 'name' => 'IB_PC_ERR_EXCESS_OVR_F', -+ 'value' => '134' -+ }, -+ '139' => { -+ 'name' => 'IB_PC_VL15_DROPPED_F', -+ 'value' => '135' -+ }, -+ '14' => { -+ 'name' => 'IB_MAD_ATTRID_F', -+ 'value' => '14' -+ }, -+ '140' => { -+ 'name' => 'IB_PC_XMT_BYTES_F', -+ 'value' => '136' -+ }, -+ '141' => { -+ 'name' => 'IB_PC_RCV_BYTES_F', -+ 'value' => '137' -+ }, -+ '142' => { -+ 'name' => 'IB_PC_XMT_PKTS_F', -+ 'value' => '138' -+ }, -+ '143' => { -+ 'name' => 'IB_PC_RCV_PKTS_F', -+ 'value' => '139' -+ }, -+ '144' => { -+ 'name' => 'IB_PC_XMT_WAIT_F', -+ 'value' => '140' -+ }, -+ '145' => { -+ 'name' => 'IB_PC_LAST_F', -+ 'value' => '141' -+ }, -+ '146' => { -+ 'name' => 'IB_SMINFO_GUID_F', -+ 'value' => '142' -+ }, -+ '147' => { -+ 'name' => 'IB_SMINFO_KEY_F', -+ 'value' => '143' -+ }, -+ '148' => { -+ 'name' => 'IB_SMINFO_ACT_F', -+ 'value' => '144' -+ }, -+ '149' => { -+ 'name' => 'IB_SMINFO_PRIO_F', -+ 'value' => '145' -+ }, -+ '15' => { -+ 'name' => 'IB_MAD_ATTRMOD_F', -+ 'value' => '15' -+ }, -+ '150' => { -+ 'name' => 'IB_SMINFO_STATE_F', -+ 'value' => '146' -+ }, -+ '151' => { -+ 'name' => 'IB_SA_RMPP_VERS_F', -+ 'value' => '147' -+ }, -+ '152' => { -+ 'name' => 'IB_SA_RMPP_TYPE_F', -+ 'value' => '148' -+ }, -+ '153' => { -+ 'name' => 'IB_SA_RMPP_RESP_F', -+ 'value' => '149' -+ }, -+ '154' => { -+ 'name' => 'IB_SA_RMPP_FLAGS_F', -+ 'value' => '150' -+ }, -+ '155' => { -+ 'name' => 'IB_SA_RMPP_STATUS_F', -+ 'value' => '151' -+ }, -+ '156' => { -+ 'name' => 'IB_SA_RMPP_D1_F', -+ 'value' => '152' -+ }, -+ '157' => { -+ 'name' => 'IB_SA_RMPP_SEGNUM_F', -+ 'value' => '153' -+ }, -+ '158' => { -+ 'name' => 'IB_SA_RMPP_D2_F', -+ 'value' => '154' -+ }, -+ '159' => { -+ 'name' => 'IB_SA_RMPP_LEN_F', -+ 'value' => '155' -+ }, -+ '16' => { -+ 'name' => 'IB_MAD_MKEY_F', -+ 'value' => '16' -+ }, -+ '160' => { -+ 'name' => 'IB_SA_RMPP_NEWWIN_F', -+ 'value' => '156' -+ }, -+ '161' => { -+ 'name' => 'IB_SA_MP_NPATH_F', -+ 'value' => '157' -+ }, -+ '162' => { -+ 'name' => 'IB_SA_MP_NSRC_F', -+ 'value' => '158' -+ }, -+ '163' => { -+ 'name' => 'IB_SA_MP_NDEST_F', -+ 'value' => '159' -+ }, -+ '164' => { -+ 'name' => 'IB_SA_MP_GID0_F', -+ 'value' => '160' -+ }, -+ '165' => { -+ 'name' => 'IB_SA_PR_DGID_F', -+ 'value' => '161' -+ }, -+ '166' => { -+ 'name' => 'IB_SA_PR_SGID_F', -+ 'value' => '162' -+ }, -+ '167' => { -+ 'name' => 'IB_SA_PR_DLID_F', -+ 'value' => '163' -+ }, -+ '168' => { -+ 'name' => 'IB_SA_PR_SLID_F', -+ 'value' => '164' -+ }, -+ '169' => { -+ 'name' => 'IB_SA_PR_NPATH_F', -+ 'value' => '165' -+ }, -+ '17' => { -+ 'name' => 'IB_DRSMP_DRDLID_F', -+ 'value' => '17' -+ }, -+ '170' => { -+ 'name' => 'IB_SA_PR_SL_F', -+ 'value' => '166' -+ }, -+ '171' => { -+ 'name' => 'IB_SA_MCM_MGID_F', -+ 'value' => '167' -+ }, -+ '172' => { -+ 'name' => 'IB_SA_MCM_PORTGID_F', -+ 'value' => '168' -+ }, -+ '173' => { -+ 'name' => 'IB_SA_MCM_QKEY_F', -+ 'value' => '169' -+ }, -+ '174' => { -+ 'name' => 'IB_SA_MCM_MLID_F', -+ 'value' => '170' -+ }, -+ '175' => { -+ 'name' => 'IB_SA_MCM_SL_F', -+ 'value' => '171' -+ }, -+ '176' => { -+ 'name' => 'IB_SA_MCM_MTU_F', -+ 'value' => '172' -+ }, -+ '177' => { -+ 'name' => 'IB_SA_MCM_RATE_F', -+ 'value' => '173' -+ }, -+ '178' => { -+ 'name' => 'IB_SA_MCM_TCLASS_F', -+ 'value' => '174' -+ }, -+ '179' => { -+ 'name' => 'IB_SA_MCM_PKEY_F', -+ 'value' => '175' -+ }, -+ '18' => { -+ 'name' => 'IB_DRSMP_DRSLID_F', -+ 'value' => '18' -+ }, -+ '180' => { -+ 'name' => 'IB_SA_MCM_FLOW_LABEL_F', -+ 'value' => '176' -+ }, -+ '181' => { -+ 'name' => 'IB_SA_MCM_JOIN_STATE_F', -+ 'value' => '177' -+ }, -+ '182' => { -+ 'name' => 'IB_SA_MCM_PROXY_JOIN_F', -+ 'value' => '178' -+ }, -+ '183' => { -+ 'name' => 'IB_SA_SR_ID_F', -+ 'value' => '179' -+ }, -+ '184' => { -+ 'name' => 'IB_SA_SR_GID_F', -+ 'value' => '180' -+ }, -+ '185' => { -+ 'name' => 'IB_SA_SR_PKEY_F', -+ 'value' => '181' -+ }, -+ '186' => { -+ 'name' => 'IB_SA_SR_LEASE_F', -+ 'value' => '182' -+ }, -+ '187' => { -+ 'name' => 'IB_SA_SR_KEY_F', -+ 'value' => '183' -+ }, -+ '188' => { -+ 'name' => 'IB_SA_SR_NAME_F', -+ 'value' => '184' -+ }, -+ '189' => { -+ 'name' => 'IB_SA_SR_DATA_F', -+ 'value' => '185' -+ }, -+ '19' => { -+ 'name' => 'IB_SA_MKEY_F', -+ 'value' => '19' -+ }, -+ '190' => { -+ 'name' => 'IB_ATS_SM_NODE_ADDR_F', -+ 'value' => '186' -+ }, -+ '191' => { -+ 'name' => 'IB_ATS_SM_MAGIC_KEY_F', -+ 'value' => '187' -+ }, -+ '192' => { -+ 'name' => 'IB_ATS_SM_NODE_TYPE_F', -+ 'value' => '188' -+ }, -+ '193' => { -+ 'name' => 'IB_ATS_SM_NODE_NAME_F', -+ 'value' => '189' -+ }, -+ '194' => { -+ 'name' => 'IB_SLTOVL_MAPPING_TABLE_F', -+ 'value' => '190' -+ }, -+ '195' => { -+ 'name' => 'IB_VL_ARBITRATION_TABLE_F', -+ 'value' => '191' -+ }, -+ '196' => { -+ 'name' => 'IB_VEND2_OUI_F', -+ 'value' => '192' -+ }, -+ '197' => { -+ 'name' => 'IB_VEND2_DATA_F', -+ 'value' => '193' -+ }, -+ '198' => { -+ 'name' => 'IB_PC_EXT_FIRST_F', -+ 'value' => '194' -+ }, -+ '199' => { -+ 'name' => 'IB_PC_EXT_PORT_SELECT_F', -+ 'value' => '194' -+ }, -+ '2' => { -+ 'name' => 'IB_GID_GUID_F', -+ 'value' => '2' -+ }, -+ '20' => { -+ 'name' => 'IB_SA_ATTROFFS_F', -+ 'value' => '20' -+ }, -+ '200' => { -+ 'name' => 'IB_PC_EXT_COUNTER_SELECT_F', -+ 'value' => '195' -+ }, -+ '201' => { -+ 'name' => 'IB_PC_EXT_XMT_BYTES_F', -+ 'value' => '196' -+ }, -+ '202' => { -+ 'name' => 'IB_PC_EXT_RCV_BYTES_F', -+ 'value' => '197' -+ }, -+ '203' => { -+ 'name' => 'IB_PC_EXT_XMT_PKTS_F', -+ 'value' => '198' -+ }, -+ '204' => { -+ 'name' => 'IB_PC_EXT_RCV_PKTS_F', -+ 'value' => '199' -+ }, -+ '205' => { -+ 'name' => 'IB_PC_EXT_XMT_UPKTS_F', -+ 'value' => '200' -+ }, -+ '206' => { -+ 'name' => 'IB_PC_EXT_RCV_UPKTS_F', -+ 'value' => '201' -+ }, -+ '207' => { -+ 'name' => 'IB_PC_EXT_XMT_MPKTS_F', -+ 'value' => '202' -+ }, -+ '208' => { -+ 'name' => 'IB_PC_EXT_RCV_MPKTS_F', -+ 'value' => '203' -+ }, -+ '209' => { -+ 'name' => 'IB_PC_EXT_LAST_F', -+ 'value' => '204' -+ }, -+ '21' => { -+ 'name' => 'IB_SA_COMPMASK_F', -+ 'value' => '21' -+ }, -+ '210' => { -+ 'name' => 'IB_GUID_GUID0_F', -+ 'value' => '205' -+ }, -+ '211' => { -+ 'name' => 'IB_CPI_BASEVER_F', -+ 'value' => '206' -+ }, -+ '212' => { -+ 'name' => 'IB_CPI_CLASSVER_F', -+ 'value' => '207' -+ }, -+ '213' => { -+ 'name' => 'IB_CPI_CAPMASK_F', -+ 'value' => '208' -+ }, -+ '214' => { -+ 'name' => 'IB_CPI_CAPMASK2_F', -+ 'value' => '209' -+ }, -+ '215' => { -+ 'name' => 'IB_CPI_RESP_TIME_VALUE_F', -+ 'value' => '210' -+ }, -+ '216' => { -+ 'name' => 'IB_CPI_REDIRECT_GID_F', -+ 'value' => '211' -+ }, -+ '217' => { -+ 'name' => 'IB_CPI_REDIRECT_TC_F', -+ 'value' => '212' -+ }, -+ '218' => { -+ 'name' => 'IB_CPI_REDIRECT_SL_F', -+ 'value' => '213' -+ }, -+ '219' => { -+ 'name' => 'IB_CPI_REDIRECT_FL_F', -+ 'value' => '214' -+ }, -+ '22' => { -+ 'name' => 'IB_SA_DATA_F', -+ 'value' => '22' -+ }, -+ '220' => { -+ 'name' => 'IB_CPI_REDIRECT_LID_F', -+ 'value' => '215' -+ }, -+ '221' => { -+ 'name' => 'IB_CPI_REDIRECT_PKEY_F', -+ 'value' => '216' -+ }, -+ '222' => { -+ 'name' => 'IB_CPI_REDIRECT_QP_F', -+ 'value' => '217' -+ }, -+ '223' => { -+ 'name' => 'IB_CPI_REDIRECT_QKEY_F', -+ 'value' => '218' -+ }, -+ '224' => { -+ 'name' => 'IB_CPI_TRAP_GID_F', -+ 'value' => '219' -+ }, -+ '225' => { -+ 'name' => 'IB_CPI_TRAP_TC_F', -+ 'value' => '220' -+ }, -+ '226' => { -+ 'name' => 'IB_CPI_TRAP_SL_F', -+ 'value' => '221' -+ }, -+ '227' => { -+ 'name' => 'IB_CPI_TRAP_FL_F', -+ 'value' => '222' -+ }, -+ '228' => { -+ 'name' => 'IB_CPI_TRAP_LID_F', -+ 'value' => '223' -+ }, -+ '229' => { -+ 'name' => 'IB_CPI_TRAP_PKEY_F', -+ 'value' => '224' -+ }, -+ '23' => { -+ 'name' => 'IB_SM_DATA_F', -+ 'value' => '23' -+ }, -+ '230' => { -+ 'name' => 'IB_CPI_TRAP_HL_F', -+ 'value' => '225' -+ }, -+ '231' => { -+ 'name' => 'IB_CPI_TRAP_QP_F', -+ 'value' => '226' -+ }, -+ '232' => { -+ 'name' => 'IB_CPI_TRAP_QKEY_F', -+ 'value' => '227' -+ }, -+ '233' => { -+ 'name' => 'IB_PC_XMT_DATA_SL_FIRST_F', -+ 'value' => '228' -+ }, -+ '234' => { -+ 'name' => 'IB_PC_XMT_DATA_SL0_F', -+ 'value' => '228' -+ }, -+ '235' => { -+ 'name' => 'IB_PC_XMT_DATA_SL1_F', -+ 'value' => '229' -+ }, -+ '236' => { -+ 'name' => 'IB_PC_XMT_DATA_SL2_F', -+ 'value' => '230' -+ }, -+ '237' => { -+ 'name' => 'IB_PC_XMT_DATA_SL3_F', -+ 'value' => '231' -+ }, -+ '238' => { -+ 'name' => 'IB_PC_XMT_DATA_SL4_F', -+ 'value' => '232' -+ }, -+ '239' => { -+ 'name' => 'IB_PC_XMT_DATA_SL5_F', -+ 'value' => '233' -+ }, -+ '24' => { -+ 'name' => 'IB_GS_DATA_F', -+ 'value' => '24' -+ }, -+ '240' => { -+ 'name' => 'IB_PC_XMT_DATA_SL6_F', -+ 'value' => '234' -+ }, -+ '241' => { -+ 'name' => 'IB_PC_XMT_DATA_SL7_F', -+ 'value' => '235' -+ }, -+ '242' => { -+ 'name' => 'IB_PC_XMT_DATA_SL8_F', -+ 'value' => '236' -+ }, -+ '243' => { -+ 'name' => 'IB_PC_XMT_DATA_SL9_F', -+ 'value' => '237' -+ }, -+ '244' => { -+ 'name' => 'IB_PC_XMT_DATA_SL10_F', -+ 'value' => '238' -+ }, -+ '245' => { -+ 'name' => 'IB_PC_XMT_DATA_SL11_F', -+ 'value' => '239' -+ }, -+ '246' => { -+ 'name' => 'IB_PC_XMT_DATA_SL12_F', -+ 'value' => '240' -+ }, -+ '247' => { -+ 'name' => 'IB_PC_XMT_DATA_SL13_F', -+ 'value' => '241' -+ }, -+ '248' => { -+ 'name' => 'IB_PC_XMT_DATA_SL14_F', -+ 'value' => '242' -+ }, -+ '249' => { -+ 'name' => 'IB_PC_XMT_DATA_SL15_F', -+ 'value' => '243' -+ }, -+ '25' => { -+ 'name' => 'IB_DRSMP_PATH_F', -+ 'value' => '25' -+ }, -+ '250' => { -+ 'name' => 'IB_PC_XMT_DATA_SL_LAST_F', -+ 'value' => '244' -+ }, -+ '251' => { -+ 'name' => 'IB_PC_RCV_DATA_SL_FIRST_F', -+ 'value' => '245' -+ }, -+ '252' => { -+ 'name' => 'IB_PC_RCV_DATA_SL0_F', -+ 'value' => '245' -+ }, -+ '253' => { -+ 'name' => 'IB_PC_RCV_DATA_SL1_F', -+ 'value' => '246' -+ }, -+ '254' => { -+ 'name' => 'IB_PC_RCV_DATA_SL2_F', -+ 'value' => '247' -+ }, -+ '255' => { -+ 'name' => 'IB_PC_RCV_DATA_SL3_F', -+ 'value' => '248' -+ }, -+ '256' => { -+ 'name' => 'IB_PC_RCV_DATA_SL4_F', -+ 'value' => '249' -+ }, -+ '257' => { -+ 'name' => 'IB_PC_RCV_DATA_SL5_F', -+ 'value' => '250' -+ }, -+ '258' => { -+ 'name' => 'IB_PC_RCV_DATA_SL6_F', -+ 'value' => '251' -+ }, -+ '259' => { -+ 'name' => 'IB_PC_RCV_DATA_SL7_F', -+ 'value' => '252' -+ }, -+ '26' => { -+ 'name' => 'IB_DRSMP_RPATH_F', -+ 'value' => '26' -+ }, -+ '260' => { -+ 'name' => 'IB_PC_RCV_DATA_SL8_F', -+ 'value' => '253' -+ }, -+ '261' => { -+ 'name' => 'IB_PC_RCV_DATA_SL9_F', -+ 'value' => '254' -+ }, -+ '262' => { -+ 'name' => 'IB_PC_RCV_DATA_SL10_F', -+ 'value' => '255' -+ }, -+ '263' => { -+ 'name' => 'IB_PC_RCV_DATA_SL11_F', -+ 'value' => '256' -+ }, -+ '264' => { -+ 'name' => 'IB_PC_RCV_DATA_SL12_F', -+ 'value' => '257' -+ }, -+ '265' => { -+ 'name' => 'IB_PC_RCV_DATA_SL13_F', -+ 'value' => '258' -+ }, -+ '266' => { -+ 'name' => 'IB_PC_RCV_DATA_SL14_F', -+ 'value' => '259' -+ }, -+ '267' => { -+ 'name' => 'IB_PC_RCV_DATA_SL15_F', -+ 'value' => '260' -+ }, -+ '268' => { -+ 'name' => 'IB_PC_RCV_DATA_SL_LAST_F', -+ 'value' => '261' -+ }, -+ '269' => { -+ 'name' => 'IB_PC_XMT_INACT_DISC_F', -+ 'value' => '262' -+ }, -+ '27' => { -+ 'name' => 'IB_PORT_FIRST_F', -+ 'value' => '27' -+ }, -+ '270' => { -+ 'name' => 'IB_PC_XMT_NEIGH_MTU_DISC_F', -+ 'value' => '263' -+ }, -+ '271' => { -+ 'name' => 'IB_PC_XMT_SW_LIFE_DISC_F', -+ 'value' => '264' -+ }, -+ '272' => { -+ 'name' => 'IB_PC_XMT_SW_HOL_DISC_F', -+ 'value' => '265' -+ }, -+ '273' => { -+ 'name' => 'IB_PC_XMT_DISC_LAST_F', -+ 'value' => '266' -+ }, -+ '274' => { -+ 'name' => 'IB_PC_RCV_LOCAL_PHY_ERR_F', -+ 'value' => '267' -+ }, -+ '275' => { -+ 'name' => 'IB_PC_RCV_MALFORMED_PKT_ERR_F', -+ 'value' => '268' -+ }, -+ '276' => { -+ 'name' => 'IB_PC_RCV_BUF_OVR_ERR_F', -+ 'value' => '269' -+ }, -+ '277' => { -+ 'name' => 'IB_PC_RCV_DLID_MAP_ERR_F', -+ 'value' => '270' -+ }, -+ '278' => { -+ 'name' => 'IB_PC_RCV_VL_MAP_ERR_F', -+ 'value' => '271' -+ }, -+ '279' => { -+ 'name' => 'IB_PC_RCV_LOOPING_ERR_F', -+ 'value' => '272' -+ }, -+ '28' => { -+ 'name' => 'IB_PORT_MKEY_F', -+ 'value' => '27' -+ }, -+ '280' => { -+ 'name' => 'IB_PC_RCV_ERR_LAST_F', -+ 'value' => '273' -+ }, -+ '281' => { -+ 'name' => 'IB_PSC_OPCODE_F', -+ 'value' => '274' -+ }, -+ '282' => { -+ 'name' => 'IB_PSC_PORT_SELECT_F', -+ 'value' => '275' -+ }, -+ '283' => { -+ 'name' => 'IB_PSC_TICK_F', -+ 'value' => '276' -+ }, -+ '284' => { -+ 'name' => 'IB_PSC_COUNTER_WIDTH_F', -+ 'value' => '277' -+ }, -+ '285' => { -+ 'name' => 'IB_PSC_COUNTER_MASK0_F', -+ 'value' => '278' -+ }, -+ '286' => { -+ 'name' => 'IB_PSC_COUNTER_MASKS1TO9_F', -+ 'value' => '279' -+ }, -+ '287' => { -+ 'name' => 'IB_PSC_COUNTER_MASKS10TO14_F', -+ 'value' => '280' -+ }, -+ '288' => { -+ 'name' => 'IB_PSC_SAMPLE_MECHS_F', -+ 'value' => '281' -+ }, -+ '289' => { -+ 'name' => 'IB_PSC_SAMPLE_STATUS_F', -+ 'value' => '282' -+ }, -+ '29' => { -+ 'name' => 'IB_PORT_GID_PREFIX_F', -+ 'value' => '28' -+ }, -+ '290' => { -+ 'name' => 'IB_PSC_OPTION_MASK_F', -+ 'value' => '283' -+ }, -+ '291' => { -+ 'name' => 'IB_PSC_VENDOR_MASK_F', -+ 'value' => '284' -+ }, -+ '292' => { -+ 'name' => 'IB_PSC_SAMPLE_START_F', -+ 'value' => '285' -+ }, -+ '293' => { -+ 'name' => 'IB_PSC_SAMPLE_INTVL_F', -+ 'value' => '286' -+ }, -+ '294' => { -+ 'name' => 'IB_PSC_TAG_F', -+ 'value' => '287' -+ }, -+ '295' => { -+ 'name' => 'IB_PSC_COUNTER_SEL0_F', -+ 'value' => '288' -+ }, -+ '296' => { -+ 'name' => 'IB_PSC_COUNTER_SEL1_F', -+ 'value' => '289' -+ }, -+ '297' => { -+ 'name' => 'IB_PSC_COUNTER_SEL2_F', -+ 'value' => '290' -+ }, -+ '298' => { -+ 'name' => 'IB_PSC_COUNTER_SEL3_F', -+ 'value' => '291' -+ }, -+ '299' => { -+ 'name' => 'IB_PSC_COUNTER_SEL4_F', -+ 'value' => '292' -+ }, -+ '3' => { -+ 'name' => 'IB_MAD_METHOD_F', -+ 'value' => '3' -+ }, -+ '30' => { -+ 'name' => 'IB_PORT_LID_F', -+ 'value' => '29' -+ }, -+ '300' => { -+ 'name' => 'IB_PSC_COUNTER_SEL5_F', -+ 'value' => '293' -+ }, -+ '301' => { -+ 'name' => 'IB_PSC_COUNTER_SEL6_F', -+ 'value' => '294' -+ }, -+ '302' => { -+ 'name' => 'IB_PSC_COUNTER_SEL7_F', -+ 'value' => '295' -+ }, -+ '303' => { -+ 'name' => 'IB_PSC_COUNTER_SEL8_F', -+ 'value' => '296' -+ }, -+ '304' => { -+ 'name' => 'IB_PSC_COUNTER_SEL9_F', -+ 'value' => '297' -+ }, -+ '305' => { -+ 'name' => 'IB_PSC_COUNTER_SEL10_F', -+ 'value' => '298' -+ }, -+ '306' => { -+ 'name' => 'IB_PSC_COUNTER_SEL11_F', -+ 'value' => '299' -+ }, -+ '307' => { -+ 'name' => 'IB_PSC_COUNTER_SEL12_F', -+ 'value' => '300' -+ }, -+ '308' => { -+ 'name' => 'IB_PSC_COUNTER_SEL13_F', -+ 'value' => '301' -+ }, -+ '309' => { -+ 'name' => 'IB_PSC_COUNTER_SEL14_F', -+ 'value' => '302' -+ }, -+ '31' => { -+ 'name' => 'IB_PORT_SMLID_F', -+ 'value' => '30' -+ }, -+ '310' => { -+ 'name' => 'IB_PSC_SAMPLES_ONLY_OPT_MASK_F', -+ 'value' => '303' -+ }, -+ '311' => { -+ 'name' => 'IB_PSC_LAST_F', -+ 'value' => '304' -+ }, -+ '312' => { -+ 'name' => 'IB_GI_GUID0_F', -+ 'value' => '305' -+ }, -+ '313' => { -+ 'name' => 'IB_GI_GUID1_F', -+ 'value' => '306' -+ }, -+ '314' => { -+ 'name' => 'IB_GI_GUID2_F', -+ 'value' => '307' -+ }, -+ '315' => { -+ 'name' => 'IB_GI_GUID3_F', -+ 'value' => '308' -+ }, -+ '316' => { -+ 'name' => 'IB_GI_GUID4_F', -+ 'value' => '309' -+ }, -+ '317' => { -+ 'name' => 'IB_GI_GUID5_F', -+ 'value' => '310' -+ }, -+ '318' => { -+ 'name' => 'IB_GI_GUID6_F', -+ 'value' => '311' -+ }, -+ '319' => { -+ 'name' => 'IB_GI_GUID7_F', -+ 'value' => '312' -+ }, -+ '32' => { -+ 'name' => 'IB_PORT_CAPMASK_F', -+ 'value' => '31' -+ }, -+ '320' => { -+ 'name' => 'IB_SA_GIR_LID_F', -+ 'value' => '313' -+ }, -+ '321' => { -+ 'name' => 'IB_SA_GIR_BLOCKNUM_F', -+ 'value' => '314' -+ }, -+ '322' => { -+ 'name' => 'IB_SA_GIR_GUID0_F', -+ 'value' => '315' -+ }, -+ '323' => { -+ 'name' => 'IB_SA_GIR_GUID1_F', -+ 'value' => '316' -+ }, -+ '324' => { -+ 'name' => 'IB_SA_GIR_GUID2_F', -+ 'value' => '317' -+ }, -+ '325' => { -+ 'name' => 'IB_SA_GIR_GUID3_F', -+ 'value' => '318' -+ }, -+ '326' => { -+ 'name' => 'IB_SA_GIR_GUID4_F', -+ 'value' => '319' -+ }, -+ '327' => { -+ 'name' => 'IB_SA_GIR_GUID5_F', -+ 'value' => '320' -+ }, -+ '328' => { -+ 'name' => 'IB_SA_GIR_GUID6_F', -+ 'value' => '321' -+ }, -+ '329' => { -+ 'name' => 'IB_SA_GIR_GUID7_F', -+ 'value' => '322' -+ }, -+ '33' => { -+ 'name' => 'IB_PORT_DIAG_F', -+ 'value' => '32' -+ }, -+ '330' => { -+ 'name' => 'IB_PORT_CAPMASK2_F', -+ 'value' => '323' -+ }, -+ '331' => { -+ 'name' => 'IB_PORT_LINK_SPEED_EXT_ACTIVE_F', -+ 'value' => '324' -+ }, -+ '332' => { -+ 'name' => 'IB_PORT_LINK_SPEED_EXT_SUPPORTED_F', -+ 'value' => '325' -+ }, -+ '333' => { -+ 'name' => 'IB_PORT_LINK_SPEED_EXT_ENABLED_F', -+ 'value' => '326' -+ }, -+ '334' => { -+ 'name' => 'IB_PORT_LINK_SPEED_EXT_LAST_F', -+ 'value' => '327' -+ }, -+ '335' => { -+ 'name' => 'IB_PESC_PORT_SELECT_F', -+ 'value' => '328' -+ }, -+ '336' => { -+ 'name' => 'IB_PESC_COUNTER_SELECT_F', -+ 'value' => '329' -+ }, -+ '337' => { -+ 'name' => 'IB_PESC_SYNC_HDR_ERR_CTR_F', -+ 'value' => '330' -+ }, -+ '338' => { -+ 'name' => 'IB_PESC_UNK_BLOCK_CTR_F', -+ 'value' => '331' -+ }, -+ '339' => { -+ 'name' => 'IB_PESC_ERR_DET_CTR_LANE0_F', -+ 'value' => '332' -+ }, -+ '34' => { -+ 'name' => 'IB_PORT_MKEY_LEASE_F', -+ 'value' => '33' -+ }, -+ '340' => { -+ 'name' => 'IB_PESC_ERR_DET_CTR_LANE1_F', -+ 'value' => '333' -+ }, -+ '341' => { -+ 'name' => 'IB_PESC_ERR_DET_CTR_LANE2_F', -+ 'value' => '334' -+ }, -+ '342' => { -+ 'name' => 'IB_PESC_ERR_DET_CTR_LANE3_F', -+ 'value' => '335' -+ }, -+ '343' => { -+ 'name' => 'IB_PESC_ERR_DET_CTR_LANE4_F', -+ 'value' => '336' -+ }, -+ '344' => { -+ 'name' => 'IB_PESC_ERR_DET_CTR_LANE5_F', -+ 'value' => '337' -+ }, -+ '345' => { -+ 'name' => 'IB_PESC_ERR_DET_CTR_LANE6_F', -+ 'value' => '338' -+ }, -+ '346' => { -+ 'name' => 'IB_PESC_ERR_DET_CTR_LANE7_F', -+ 'value' => '339' -+ }, -+ '347' => { -+ 'name' => 'IB_PESC_ERR_DET_CTR_LANE8_F', -+ 'value' => '340' -+ }, -+ '348' => { -+ 'name' => 'IB_PESC_ERR_DET_CTR_LANE9_F', -+ 'value' => '341' -+ }, -+ '349' => { -+ 'name' => 'IB_PESC_ERR_DET_CTR_LANE10_F', -+ 'value' => '342' -+ }, -+ '35' => { -+ 'name' => 'IB_PORT_LOCAL_PORT_F', -+ 'value' => '34' -+ }, -+ '350' => { -+ 'name' => 'IB_PESC_ERR_DET_CTR_LANE11_F', -+ 'value' => '343' -+ }, -+ '351' => { -+ 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE0_F', -+ 'value' => '344' -+ }, -+ '352' => { -+ 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE1_F', -+ 'value' => '345' -+ }, -+ '353' => { -+ 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE2_F', -+ 'value' => '346' -+ }, -+ '354' => { -+ 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE3_F', -+ 'value' => '347' -+ }, -+ '355' => { -+ 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE4_F', -+ 'value' => '348' -+ }, -+ '356' => { -+ 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE5_F', -+ 'value' => '349' -+ }, -+ '357' => { -+ 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE6_F', -+ 'value' => '350' -+ }, -+ '358' => { -+ 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE7_F', -+ 'value' => '351' -+ }, -+ '359' => { -+ 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE8_F', -+ 'value' => '352' -+ }, -+ '36' => { -+ 'name' => 'IB_PORT_LINK_WIDTH_ENABLED_F', -+ 'value' => '35' -+ }, -+ '360' => { -+ 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE9_F', -+ 'value' => '353' -+ }, -+ '361' => { -+ 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE10_F', -+ 'value' => '354' -+ }, -+ '362' => { -+ 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE11_F', -+ 'value' => '355' -+ }, -+ '363' => { -+ 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE0_F', -+ 'value' => '356' -+ }, -+ '364' => { -+ 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE1_F', -+ 'value' => '357' -+ }, -+ '365' => { -+ 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE2_F', -+ 'value' => '358' -+ }, -+ '366' => { -+ 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE3_F', -+ 'value' => '359' -+ }, -+ '367' => { -+ 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE4_F', -+ 'value' => '360' -+ }, -+ '368' => { -+ 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE5_F', -+ 'value' => '361' -+ }, -+ '369' => { -+ 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE6_F', -+ 'value' => '362' -+ }, -+ '37' => { -+ 'name' => 'IB_PORT_LINK_WIDTH_SUPPORTED_F', -+ 'value' => '36' -+ }, -+ '370' => { -+ 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE7_F', -+ 'value' => '363' -+ }, -+ '371' => { -+ 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE8_F', -+ 'value' => '364' -+ }, -+ '372' => { -+ 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE9_F', -+ 'value' => '365' -+ }, -+ '373' => { -+ 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE10_F', -+ 'value' => '366' -+ }, -+ '374' => { -+ 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE11_F', -+ 'value' => '367' -+ }, -+ '375' => { -+ 'name' => 'IB_PESC_LAST_F', -+ 'value' => '368' -+ }, -+ '376' => { -+ 'name' => 'IB_PC_PORT_OP_RCV_COUNTERS_FIRST_F', -+ 'value' => '369' -+ }, -+ '377' => { -+ 'name' => 'IB_PC_PORT_OP_RCV_PKTS_F', -+ 'value' => '369' -+ }, -+ '378' => { -+ 'name' => 'IB_PC_PORT_OP_RCV_DATA_F', -+ 'value' => '370' -+ }, -+ '379' => { -+ 'name' => 'IB_PC_PORT_OP_RCV_COUNTERS_LAST_F', -+ 'value' => '371' -+ }, -+ '38' => { -+ 'name' => 'IB_PORT_LINK_WIDTH_ACTIVE_F', -+ 'value' => '37' -+ }, -+ '380' => { -+ 'name' => 'IB_PC_PORT_FLOW_CTL_COUNTERS_FIRST_F', -+ 'value' => '372' -+ }, -+ '381' => { -+ 'name' => 'IB_PC_PORT_XMIT_FLOW_PKTS_F', -+ 'value' => '372' -+ }, -+ '382' => { -+ 'name' => 'IB_PC_PORT_RCV_FLOW_PKTS_F', -+ 'value' => '373' -+ }, -+ '383' => { -+ 'name' => 'IB_PC_PORT_FLOW_CTL_COUNTERS_LAST_F', -+ 'value' => '374' -+ }, -+ '384' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS_FIRST_F', -+ 'value' => '375' -+ }, -+ '385' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS0_F', -+ 'value' => '375' -+ }, -+ '386' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS1_F', -+ 'value' => '376' -+ }, -+ '387' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS2_F', -+ 'value' => '377' -+ }, -+ '388' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS3_F', -+ 'value' => '378' -+ }, -+ '389' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS4_F', -+ 'value' => '379' -+ }, -+ '39' => { -+ 'name' => 'IB_PORT_LINK_SPEED_SUPPORTED_F', -+ 'value' => '38' -+ }, -+ '390' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS5_F', -+ 'value' => '380' -+ }, -+ '391' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS6_F', -+ 'value' => '381' -+ }, -+ '392' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS7_F', -+ 'value' => '382' -+ }, -+ '393' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS8_F', -+ 'value' => '383' -+ }, -+ '394' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS9_F', -+ 'value' => '384' -+ }, -+ '395' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS10_F', -+ 'value' => '385' -+ }, -+ '396' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS11_F', -+ 'value' => '386' -+ }, -+ '397' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS12_F', -+ 'value' => '387' -+ }, -+ '398' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS13_F', -+ 'value' => '388' -+ }, -+ '399' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS14_F', -+ 'value' => '389' -+ }, -+ '4' => { -+ 'name' => 'IB_MAD_RESPONSE_F', -+ 'value' => '4' -+ }, -+ '40' => { -+ 'name' => 'IB_PORT_STATE_F', -+ 'value' => '39' -+ }, -+ '400' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS15_F', -+ 'value' => '390' -+ }, -+ '401' => { -+ 'name' => 'IB_PC_PORT_VL_OP_PACKETS_LAST_F', -+ 'value' => '391' -+ }, -+ '402' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA_FIRST_F', -+ 'value' => '392' -+ }, -+ '403' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA0_F', -+ 'value' => '392' -+ }, -+ '404' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA1_F', -+ 'value' => '393' -+ }, -+ '405' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA2_F', -+ 'value' => '394' -+ }, -+ '406' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA3_F', -+ 'value' => '395' -+ }, -+ '407' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA4_F', -+ 'value' => '396' -+ }, -+ '408' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA5_F', -+ 'value' => '397' -+ }, -+ '409' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA6_F', -+ 'value' => '398' -+ }, -+ '41' => { -+ 'name' => 'IB_PORT_PHYS_STATE_F', -+ 'value' => '40' -+ }, -+ '410' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA7_F', -+ 'value' => '399' -+ }, -+ '411' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA8_F', -+ 'value' => '400' -+ }, -+ '412' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA9_F', -+ 'value' => '401' -+ }, -+ '413' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA10_F', -+ 'value' => '402' -+ }, -+ '414' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA11_F', -+ 'value' => '403' -+ }, -+ '415' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA12_F', -+ 'value' => '404' -+ }, -+ '416' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA13_F', -+ 'value' => '405' -+ }, -+ '417' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA14_F', -+ 'value' => '406' -+ }, -+ '418' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA15_F', -+ 'value' => '407' -+ }, -+ '419' => { -+ 'name' => 'IB_PC_PORT_VL_OP_DATA_LAST_F', -+ 'value' => '408' -+ }, -+ '42' => { -+ 'name' => 'IB_PORT_LINK_DOWN_DEF_F', -+ 'value' => '41' -+ }, -+ '420' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS_FIRST_F', -+ 'value' => '409' -+ }, -+ '421' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS0_F', -+ 'value' => '409' -+ }, -+ '422' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS1_F', -+ 'value' => '410' -+ }, -+ '423' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS2_F', -+ 'value' => '411' -+ }, -+ '424' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS3_F', -+ 'value' => '412' -+ }, -+ '425' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS4_F', -+ 'value' => '413' -+ }, -+ '426' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS5_F', -+ 'value' => '414' -+ }, -+ '427' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS6_F', -+ 'value' => '415' -+ }, -+ '428' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS7_F', -+ 'value' => '416' -+ }, -+ '429' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS8_F', -+ 'value' => '417' -+ }, -+ '43' => { -+ 'name' => 'IB_PORT_MKEY_PROT_BITS_F', -+ 'value' => '42' -+ }, -+ '430' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS9_F', -+ 'value' => '418' -+ }, -+ '431' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS10_F', -+ 'value' => '419' -+ }, -+ '432' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS11_F', -+ 'value' => '420' -+ }, -+ '433' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS12_F', -+ 'value' => '421' -+ }, -+ '434' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS13_F', -+ 'value' => '422' -+ }, -+ '435' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS14_F', -+ 'value' => '423' -+ }, -+ '436' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS15_F', -+ 'value' => '424' -+ }, -+ '437' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS_LAST_F', -+ 'value' => '425' -+ }, -+ '438' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT_COUNTERS_FIRST_F', -+ 'value' => '426' -+ }, -+ '439' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT0_F', -+ 'value' => '426' -+ }, -+ '44' => { -+ 'name' => 'IB_PORT_LMC_F', -+ 'value' => '43' -+ }, -+ '440' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT1_F', -+ 'value' => '427' -+ }, -+ '441' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT2_F', -+ 'value' => '428' -+ }, -+ '442' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT3_F', -+ 'value' => '429' -+ }, -+ '443' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT4_F', -+ 'value' => '430' -+ }, -+ '444' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT5_F', -+ 'value' => '431' -+ }, -+ '445' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT6_F', -+ 'value' => '432' -+ }, -+ '446' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT7_F', -+ 'value' => '433' -+ }, -+ '447' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT8_F', -+ 'value' => '434' -+ }, -+ '448' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT9_F', -+ 'value' => '435' -+ }, -+ '449' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT10_F', -+ 'value' => '436' -+ }, -+ '45' => { -+ 'name' => 'IB_PORT_LINK_SPEED_ACTIVE_F', -+ 'value' => '44' -+ }, -+ '450' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT11_F', -+ 'value' => '437' -+ }, -+ '451' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT12_F', -+ 'value' => '438' -+ }, -+ '452' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT13_F', -+ 'value' => '439' -+ }, -+ '453' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT14_F', -+ 'value' => '440' -+ }, -+ '454' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT15_F', -+ 'value' => '441' -+ }, -+ '455' => { -+ 'name' => 'IB_PC_PORT_VL_XMIT_WAIT_COUNTERS_LAST_F', -+ 'value' => '442' -+ }, -+ '456' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION_FIRST_F', -+ 'value' => '443' -+ }, -+ '457' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION0_F', -+ 'value' => '443' -+ }, -+ '458' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION1_F', -+ 'value' => '444' -+ }, -+ '459' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION2_F', -+ 'value' => '445' -+ }, -+ '46' => { -+ 'name' => 'IB_PORT_LINK_SPEED_ENABLED_F', -+ 'value' => '45' -+ }, -+ '460' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION3_F', -+ 'value' => '446' -+ }, -+ '461' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION4_F', -+ 'value' => '447' -+ }, -+ '462' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION5_F', -+ 'value' => '448' -+ }, -+ '463' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION6_F', -+ 'value' => '449' -+ }, -+ '464' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION7_F', -+ 'value' => '450' -+ }, -+ '465' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION8_F', -+ 'value' => '451' -+ }, -+ '466' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION9_F', -+ 'value' => '452' -+ }, -+ '467' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION10_F', -+ 'value' => '453' -+ }, -+ '468' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION11_F', -+ 'value' => '454' -+ }, -+ '469' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION12_F', -+ 'value' => '455' -+ }, -+ '47' => { -+ 'name' => 'IB_PORT_NEIGHBOR_MTU_F', -+ 'value' => '46' -+ }, -+ '470' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION13_F', -+ 'value' => '456' -+ }, -+ '471' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION14_F', -+ 'value' => '457' -+ }, -+ '472' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION15_F', -+ 'value' => '458' -+ }, -+ '473' => { -+ 'name' => 'IB_PC_SW_PORT_VL_CONGESTION_LAST_F', -+ 'value' => '459' -+ }, -+ '474' => { -+ 'name' => 'IB_PC_RCV_CON_CTRL_FIRST_F', -+ 'value' => '460' -+ }, -+ '475' => { -+ 'name' => 'IB_PC_RCV_CON_CTRL_PKT_RCV_FECN_F', -+ 'value' => '460' -+ }, -+ '476' => { -+ 'name' => 'IB_PC_RCV_CON_CTRL_PKT_RCV_BECN_F', -+ 'value' => '461' -+ }, -+ '477' => { -+ 'name' => 'IB_PC_RCV_CON_CTRL_LAST_F', -+ 'value' => '462' -+ }, -+ '478' => { -+ 'name' => 'IB_PC_SL_RCV_FECN_FIRST_F', -+ 'value' => '463' -+ }, -+ '479' => { -+ 'name' => 'IB_PC_SL_RCV_FECN0_F', -+ 'value' => '463' -+ }, -+ '48' => { -+ 'name' => 'IB_PORT_SMSL_F', -+ 'value' => '47' -+ }, -+ '480' => { -+ 'name' => 'IB_PC_SL_RCV_FECN1_F', -+ 'value' => '464' -+ }, -+ '481' => { -+ 'name' => 'IB_PC_SL_RCV_FECN2_F', -+ 'value' => '465' -+ }, -+ '482' => { -+ 'name' => 'IB_PC_SL_RCV_FECN3_F', -+ 'value' => '466' -+ }, -+ '483' => { -+ 'name' => 'IB_PC_SL_RCV_FECN4_F', -+ 'value' => '467' -+ }, -+ '484' => { -+ 'name' => 'IB_PC_SL_RCV_FECN5_F', -+ 'value' => '468' -+ }, -+ '485' => { -+ 'name' => 'IB_PC_SL_RCV_FECN6_F', -+ 'value' => '469' -+ }, -+ '486' => { -+ 'name' => 'IB_PC_SL_RCV_FECN7_F', -+ 'value' => '470' -+ }, -+ '487' => { -+ 'name' => 'IB_PC_SL_RCV_FECN8_F', -+ 'value' => '471' -+ }, -+ '488' => { -+ 'name' => 'IB_PC_SL_RCV_FECN9_F', -+ 'value' => '472' -+ }, -+ '489' => { -+ 'name' => 'IB_PC_SL_RCV_FECN10_F', -+ 'value' => '473' -+ }, -+ '49' => { -+ 'name' => 'IB_PORT_VL_CAP_F', -+ 'value' => '48' -+ }, -+ '490' => { -+ 'name' => 'IB_PC_SL_RCV_FECN11_F', -+ 'value' => '474' -+ }, -+ '491' => { -+ 'name' => 'IB_PC_SL_RCV_FECN12_F', -+ 'value' => '475' -+ }, -+ '492' => { -+ 'name' => 'IB_PC_SL_RCV_FECN13_F', -+ 'value' => '476' -+ }, -+ '493' => { -+ 'name' => 'IB_PC_SL_RCV_FECN14_F', -+ 'value' => '477' -+ }, -+ '494' => { -+ 'name' => 'IB_PC_SL_RCV_FECN15_F', -+ 'value' => '478' -+ }, -+ '495' => { -+ 'name' => 'IB_PC_SL_RCV_FECN_LAST_F', -+ 'value' => '479' -+ }, -+ '496' => { -+ 'name' => 'IB_PC_SL_RCV_BECN_FIRST_F', -+ 'value' => '480' -+ }, -+ '497' => { -+ 'name' => 'IB_PC_SL_RCV_BECN0_F', -+ 'value' => '480' -+ }, -+ '498' => { -+ 'name' => 'IB_PC_SL_RCV_BECN1_F', -+ 'value' => '481' -+ }, -+ '499' => { -+ 'name' => 'IB_PC_SL_RCV_BECN2_F', -+ 'value' => '482' -+ }, -+ '5' => { -+ 'name' => 'IB_MAD_CLASSVER_F', -+ 'value' => '5' -+ }, -+ '50' => { -+ 'name' => 'IB_PORT_INIT_TYPE_F', -+ 'value' => '49' -+ }, -+ '500' => { -+ 'name' => 'IB_PC_SL_RCV_BECN3_F', -+ 'value' => '483' -+ }, -+ '501' => { -+ 'name' => 'IB_PC_SL_RCV_BECN4_F', -+ 'value' => '484' -+ }, -+ '502' => { -+ 'name' => 'IB_PC_SL_RCV_BECN5_F', -+ 'value' => '485' -+ }, -+ '503' => { -+ 'name' => 'IB_PC_SL_RCV_BECN6_F', -+ 'value' => '486' -+ }, -+ '504' => { -+ 'name' => 'IB_PC_SL_RCV_BECN7_F', -+ 'value' => '487' -+ }, -+ '505' => { -+ 'name' => 'IB_PC_SL_RCV_BECN8_F', -+ 'value' => '488' -+ }, -+ '506' => { -+ 'name' => 'IB_PC_SL_RCV_BECN9_F', -+ 'value' => '489' -+ }, -+ '507' => { -+ 'name' => 'IB_PC_SL_RCV_BECN10_F', -+ 'value' => '490' -+ }, -+ '508' => { -+ 'name' => 'IB_PC_SL_RCV_BECN11_F', -+ 'value' => '491' -+ }, -+ '509' => { -+ 'name' => 'IB_PC_SL_RCV_BECN12_F', -+ 'value' => '492' -+ }, -+ '51' => { -+ 'name' => 'IB_PORT_VL_HIGH_LIMIT_F', -+ 'value' => '50' -+ }, -+ '510' => { -+ 'name' => 'IB_PC_SL_RCV_BECN13_F', -+ 'value' => '493' -+ }, -+ '511' => { -+ 'name' => 'IB_PC_SL_RCV_BECN14_F', -+ 'value' => '494' -+ }, -+ '512' => { -+ 'name' => 'IB_PC_SL_RCV_BECN15_F', -+ 'value' => '495' -+ }, -+ '513' => { -+ 'name' => 'IB_PC_SL_RCV_BECN_LAST_F', -+ 'value' => '496' -+ }, -+ '514' => { -+ 'name' => 'IB_PC_XMIT_CON_CTRL_FIRST_F', -+ 'value' => '497' -+ }, -+ '515' => { -+ 'name' => 'IB_PC_XMIT_CON_CTRL_TIME_CONG_F', -+ 'value' => '497' -+ }, -+ '516' => { -+ 'name' => 'IB_PC_XMIT_CON_CTRL_LAST_F', -+ 'value' => '498' -+ }, -+ '517' => { -+ 'name' => 'IB_PC_VL_XMIT_TIME_CONG_FIRST_F', -+ 'value' => '499' -+ }, -+ '518' => { -+ 'name' => 'IB_PC_VL_XMIT_TIME_CONG0_F', -+ 'value' => '499' -+ }, -+ '519' => { -+ 'name' => 'IB_PC_VL_XMIT_TIME_CONG1_F', -+ 'value' => '500' -+ }, -+ '52' => { -+ 'name' => 'IB_PORT_VL_ARBITRATION_HIGH_CAP_F', -+ 'value' => '51' -+ }, -+ '520' => { -+ 'name' => 'IB_PC_VL_XMIT_TIME_CONG2_F', -+ 'value' => '501' -+ }, -+ '521' => { -+ 'name' => 'IB_PC_VL_XMIT_TIME_CONG3_F', -+ 'value' => '502' -+ }, -+ '522' => { -+ 'name' => 'IB_PC_VL_XMIT_TIME_CONG4_F', -+ 'value' => '503' -+ }, -+ '523' => { -+ 'name' => 'IB_PC_VL_XMIT_TIME_CONG5_F', -+ 'value' => '504' -+ }, -+ '524' => { -+ 'name' => 'IB_PC_VL_XMIT_TIME_CONG6_F', -+ 'value' => '505' -+ }, -+ '525' => { -+ 'name' => 'IB_PC_VL_XMIT_TIME_CONG7_F', -+ 'value' => '506' -+ }, -+ '526' => { -+ 'name' => 'IB_PC_VL_XMIT_TIME_CONG8_F', -+ 'value' => '507' -+ }, -+ '527' => { -+ 'name' => 'IB_PC_VL_XMIT_TIME_CONG9_F', -+ 'value' => '508' -+ }, -+ '528' => { -+ 'name' => 'IB_PC_VL_XMIT_TIME_CONG10_F', -+ 'value' => '509' -+ }, -+ '529' => { -+ 'name' => 'IB_PC_VL_XMIT_TIME_CONG11_F', -+ 'value' => '510' -+ }, -+ '53' => { -+ 'name' => 'IB_PORT_VL_ARBITRATION_LOW_CAP_F', -+ 'value' => '52' -+ }, -+ '530' => { -+ 'name' => 'IB_PC_VL_XMIT_TIME_CONG12_F', -+ 'value' => '511' -+ }, -+ '531' => { -+ 'name' => 'IB_PC_VL_XMIT_TIME_CONG13_F', -+ 'value' => '512' -+ }, -+ '532' => { -+ 'name' => 'IB_PC_VL_XMIT_TIME_CONG14_F', -+ 'value' => '513' -+ }, -+ '533' => { -+ 'name' => 'IB_PC_VL_XMIT_TIME_CONG_LAST_F', -+ 'value' => '514' -+ }, -+ '534' => { -+ 'name' => 'IB_MLNX_EXT_PORT_STATE_CHG_ENABLE_F', -+ 'value' => '515' -+ }, -+ '535' => { -+ 'name' => 'IB_MLNX_EXT_PORT_LINK_SPEED_SUPPORTED_F', -+ 'value' => '516' -+ }, -+ '536' => { -+ 'name' => 'IB_MLNX_EXT_PORT_LINK_SPEED_ENABLED_F', -+ 'value' => '517' -+ }, -+ '537' => { -+ 'name' => 'IB_MLNX_EXT_PORT_LINK_SPEED_ACTIVE_F', -+ 'value' => '518' -+ }, -+ '538' => { -+ 'name' => 'IB_MLNX_EXT_PORT_LAST_F', -+ 'value' => '519' -+ }, -+ '539' => { -+ 'name' => 'IB_CC_CCKEY_F', -+ 'value' => '520' -+ }, -+ '54' => { -+ 'name' => 'IB_PORT_INIT_TYPE_REPLY_F', -+ 'value' => '53' -+ }, -+ '540' => { -+ 'name' => 'IB_CC_CONGESTION_INFO_FIRST_F', -+ 'value' => '521' -+ }, -+ '541' => { -+ 'name' => 'IB_CC_CONGESTION_INFO_F', -+ 'value' => '521' -+ }, -+ '542' => { -+ 'name' => 'IB_CC_CONGESTION_INFO_CONTROL_TABLE_CAP_F', -+ 'value' => '522' -+ }, -+ '543' => { -+ 'name' => 'IB_CC_CONGESTION_INFO_LAST_F', -+ 'value' => '523' -+ }, -+ '544' => { -+ 'name' => 'IB_CC_CONGESTION_KEY_INFO_FIRST_F', -+ 'value' => '524' -+ }, -+ '545' => { -+ 'name' => 'IB_CC_CONGESTION_KEY_INFO_CC_KEY_F', -+ 'value' => '524' -+ }, -+ '546' => { -+ 'name' => 'IB_CC_CONGESTION_KEY_INFO_CC_KEY_PROTECT_BIT_F', -+ 'value' => '525' -+ }, -+ '547' => { -+ 'name' => 'IB_CC_CONGESTION_KEY_INFO_CC_KEY_LEASE_PERIOD_F', -+ 'value' => '526' -+ }, -+ '548' => { -+ 'name' => 'IB_CC_CONGESTION_KEY_INFO_CC_KEY_VIOLATIONS_F', -+ 'value' => '527' -+ }, -+ '549' => { -+ 'name' => 'IB_CC_CONGESTION_KEY_INFO_LAST_F', -+ 'value' => '528' -+ }, -+ '55' => { -+ 'name' => 'IB_PORT_MTU_CAP_F', -+ 'value' => '54' -+ }, -+ '550' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_FIRST_F', -+ 'value' => '529' -+ }, -+ '551' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_LOGTYPE_F', -+ 'value' => '529' -+ }, -+ '552' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_CONGESTION_FLAGS_F', -+ 'value' => '530' -+ }, -+ '553' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_LAST_F', -+ 'value' => '531' -+ }, -+ '554' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_FIRST_F', -+ 'value' => '532' -+ }, -+ '555' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_LOG_EVENTS_COUNTER_F', -+ 'value' => '532' -+ }, -+ '556' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_CURRENT_TIME_STAMP_F', -+ 'value' => '533' -+ }, -+ '557' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_PORTMAP_F', -+ 'value' => '534' -+ }, -+ '558' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_LAST_F', -+ 'value' => '535' -+ }, -+ '559' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_FIRST_F', -+ 'value' => '536' -+ }, -+ '56' => { -+ 'name' => 'IB_PORT_VL_STALL_COUNT_F', -+ 'value' => '55' -+ }, -+ '560' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_SLID_F', -+ 'value' => '536' -+ }, -+ '561' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_DLID_F', -+ 'value' => '537' -+ }, -+ '562' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_SL_F', -+ 'value' => '538' -+ }, -+ '563' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_TIMESTAMP_F', -+ 'value' => '539' -+ }, -+ '564' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_LAST_F', -+ 'value' => '540' -+ }, -+ '565' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_CA_FIRST_F', -+ 'value' => '541' -+ }, -+ '566' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_CA_THRESHOLD_EVENT_COUNTER_F', -+ 'value' => '541' -+ }, -+ '567' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_CA_THRESHOLD_CONGESTION_EVENT_MAP_F', -+ 'value' => '542' -+ }, -+ '568' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_CA_CURRENT_TIMESTAMP_F', -+ 'value' => '543' -+ }, -+ '569' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_CA_LAST_F', -+ 'value' => '544' -+ }, -+ '57' => { -+ 'name' => 'IB_PORT_HOQ_LIFE_F', -+ 'value' => '56' -+ }, -+ '570' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_FIRST_F', -+ 'value' => '545' -+ }, -+ '571' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_LOCAL_QP_CN_ENTRY_F', -+ 'value' => '545' -+ }, -+ '572' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_SL_CN_ENTRY_F', -+ 'value' => '546' -+ }, -+ '573' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_SERVICE_TYPE_CN_ENTRY_F', -+ 'value' => '547' -+ }, -+ '574' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_REMOTE_QP_NUMBER_CN_ENTRY_F', -+ 'value' => '548' -+ }, -+ '575' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_LOCAL_LID_CN_F', -+ 'value' => '549' -+ }, -+ '576' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_REMOTE_LID_CN_ENTRY_F', -+ 'value' => '550' -+ }, -+ '577' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_TIMESTAMP_CN_ENTRY_F', -+ 'value' => '551' -+ }, -+ '578' => { -+ 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_LAST_F', -+ 'value' => '552' -+ }, -+ '579' => { -+ 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_FIRST_F', -+ 'value' => '553' -+ }, -+ '58' => { -+ 'name' => 'IB_PORT_OPER_VLS_F', -+ 'value' => '57' -+ }, -+ '580' => { -+ 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_CONTROL_MAP_F', -+ 'value' => '553' -+ }, -+ '581' => { -+ 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_VICTIM_MASK_F', -+ 'value' => '554' -+ }, -+ '582' => { -+ 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_CREDIT_MASK_F', -+ 'value' => '555' -+ }, -+ '583' => { -+ 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_THRESHOLD_F', -+ 'value' => '556' -+ }, -+ '584' => { -+ 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_PACKET_SIZE_F', -+ 'value' => '557' -+ }, -+ '585' => { -+ 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_CS_THRESHOLD_F', -+ 'value' => '558' -+ }, -+ '586' => { -+ 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_CS_RETURN_DELAY_F', -+ 'value' => '559' -+ }, -+ '587' => { -+ 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_MARKING_RATE_F', -+ 'value' => '560' -+ }, -+ '588' => { -+ 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_LAST_F', -+ 'value' => '561' -+ }, -+ '589' => { -+ 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_FIRST_F', -+ 'value' => '562' -+ }, -+ '59' => { -+ 'name' => 'IB_PORT_PART_EN_INB_F', -+ 'value' => '58' -+ }, -+ '590' => { -+ 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_VALID_F', -+ 'value' => '562' -+ }, -+ '591' => { -+ 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_CONTROL_TYPE_F', -+ 'value' => '563' -+ }, -+ '592' => { -+ 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_THRESHOLD_F', -+ 'value' => '564' -+ }, -+ '593' => { -+ 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_PACKET_SIZE_F', -+ 'value' => '565' -+ }, -+ '594' => { -+ 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_CONG_PARM_MARKING_RATE_F', -+ 'value' => '566' -+ }, -+ '595' => { -+ 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_LAST_F', -+ 'value' => '567' -+ }, -+ '596' => { -+ 'name' => 'IB_CC_CA_CONGESTION_SETTING_FIRST_F', -+ 'value' => '568' -+ }, -+ '597' => { -+ 'name' => 'IB_CC_CA_CONGESTION_SETTING_PORT_CONTROL_F', -+ 'value' => '568' -+ }, -+ '598' => { -+ 'name' => 'IB_CC_CA_CONGESTION_SETTING_CONTROL_MAP_F', -+ 'value' => '569' -+ }, -+ '599' => { -+ 'name' => 'IB_CC_CA_CONGESTION_SETTING_LAST_F', -+ 'value' => '570' -+ }, -+ '6' => { -+ 'name' => 'IB_MAD_MGMTCLASS_F', -+ 'value' => '6' -+ }, -+ '60' => { -+ 'name' => 'IB_PORT_PART_EN_OUTB_F', -+ 'value' => '59' -+ }, -+ '600' => { -+ 'name' => 'IB_CC_CA_CONGESTION_ENTRY_FIRST_F', -+ 'value' => '571' -+ }, -+ '601' => { -+ 'name' => 'IB_CC_CA_CONGESTION_ENTRY_CCTI_TIMER_F', -+ 'value' => '571' -+ }, -+ '602' => { -+ 'name' => 'IB_CC_CA_CONGESTION_ENTRY_CCTI_INCREASE_F', -+ 'value' => '572' -+ }, -+ '603' => { -+ 'name' => 'IB_CC_CA_CONGESTION_ENTRY_TRIGGER_THRESHOLD_F', -+ 'value' => '573' -+ }, -+ '604' => { -+ 'name' => 'IB_CC_CA_CONGESTION_ENTRY_CCTI_MIN_F', -+ 'value' => '574' -+ }, -+ '605' => { -+ 'name' => 'IB_CC_CA_CONGESTION_ENTRY_LAST_F', -+ 'value' => '575' -+ }, -+ '606' => { -+ 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_FIRST_F', -+ 'value' => '576' -+ }, -+ '607' => { -+ 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_CCTI_LIMIT_F', -+ 'value' => '576' -+ }, -+ '608' => { -+ 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_LAST_F', -+ 'value' => '577' -+ }, -+ '609' => { -+ 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_ENTRY_FIRST_F', -+ 'value' => '578' -+ }, -+ '61' => { -+ 'name' => 'IB_PORT_FILTER_RAW_INB_F', -+ 'value' => '60' -+ }, -+ '610' => { -+ 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_ENTRY_CCT_SHIFT_F', -+ 'value' => '578' -+ }, -+ '611' => { -+ 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_ENTRY_CCT_MULTIPLIER_F', -+ 'value' => '579' -+ }, -+ '612' => { -+ 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_ENTRY_LAST_F', -+ 'value' => '580' -+ }, -+ '613' => { -+ 'name' => 'IB_CC_TIMESTAMP_FIRST_F', -+ 'value' => '581' -+ }, -+ '614' => { -+ 'name' => 'IB_CC_TIMESTAMP_F', -+ 'value' => '581' -+ }, -+ '615' => { -+ 'name' => 'IB_CC_TIMESTAMP_LAST_F', -+ 'value' => '582' -+ }, -+ '616' => { -+ 'name' => 'IB_SA_NR_FIRST_F', -+ 'value' => '583' -+ }, -+ '617' => { -+ 'name' => 'IB_SA_NR_LID_F', -+ 'value' => '583' -+ }, -+ '618' => { -+ 'name' => 'IB_SA_NR_BASEVER_F', -+ 'value' => '584' -+ }, -+ '619' => { -+ 'name' => 'IB_SA_NR_CLASSVER_F', -+ 'value' => '585' -+ }, -+ '62' => { -+ 'name' => 'IB_PORT_FILTER_RAW_OUTB_F', -+ 'value' => '61' -+ }, -+ '620' => { -+ 'name' => 'IB_SA_NR_TYPE_F', -+ 'value' => '586' -+ }, -+ '621' => { -+ 'name' => 'IB_SA_NR_NPORTS_F', -+ 'value' => '587' -+ }, -+ '622' => { -+ 'name' => 'IB_SA_NR_SYSTEM_GUID_F', -+ 'value' => '588' -+ }, -+ '623' => { -+ 'name' => 'IB_SA_NR_GUID_F', -+ 'value' => '589' -+ }, -+ '624' => { -+ 'name' => 'IB_SA_NR_PORT_GUID_F', -+ 'value' => '590' -+ }, -+ '625' => { -+ 'name' => 'IB_SA_NR_PARTITION_CAP_F', -+ 'value' => '591' -+ }, -+ '626' => { -+ 'name' => 'IB_SA_NR_DEVID_F', -+ 'value' => '592' -+ }, -+ '627' => { -+ 'name' => 'IB_SA_NR_REVISION_F', -+ 'value' => '593' -+ }, -+ '628' => { -+ 'name' => 'IB_SA_NR_LOCAL_PORT_F', -+ 'value' => '594' -+ }, -+ '629' => { -+ 'name' => 'IB_SA_NR_VENDORID_F', -+ 'value' => '595' -+ }, -+ '63' => { -+ 'name' => 'IB_PORT_MKEY_VIOL_F', -+ 'value' => '62' -+ }, -+ '630' => { -+ 'name' => 'IB_SA_NR_NODEDESC_F', -+ 'value' => '596' -+ }, -+ '631' => { -+ 'name' => 'IB_SA_NR_LAST_F', -+ 'value' => '597' -+ }, -+ '632' => { -+ 'name' => 'IB_PSR_TAG_F', -+ 'value' => '598' -+ }, -+ '633' => { -+ 'name' => 'IB_PSR_SAMPLE_STATUS_F', -+ 'value' => '599' -+ }, -+ '634' => { -+ 'name' => 'IB_PSR_COUNTER0_F', -+ 'value' => '600' -+ }, -+ '635' => { -+ 'name' => 'IB_PSR_COUNTER1_F', -+ 'value' => '601' -+ }, -+ '636' => { -+ 'name' => 'IB_PSR_COUNTER2_F', -+ 'value' => '602' -+ }, -+ '637' => { -+ 'name' => 'IB_PSR_COUNTER3_F', -+ 'value' => '603' -+ }, -+ '638' => { -+ 'name' => 'IB_PSR_COUNTER4_F', -+ 'value' => '604' -+ }, -+ '639' => { -+ 'name' => 'IB_PSR_COUNTER5_F', -+ 'value' => '605' -+ }, -+ '64' => { -+ 'name' => 'IB_PORT_PKEY_VIOL_F', -+ 'value' => '63' -+ }, -+ '640' => { -+ 'name' => 'IB_PSR_COUNTER6_F', -+ 'value' => '606' -+ }, -+ '641' => { -+ 'name' => 'IB_PSR_COUNTER7_F', -+ 'value' => '607' -+ }, -+ '642' => { -+ 'name' => 'IB_PSR_COUNTER8_F', -+ 'value' => '608' -+ }, -+ '643' => { -+ 'name' => 'IB_PSR_COUNTER9_F', -+ 'value' => '609' -+ }, -+ '644' => { -+ 'name' => 'IB_PSR_COUNTER10_F', -+ 'value' => '610' -+ }, -+ '645' => { -+ 'name' => 'IB_PSR_COUNTER11_F', -+ 'value' => '611' -+ }, -+ '646' => { -+ 'name' => 'IB_PSR_COUNTER12_F', -+ 'value' => '612' -+ }, -+ '647' => { -+ 'name' => 'IB_PSR_COUNTER13_F', -+ 'value' => '613' -+ }, -+ '648' => { -+ 'name' => 'IB_PSR_COUNTER14_F', -+ 'value' => '614' -+ }, -+ '649' => { -+ 'name' => 'IB_PSR_LAST_F', -+ 'value' => '615' -+ }, -+ '65' => { -+ 'name' => 'IB_PORT_QKEY_VIOL_F', -+ 'value' => '64' -+ }, -+ '650' => { -+ 'name' => 'IB_PORT_EXT_FIRST_F', -+ 'value' => '616' -+ }, -+ '651' => { -+ 'name' => 'IB_PORT_EXT_CAPMASK_F', -+ 'value' => '616' -+ }, -+ '652' => { -+ 'name' => 'IB_PORT_EXT_FEC_MODE_ACTIVE_F', -+ 'value' => '617' -+ }, -+ '653' => { -+ 'name' => 'IB_PORT_EXT_FDR_FEC_MODE_SUPPORTED_F', -+ 'value' => '618' -+ }, -+ '654' => { -+ 'name' => 'IB_PORT_EXT_FDR_FEC_MODE_ENABLED_F', -+ 'value' => '619' -+ }, -+ '655' => { -+ 'name' => 'IB_PORT_EXT_EDR_FEC_MODE_SUPPORTED_F', -+ 'value' => '620' -+ }, -+ '656' => { -+ 'name' => 'IB_PORT_EXT_EDR_FEC_MODE_ENABLED_F', -+ 'value' => '621' -+ }, -+ '657' => { -+ 'name' => 'IB_PORT_EXT_LAST_F', -+ 'value' => '622' -+ }, -+ '658' => { -+ 'name' => 'IB_PESC_RSFEC_FIRST_F', -+ 'value' => '623' -+ }, -+ '659' => { -+ 'name' => 'IB_PESC_RSFEC_PORT_SELECT_F', -+ 'value' => '623' -+ }, -+ '66' => { -+ 'name' => 'IB_PORT_GUID_CAP_F', -+ 'value' => '65' -+ }, -+ '660' => { -+ 'name' => 'IB_PESC_RSFEC_COUNTER_SELECT_F', -+ 'value' => '624' -+ }, -+ '661' => { -+ 'name' => 'IB_PESC_RSFEC_SYNC_HDR_ERR_CTR_F', -+ 'value' => '625' -+ }, -+ '662' => { -+ 'name' => 'IB_PESC_RSFEC_UNK_BLOCK_CTR_F', -+ 'value' => '626' -+ }, -+ '663' => { -+ 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE0_F', -+ 'value' => '627' -+ }, -+ '664' => { -+ 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE1_F', -+ 'value' => '628' -+ }, -+ '665' => { -+ 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE2_F', -+ 'value' => '629' -+ }, -+ '666' => { -+ 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE3_F', -+ 'value' => '630' -+ }, -+ '667' => { -+ 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE4_F', -+ 'value' => '631' -+ }, -+ '668' => { -+ 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE5_F', -+ 'value' => '632' -+ }, -+ '669' => { -+ 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE6_F', -+ 'value' => '633' -+ }, -+ '67' => { -+ 'name' => 'IB_PORT_CLIENT_REREG_F', -+ 'value' => '66' -+ }, -+ '670' => { -+ 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE7_F', -+ 'value' => '634' -+ }, -+ '671' => { -+ 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE8_F', -+ 'value' => '635' -+ }, -+ '672' => { -+ 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE9_F', -+ 'value' => '636' -+ }, -+ '673' => { -+ 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE10_F', -+ 'value' => '637' -+ }, -+ '674' => { -+ 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE11_F', -+ 'value' => '638' -+ }, -+ '675' => { -+ 'name' => 'IB_PESC_PORT_FEC_CORR_BLOCK_CTR_F', -+ 'value' => '639' -+ }, -+ '676' => { -+ 'name' => 'IB_PESC_PORT_FEC_UNCORR_BLOCK_CTR_F', -+ 'value' => '640' -+ }, -+ '677' => { -+ 'name' => 'IB_PESC_PORT_FEC_CORR_SYMBOL_CTR_F', -+ 'value' => '641' -+ }, -+ '678' => { -+ 'name' => 'IB_PESC_RSFEC_LAST_F', -+ 'value' => '642' -+ }, -+ '679' => { -+ 'name' => 'IB_PC_EXT_COUNTER_SELECT2_F', -+ 'value' => '643' -+ }, -+ '68' => { -+ 'name' => 'IB_PORT_MCAST_PKEY_SUPR_ENAB_F', -+ 'value' => '67' -+ }, -+ '680' => { -+ 'name' => 'IB_PC_EXT_ERR_SYM_F', -+ 'value' => '644' -+ }, -+ '681' => { -+ 'name' => 'IB_PC_EXT_LINK_RECOVERS_F', -+ 'value' => '645' -+ }, -+ '682' => { -+ 'name' => 'IB_PC_EXT_LINK_DOWNED_F', -+ 'value' => '646' -+ }, -+ '683' => { -+ 'name' => 'IB_PC_EXT_ERR_RCV_F', -+ 'value' => '647' -+ }, -+ '684' => { -+ 'name' => 'IB_PC_EXT_ERR_PHYSRCV_F', -+ 'value' => '648' -+ }, -+ '685' => { -+ 'name' => 'IB_PC_EXT_ERR_SWITCH_REL_F', -+ 'value' => '649' -+ }, -+ '686' => { -+ 'name' => 'IB_PC_EXT_XMT_DISCARDS_F', -+ 'value' => '650' -+ }, -+ '687' => { -+ 'name' => 'IB_PC_EXT_ERR_XMTCONSTR_F', -+ 'value' => '651' -+ }, -+ '688' => { -+ 'name' => 'IB_PC_EXT_ERR_RCVCONSTR_F', -+ 'value' => '652' -+ }, -+ '689' => { -+ 'name' => 'IB_PC_EXT_ERR_LOCALINTEG_F', -+ 'value' => '653' -+ }, -+ '69' => { -+ 'name' => 'IB_PORT_SUBN_TIMEOUT_F', -+ 'value' => '68' -+ }, -+ '690' => { -+ 'name' => 'IB_PC_EXT_ERR_EXCESS_OVR_F', -+ 'value' => '654' -+ }, -+ '691' => { -+ 'name' => 'IB_PC_EXT_VL15_DROPPED_F', -+ 'value' => '655' -+ }, -+ '692' => { -+ 'name' => 'IB_PC_EXT_XMT_WAIT_F', -+ 'value' => '656' -+ }, -+ '693' => { -+ 'name' => 'IB_PC_EXT_QP1_DROP_F', -+ 'value' => '657' -+ }, -+ '694' => { -+ 'name' => 'IB_PC_EXT_ERR_LAST_F', -+ 'value' => '658' -+ }, -+ '695' => { -+ 'name' => 'IB_PC_QP1_DROP_F', -+ 'value' => '659' -+ }, -+ '696' => { -+ 'name' => 'IB_PORT_EXT_HDR_FEC_MODE_SUPPORTED_F', -+ 'value' => '660' -+ }, -+ '697' => { -+ 'name' => 'IB_PORT_EXT_HDR_FEC_MODE_ENABLED_F', -+ 'value' => '661' -+ }, -+ '698' => { -+ 'name' => 'IB_PORT_EXT_HDR_FEC_MODE_LAST_F', -+ 'value' => '662' -+ }, -+ '699' => { -+ 'name' => 'IB_FIELD_LAST_', -+ 'value' => '663' -+ }, -+ '7' => { -+ 'name' => 'IB_MAD_BASEVER_F', -+ 'value' => '7' -+ }, -+ '70' => { -+ 'name' => 'IB_PORT_RESP_TIME_VAL_F', -+ 'value' => '69' -+ }, -+ '71' => { -+ 'name' => 'IB_PORT_LOCAL_PHYS_ERR_F', -+ 'value' => '70' -+ }, -+ '72' => { -+ 'name' => 'IB_PORT_OVERRUN_ERR_F', -+ 'value' => '71' -+ }, -+ '73' => { -+ 'name' => 'IB_PORT_MAX_CREDIT_HINT_F', -+ 'value' => '72' -+ }, -+ '74' => { -+ 'name' => 'IB_PORT_LINK_ROUND_TRIP_F', -+ 'value' => '73' -+ }, -+ '75' => { -+ 'name' => 'IB_PORT_LAST_F', -+ 'value' => '74' -+ }, -+ '76' => { -+ 'name' => 'IB_NODE_FIRST_F', -+ 'value' => '75' -+ }, -+ '77' => { -+ 'name' => 'IB_NODE_BASE_VERS_F', -+ 'value' => '75' -+ }, -+ '78' => { -+ 'name' => 'IB_NODE_CLASS_VERS_F', -+ 'value' => '76' -+ }, -+ '79' => { -+ 'name' => 'IB_NODE_TYPE_F', -+ 'value' => '77' -+ }, -+ '8' => { -+ 'name' => 'IB_MAD_STATUS_F', -+ 'value' => '8' -+ }, -+ '80' => { -+ 'name' => 'IB_NODE_NPORTS_F', -+ 'value' => '78' -+ }, -+ '81' => { -+ 'name' => 'IB_NODE_SYSTEM_GUID_F', -+ 'value' => '79' -+ }, -+ '82' => { -+ 'name' => 'IB_NODE_GUID_F', -+ 'value' => '80' -+ }, -+ '83' => { -+ 'name' => 'IB_NODE_PORT_GUID_F', -+ 'value' => '81' -+ }, -+ '84' => { -+ 'name' => 'IB_NODE_PARTITION_CAP_F', -+ 'value' => '82' -+ }, -+ '85' => { -+ 'name' => 'IB_NODE_DEVID_F', -+ 'value' => '83' -+ }, -+ '86' => { -+ 'name' => 'IB_NODE_REVISION_F', -+ 'value' => '84' -+ }, -+ '87' => { -+ 'name' => 'IB_NODE_LOCAL_PORT_F', -+ 'value' => '85' -+ }, -+ '88' => { -+ 'name' => 'IB_NODE_VENDORID_F', -+ 'value' => '86' -+ }, -+ '89' => { -+ 'name' => 'IB_NODE_LAST_F', -+ 'value' => '87' -+ }, -+ '9' => { -+ 'name' => 'IB_DRSMP_HOPCNT_F', -+ 'value' => '9' -+ }, -+ '90' => { -+ 'name' => 'IB_SW_FIRST_F', -+ 'value' => '88' -+ }, -+ '91' => { -+ 'name' => 'IB_SW_LINEAR_FDB_CAP_F', -+ 'value' => '88' -+ }, -+ '92' => { -+ 'name' => 'IB_SW_RANDOM_FDB_CAP_F', -+ 'value' => '89' -+ }, -+ '93' => { -+ 'name' => 'IB_SW_MCAST_FDB_CAP_F', -+ 'value' => '90' -+ }, -+ '94' => { -+ 'name' => 'IB_SW_LINEAR_FDB_TOP_F', -+ 'value' => '91' -+ }, -+ '95' => { -+ 'name' => 'IB_SW_DEF_PORT_F', -+ 'value' => '92' -+ }, -+ '96' => { -+ 'name' => 'IB_SW_DEF_MCAST_PRIM_F', -+ 'value' => '93' -+ }, -+ '97' => { -+ 'name' => 'IB_SW_DEF_MCAST_NOT_PRIM_F', -+ 'value' => '94' -+ }, -+ '98' => { -+ 'name' => 'IB_SW_LIFE_TIME_F', -+ 'value' => '95' -+ }, -+ '99' => { -+ 'name' => 'IB_SW_STATE_CHANGE_F', -+ 'value' => '96' -+ } -+ }, -+ 'Name' => 'enum MAD_FIELDS', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '686' => { -+ 'BaseType' => '295', -+ 'Header' => undef, -+ 'Line' => '7', -+ 'Name' => 'FILE', -+ 'Size' => '216', -+ 'Type' => 'Typedef' -+ }, -+ '698' => { -+ 'BaseType' => '1', -+ 'Header' => undef, -+ 'Line' => '43', -+ 'Name' => '_IO_lock_t', -+ 'Type' => 'Typedef' -+ }, -+ '706' => { -+ 'Name' => 'struct _IO_marker', -+ 'Type' => 'Struct' -+ }, -+ '71' => { -+ 'Name' => 'int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '711' => { -+ 'BaseType' => '706', -+ 'Name' => 'struct _IO_marker*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '717' => { -+ 'BaseType' => '295', -+ 'Name' => 'struct _IO_FILE*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '723' => { -+ 'BaseType' => '89', -+ 'Name' => 'char[1]', -+ 'Size' => '1', -+ 'Type' => 'Array' -+ }, -+ '739' => { -+ 'BaseType' => '698', -+ 'Name' => '_IO_lock_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '745' => { -+ 'Name' => 'struct _IO_codecvt', -+ 'Type' => 'Struct' -+ }, -+ '74781' => { -+ 'BaseType' => '165', -+ 'Name' => 'long*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '750' => { -+ 'BaseType' => '745', -+ 'Name' => 'struct _IO_codecvt*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '756' => { -+ 'Name' => 'struct _IO_wide_data', -+ 'Type' => 'Struct' -+ }, -+ '761' => { -+ 'BaseType' => '756', -+ 'Name' => 'struct _IO_wide_data*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '767' => { -+ 'BaseType' => '89', -+ 'Name' => 'char[20]', -+ 'Size' => '20', -+ 'Type' => 'Array' -+ }, -+ '78' => { -+ 'BaseType' => '96', -+ 'Name' => 'char const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '783' => { -+ 'BaseType' => '686', -+ 'Name' => 'FILE*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '806' => { -+ 'BaseType' => '221', -+ 'Name' => 'uint8_t[16]', -+ 'Size' => '16', -+ 'Type' => 'Array' -+ }, -+ '81860' => { -+ 'Header' => undef, -+ 'Line' => '1407', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IB_DEST_LID', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IB_DEST_DRPATH', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IB_DEST_GUID', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IB_DEST_DRSLID', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IB_DEST_GID', -+ 'value' => '4' -+ } -+ }, -+ 'Name' => 'enum MAD_DEST', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '82174' => { -+ 'BaseType' => '1040', -+ 'Name' => 'ibmad_gid_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '84505' => { -+ 'BaseType' => '257', -+ 'Name' => 'uint64_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '89' => { -+ 'Name' => 'char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '96' => { -+ 'BaseType' => '89', -+ 'Name' => 'char const', -+ 'Size' => '1', -+ 'Type' => 'Const' -+ } -+ }, -+ 'UndefinedSymbols' => { -+ 'libibmad.so.5.3.32.0' => { -+ '_ITM_deregisterTMCloneTable' => 0, -+ '_ITM_registerTMCloneTable' => 0, -+ '__cxa_finalize@GLIBC_2.2.5' => 0, -+ '__errno_location@GLIBC_2.2.5' => 0, -+ '__fprintf_chk@GLIBC_2.3.4' => 0, -+ '__gmon_start__' => 0, -+ '__memset_chk@GLIBC_2.3.4' => 0, -+ '__printf_chk@GLIBC_2.3.4' => 0, -+ '__snprintf_chk@GLIBC_2.3.4' => 0, -+ '__sprintf_chk@GLIBC_2.3.4' => 0, -+ '__stack_chk_fail@GLIBC_2.4' => 0, -+ 'calloc@GLIBC_2.2.5' => 0, -+ 'exit@GLIBC_2.2.5' => 0, -+ 'fputc@GLIBC_2.2.5' => 0, -+ 'fputs@GLIBC_2.2.5' => 0, -+ 'free@GLIBC_2.2.5' => 0, -+ 'getpid@GLIBC_2.2.5' => 0, -+ 'inet_ntop@GLIBC_2.2.5' => 0, -+ 'inet_pton@GLIBC_2.2.5' => 0, -+ 'memcpy@GLIBC_2.14' => 0, -+ 'random@GLIBC_2.2.5' => 0, -+ 'snprintf@GLIBC_2.2.5' => 0, -+ 'srandom@GLIBC_2.2.5' => 0, -+ 'stderr@GLIBC_2.2.5' => 0, -+ 'strchr@GLIBC_2.2.5' => 0, -+ 'strdup@GLIBC_2.2.5' => 0, -+ 'strerror@GLIBC_2.2.5' => 0, -+ 'strlen@GLIBC_2.2.5' => 0, -+ 'strncpy@GLIBC_2.2.5' => 0, -+ 'strtol@GLIBC_2.2.5' => 0, -+ 'strtoull@GLIBC_2.2.5' => 0, -+ 'time@GLIBC_2.2.5' => 0, -+ 'umad_addr_dump@IBUMAD_1.0' => 0, -+ 'umad_close_port@IBUMAD_1.0' => 0, -+ 'umad_get_mad@IBUMAD_1.0' => 0, -+ 'umad_get_mad_addr@IBUMAD_1.0' => 0, -+ 'umad_init@IBUMAD_1.0' => 0, -+ 'umad_open_port@IBUMAD_1.0' => 0, -+ 'umad_recv@IBUMAD_1.0' => 0, -+ 'umad_register@IBUMAD_1.0' => 0, -+ 'umad_register_oui@IBUMAD_1.0' => 0, -+ 'umad_send@IBUMAD_1.0' => 0, -+ 'umad_set_addr@IBUMAD_1.0' => 0, -+ 'umad_set_grh@IBUMAD_1.0' => 0, -+ 'umad_set_pkey@IBUMAD_1.0' => 0, -+ 'umad_size@IBUMAD_1.0' => 0, -+ 'umad_status@IBUMAD_1.0' => 0 -+ } -+ }, -+ 'WordSize' => '8' -+ }; -diff --git a/ABI/ibnetdisc.dump b/ABI/ibnetdisc.dump -new file mode 100644 -index 000000000000..099d11c2d4d3 ---- /dev/null -+++ b/ABI/ibnetdisc.dump -@@ -0,0 +1,1145 @@ -+$VAR1 = { -+ 'ABI_DUMPER_VERSION' => '1.1', -+ 'ABI_DUMP_VERSION' => '3.5', -+ 'Arch' => 'x86_64', -+ 'GccVersion' => '10.2.0', -+ 'Headers' => {}, -+ 'Language' => 'C', -+ 'LibraryName' => 'libibnetdisc.so.5.0.32.0', -+ 'LibraryVersion' => 'ibnetdisc', -+ 'MissedOffsets' => '1', -+ 'MissedRegs' => '1', -+ 'NameSpaces' => {}, -+ 'Needed' => { -+ 'libc.so.6' => 1, -+ 'libibmad.so.5' => 1, -+ 'libibumad.so.3' => 1 -+ }, -+ 'Sources' => {}, -+ 'SymbolInfo' => { -+ '17146' => { -+ 'Header' => undef, -+ 'Line' => '249', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fabric', -+ 'type' => '13805' -+ }, -+ '1' => { -+ 'name' => 'chassisnum', -+ 'type' => '92' -+ } -+ }, -+ 'Return' => '277', -+ 'ShortName' => 'ibnd_get_chassis_guid' -+ }, -+ '18107' => { -+ 'Header' => undef, -+ 'Line' => '164', -+ 'Param' => { -+ '0' => { -+ 'name' => 'guid', -+ 'type' => '277' -+ } -+ }, -+ 'Return' => '64', -+ 'ShortName' => 'ibnd_is_xsigo_tca' -+ }, -+ '18162' => { -+ 'Header' => undef, -+ 'Line' => '155', -+ 'Param' => { -+ '0' => { -+ 'name' => 'guid', -+ 'type' => '277' -+ } -+ }, -+ 'Return' => '64', -+ 'ShortName' => 'ibnd_is_xsigo_hca' -+ }, -+ '18247' => { -+ 'Header' => undef, -+ 'Line' => '139', -+ 'Param' => { -+ '0' => { -+ 'name' => 'guid', -+ 'type' => '277' -+ } -+ }, -+ 'Return' => '64', -+ 'ShortName' => 'ibnd_is_xsigo_guid' -+ }, -+ '18386' => { -+ 'Header' => undef, -+ 'Line' => '95', -+ 'Param' => { -+ '0' => { -+ 'name' => 'node', -+ 'type' => '6405' -+ }, -+ '1' => { -+ 'name' => 'str', -+ 'type' => '199' -+ }, -+ '2' => { -+ 'name' => 'size', -+ 'type' => '45' -+ } -+ }, -+ 'Return' => '199', -+ 'ShortName' => 'ibnd_get_chassis_slot_str' -+ }, -+ '18752' => { -+ 'Header' => undef, -+ 'Line' => '59', -+ 'Param' => { -+ '0' => { -+ 'name' => 'node', -+ 'type' => '6405' -+ } -+ }, -+ 'Return' => '289', -+ 'ShortName' => 'ibnd_get_chassis_type' -+ }, -+ '30057' => { -+ 'Header' => undef, -+ 'Line' => '1000', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fabric', -+ 'type' => '13805' -+ }, -+ '1' => { -+ 'name' => 'func', -+ 'type' => '29146' -+ }, -+ '2' => { -+ 'name' => 'user_data', -+ 'type' => '192' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'ibnd_iter_ports' -+ }, -+ '30421' => { -+ 'Header' => undef, -+ 'Line' => '960', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fabric', -+ 'type' => '13805' -+ }, -+ '1' => { -+ 'name' => 'dr_str', -+ 'type' => '199' -+ } -+ }, -+ 'Return' => '6667', -+ 'ShortName' => 'ibnd_find_port_dr' -+ }, -+ '30860' => { -+ 'Header' => undef, -+ 'Line' => '943', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fabric', -+ 'type' => '13805' -+ }, -+ '1' => { -+ 'name' => 'guid', -+ 'type' => '277' -+ } -+ }, -+ 'Return' => '6667', -+ 'ShortName' => 'ibnd_find_port_guid' -+ }, -+ '31084' => { -+ 'Header' => undef, -+ 'Line' => '933', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fabric', -+ 'type' => '13805' -+ }, -+ '1' => { -+ 'name' => 'lid', -+ 'type' => '253' -+ } -+ }, -+ 'Return' => '6667', -+ 'ShortName' => 'ibnd_find_port_lid' -+ }, -+ '31216' => { -+ 'Header' => undef, -+ 'Line' => '898', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fabric', -+ 'type' => '13805' -+ }, -+ '1' => { -+ 'name' => 'func', -+ 'type' => '29112' -+ }, -+ '2' => { -+ 'name' => 'node_type', -+ 'type' => '64' -+ }, -+ '3' => { -+ 'name' => 'user_data', -+ 'type' => '192' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'ibnd_iter_nodes_type' -+ }, -+ '31697' => { -+ 'Header' => undef, -+ 'Line' => '879', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fabric', -+ 'type' => '13805' -+ }, -+ '1' => { -+ 'name' => 'func', -+ 'type' => '29112' -+ }, -+ '2' => { -+ 'name' => 'user_data', -+ 'type' => '192' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'ibnd_iter_nodes' -+ }, -+ '32036' => { -+ 'Header' => undef, -+ 'Line' => '198', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fabric', -+ 'type' => '13805' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'ibnd_destroy_fabric' -+ }, -+ '32362' => { -+ 'Header' => undef, -+ 'Line' => '767', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ca_name', -+ 'type' => '199' -+ }, -+ '1' => { -+ 'name' => 'ca_port', -+ 'type' => '64' -+ }, -+ '2' => { -+ 'name' => 'from', -+ 'type' => '33723' -+ }, -+ '3' => { -+ 'name' => 'cfg', -+ 'type' => '29637' -+ } -+ }, -+ 'Return' => '13805', -+ 'ShortName' => 'ibnd_discover_fabric' -+ }, -+ '35015' => { -+ 'Header' => undef, -+ 'Line' => '634', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fabric', -+ 'type' => '13805' -+ }, -+ '1' => { -+ 'name' => 'dr_str', -+ 'type' => '199' -+ } -+ }, -+ 'Return' => '6405', -+ 'ShortName' => 'ibnd_find_node_dr' -+ }, -+ '35141' => { -+ 'Header' => undef, -+ 'Line' => '617', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fabric', -+ 'type' => '13805' -+ }, -+ '1' => { -+ 'name' => 'guid', -+ 'type' => '277' -+ } -+ }, -+ 'Return' => '6405', -+ 'ShortName' => 'ibnd_find_node_guid' -+ }, -+ '48370' => { -+ 'Header' => undef, -+ 'Line' => '878', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fabric', -+ 'type' => '13805' -+ }, -+ '1' => { -+ 'name' => 'file', -+ 'type' => '289' -+ }, -+ '2' => { -+ 'name' => 'flags', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '64', -+ 'ShortName' => 'ibnd_cache_fabric' -+ }, -+ '53205' => { -+ 'Header' => undef, -+ 'Line' => '620', -+ 'Param' => { -+ '0' => { -+ 'name' => 'file', -+ 'type' => '289' -+ }, -+ '1' => { -+ 'name' => 'flags', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '13805', -+ 'ShortName' => 'ibnd_load_fabric' -+ } -+ }, -+ 'SymbolVersion' => { -+ 'ibnd_cache_fabric' => 'ibnd_cache_fabric@@IBNETDISC_1.0', -+ 'ibnd_destroy_fabric' => 'ibnd_destroy_fabric@@IBNETDISC_1.0', -+ 'ibnd_discover_fabric' => 'ibnd_discover_fabric@@IBNETDISC_1.0', -+ 'ibnd_find_node_dr' => 'ibnd_find_node_dr@@IBNETDISC_1.0', -+ 'ibnd_find_node_guid' => 'ibnd_find_node_guid@@IBNETDISC_1.0', -+ 'ibnd_find_port_dr' => 'ibnd_find_port_dr@@IBNETDISC_1.0', -+ 'ibnd_find_port_guid' => 'ibnd_find_port_guid@@IBNETDISC_1.0', -+ 'ibnd_find_port_lid' => 'ibnd_find_port_lid@@IBNETDISC_1.0', -+ 'ibnd_get_chassis_guid' => 'ibnd_get_chassis_guid@@IBNETDISC_1.0', -+ 'ibnd_get_chassis_slot_str' => 'ibnd_get_chassis_slot_str@@IBNETDISC_1.0', -+ 'ibnd_get_chassis_type' => 'ibnd_get_chassis_type@@IBNETDISC_1.0', -+ 'ibnd_is_xsigo_guid' => 'ibnd_is_xsigo_guid@@IBNETDISC_1.0', -+ 'ibnd_is_xsigo_hca' => 'ibnd_is_xsigo_hca@@IBNETDISC_1.0', -+ 'ibnd_is_xsigo_tca' => 'ibnd_is_xsigo_tca@@IBNETDISC_1.0', -+ 'ibnd_iter_nodes' => 'ibnd_iter_nodes@@IBNETDISC_1.0', -+ 'ibnd_iter_nodes_type' => 'ibnd_iter_nodes_type@@IBNETDISC_1.0', -+ 'ibnd_iter_ports' => 'ibnd_iter_ports@@IBNETDISC_1.0', -+ 'ibnd_load_fabric' => 'ibnd_load_fabric@@IBNETDISC_1.0' -+ }, -+ 'Symbols' => { -+ 'libibnetdisc.so.5.0.32.0' => { -+ 'ibnd_cache_fabric@@IBNETDISC_1.0' => 1, -+ 'ibnd_destroy_fabric@@IBNETDISC_1.0' => 1, -+ 'ibnd_discover_fabric@@IBNETDISC_1.0' => 1, -+ 'ibnd_find_node_dr@@IBNETDISC_1.0' => 1, -+ 'ibnd_find_node_guid@@IBNETDISC_1.0' => 1, -+ 'ibnd_find_port_dr@@IBNETDISC_1.0' => 1, -+ 'ibnd_find_port_guid@@IBNETDISC_1.0' => 1, -+ 'ibnd_find_port_lid@@IBNETDISC_1.0' => 1, -+ 'ibnd_get_chassis_guid@@IBNETDISC_1.0' => 1, -+ 'ibnd_get_chassis_slot_str@@IBNETDISC_1.0' => 1, -+ 'ibnd_get_chassis_type@@IBNETDISC_1.0' => 1, -+ 'ibnd_is_xsigo_guid@@IBNETDISC_1.0' => 1, -+ 'ibnd_is_xsigo_hca@@IBNETDISC_1.0' => 1, -+ 'ibnd_is_xsigo_tca@@IBNETDISC_1.0' => 1, -+ 'ibnd_iter_nodes@@IBNETDISC_1.0' => 1, -+ 'ibnd_iter_nodes_type@@IBNETDISC_1.0' => 1, -+ 'ibnd_iter_ports@@IBNETDISC_1.0' => 1, -+ 'ibnd_load_fabric@@IBNETDISC_1.0' => 1 -+ } -+ }, -+ 'Target' => 'unix', -+ 'TypeInfo' => { -+ '1' => { -+ 'Name' => 'void', -+ 'Type' => 'Intrinsic' -+ }, -+ '1057' => { -+ 'BaseType' => '932', -+ 'Header' => undef, -+ 'Line' => '317', -+ 'Name' => 'ib_portid_t', -+ 'Size' => '112', -+ 'Type' => 'Typedef' -+ }, -+ '113' => { -+ 'BaseType' => '92', -+ 'Header' => undef, -+ 'Line' => '38', -+ 'Name' => '__uint8_t', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ }, -+ '132' => { -+ 'BaseType' => '99', -+ 'Header' => undef, -+ 'Line' => '40', -+ 'Name' => '__uint16_t', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '13805' => { -+ 'BaseType' => '6673', -+ 'Name' => 'ibnd_fabric_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '144' => { -+ 'BaseType' => '71', -+ 'Header' => undef, -+ 'Line' => '42', -+ 'Name' => '__uint32_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '156' => { -+ 'BaseType' => '57', -+ 'Header' => undef, -+ 'Line' => '45', -+ 'Name' => '__uint64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '192' => { -+ 'BaseType' => '1', -+ 'Name' => 'void*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '199' => { -+ 'BaseType' => '210', -+ 'Name' => 'char*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '210' => { -+ 'Name' => 'char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '217' => { -+ 'BaseType' => '210', -+ 'Name' => 'char const', -+ 'Size' => '1', -+ 'Type' => 'Const' -+ }, -+ '241' => { -+ 'BaseType' => '113', -+ 'Header' => undef, -+ 'Line' => '24', -+ 'Name' => 'uint8_t', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ }, -+ '253' => { -+ 'BaseType' => '132', -+ 'Header' => undef, -+ 'Line' => '25', -+ 'Name' => 'uint16_t', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '265' => { -+ 'BaseType' => '144', -+ 'Header' => undef, -+ 'Line' => '26', -+ 'Name' => 'uint32_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '277' => { -+ 'BaseType' => '156', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => 'uint64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '28747' => { -+ 'Header' => undef, -+ 'Line' => '145', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'max_smps', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'show_progress', -+ 'offset' => '4', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'max_hops', -+ 'offset' => '8', -+ 'type' => '71' -+ }, -+ '3' => { -+ 'name' => 'debug', -+ 'offset' => '12', -+ 'type' => '71' -+ }, -+ '4' => { -+ 'name' => 'timeout_ms', -+ 'offset' => '16', -+ 'type' => '71' -+ }, -+ '5' => { -+ 'name' => 'retries', -+ 'offset' => '20', -+ 'type' => '71' -+ }, -+ '6' => { -+ 'name' => 'flags', -+ 'offset' => '24', -+ 'type' => '265' -+ }, -+ '7' => { -+ 'name' => 'mkey', -+ 'offset' => '32', -+ 'type' => '277' -+ }, -+ '8' => { -+ 'name' => 'pad', -+ 'offset' => '40', -+ 'type' => '28878' -+ } -+ }, -+ 'Name' => 'struct ibnd_config', -+ 'Size' => '88', -+ 'Type' => 'Struct' -+ }, -+ '28878' => { -+ 'BaseType' => '241', -+ 'Name' => 'uint8_t[44]', -+ 'Size' => '44', -+ 'Type' => 'Array' -+ }, -+ '289' => { -+ 'BaseType' => '217', -+ 'Name' => 'char const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '29112' => { -+ 'BaseType' => '29124', -+ 'Header' => undef, -+ 'Line' => '214', -+ 'Name' => 'ibnd_iter_node_func_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '29124' => { -+ 'Name' => 'void(*)(ibnd_node_t*, void*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6405' -+ }, -+ '1' => { -+ 'type' => '192' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '29146' => { -+ 'BaseType' => '29158', -+ 'Header' => undef, -+ 'Line' => '227', -+ 'Name' => 'ibnd_iter_port_func_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '29158' => { -+ 'Name' => 'void(*)(ibnd_port_t*, void*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6667' -+ }, -+ '1' => { -+ 'type' => '192' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '29637' => { -+ 'BaseType' => '28747', -+ 'Name' => 'struct ibnd_config*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '33723' => { -+ 'BaseType' => '1057', -+ 'Name' => 'ib_portid_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '45' => { -+ 'BaseType' => '57', -+ 'Header' => undef, -+ 'Line' => '209', -+ 'Name' => 'size_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '57' => { -+ 'Name' => 'unsigned long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '5790' => { -+ 'Header' => undef, -+ 'Line' => '54', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'next', -+ 'offset' => '0', -+ 'type' => '6103' -+ }, -+ '1' => { -+ 'name' => 'path_portid', -+ 'offset' => '8', -+ 'type' => '1057' -+ }, -+ '10' => { -+ 'name' => 'nodedesc', -+ 'offset' => '272', -+ 'type' => '6109' -+ }, -+ '11' => { -+ 'name' => 'ports', -+ 'offset' => '336', -+ 'type' => '6269' -+ }, -+ '12' => { -+ 'name' => 'next_chassis_node', -+ 'offset' => '344', -+ 'type' => '6103' -+ }, -+ '13' => { -+ 'name' => 'chassis', -+ 'offset' => '352', -+ 'type' => '6387' -+ }, -+ '14' => { -+ 'name' => 'ch_type', -+ 'offset' => '360', -+ 'type' => '92' -+ }, -+ '15' => { -+ 'name' => 'ch_type_str', -+ 'offset' => '361', -+ 'type' => '777' -+ }, -+ '16' => { -+ 'name' => 'ch_anafanum', -+ 'offset' => '381', -+ 'type' => '92' -+ }, -+ '17' => { -+ 'name' => 'ch_slotnum', -+ 'offset' => '382', -+ 'type' => '92' -+ }, -+ '18' => { -+ 'name' => 'ch_slot', -+ 'offset' => '383', -+ 'type' => '92' -+ }, -+ '19' => { -+ 'name' => 'ch_found', -+ 'offset' => '384', -+ 'type' => '92' -+ }, -+ '2' => { -+ 'name' => 'smalid', -+ 'offset' => '120', -+ 'type' => '253' -+ }, -+ '20' => { -+ 'name' => 'htnext', -+ 'offset' => '392', -+ 'type' => '6103' -+ }, -+ '21' => { -+ 'name' => 'type_next', -+ 'offset' => '400', -+ 'type' => '6103' -+ }, -+ '3' => { -+ 'name' => 'smalmc', -+ 'offset' => '122', -+ 'type' => '241' -+ }, -+ '4' => { -+ 'name' => 'smaenhsp0', -+ 'offset' => '124', -+ 'type' => '64' -+ }, -+ '5' => { -+ 'name' => 'switchinfo', -+ 'offset' => '128', -+ 'type' => '904' -+ }, -+ '6' => { -+ 'name' => 'guid', -+ 'offset' => '192', -+ 'type' => '277' -+ }, -+ '7' => { -+ 'name' => 'type', -+ 'offset' => '200', -+ 'type' => '64' -+ }, -+ '8' => { -+ 'name' => 'numports', -+ 'offset' => '204', -+ 'type' => '64' -+ }, -+ '9' => { -+ 'name' => 'info', -+ 'offset' => '208', -+ 'type' => '904' -+ } -+ }, -+ 'Name' => 'struct ibnd_node', -+ 'Size' => '408', -+ 'Type' => 'Struct' -+ }, -+ '6103' => { -+ 'BaseType' => '5790', -+ 'Name' => 'struct ibnd_node*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '6109' => { -+ 'BaseType' => '210', -+ 'Name' => 'char[64]', -+ 'Size' => '64', -+ 'Type' => 'Array' -+ }, -+ '6125' => { -+ 'Header' => undef, -+ 'Line' => '104', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'guid', -+ 'offset' => '0', -+ 'type' => '277' -+ }, -+ '1' => { -+ 'name' => 'portnum', -+ 'offset' => '8', -+ 'type' => '64' -+ }, -+ '2' => { -+ 'name' => 'ext_portnum', -+ 'offset' => '12', -+ 'type' => '64' -+ }, -+ '3' => { -+ 'name' => 'node', -+ 'offset' => '16', -+ 'type' => '6405' -+ }, -+ '4' => { -+ 'name' => 'remoteport', -+ 'offset' => '24', -+ 'type' => '6275' -+ }, -+ '5' => { -+ 'name' => 'base_lid', -+ 'offset' => '32', -+ 'type' => '253' -+ }, -+ '6' => { -+ 'name' => 'lmc', -+ 'offset' => '34', -+ 'type' => '241' -+ }, -+ '7' => { -+ 'name' => 'info', -+ 'offset' => '35', -+ 'type' => '904' -+ }, -+ '8' => { -+ 'name' => 'ext_info', -+ 'offset' => '99', -+ 'type' => '904' -+ }, -+ '9' => { -+ 'name' => 'htnext', -+ 'offset' => '168', -+ 'type' => '6275' -+ } -+ }, -+ 'Name' => 'struct ibnd_port', -+ 'Size' => '176', -+ 'Type' => 'Struct' -+ }, -+ '6269' => { -+ 'BaseType' => '6275', -+ 'Name' => 'struct ibnd_port**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '6275' => { -+ 'BaseType' => '6125', -+ 'Name' => 'struct ibnd_port*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '6281' => { -+ 'Header' => undef, -+ 'Line' => '124', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'next', -+ 'offset' => '0', -+ 'type' => '6387' -+ }, -+ '1' => { -+ 'name' => 'chassisguid', -+ 'offset' => '8', -+ 'type' => '277' -+ }, -+ '2' => { -+ 'name' => 'chassisnum', -+ 'offset' => '16', -+ 'type' => '92' -+ }, -+ '3' => { -+ 'name' => 'nodecount', -+ 'offset' => '17', -+ 'type' => '92' -+ }, -+ '4' => { -+ 'name' => 'nodes', -+ 'offset' => '24', -+ 'type' => '6405' -+ }, -+ '5' => { -+ 'name' => 'spinenode', -+ 'offset' => '32', -+ 'type' => '6423' -+ }, -+ '6' => { -+ 'name' => 'linenode', -+ 'offset' => '184', -+ 'type' => '6439' -+ } -+ }, -+ 'Name' => 'struct ibnd_chassis', -+ 'Size' => '480', -+ 'Type' => 'Struct' -+ }, -+ '6387' => { -+ 'BaseType' => '6281', -+ 'Name' => 'struct ibnd_chassis*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '6393' => { -+ 'BaseType' => '5790', -+ 'Header' => undef, -+ 'Line' => '99', -+ 'Name' => 'ibnd_node_t', -+ 'Size' => '408', -+ 'Type' => 'Typedef' -+ }, -+ '64' => { -+ 'Name' => 'int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '6405' => { -+ 'BaseType' => '6393', -+ 'Name' => 'ibnd_node_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '6411' => { -+ 'BaseType' => '6125', -+ 'Header' => undef, -+ 'Line' => '119', -+ 'Name' => 'ibnd_port_t', -+ 'Size' => '176', -+ 'Type' => 'Typedef' -+ }, -+ '6423' => { -+ 'BaseType' => '6405', -+ 'Name' => 'ibnd_node_t*[19]', -+ 'Size' => '152', -+ 'Type' => 'Array' -+ }, -+ '6439' => { -+ 'BaseType' => '6405', -+ 'Name' => 'ibnd_node_t*[37]', -+ 'Size' => '296', -+ 'Type' => 'Array' -+ }, -+ '6455' => { -+ 'BaseType' => '6281', -+ 'Header' => undef, -+ 'Line' => '138', -+ 'Name' => 'ibnd_chassis_t', -+ 'Size' => '480', -+ 'Type' => 'Typedef' -+ }, -+ '6467' => { -+ 'Header' => undef, -+ 'Line' => '161', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'from_node', -+ 'offset' => '0', -+ 'type' => '6405' -+ }, -+ '1' => { -+ 'name' => 'from_portnum', -+ 'offset' => '8', -+ 'type' => '64' -+ }, -+ '10' => { -+ 'name' => 'routers', -+ 'offset' => '2248', -+ 'type' => '6405' -+ }, -+ '2' => { -+ 'name' => 'nodes', -+ 'offset' => '16', -+ 'type' => '6405' -+ }, -+ '3' => { -+ 'name' => 'chassis', -+ 'offset' => '24', -+ 'type' => '6629' -+ }, -+ '4' => { -+ 'name' => 'maxhops_discovered', -+ 'offset' => '32', -+ 'type' => '71' -+ }, -+ '5' => { -+ 'name' => 'total_mads_used', -+ 'offset' => '36', -+ 'type' => '71' -+ }, -+ '6' => { -+ 'name' => 'nodestbl', -+ 'offset' => '40', -+ 'type' => '6635' -+ }, -+ '7' => { -+ 'name' => 'portstbl', -+ 'offset' => '1136', -+ 'type' => '6651' -+ }, -+ '8' => { -+ 'name' => 'switches', -+ 'offset' => '2232', -+ 'type' => '6405' -+ }, -+ '9' => { -+ 'name' => 'ch_adapters', -+ 'offset' => '2240', -+ 'type' => '6405' -+ } -+ }, -+ 'Name' => 'struct ibnd_fabric', -+ 'Size' => '2256', -+ 'Type' => 'Struct' -+ }, -+ '6629' => { -+ 'BaseType' => '6455', -+ 'Name' => 'ibnd_chassis_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '6635' => { -+ 'BaseType' => '6405', -+ 'Name' => 'ibnd_node_t*[137]', -+ 'Size' => '1096', -+ 'Type' => 'Array' -+ }, -+ '6651' => { -+ 'BaseType' => '6667', -+ 'Name' => 'ibnd_port_t*[137]', -+ 'Size' => '1096', -+ 'Type' => 'Array' -+ }, -+ '6667' => { -+ 'BaseType' => '6411', -+ 'Name' => 'ibnd_port_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '6673' => { -+ 'BaseType' => '6467', -+ 'Header' => undef, -+ 'Line' => '182', -+ 'Name' => 'ibnd_fabric_t', -+ 'Size' => '2256', -+ 'Type' => 'Typedef' -+ }, -+ '71' => { -+ 'Name' => 'unsigned int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '777' => { -+ 'BaseType' => '210', -+ 'Name' => 'char[20]', -+ 'Size' => '20', -+ 'Type' => 'Array' -+ }, -+ '816' => { -+ 'BaseType' => '241', -+ 'Name' => 'uint8_t[16]', -+ 'Size' => '16', -+ 'Type' => 'Array' -+ }, -+ '832' => { -+ 'BaseType' => '816', -+ 'Header' => undef, -+ 'Line' => '243', -+ 'Name' => 'ibmad_gid_t', -+ 'Size' => '16', -+ 'Type' => 'Typedef' -+ }, -+ '904' => { -+ 'BaseType' => '241', -+ 'Name' => 'uint8_t[64]', -+ 'Size' => '64', -+ 'Type' => 'Array' -+ }, -+ '92' => { -+ 'Name' => 'unsigned char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '932' => { -+ 'Header' => undef, -+ 'Line' => '308', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'lid', -+ 'offset' => '0', -+ 'type' => '64' -+ }, -+ '1' => { -+ 'name' => 'drpath', -+ 'offset' => '4', -+ 'type' => '920' -+ }, -+ '2' => { -+ 'name' => 'grh_present', -+ 'offset' => '76', -+ 'type' => '64' -+ }, -+ '3' => { -+ 'name' => 'gid', -+ 'offset' => '80', -+ 'type' => '832' -+ }, -+ '4' => { -+ 'name' => 'qp', -+ 'offset' => '96', -+ 'type' => '265' -+ }, -+ '5' => { -+ 'name' => 'qkey', -+ 'offset' => '100', -+ 'type' => '265' -+ }, -+ '6' => { -+ 'name' => 'sl', -+ 'offset' => '104', -+ 'type' => '241' -+ }, -+ '7' => { -+ 'name' => 'pkey_idx', -+ 'offset' => '108', -+ 'type' => '71' -+ } -+ }, -+ 'Name' => 'struct portid', -+ 'Size' => '112', -+ 'Type' => 'Struct' -+ }, -+ '99' => { -+ 'Name' => 'unsigned short', -+ 'Size' => '2', -+ 'Type' => 'Intrinsic' -+ } -+ }, -+ 'UndefinedSymbols' => { -+ 'libibnetdisc.so.5.0.32.0' => { -+ '_ITM_deregisterTMCloneTable' => 0, -+ '_ITM_registerTMCloneTable' => 0, -+ '__cxa_finalize@GLIBC_2.2.5' => 0, -+ '__errno_location@GLIBC_2.2.5' => 0, -+ '__fprintf_chk@GLIBC_2.3.4' => 0, -+ '__gmon_start__' => 0, -+ '__memset_chk@GLIBC_2.3.4' => 0, -+ '__printf_chk@GLIBC_2.3.4' => 0, -+ '__snprintf_chk@GLIBC_2.3.4' => 0, -+ '__stack_chk_fail@GLIBC_2.4' => 0, -+ '__xstat@GLIBC_2.2.5' => 0, -+ 'calloc@GLIBC_2.2.5' => 0, -+ 'close@GLIBC_2.2.5' => 0, -+ 'free@GLIBC_2.2.5' => 0, -+ 'ib_resolve_self_via@IBMAD_1.3' => 0, -+ 'ibdebug@IBMAD_1.3' => 0, -+ 'lseek@GLIBC_2.2.5' => 0, -+ 'mad_build_pkt@IBMAD_1.3' => 0, -+ 'mad_decode_field@IBMAD_1.3' => 0, -+ 'mad_dump_node_type@IBMAD_1.3' => 0, -+ 'mad_dump_val@IBMAD_1.3' => 0, -+ 'mad_get_field64@IBMAD_1.3' => 0, -+ 'mad_get_field@IBMAD_1.3' => 0, -+ 'mad_rpc_close_port@IBMAD_1.3' => 0, -+ 'mad_rpc_open_port@IBMAD_1.3' => 0, -+ 'mad_rpc_set_retries@IBMAD_1.3' => 0, -+ 'mad_rpc_set_timeout@IBMAD_1.3' => 0, -+ 'mad_trid@IBMAD_1.3' => 0, -+ 'malloc@GLIBC_2.2.5' => 0, -+ 'open@GLIBC_2.2.5' => 0, -+ 'portid2str@IBMAD_1.3' => 0, -+ 'read@GLIBC_2.2.5' => 0, -+ 'smp_mkey_set@IBMAD_1.3' => 0, -+ 'stderr@GLIBC_2.2.5' => 0, -+ 'str2drpath@IBMAD_1.3' => 0, -+ 'strerror@GLIBC_2.2.5' => 0, -+ 'strncpy@GLIBC_2.2.5' => 0, -+ 'strtol@GLIBC_2.2.5' => 0, -+ 'umad_close_port@IBUMAD_1.0' => 0, -+ 'umad_get_mad@IBUMAD_1.0' => 0, -+ 'umad_init@IBUMAD_1.0' => 0, -+ 'umad_open_port@IBUMAD_1.0' => 0, -+ 'umad_recv@IBUMAD_1.0' => 0, -+ 'umad_register@IBUMAD_1.0' => 0, -+ 'umad_send@IBUMAD_1.0' => 0, -+ 'umad_size@IBUMAD_1.0' => 0, -+ 'umad_status@IBUMAD_1.0' => 0, -+ 'unlink@GLIBC_2.2.5' => 0, -+ 'write@GLIBC_2.2.5' => 0 -+ } -+ }, -+ 'WordSize' => '8' -+ }; -diff --git a/ABI/ibumad.dump b/ABI/ibumad.dump -new file mode 100644 -index 000000000000..4fe226281f56 ---- /dev/null -+++ b/ABI/ibumad.dump -@@ -0,0 +1,1427 @@ -+$VAR1 = { -+ 'ABI_DUMPER_VERSION' => '1.1', -+ 'ABI_DUMP_VERSION' => '3.5', -+ 'Arch' => 'x86_64', -+ 'GccVersion' => '10.2.0', -+ 'Headers' => {}, -+ 'Language' => 'C', -+ 'LibraryName' => 'libibumad.so.3.2.32.0', -+ 'LibraryVersion' => 'ibumad', -+ 'MissedOffsets' => '1', -+ 'MissedRegs' => '1', -+ 'NameSpaces' => {}, -+ 'Needed' => { -+ 'libc.so.6' => 1 -+ }, -+ 'Sources' => {}, -+ 'SymbolInfo' => { -+ '10854' => { -+ 'Header' => undef, -+ 'Line' => '998', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fd', -+ 'type' => '111' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_get_fd' -+ }, -+ '11067' => { -+ 'Header' => undef, -+ 'Line' => '992', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fd', -+ 'type' => '111' -+ }, -+ '1' => { -+ 'name' => 'timeout_ms', -+ 'type' => '111' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_poll' -+ }, -+ '11472' => { -+ 'Header' => undef, -+ 'Line' => '945', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fd', -+ 'type' => '111' -+ }, -+ '1' => { -+ 'name' => 'umad', -+ 'type' => '137' -+ }, -+ '2' => { -+ 'name' => 'length', -+ 'type' => '12442' -+ }, -+ '3' => { -+ 'name' => 'timeout_ms', -+ 'type' => '111' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_recv' -+ }, -+ '12516' => { -+ 'Header' => undef, -+ 'Line' => '900', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fd', -+ 'type' => '111' -+ }, -+ '1' => { -+ 'name' => 'agentid', -+ 'type' => '111' -+ }, -+ '2' => { -+ 'name' => 'umad', -+ 'type' => '137' -+ }, -+ '3' => { -+ 'name' => 'length', -+ 'type' => '111' -+ }, -+ '4' => { -+ 'name' => 'timeout_ms', -+ 'type' => '111' -+ }, -+ '5' => { -+ 'name' => 'retries', -+ 'type' => '111' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_send' -+ }, -+ '13092' => { -+ 'Header' => undef, -+ 'Line' => '886', -+ 'Param' => { -+ '0' => { -+ 'name' => 'umad', -+ 'type' => '137' -+ }, -+ '1' => { -+ 'name' => 'dlid', -+ 'type' => '287' -+ }, -+ '2' => { -+ 'name' => 'dqp', -+ 'type' => '4016' -+ }, -+ '3' => { -+ 'name' => 'sl', -+ 'type' => '111' -+ }, -+ '4' => { -+ 'name' => 'qkey', -+ 'type' => '4016' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_set_addr_net' -+ }, -+ '13536' => { -+ 'Header' => undef, -+ 'Line' => '872', -+ 'Param' => { -+ '0' => { -+ 'name' => 'umad', -+ 'type' => '137' -+ }, -+ '1' => { -+ 'name' => 'dlid', -+ 'type' => '111' -+ }, -+ '2' => { -+ 'name' => 'dqp', -+ 'type' => '111' -+ }, -+ '3' => { -+ 'name' => 'sl', -+ 'type' => '111' -+ }, -+ '4' => { -+ 'name' => 'qkey', -+ 'type' => '111' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_set_addr' -+ }, -+ '13948' => { -+ 'Header' => undef, -+ 'Line' => '862', -+ 'Param' => { -+ '0' => { -+ 'name' => 'umad', -+ 'type' => '137' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_get_pkey' -+ }, -+ '14020' => { -+ 'Header' => undef, -+ 'Line' => '852', -+ 'Param' => { -+ '0' => { -+ 'name' => 'umad', -+ 'type' => '137' -+ }, -+ '1' => { -+ 'name' => 'pkey_index', -+ 'type' => '111' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_set_pkey' -+ }, -+ '14107' => { -+ 'Header' => undef, -+ 'Line' => '834', -+ 'Param' => { -+ '0' => { -+ 'name' => 'umad', -+ 'type' => '137' -+ }, -+ '1' => { -+ 'name' => 'mad_addr', -+ 'type' => '137' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_set_grh' -+ }, -+ '14257' => { -+ 'Header' => undef, -+ 'Line' => '828', -+ 'Return' => '198', -+ 'ShortName' => 'umad_size' -+ }, -+ '14288' => { -+ 'Header' => undef, -+ 'Line' => '822', -+ 'Param' => { -+ '0' => { -+ 'name' => 'umad', -+ 'type' => '137' -+ } -+ }, -+ 'Return' => '137', -+ 'ShortName' => 'umad_get_mad' -+ }, -+ '14339' => { -+ 'Header' => undef, -+ 'Line' => '815', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fd', -+ 'type' => '111' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_close_port' -+ }, -+ '14555' => { -+ 'Header' => undef, -+ 'Line' => '800', -+ 'Param' => { -+ '0' => { -+ 'name' => 'port', -+ 'type' => '4882' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_release_port' -+ }, -+ '14950' => { -+ 'Header' => undef, -+ 'Line' => '777', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ca_name', -+ 'type' => '210' -+ }, -+ '1' => { -+ 'name' => 'portnum', -+ 'type' => '111' -+ }, -+ '2' => { -+ 'name' => 'port', -+ 'type' => '4882' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_get_port' -+ }, -+ '15639' => { -+ 'Header' => undef, -+ 'Line' => '762', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ca', -+ 'type' => '16080' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_release_ca' -+ }, -+ '16086' => { -+ 'Header' => undef, -+ 'Line' => '737', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ca_name', -+ 'type' => '210' -+ }, -+ '1' => { -+ 'name' => 'ca', -+ 'type' => '16080' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_get_ca' -+ }, -+ '16696' => { -+ 'Header' => undef, -+ 'Line' => '687', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ca_name', -+ 'type' => '210' -+ }, -+ '1' => { -+ 'name' => 'portnum', -+ 'type' => '111' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_open_port' -+ }, -+ '18128' => { -+ 'Header' => undef, -+ 'Line' => '660', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ca_name', -+ 'type' => '210' -+ }, -+ '1' => { -+ 'name' => 'portnum', -+ 'type' => '111' -+ }, -+ '2' => { -+ 'name' => 'path', -+ 'type' => '151' -+ }, -+ '3' => { -+ 'name' => 'max', -+ 'type' => '111' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_get_issm_path' -+ }, -+ '18846' => { -+ 'Header' => undef, -+ 'Line' => '621', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ca_name', -+ 'type' => '210' -+ }, -+ '1' => { -+ 'name' => 'portguids', -+ 'type' => '1646' -+ }, -+ '2' => { -+ 'name' => 'max', -+ 'type' => '111' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_get_ca_portguids' -+ }, -+ '19606' => { -+ 'Header' => undef, -+ 'Line' => '591', -+ 'Param' => { -+ '0' => { -+ 'name' => 'cas', -+ 'type' => '20395' -+ }, -+ '1' => { -+ 'name' => 'max', -+ 'type' => '111' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_get_cas_names' -+ }, -+ '20688' => { -+ 'Header' => undef, -+ 'Line' => '571', -+ 'Return' => '111', -+ 'ShortName' => 'umad_done' -+ }, -+ '20854' => { -+ 'Header' => undef, -+ 'Line' => '565', -+ 'Return' => '111', -+ 'ShortName' => 'umad_init' -+ }, -+ '29300' => { -+ 'Header' => undef, -+ 'Line' => '339', -+ 'Param' => { -+ '0' => { -+ 'name' => 'mgmt_class', -+ 'type' => '174' -+ }, -+ '1' => { -+ 'name' => 'attr_id', -+ 'type' => '287' -+ } -+ }, -+ 'Return' => '210', -+ 'ShortName' => 'umad_attribute_str' -+ }, -+ '29814' => { -+ 'Header' => undef, -+ 'Line' => '165', -+ 'Param' => { -+ '0' => { -+ 'name' => '_status', -+ 'type' => '287' -+ } -+ }, -+ 'Return' => '210', -+ 'ShortName' => 'umad_sa_mad_status_str' -+ }, -+ '29930' => { -+ 'Header' => undef, -+ 'Line' => '142', -+ 'Param' => { -+ '0' => { -+ 'name' => '_status', -+ 'type' => '287' -+ } -+ }, -+ 'Return' => '210', -+ 'ShortName' => 'umad_common_mad_status_str' -+ }, -+ '30034' => { -+ 'Header' => undef, -+ 'Line' => '134', -+ 'Param' => { -+ '0' => { -+ 'name' => 'mgmt_class', -+ 'type' => '174' -+ }, -+ '1' => { -+ 'name' => 'method', -+ 'type' => '174' -+ } -+ }, -+ 'Return' => '210', -+ 'ShortName' => 'umad_method_str' -+ }, -+ '30272' => { -+ 'Header' => undef, -+ 'Line' => '45', -+ 'Param' => { -+ '0' => { -+ 'name' => 'mgmt_class', -+ 'type' => '174' -+ } -+ }, -+ 'Return' => '210', -+ 'ShortName' => 'umad_class_str' -+ }, -+ '5544' => { -+ 'Header' => undef, -+ 'Line' => '1321', -+ 'Param' => { -+ '0' => { -+ 'name' => 'head', -+ 'type' => '4940' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'umad_free_ca_device_list' -+ }, -+ '5652' => { -+ 'Header' => undef, -+ 'Line' => '1264', -+ 'Return' => '4940', -+ 'ShortName' => 'umad_get_ca_device_list' -+ }, -+ '6373' => { -+ 'Header' => undef, -+ 'Line' => '1217', -+ 'Param' => { -+ '0' => { -+ 'name' => 'head', -+ 'type' => '6637' -+ }, -+ '1' => { -+ 'name' => 'size', -+ 'type' => '198' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_sort_ca_device_list' -+ }, -+ '6643' => { -+ 'Header' => undef, -+ 'Line' => '1208', -+ 'Param' => { -+ '0' => { -+ 'name' => 'umad', -+ 'type' => '137' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'umad_dump' -+ }, -+ '6903' => { -+ 'Header' => undef, -+ 'Line' => '1188', -+ 'Param' => { -+ '0' => { -+ 'name' => 'addr', -+ 'type' => '7265' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'umad_addr_dump' -+ }, -+ '7308' => { -+ 'Header' => undef, -+ 'Line' => '1181', -+ 'Param' => { -+ '0' => { -+ 'name' => 'level', -+ 'type' => '111' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_debug' -+ }, -+ '7359' => { -+ 'Header' => undef, -+ 'Line' => '1174', -+ 'Param' => { -+ '0' => { -+ 'name' => 'umad', -+ 'type' => '137' -+ } -+ }, -+ 'Return' => '7265', -+ 'ShortName' => 'umad_get_mad_addr' -+ }, -+ '7431' => { -+ 'Header' => undef, -+ 'Line' => '1167', -+ 'Param' => { -+ '0' => { -+ 'name' => 'umad', -+ 'type' => '137' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_status' -+ }, -+ '7503' => { -+ 'Header' => undef, -+ 'Line' => '1161', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fd', -+ 'type' => '111' -+ }, -+ '1' => { -+ 'name' => 'agentid', -+ 'type' => '111' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_unregister' -+ }, -+ '7779' => { -+ 'Header' => undef, -+ 'Line' => '1078', -+ 'Param' => { -+ '0' => { -+ 'name' => 'port_fd', -+ 'type' => '111' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '9053' -+ }, -+ '2' => { -+ 'name' => 'agent_id', -+ 'type' => '9059' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_register2' -+ }, -+ '9086' => { -+ 'Header' => undef, -+ 'Line' => '1043', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fd', -+ 'type' => '111' -+ }, -+ '1' => { -+ 'name' => 'mgmt_class', -+ 'type' => '111' -+ }, -+ '2' => { -+ 'name' => 'mgmt_version', -+ 'type' => '111' -+ }, -+ '3' => { -+ 'name' => 'rmpp_version', -+ 'type' => '174' -+ }, -+ '4' => { -+ 'name' => 'method_mask', -+ 'type' => '9903' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_register' -+ }, -+ '9930' => { -+ 'Header' => undef, -+ 'Line' => '1004', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fd', -+ 'type' => '111' -+ }, -+ '1' => { -+ 'name' => 'mgmt_class', -+ 'type' => '111' -+ }, -+ '2' => { -+ 'name' => 'rmpp_version', -+ 'type' => '174' -+ }, -+ '3' => { -+ 'name' => 'oui', -+ 'type' => '10827' -+ }, -+ '4' => { -+ 'name' => 'method_mask', -+ 'type' => '9903' -+ } -+ }, -+ 'Return' => '111', -+ 'ShortName' => 'umad_register_oui' -+ } -+ }, -+ 'SymbolVersion' => { -+ 'umad_addr_dump' => 'umad_addr_dump@@IBUMAD_1.0', -+ 'umad_attribute_str' => 'umad_attribute_str@@IBUMAD_1.0', -+ 'umad_class_str' => 'umad_class_str@@IBUMAD_1.0', -+ 'umad_close_port' => 'umad_close_port@@IBUMAD_1.0', -+ 'umad_common_mad_status_str' => 'umad_common_mad_status_str@@IBUMAD_1.0', -+ 'umad_debug' => 'umad_debug@@IBUMAD_1.0', -+ 'umad_done' => 'umad_done@@IBUMAD_1.0', -+ 'umad_dump' => 'umad_dump@@IBUMAD_1.0', -+ 'umad_free_ca_device_list' => 'umad_free_ca_device_list@@IBUMAD_1.1', -+ 'umad_get_ca' => 'umad_get_ca@@IBUMAD_1.0', -+ 'umad_get_ca_device_list' => 'umad_get_ca_device_list@@IBUMAD_1.1', -+ 'umad_get_ca_portguids' => 'umad_get_ca_portguids@@IBUMAD_1.0', -+ 'umad_get_cas_names' => 'umad_get_cas_names@@IBUMAD_1.0', -+ 'umad_get_fd' => 'umad_get_fd@@IBUMAD_1.0', -+ 'umad_get_issm_path' => 'umad_get_issm_path@@IBUMAD_1.0', -+ 'umad_get_mad' => 'umad_get_mad@@IBUMAD_1.0', -+ 'umad_get_mad_addr' => 'umad_get_mad_addr@@IBUMAD_1.0', -+ 'umad_get_pkey' => 'umad_get_pkey@@IBUMAD_1.0', -+ 'umad_get_port' => 'umad_get_port@@IBUMAD_1.0', -+ 'umad_init' => 'umad_init@@IBUMAD_1.0', -+ 'umad_method_str' => 'umad_method_str@@IBUMAD_1.0', -+ 'umad_open_port' => 'umad_open_port@@IBUMAD_1.0', -+ 'umad_poll' => 'umad_poll@@IBUMAD_1.0', -+ 'umad_recv' => 'umad_recv@@IBUMAD_1.0', -+ 'umad_register' => 'umad_register@@IBUMAD_1.0', -+ 'umad_register2' => 'umad_register2@@IBUMAD_1.0', -+ 'umad_register_oui' => 'umad_register_oui@@IBUMAD_1.0', -+ 'umad_release_ca' => 'umad_release_ca@@IBUMAD_1.0', -+ 'umad_release_port' => 'umad_release_port@@IBUMAD_1.0', -+ 'umad_sa_mad_status_str' => 'umad_sa_mad_status_str@@IBUMAD_1.0', -+ 'umad_send' => 'umad_send@@IBUMAD_1.0', -+ 'umad_set_addr' => 'umad_set_addr@@IBUMAD_1.0', -+ 'umad_set_addr_net' => 'umad_set_addr_net@@IBUMAD_1.0', -+ 'umad_set_grh' => 'umad_set_grh@@IBUMAD_1.0', -+ 'umad_set_pkey' => 'umad_set_pkey@@IBUMAD_1.0', -+ 'umad_size' => 'umad_size@@IBUMAD_1.0', -+ 'umad_sort_ca_device_list' => 'umad_sort_ca_device_list@@IBUMAD_1.2', -+ 'umad_status' => 'umad_status@@IBUMAD_1.0', -+ 'umad_unregister' => 'umad_unregister@@IBUMAD_1.0' -+ }, -+ 'Symbols' => { -+ 'libibumad.so.3.2.32.0' => { -+ 'umad_addr_dump@@IBUMAD_1.0' => 1, -+ 'umad_attribute_str@@IBUMAD_1.0' => 1, -+ 'umad_class_str@@IBUMAD_1.0' => 1, -+ 'umad_close_port@@IBUMAD_1.0' => 1, -+ 'umad_common_mad_status_str@@IBUMAD_1.0' => 1, -+ 'umad_debug@@IBUMAD_1.0' => 1, -+ 'umad_done@@IBUMAD_1.0' => 1, -+ 'umad_dump@@IBUMAD_1.0' => 1, -+ 'umad_free_ca_device_list@@IBUMAD_1.1' => 1, -+ 'umad_get_ca@@IBUMAD_1.0' => 1, -+ 'umad_get_ca_device_list@@IBUMAD_1.1' => 1, -+ 'umad_get_ca_portguids@@IBUMAD_1.0' => 1, -+ 'umad_get_cas_names@@IBUMAD_1.0' => 1, -+ 'umad_get_fd@@IBUMAD_1.0' => 1, -+ 'umad_get_issm_path@@IBUMAD_1.0' => 1, -+ 'umad_get_mad@@IBUMAD_1.0' => 1, -+ 'umad_get_mad_addr@@IBUMAD_1.0' => 1, -+ 'umad_get_pkey@@IBUMAD_1.0' => 1, -+ 'umad_get_port@@IBUMAD_1.0' => 1, -+ 'umad_init@@IBUMAD_1.0' => 1, -+ 'umad_method_str@@IBUMAD_1.0' => 1, -+ 'umad_open_port@@IBUMAD_1.0' => 1, -+ 'umad_poll@@IBUMAD_1.0' => 1, -+ 'umad_recv@@IBUMAD_1.0' => 1, -+ 'umad_register2@@IBUMAD_1.0' => 1, -+ 'umad_register@@IBUMAD_1.0' => 1, -+ 'umad_register_oui@@IBUMAD_1.0' => 1, -+ 'umad_release_ca@@IBUMAD_1.0' => 1, -+ 'umad_release_port@@IBUMAD_1.0' => 1, -+ 'umad_sa_mad_status_str@@IBUMAD_1.0' => 1, -+ 'umad_send@@IBUMAD_1.0' => 1, -+ 'umad_set_addr@@IBUMAD_1.0' => 1, -+ 'umad_set_addr_net@@IBUMAD_1.0' => 1, -+ 'umad_set_grh@@IBUMAD_1.0' => 1, -+ 'umad_set_pkey@@IBUMAD_1.0' => 1, -+ 'umad_size@@IBUMAD_1.0' => 1, -+ 'umad_sort_ca_device_list@@IBUMAD_1.2' => 1, -+ 'umad_status@@IBUMAD_1.0' => 1, -+ 'umad_unregister@@IBUMAD_1.0' => 1 -+ } -+ }, -+ 'Target' => 'unix', -+ 'TypeInfo' => { -+ '1' => { -+ 'Name' => 'void', -+ 'Type' => 'Intrinsic' -+ }, -+ '10827' => { -+ 'BaseType' => '174', -+ 'Name' => 'uint8_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '111' => { -+ 'Name' => 'int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '118' => { -+ 'Name' => 'long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '12442' => { -+ 'BaseType' => '111', -+ 'Name' => 'int*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '125' => { -+ 'BaseType' => '66', -+ 'Header' => undef, -+ 'Line' => '45', -+ 'Name' => '__uint64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '137' => { -+ 'BaseType' => '1', -+ 'Name' => 'void*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '151' => { -+ 'BaseType' => '162', -+ 'Name' => 'char*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '16080' => { -+ 'BaseType' => '4888', -+ 'Name' => 'umad_ca_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '162' => { -+ 'Name' => 'char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '1646' => { -+ 'BaseType' => '299', -+ 'Name' => '__be64*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '169' => { -+ 'BaseType' => '162', -+ 'Name' => 'char const', -+ 'Size' => '1', -+ 'Type' => 'Const' -+ }, -+ '174' => { -+ 'BaseType' => '80', -+ 'Header' => undef, -+ 'Line' => '24', -+ 'Name' => 'uint8_t', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ }, -+ '186' => { -+ 'BaseType' => '125', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => 'uint64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '198' => { -+ 'BaseType' => '66', -+ 'Header' => undef, -+ 'Line' => '209', -+ 'Name' => 'size_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '20395' => { -+ 'BaseType' => '3594', -+ 'Name' => 'char[20]*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '210' => { -+ 'BaseType' => '169', -+ 'Name' => 'char const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '240' => { -+ 'Name' => 'unsigned long long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '263' => { -+ 'BaseType' => '52', -+ 'Header' => undef, -+ 'Line' => '24', -+ 'Name' => '__u16', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '275' => { -+ 'BaseType' => '240', -+ 'Header' => undef, -+ 'Line' => '31', -+ 'Name' => '__u64', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '287' => { -+ 'BaseType' => '263', -+ 'Header' => undef, -+ 'Line' => '25', -+ 'Name' => '__be16', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '2972' => { -+ 'BaseType' => '59', -+ 'Header' => undef, -+ 'Line' => '42', -+ 'Name' => '__uint32_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '299' => { -+ 'BaseType' => '275', -+ 'Header' => undef, -+ 'Line' => '29', -+ 'Name' => '__be64', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '311' => { -+ 'BaseType' => '174', -+ 'Name' => 'uint8_t[16]', -+ 'Size' => '16', -+ 'Type' => 'Array' -+ }, -+ '327' => { -+ 'Header' => undef, -+ 'Line' => '59', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'subnet_prefix', -+ 'offset' => '0', -+ 'type' => '299' -+ }, -+ '1' => { -+ 'name' => 'interface_id', -+ 'offset' => '8', -+ 'type' => '299' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '3594' => { -+ 'BaseType' => '162', -+ 'Name' => 'char[20]', -+ 'Size' => '20', -+ 'Type' => 'Array' -+ }, -+ '363' => { -+ 'Header' => undef, -+ 'Line' => '56', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'raw', -+ 'offset' => '0', -+ 'type' => '311' -+ }, -+ '1' => { -+ 'name' => 'raw_be16', -+ 'offset' => '0', -+ 'type' => '414' -+ }, -+ '2' => { -+ 'name' => 'global', -+ 'offset' => '0', -+ 'type' => '327' -+ } -+ }, -+ 'Name' => 'union umad_gid', -+ 'Size' => '16', -+ 'Type' => 'Union' -+ }, -+ '3640' => { -+ 'BaseType' => '162', -+ 'Name' => 'char[40]', -+ 'Size' => '40', -+ 'Type' => 'Array' -+ }, -+ '3888' => { -+ 'BaseType' => '99', -+ 'Header' => undef, -+ 'Line' => '25', -+ 'Name' => 'uint16_t', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '3900' => { -+ 'BaseType' => '2972', -+ 'Header' => undef, -+ 'Line' => '26', -+ 'Name' => 'uint32_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '3980' => { -+ 'BaseType' => '59', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => '__u32', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '4016' => { -+ 'BaseType' => '3980', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => '__be32', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '414' => { -+ 'BaseType' => '287', -+ 'Name' => '__be16[8]', -+ 'Size' => '16', -+ 'Type' => 'Array' -+ }, -+ '4143' => { -+ 'Header' => undef, -+ 'Line' => '77', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'gid', -+ 'offset' => '0', -+ 'type' => '311' -+ }, -+ '1' => { -+ 'name' => 'ib_gid', -+ 'offset' => '0', -+ 'type' => '363' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Union' -+ }, -+ '4179' => { -+ 'Header' => undef, -+ 'Line' => '67', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qpn', -+ 'offset' => '0', -+ 'type' => '4016' -+ }, -+ '1' => { -+ 'name' => 'qkey', -+ 'offset' => '4', -+ 'type' => '4016' -+ }, -+ '10' => { -+ 'name' => 'flow_label', -+ 'offset' => '32', -+ 'type' => '4016' -+ }, -+ '11' => { -+ 'name' => 'pkey_index', -+ 'offset' => '36', -+ 'type' => '3888' -+ }, -+ '12' => { -+ 'name' => 'reserved', -+ 'offset' => '38', -+ 'type' => '4356' -+ }, -+ '2' => { -+ 'name' => 'lid', -+ 'offset' => '8', -+ 'type' => '287' -+ }, -+ '3' => { -+ 'name' => 'sl', -+ 'offset' => '10', -+ 'type' => '174' -+ }, -+ '4' => { -+ 'name' => 'path_bits', -+ 'offset' => '11', -+ 'type' => '174' -+ }, -+ '5' => { -+ 'name' => 'grh_present', -+ 'offset' => '12', -+ 'type' => '174' -+ }, -+ '6' => { -+ 'name' => 'gid_index', -+ 'offset' => '13', -+ 'type' => '174' -+ }, -+ '7' => { -+ 'name' => 'hop_limit', -+ 'offset' => '14', -+ 'type' => '174' -+ }, -+ '8' => { -+ 'name' => 'traffic_class', -+ 'offset' => '15', -+ 'type' => '174' -+ }, -+ '9' => { -+ 'name' => 'unnamed0', -+ 'offset' => '16', -+ 'type' => '4143' -+ } -+ }, -+ 'Name' => 'struct ib_mad_addr', -+ 'Size' => '44', -+ 'Type' => 'Struct' -+ }, -+ '4356' => { -+ 'BaseType' => '174', -+ 'Name' => 'uint8_t[6]', -+ 'Size' => '6', -+ 'Type' => 'Array' -+ }, -+ '4372' => { -+ 'BaseType' => '4179', -+ 'Header' => undef, -+ 'Line' => '84', -+ 'Name' => 'ib_mad_addr_t', -+ 'Size' => '44', -+ 'Type' => 'Typedef' -+ }, -+ '45' => { -+ 'Name' => 'unsigned char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '4508' => { -+ 'Header' => undef, -+ 'Line' => '142', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'ca_name', -+ 'offset' => '0', -+ 'type' => '3594' -+ }, -+ '1' => { -+ 'name' => 'portnum', -+ 'offset' => '20', -+ 'type' => '111' -+ }, -+ '10' => { -+ 'name' => 'gid_prefix', -+ 'offset' => '56', -+ 'type' => '299' -+ }, -+ '11' => { -+ 'name' => 'port_guid', -+ 'offset' => '64', -+ 'type' => '299' -+ }, -+ '12' => { -+ 'name' => 'pkeys_size', -+ 'offset' => '72', -+ 'type' => '59' -+ }, -+ '13' => { -+ 'name' => 'pkeys', -+ 'offset' => '80', -+ 'type' => '4717' -+ }, -+ '14' => { -+ 'name' => 'link_layer', -+ 'offset' => '88', -+ 'type' => '3594' -+ }, -+ '2' => { -+ 'name' => 'base_lid', -+ 'offset' => '24', -+ 'type' => '59' -+ }, -+ '3' => { -+ 'name' => 'lmc', -+ 'offset' => '28', -+ 'type' => '59' -+ }, -+ '4' => { -+ 'name' => 'sm_lid', -+ 'offset' => '32', -+ 'type' => '59' -+ }, -+ '5' => { -+ 'name' => 'sm_sl', -+ 'offset' => '36', -+ 'type' => '59' -+ }, -+ '6' => { -+ 'name' => 'state', -+ 'offset' => '40', -+ 'type' => '59' -+ }, -+ '7' => { -+ 'name' => 'phys_state', -+ 'offset' => '44', -+ 'type' => '59' -+ }, -+ '8' => { -+ 'name' => 'rate', -+ 'offset' => '48', -+ 'type' => '59' -+ }, -+ '9' => { -+ 'name' => 'capmask', -+ 'offset' => '52', -+ 'type' => '4016' -+ } -+ }, -+ 'Name' => 'struct umad_port', -+ 'Size' => '112', -+ 'Type' => 'Struct' -+ }, -+ '4717' => { -+ 'BaseType' => '3888', -+ 'Name' => 'uint16_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '4723' => { -+ 'BaseType' => '4508', -+ 'Header' => undef, -+ 'Line' => '158', -+ 'Name' => 'umad_port_t', -+ 'Size' => '112', -+ 'Type' => 'Typedef' -+ }, -+ '4735' => { -+ 'Header' => undef, -+ 'Line' => '160', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'ca_name', -+ 'offset' => '0', -+ 'type' => '3594' -+ }, -+ '1' => { -+ 'name' => 'node_type', -+ 'offset' => '20', -+ 'type' => '59' -+ }, -+ '2' => { -+ 'name' => 'numports', -+ 'offset' => '24', -+ 'type' => '111' -+ }, -+ '3' => { -+ 'name' => 'fw_ver', -+ 'offset' => '28', -+ 'type' => '3594' -+ }, -+ '4' => { -+ 'name' => 'ca_type', -+ 'offset' => '48', -+ 'type' => '3640' -+ }, -+ '5' => { -+ 'name' => 'hw_ver', -+ 'offset' => '88', -+ 'type' => '3594' -+ }, -+ '6' => { -+ 'name' => 'node_guid', -+ 'offset' => '112', -+ 'type' => '299' -+ }, -+ '7' => { -+ 'name' => 'system_guid', -+ 'offset' => '120', -+ 'type' => '299' -+ }, -+ '8' => { -+ 'name' => 'ports', -+ 'offset' => '128', -+ 'type' => '4866' -+ } -+ }, -+ 'Name' => 'struct umad_ca', -+ 'Size' => '208', -+ 'Type' => 'Struct' -+ }, -+ '4866' => { -+ 'BaseType' => '4882', -+ 'Name' => 'umad_port_t*[10]', -+ 'Size' => '80', -+ 'Type' => 'Array' -+ }, -+ '4882' => { -+ 'BaseType' => '4723', -+ 'Name' => 'umad_port_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '4888' => { -+ 'BaseType' => '4735', -+ 'Header' => undef, -+ 'Line' => '170', -+ 'Name' => 'umad_ca_t', -+ 'Size' => '208', -+ 'Type' => 'Typedef' -+ }, -+ '4900' => { -+ 'Header' => undef, -+ 'Line' => '172', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'next', -+ 'offset' => '0', -+ 'type' => '4940' -+ }, -+ '1' => { -+ 'name' => 'ca_name', -+ 'offset' => '8', -+ 'type' => '210' -+ } -+ }, -+ 'Name' => 'struct umad_device_node', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '4940' => { -+ 'BaseType' => '4900', -+ 'Name' => 'struct umad_device_node*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '4946' => { -+ 'Header' => undef, -+ 'Line' => '224', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'mgmt_class', -+ 'offset' => '0', -+ 'type' => '174' -+ }, -+ '1' => { -+ 'name' => 'mgmt_class_version', -+ 'offset' => '1', -+ 'type' => '174' -+ }, -+ '2' => { -+ 'name' => 'flags', -+ 'offset' => '4', -+ 'type' => '3900' -+ }, -+ '3' => { -+ 'name' => 'method_mask', -+ 'offset' => '8', -+ 'type' => '5038' -+ }, -+ '4' => { -+ 'name' => 'oui', -+ 'offset' => '24', -+ 'type' => '3900' -+ }, -+ '5' => { -+ 'name' => 'rmpp_version', -+ 'offset' => '28', -+ 'type' => '174' -+ } -+ }, -+ 'Name' => 'struct umad_reg_attr', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '5038' => { -+ 'BaseType' => '186', -+ 'Name' => 'uint64_t[2]', -+ 'Size' => '16', -+ 'Type' => 'Array' -+ }, -+ '52' => { -+ 'Name' => 'unsigned short', -+ 'Size' => '2', -+ 'Type' => 'Intrinsic' -+ }, -+ '59' => { -+ 'Name' => 'unsigned int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '66' => { -+ 'Name' => 'unsigned long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '6637' => { -+ 'BaseType' => '4940', -+ 'Name' => 'struct umad_device_node**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '7265' => { -+ 'BaseType' => '4372', -+ 'Name' => 'ib_mad_addr_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '80' => { -+ 'BaseType' => '45', -+ 'Header' => undef, -+ 'Line' => '38', -+ 'Name' => '__uint8_t', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ }, -+ '9053' => { -+ 'BaseType' => '4946', -+ 'Name' => 'struct umad_reg_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '9059' => { -+ 'BaseType' => '3900', -+ 'Name' => 'uint32_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '99' => { -+ 'BaseType' => '52', -+ 'Header' => undef, -+ 'Line' => '40', -+ 'Name' => '__uint16_t', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '9903' => { -+ 'BaseType' => '118', -+ 'Name' => 'long*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ } -+ }, -+ 'UndefinedSymbols' => { -+ 'libibumad.so.3.2.32.0' => { -+ '_ITM_deregisterTMCloneTable' => 0, -+ '_ITM_registerTMCloneTable' => 0, -+ '__ctype_b_loc@GLIBC_2.3' => 0, -+ '__cxa_finalize@GLIBC_2.2.5' => 0, -+ '__errno_location@GLIBC_2.2.5' => 0, -+ '__fprintf_chk@GLIBC_2.3.4' => 0, -+ '__gmon_start__' => 0, -+ '__snprintf_chk@GLIBC_2.3.4' => 0, -+ '__stack_chk_fail@GLIBC_2.4' => 0, -+ 'alphasort@GLIBC_2.2.5' => 0, -+ 'calloc@GLIBC_2.2.5' => 0, -+ 'close@GLIBC_2.2.5' => 0, -+ 'closedir@GLIBC_2.2.5' => 0, -+ 'free@GLIBC_2.2.5' => 0, -+ 'getpid@GLIBC_2.2.5' => 0, -+ 'ioctl@GLIBC_2.2.5' => 0, -+ 'open@GLIBC_2.2.5' => 0, -+ 'opendir@GLIBC_2.2.5' => 0, -+ 'poll@GLIBC_2.2.5' => 0, -+ 'qsort@GLIBC_2.2.5' => 0, -+ 'read@GLIBC_2.2.5' => 0, -+ 'readdir@GLIBC_2.2.5' => 0, -+ 'scandir@GLIBC_2.2.5' => 0, -+ 'snprintf@GLIBC_2.2.5' => 0, -+ 'stderr@GLIBC_2.2.5' => 0, -+ 'strcmp@GLIBC_2.2.5' => 0, -+ 'strcpy@GLIBC_2.2.5' => 0, -+ 'strdup@GLIBC_2.2.5' => 0, -+ 'strerror@GLIBC_2.2.5' => 0, -+ 'strlen@GLIBC_2.2.5' => 0, -+ 'strncmp@GLIBC_2.2.5' => 0, -+ 'strncpy@GLIBC_2.2.5' => 0, -+ 'strrchr@GLIBC_2.2.5' => 0, -+ 'strsep@GLIBC_2.2.5' => 0, -+ 'strtol@GLIBC_2.2.5' => 0, -+ 'strtoul@GLIBC_2.2.5' => 0, -+ 'strtoull@GLIBC_2.2.5' => 0, -+ 'write@GLIBC_2.2.5' => 0 -+ } -+ }, -+ 'WordSize' => '8' -+ }; -diff --git a/ABI/ibverbs.dump b/ABI/ibverbs.dump -new file mode 100644 -index 000000000000..d957d006d4f7 ---- /dev/null -+++ b/ABI/ibverbs.dump -@@ -0,0 +1,18507 @@ -+$VAR1 = { -+ 'ABI_DUMPER_VERSION' => '1.1', -+ 'ABI_DUMP_VERSION' => '3.5', -+ 'Arch' => 'x86_64', -+ 'GccVersion' => '10.2.0', -+ 'Headers' => {}, -+ 'Language' => 'C', -+ 'LibraryName' => 'libibverbs.so.1.11.32.0', -+ 'LibraryVersion' => 'ibverbs', -+ 'MissedOffsets' => '1', -+ 'MissedRegs' => '1', -+ 'NameSpaces' => {}, -+ 'Needed' => { -+ 'libc.so.6' => 1, -+ 'libdl.so.2' => 1, -+ 'libnl-3.so.200' => 1, -+ 'libnl-route-3.so.200' => 1, -+ 'libpthread.so.0' => 1 -+ }, -+ 'Sources' => {}, -+ 'SymbolInfo' => { -+ '100017' => { -+ 'Header' => undef, -+ 'Line' => '173', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context_ex', -+ 'type' => '92555' -+ }, -+ '1' => { -+ 'name' => 'cmd', -+ 'type' => '101359' -+ }, -+ '2' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ }, -+ '3' => { -+ 'name' => 'resp', -+ 'type' => '101365' -+ }, -+ '4' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_get_context' -+ }, -+ '102121' => { -+ 'Header' => undef, -+ 'Line' => '67', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'port_num', -+ 'type' => '802' -+ }, -+ '2' => { -+ 'name' => 'port_attr', -+ 'type' => '67552' -+ }, -+ '3' => { -+ 'name' => 'cmd', -+ 'type' => '103203' -+ }, -+ '4' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_query_port' -+ }, -+ '104222' => { -+ 'Header' => undef, -+ 'Line' => '656', -+ 'Param' => { -+ '0' => { -+ 'name' => 'buf', -+ 'type' => '180' -+ }, -+ '1' => { -+ 'name' => 'size', -+ 'type' => '52' -+ }, -+ '2' => { -+ 'name' => 'sysfs_dev', -+ 'type' => '92518' -+ }, -+ '3' => { -+ 'name' => 'fnfmt', -+ 'type' => '77645' -+ }, -+ '4' => { -+ 'type' => '-1' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_read_ibdev_sysfs_file' -+ }, -+ '110329' => { -+ 'Header' => undef, -+ 'Line' => '76', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'name' => 'dm', -+ 'type' => '112339' -+ }, -+ '2' => { -+ 'name' => 'offset', -+ 'type' => '838' -+ }, -+ '3' => { -+ 'name' => 'length', -+ 'type' => '52' -+ }, -+ '4' => { -+ 'name' => 'access', -+ 'type' => '64' -+ }, -+ '5' => { -+ 'name' => 'vmr', -+ 'type' => '26665' -+ }, -+ '6' => { -+ 'name' => 'link', -+ 'type' => '44186' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_reg_dm_mr' -+ }, -+ '112365' => { -+ 'Header' => undef, -+ 'Line' => '61', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dm', -+ 'type' => '112339' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_free_dm' -+ }, -+ '112810' => { -+ 'Header' => undef, -+ 'Line' => '35', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ctx', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'dm_attr', -+ 'type' => '113802' -+ }, -+ '2' => { -+ 'name' => 'dm', -+ 'type' => '112339' -+ }, -+ '3' => { -+ 'name' => 'link', -+ 'type' => '44186' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_alloc_dm' -+ }, -+ '142461' => { -+ 'Header' => undef, -+ 'Line' => '35', -+ 'Param' => { -+ '0' => { -+ 'name' => 'flow_id', -+ 'type' => '20802' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_destroy_flow' -+ }, -+ '149291' => { -+ 'Header' => undef, -+ 'Line' => '120', -+ 'Param' => { -+ '0' => { -+ 'name' => 'action', -+ 'type' => '149719' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_destroy_flow_action' -+ }, -+ '149743' => { -+ 'Header' => undef, -+ 'Line' => '101', -+ 'Param' => { -+ '0' => { -+ 'name' => 'flow_action', -+ 'type' => '149719' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '67884' -+ }, -+ '2' => { -+ 'name' => 'driver', -+ 'type' => '44186' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_modify_flow_action_esp' -+ }, -+ '150265' => { -+ 'Header' => undef, -+ 'Line' => '72', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ctx', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '67884' -+ }, -+ '2' => { -+ 'name' => 'flow_action', -+ 'type' => '149719' -+ }, -+ '3' => { -+ 'name' => 'driver', -+ 'type' => '44186' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_create_flow_action_esp' -+ }, -+ '177699' => { -+ 'Header' => undef, -+ 'Line' => '89', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'name' => 'vmr', -+ 'type' => '26665' -+ }, -+ '2' => { -+ 'name' => 'mr_handle', -+ 'type' => '826' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_query_mr' -+ }, -+ '178803' => { -+ 'Header' => undef, -+ 'Line' => '57', -+ 'Param' => { -+ '0' => { -+ 'name' => 'vmr', -+ 'type' => '26665' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_dereg_mr' -+ }, -+ '179324' => { -+ 'Header' => undef, -+ 'Line' => '38', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'name' => 'advice', -+ 'type' => '56257' -+ }, -+ '2' => { -+ 'name' => 'flags', -+ 'type' => '826' -+ }, -+ '3' => { -+ 'name' => 'sg_list', -+ 'type' => '16579' -+ }, -+ '4' => { -+ 'name' => 'num_sge', -+ 'type' => '826' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_advise_mr' -+ }, -+ '187088' => { -+ 'Header' => undef, -+ 'Line' => '35', -+ 'Param' => { -+ '0' => { -+ 'name' => 'mw', -+ 'type' => '16339' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_dealloc_mw' -+ }, -+ '193704' => { -+ 'Header' => undef, -+ 'Line' => '35', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_dealloc_pd' -+ }, -+ '215316' => { -+ 'Header' => undef, -+ 'Line' => '443', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '12425' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_destroy_qp' -+ }, -+ '216144' => { -+ 'Header' => undef, -+ 'Line' => '416', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'qp', -+ 'type' => '33493' -+ }, -+ '2' => { -+ 'name' => 'attr_ex', -+ 'type' => '68578' -+ }, -+ '3' => { -+ 'name' => 'cmd', -+ 'type' => '216667' -+ }, -+ '4' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ }, -+ '5' => { -+ 'name' => 'resp', -+ 'type' => '216673' -+ }, -+ '6' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_create_qp_ex2' -+ }, -+ '216697' => { -+ 'Header' => undef, -+ 'Line' => '395', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'qp', -+ 'type' => '33493' -+ }, -+ '2' => { -+ 'name' => 'attr_ex', -+ 'type' => '68578' -+ }, -+ '3' => { -+ 'name' => 'cmd', -+ 'type' => '217214' -+ }, -+ '4' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ }, -+ '5' => { -+ 'name' => 'resp', -+ 'type' => '33505' -+ }, -+ '6' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_create_qp_ex' -+ }, -+ '217244' => { -+ 'Header' => undef, -+ 'Line' => '373', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'name' => 'qp', -+ 'type' => '12425' -+ }, -+ '2' => { -+ 'name' => 'attr', -+ 'type' => '26659' -+ }, -+ '3' => { -+ 'name' => 'cmd', -+ 'type' => '217214' -+ }, -+ '4' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ }, -+ '5' => { -+ 'name' => 'resp', -+ 'type' => '33505' -+ }, -+ '6' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_create_qp' -+ }, -+ '229867' => { -+ 'Header' => undef, -+ 'Line' => '35', -+ 'Param' => { -+ '0' => { -+ 'name' => 'rwq_ind_table', -+ 'type' => '14926' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_destroy_rwq_ind_table' -+ }, -+ '249069' => { -+ 'Header' => undef, -+ 'Line' => '245', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srq', -+ 'type' => '12543' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_destroy_srq' -+ }, -+ '249889' => { -+ 'Header' => undef, -+ 'Line' => '222', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'srq', -+ 'type' => '250335' -+ }, -+ '2' => { -+ 'name' => 'attr_ex', -+ 'type' => '68642' -+ }, -+ '3' => { -+ 'name' => 'cmd', -+ 'type' => '250341' -+ }, -+ '4' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ }, -+ '5' => { -+ 'name' => 'resp', -+ 'type' => '250347' -+ }, -+ '6' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_create_srq_ex' -+ }, -+ '250371' => { -+ 'Header' => undef, -+ 'Line' => '200', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'name' => 'srq', -+ 'type' => '12543' -+ }, -+ '2' => { -+ 'name' => 'attr', -+ 'type' => '70985' -+ }, -+ '3' => { -+ 'name' => 'cmd', -+ 'type' => '250899' -+ }, -+ '4' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ }, -+ '5' => { -+ 'name' => 'resp', -+ 'type' => '250347' -+ }, -+ '6' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_create_srq' -+ }, -+ '26744' => { -+ 'Data' => 1, -+ 'Header' => undef, -+ 'Line' => '324', -+ 'Return' => '20856', -+ 'ShortName' => 'verbs_allow_disassociate_destroy' -+ }, -+ '26787' => { -+ 'Header' => undef, -+ 'Line' => '1417', -+ 'Param' => { -+ '0' => { -+ 'name' => 'cq', -+ 'type' => '12223' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '20808' -+ }, -+ '2' => { -+ 'name' => 'cmd', -+ 'type' => '26951' -+ }, -+ '3' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_modify_cq' -+ }, -+ '26957' => { -+ 'Header' => undef, -+ 'Line' => '1372', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'init_attr', -+ 'type' => '20814' -+ }, -+ '2' => { -+ 'name' => 'rwq_ind_table', -+ 'type' => '14926' -+ }, -+ '3' => { -+ 'name' => 'resp', -+ 'type' => '27369' -+ }, -+ '4' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_create_rwq_ind_table' -+ }, -+ '27381' => { -+ 'Header' => undef, -+ 'Line' => '1340', -+ 'Param' => { -+ '0' => { -+ 'name' => 'wq', -+ 'type' => '12744' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '20820' -+ }, -+ '2' => { -+ 'name' => 'cmd', -+ 'type' => '27626' -+ }, -+ '3' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_modify_wq' -+ }, -+ '274011' => { -+ 'Header' => undef, -+ 'Line' => '141', -+ 'Param' => { -+ '0' => { -+ 'name' => 'wq', -+ 'type' => '12744' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_destroy_wq' -+ }, -+ '274843' => { -+ 'Header' => undef, -+ 'Line' => '121', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'wq_init_attr', -+ 'type' => '68255' -+ }, -+ '2' => { -+ 'name' => 'wq', -+ 'type' => '12744' -+ }, -+ '3' => { -+ 'name' => 'cmd', -+ 'type' => '278271' -+ }, -+ '4' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ }, -+ '5' => { -+ 'name' => 'resp', -+ 'type' => '278277' -+ }, -+ '6' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_create_wq' -+ }, -+ '27632' => { -+ 'Header' => undef, -+ 'Line' => '1281', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'name' => 'flow_id', -+ 'type' => '20802' -+ }, -+ '2' => { -+ 'name' => 'flow_attr', -+ 'type' => '20838' -+ }, -+ '3' => { -+ 'name' => 'ucmd', -+ 'type' => '71' -+ }, -+ '4' => { -+ 'name' => 'ucmd_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_create_flow' -+ }, -+ '285436' => { -+ 'Header' => undef, -+ 'Line' => '35', -+ 'Param' => { -+ '0' => { -+ 'name' => 'xrcd', -+ 'type' => '26296' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_close_xrcd' -+ }, -+ '29891' => { -+ 'Header' => undef, -+ 'Line' => '1070', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'name' => 'gid', -+ 'type' => '26647' -+ }, -+ '2' => { -+ 'name' => 'lid', -+ 'type' => '814' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_detach_mcast' -+ }, -+ '30138' => { -+ 'Header' => undef, -+ 'Line' => '1057', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'name' => 'gid', -+ 'type' => '26647' -+ }, -+ '2' => { -+ 'name' => 'lid', -+ 'type' => '814' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_attach_mcast' -+ }, -+ '30311' => { -+ 'Header' => undef, -+ 'Line' => '1021', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'name' => 'ah', -+ 'type' => '16183' -+ }, -+ '2' => { -+ 'name' => 'attr', -+ 'type' => '26653' -+ }, -+ '3' => { -+ 'name' => 'resp', -+ 'type' => '30602' -+ }, -+ '4' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_create_ah' -+ }, -+ '303860' => { -+ 'Alias' => '__ibv_register_driver_1_1', -+ 'Header' => undef, -+ 'Line' => '979', -+ 'Param' => { -+ '0' => { -+ 'name' => 'name', -+ 'type' => '77645' -+ }, -+ '1' => { -+ 'name' => 'init_func', -+ 'type' => '303822' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'ibv_register_driver' -+ }, -+ '303922' => { -+ 'Alias' => '__ibv_detach_mcast_1_0', -+ 'Header' => undef, -+ 'Line' => '972', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '303730' -+ }, -+ '1' => { -+ 'name' => 'gid', -+ 'type' => '99076' -+ }, -+ '2' => { -+ 'name' => 'lid', -+ 'type' => '814' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_detach_mcast' -+ }, -+ '304052' => { -+ 'Alias' => '__ibv_attach_mcast_1_0', -+ 'Header' => undef, -+ 'Line' => '965', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '303730' -+ }, -+ '1' => { -+ 'name' => 'gid', -+ 'type' => '99076' -+ }, -+ '2' => { -+ 'name' => 'lid', -+ 'type' => '814' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_attach_mcast' -+ }, -+ '304182' => { -+ 'Alias' => '__ibv_destroy_ah_1_0', -+ 'Header' => undef, -+ 'Line' => '951', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ah', -+ 'type' => '302682' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_destroy_ah' -+ }, -+ '304292' => { -+ 'Alias' => '__ibv_create_ah_1_0', -+ 'Header' => undef, -+ 'Line' => '927', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '302100' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '26653' -+ } -+ }, -+ 'Return' => '302682', -+ 'ShortName' => 'ibv_create_ah' -+ }, -+ '304471' => { -+ 'Alias' => '__ibv_destroy_qp_1_0', -+ 'Header' => undef, -+ 'Line' => '913', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '303730' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_destroy_qp' -+ }, -+ '304581' => { -+ 'Alias' => '__ibv_modify_qp_1_0', -+ 'Header' => undef, -+ 'Line' => '904', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '303730' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '26671' -+ }, -+ '2' => { -+ 'name' => 'attr_mask', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_modify_qp' -+ }, -+ '304707' => { -+ 'Alias' => '__ibv_query_qp_1_0', -+ 'Header' => undef, -+ 'Line' => '881', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '303730' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '26671' -+ }, -+ '2' => { -+ 'name' => 'attr_mask', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'init_attr', -+ 'type' => '304916' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_query_qp' -+ }, -+ '304922' => { -+ 'Alias' => '__ibv_create_qp_1_0', -+ 'Header' => undef, -+ 'Line' => '836', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '302100' -+ }, -+ '1' => { -+ 'name' => 'qp_init_attr', -+ 'type' => '304916' -+ } -+ }, -+ 'Return' => '303730', -+ 'ShortName' => 'ibv_create_qp' -+ }, -+ '305132' => { -+ 'Alias' => '__ibv_destroy_srq_1_0', -+ 'Header' => undef, -+ 'Line' => '822', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srq', -+ 'type' => '302465' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_destroy_srq' -+ }, -+ '305243' => { -+ 'Alias' => '__ibv_query_srq_1_0', -+ 'Header' => undef, -+ 'Line' => '814', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srq', -+ 'type' => '302465' -+ }, -+ '1' => { -+ 'name' => 'srq_attr', -+ 'type' => '26677' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_query_srq' -+ }, -+ '305342' => { -+ 'Alias' => '__ibv_modify_srq_1_0', -+ 'Header' => undef, -+ 'Line' => '805', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srq', -+ 'type' => '302465' -+ }, -+ '1' => { -+ 'name' => 'srq_attr', -+ 'type' => '26677' -+ }, -+ '2' => { -+ 'name' => 'srq_attr_mask', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_modify_srq' -+ }, -+ '305469' => { -+ 'Alias' => '__ibv_create_srq_1_0', -+ 'Header' => undef, -+ 'Line' => '777', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '302100' -+ }, -+ '1' => { -+ 'name' => 'srq_init_attr', -+ 'type' => '70985' -+ } -+ }, -+ 'Return' => '302465', -+ 'ShortName' => 'ibv_create_srq' -+ }, -+ '305649' => { -+ 'Alias' => '__ibv_ack_cq_events_1_0', -+ 'Header' => undef, -+ 'Line' => '769', -+ 'Param' => { -+ '0' => { -+ 'name' => 'cq', -+ 'type' => '302459' -+ }, -+ '1' => { -+ 'name' => 'nevents', -+ 'type' => '64' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'ibv_ack_cq_events' -+ }, -+ '305743' => { -+ 'Alias' => '__ibv_get_cq_event_1_0', -+ 'Header' => undef, -+ 'Line' => '749', -+ 'Param' => { -+ '0' => { -+ 'name' => 'channel', -+ 'type' => '17618' -+ }, -+ '1' => { -+ 'name' => 'cq', -+ 'type' => '305944' -+ }, -+ '2' => { -+ 'name' => 'cq_context', -+ 'type' => '153089' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_get_cq_event' -+ }, -+ '305956' => { -+ 'Alias' => '__ibv_destroy_cq_1_0', -+ 'Header' => undef, -+ 'Line' => '735', -+ 'Param' => { -+ '0' => { -+ 'name' => 'cq', -+ 'type' => '302459' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_destroy_cq' -+ }, -+ '306066' => { -+ 'Alias' => '__ibv_resize_cq_1_0', -+ 'Header' => undef, -+ 'Line' => '728', -+ 'Param' => { -+ '0' => { -+ 'name' => 'cq', -+ 'type' => '302459' -+ }, -+ '1' => { -+ 'name' => 'cqe', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_resize_cq' -+ }, -+ '30608' => { -+ 'Header' => undef, -+ 'Line' => '962', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srq', -+ 'type' => '12543' -+ }, -+ '1' => { -+ 'name' => 'wr', -+ 'type' => '16656' -+ }, -+ '2' => { -+ 'name' => 'bad_wr', -+ 'type' => '16744' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_post_srq_recv' -+ }, -+ '306164' => { -+ 'Alias' => '__ibv_create_cq_1_0', -+ 'Header' => undef, -+ 'Line' => '699', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '302003' -+ }, -+ '1' => { -+ 'name' => 'cqe', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'name' => 'cq_context', -+ 'type' => '71' -+ }, -+ '3' => { -+ 'name' => 'channel', -+ 'type' => '17618' -+ }, -+ '4' => { -+ 'name' => 'comp_vector', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '302459', -+ 'ShortName' => 'ibv_create_cq' -+ }, -+ '306425' => { -+ 'Alias' => '__ibv_dereg_mr_1_0', -+ 'Header' => undef, -+ 'Line' => '685', -+ 'Param' => { -+ '0' => { -+ 'name' => 'mr', -+ 'type' => '306535' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_dereg_mr' -+ }, -+ '306541' => { -+ 'Alias' => '__ibv_reg_mr_1_0', -+ 'Header' => undef, -+ 'Line' => '658', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '302100' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'length', -+ 'type' => '52' -+ }, -+ '3' => { -+ 'name' => 'access', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '306535', -+ 'ShortName' => 'ibv_reg_mr' -+ }, -+ '306880' => { -+ 'Alias' => '__ibv_dealloc_pd_1_0', -+ 'Header' => undef, -+ 'Line' => '644', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '302100' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_dealloc_pd' -+ }, -+ '306990' => { -+ 'Alias' => '__ibv_alloc_pd_1_0', -+ 'Header' => undef, -+ 'Line' => '621', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '302003' -+ } -+ }, -+ 'Return' => '302100', -+ 'ShortName' => 'ibv_alloc_pd' -+ }, -+ '307137' => { -+ 'Alias' => '__ibv_query_pkey_1_0', -+ 'Header' => undef, -+ 'Line' => '612', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '302003' -+ }, -+ '1' => { -+ 'name' => 'port_num', -+ 'type' => '802' -+ }, -+ '2' => { -+ 'name' => 'index', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'pkey', -+ 'type' => '307295' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_query_pkey' -+ }, -+ '307301' => { -+ 'Alias' => '__ibv_query_gid_1_0', -+ 'Header' => undef, -+ 'Line' => '603', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '302003' -+ }, -+ '1' => { -+ 'name' => 'port_num', -+ 'type' => '802' -+ }, -+ '2' => { -+ 'name' => 'index', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'gid', -+ 'type' => '99076' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_query_gid' -+ }, -+ '307459' => { -+ 'Alias' => '__ibv_query_port_1_0', -+ 'Header' => undef, -+ 'Line' => '594', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '302003' -+ }, -+ '1' => { -+ 'name' => 'port_num', -+ 'type' => '802' -+ }, -+ '2' => { -+ 'name' => 'port_attr', -+ 'type' => '67552' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_query_port' -+ }, -+ '307853' => { -+ 'Alias' => '__ibv_query_device_1_0', -+ 'Header' => undef, -+ 'Line' => '586', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '302003' -+ }, -+ '1' => { -+ 'name' => 'device_attr', -+ 'type' => '26683' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_query_device' -+ }, -+ '307952' => { -+ 'Alias' => '__ibv_ack_async_event_1_0', -+ 'Header' => undef, -+ 'Line' => '549', -+ 'Param' => { -+ '0' => { -+ 'name' => 'event', -+ 'type' => '70799' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'ibv_ack_async_event' -+ }, -+ '308058' => { -+ 'Alias' => '__ibv_get_async_event_1_0', -+ 'Header' => undef, -+ 'Line' => '510', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '302003' -+ }, -+ '1' => { -+ 'name' => 'event', -+ 'type' => '70799' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_get_async_event' -+ }, -+ '308177' => { -+ 'Alias' => '__ibv_close_device_1_0', -+ 'Header' => undef, -+ 'Line' => '496', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '302003' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_close_device' -+ }, -+ '308288' => { -+ 'Alias' => '__ibv_open_device_1_0', -+ 'Header' => undef, -+ 'Line' => '467', -+ 'Param' => { -+ '0' => { -+ 'name' => 'device', -+ 'type' => '303816' -+ } -+ }, -+ 'Return' => '302003', -+ 'ShortName' => 'ibv_open_device' -+ }, -+ '309502' => { -+ 'Alias' => '__ibv_get_device_guid_1_0', -+ 'Header' => undef, -+ 'Line' => '294', -+ 'Param' => { -+ '0' => { -+ 'name' => 'device', -+ 'type' => '303816' -+ } -+ }, -+ 'Return' => '934', -+ 'ShortName' => 'ibv_get_device_guid' -+ }, -+ '309572' => { -+ 'Alias' => '__ibv_get_device_name_1_0', -+ 'Header' => undef, -+ 'Line' => '287', -+ 'Param' => { -+ '0' => { -+ 'name' => 'device', -+ 'type' => '303816' -+ } -+ }, -+ 'Return' => '77645', -+ 'ShortName' => 'ibv_get_device_name' -+ }, -+ '309642' => { -+ 'Alias' => '__ibv_free_device_list_1_0', -+ 'Header' => undef, -+ 'Line' => '272', -+ 'Param' => { -+ '0' => { -+ 'name' => 'list', -+ 'type' => '309763' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'ibv_free_device_list' -+ }, -+ '309769' => { -+ 'Alias' => '__ibv_get_device_list_1_0', -+ 'Header' => undef, -+ 'Line' => '231', -+ 'Param' => { -+ '0' => { -+ 'name' => 'num', -+ 'type' => '29840' -+ } -+ }, -+ 'Return' => '309763', -+ 'ShortName' => 'ibv_get_device_list' -+ }, -+ '310634' => { -+ 'Header' => undef, -+ 'Line' => '298', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'length', -+ 'type' => '52' -+ }, -+ '3' => { -+ 'name' => 'iova', -+ 'type' => '838' -+ }, -+ '4' => { -+ 'name' => 'access', -+ 'type' => '64' -+ } -+ }, -+ 'Return' => '13659', -+ 'ShortName' => 'ibv_reg_mr_iova2' -+ }, -+ '31101' => { -+ 'Header' => undef, -+ 'Line' => '903', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ibqp', -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'name' => 'wr', -+ 'type' => '16656' -+ }, -+ '2' => { -+ 'name' => 'bad_wr', -+ 'type' => '16744' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_post_recv' -+ }, -+ '31587' => { -+ 'Header' => undef, -+ 'Line' => '815', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ibqp', -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'name' => 'wr', -+ 'type' => '16573' -+ }, -+ '2' => { -+ 'name' => 'bad_wr', -+ 'type' => '20753' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_post_send' -+ }, -+ '32081' => { -+ 'Header' => undef, -+ 'Line' => '795', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '26671' -+ }, -+ '2' => { -+ 'name' => 'attr_mask', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'cmd', -+ 'type' => '32340' -+ }, -+ '4' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ }, -+ '5' => { -+ 'name' => 'resp', -+ 'type' => '32346' -+ }, -+ '6' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_modify_qp_ex' -+ }, -+ '32352' => { -+ 'Header' => undef, -+ 'Line' => '778', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '26671' -+ }, -+ '2' => { -+ 'name' => 'attr_mask', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'cmd', -+ 'type' => '32572' -+ }, -+ '4' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_modify_qp' -+ }, -+ '32650' => { -+ 'Header' => undef, -+ 'Line' => '605', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '26671' -+ }, -+ '2' => { -+ 'name' => 'attr_mask', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'init_attr', -+ 'type' => '26659' -+ }, -+ '4' => { -+ 'name' => 'cmd', -+ 'type' => '33086' -+ }, -+ '5' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_query_qp' -+ }, -+ '329324' => { -+ 'Alias' => '__ibv_ack_async_event_1_1', -+ 'Header' => undef, -+ 'Line' => '512', -+ 'Param' => { -+ '0' => { -+ 'name' => 'event', -+ 'type' => '70799' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'ibv_ack_async_event' -+ }, -+ '329636' => { -+ 'Alias' => '__ibv_get_async_event_1_1', -+ 'Header' => undef, -+ 'Line' => '466', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'event', -+ 'type' => '70799' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_get_async_event' -+ }, -+ '329938' => { -+ 'Alias' => '__ibv_close_device_1_1', -+ 'Header' => undef, -+ 'Line' => '456', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_close_device' -+ }, -+ '330126' => { -+ 'Header' => undef, -+ 'Line' => '447', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context_ex', -+ 'type' => '92555' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'verbs_uninit_context' -+ }, -+ '330231' => { -+ 'Header' => undef, -+ 'Line' => '385', -+ 'Param' => { -+ '0' => { -+ 'name' => 'cmd_fd', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '10735', -+ 'ShortName' => 'ibv_import_device' -+ }, -+ '330737' => { -+ 'Alias' => '__ibv_open_device_1_1', -+ 'Header' => undef, -+ 'Line' => '378', -+ 'Param' => { -+ '0' => { -+ 'name' => 'device', -+ 'type' => '19844' -+ } -+ }, -+ 'Return' => '10735', -+ 'ShortName' => 'ibv_open_device' -+ }, -+ '330820' => { -+ 'Header' => undef, -+ 'Line' => '342', -+ 'Param' => { -+ '0' => { -+ 'name' => 'device', -+ 'type' => '19844' -+ }, -+ '1' => { -+ 'name' => 'private_data', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '10735', -+ 'ShortName' => 'verbs_open_device' -+ }, -+ '33092' => { -+ 'Header' => undef, -+ 'Line' => '555', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'qp', -+ 'type' => '33493' -+ }, -+ '2' => { -+ 'name' => 'vqp_sz', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'attr', -+ 'type' => '20844' -+ }, -+ '4' => { -+ 'name' => 'cmd', -+ 'type' => '33499' -+ }, -+ '5' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ }, -+ '6' => { -+ 'name' => 'resp', -+ 'type' => '33505' -+ }, -+ '7' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_open_qp' -+ }, -+ '331133' => { -+ 'Header' => undef, -+ 'Line' => '285', -+ 'Param' => { -+ '0' => { -+ 'name' => 'device', -+ 'type' => '19844' -+ }, -+ '1' => { -+ 'name' => 'cmd_fd', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'name' => 'alloc_size', -+ 'type' => '52' -+ }, -+ '3' => { -+ 'name' => 'context_offset', -+ 'type' => '92555' -+ }, -+ '4' => { -+ 'name' => 'driver_id', -+ 'type' => '826' -+ } -+ }, -+ 'Return' => '71', -+ 'ShortName' => '_verbs_init_and_alloc_context' -+ }, -+ '332257' => { -+ 'Header' => undef, -+ 'Line' => '441', -+ 'Param' => { -+ '0' => { -+ 'name' => 'cq', -+ 'type' => '12223' -+ }, -+ '1' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '2' => { -+ 'name' => 'channel', -+ 'type' => '17618' -+ }, -+ '3' => { -+ 'name' => 'cq_context', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'verbs_init_cq' -+ }, -+ '332472' => { -+ 'Header' => undef, -+ 'Line' => '160', -+ 'Param' => { -+ '0' => { -+ 'name' => 'value', -+ 'type' => '180' -+ }, -+ '1' => { -+ 'name' => 'len', -+ 'type' => '52' -+ }, -+ '2' => { -+ 'name' => 'sysfs_dev', -+ 'type' => '92518' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_get_fw_ver' -+ }, -+ '332798' => { -+ 'Header' => undef, -+ 'Line' => '153', -+ 'Param' => { -+ '0' => { -+ 'name' => 'device', -+ 'type' => '19844' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_get_device_index' -+ }, -+ '332867' => { -+ 'Alias' => '__ibv_get_device_guid_1_1', -+ 'Header' => undef, -+ 'Line' => '116', -+ 'Param' => { -+ '0' => { -+ 'name' => 'device', -+ 'type' => '19844' -+ } -+ }, -+ 'Return' => '934', -+ 'ShortName' => 'ibv_get_device_guid' -+ }, -+ '333426' => { -+ 'Alias' => '__ibv_get_device_name_1_1', -+ 'Header' => undef, -+ 'Line' => '109', -+ 'Param' => { -+ '0' => { -+ 'name' => 'device', -+ 'type' => '19844' -+ } -+ }, -+ 'Return' => '77645', -+ 'ShortName' => 'ibv_get_device_name' -+ }, -+ '333475' => { -+ 'Alias' => '__ibv_free_device_list_1_1', -+ 'Header' => undef, -+ 'Line' => '98', -+ 'Param' => { -+ '0' => { -+ 'name' => 'list', -+ 'type' => '310086' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'ibv_free_device_list' -+ }, -+ '333578' => { -+ 'Alias' => '__ibv_get_device_list_1_1', -+ 'Header' => undef, -+ 'Line' => '54', -+ 'Param' => { -+ '0' => { -+ 'name' => 'num', -+ 'type' => '29840' -+ } -+ }, -+ 'Return' => '310086', -+ 'ShortName' => 'ibv_get_device_list' -+ }, -+ '33511' => { -+ 'Header' => undef, -+ 'Line' => '526', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srq', -+ 'type' => '12543' -+ }, -+ '1' => { -+ 'name' => 'srq_attr', -+ 'type' => '26677' -+ }, -+ '2' => { -+ 'name' => 'cmd', -+ 'type' => '33771' -+ }, -+ '3' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_query_srq' -+ }, -+ '335257' => { -+ 'Header' => undef, -+ 'Line' => '577', -+ 'Param' => { -+ '0' => { -+ 'name' => 'vctx', -+ 'type' => '92555' -+ }, -+ '1' => { -+ 'name' => 'ops', -+ 'type' => '330120' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'verbs_set_ops' -+ }, -+ '33777' => { -+ 'Header' => undef, -+ 'Line' => '508', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srq', -+ 'type' => '12543' -+ }, -+ '1' => { -+ 'name' => 'srq_attr', -+ 'type' => '26677' -+ }, -+ '2' => { -+ 'name' => 'srq_attr_mask', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'cmd', -+ 'type' => '33987' -+ }, -+ '4' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_modify_srq' -+ }, -+ '34336' => { -+ 'Header' => undef, -+ 'Line' => '465', -+ 'Param' => { -+ '0' => { -+ 'name' => 'cq', -+ 'type' => '12223' -+ }, -+ '1' => { -+ 'name' => 'cqe', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'name' => 'cmd', -+ 'type' => '34615' -+ }, -+ '3' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ }, -+ '4' => { -+ 'name' => 'resp', -+ 'type' => '34621' -+ }, -+ '5' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_resize_cq' -+ }, -+ '34627' => { -+ 'Header' => undef, -+ 'Line' => '452', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ibcq', -+ 'type' => '12223' -+ }, -+ '1' => { -+ 'name' => 'solicited_only', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_req_notify_cq' -+ }, -+ '34778' => { -+ 'Header' => undef, -+ 'Line' => '406', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ibcq', -+ 'type' => '12223' -+ }, -+ '1' => { -+ 'name' => 'ne', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'name' => 'wc', -+ 'type' => '20659' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_poll_cq' -+ }, -+ '35157' => { -+ 'Header' => undef, -+ 'Line' => '381', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'name' => 'type', -+ 'type' => '13881' -+ }, -+ '2' => { -+ 'name' => 'mw', -+ 'type' => '16339' -+ }, -+ '3' => { -+ 'name' => 'cmd', -+ 'type' => '35518' -+ }, -+ '4' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ }, -+ '5' => { -+ 'name' => 'resp', -+ 'type' => '35524' -+ }, -+ '6' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_alloc_mw' -+ }, -+ '35530' => { -+ 'Header' => undef, -+ 'Line' => '352', -+ 'Param' => { -+ '0' => { -+ 'name' => 'vmr', -+ 'type' => '26665' -+ }, -+ '1' => { -+ 'name' => 'flags', -+ 'type' => '826' -+ }, -+ '10' => { -+ 'name' => 'resp_sz', -+ 'type' => '52' -+ }, -+ '2' => { -+ 'name' => 'addr', -+ 'type' => '71' -+ }, -+ '3' => { -+ 'name' => 'length', -+ 'type' => '52' -+ }, -+ '4' => { -+ 'name' => 'hca_va', -+ 'type' => '838' -+ }, -+ '5' => { -+ 'name' => 'access', -+ 'type' => '130' -+ }, -+ '6' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '7' => { -+ 'name' => 'cmd', -+ 'type' => '35890' -+ }, -+ '8' => { -+ 'name' => 'cmd_sz', -+ 'type' => '52' -+ }, -+ '9' => { -+ 'name' => 'resp', -+ 'type' => '35896' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_rereg_mr' -+ }, -+ '35902' => { -+ 'Header' => undef, -+ 'Line' => '311', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'length', -+ 'type' => '52' -+ }, -+ '3' => { -+ 'name' => 'hca_va', -+ 'type' => '838' -+ }, -+ '4' => { -+ 'name' => 'access', -+ 'type' => '130' -+ }, -+ '5' => { -+ 'name' => 'vmr', -+ 'type' => '26665' -+ }, -+ '6' => { -+ 'name' => 'cmd', -+ 'type' => '36263' -+ }, -+ '7' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ }, -+ '8' => { -+ 'name' => 'resp', -+ 'type' => '36269' -+ }, -+ '9' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_reg_mr' -+ }, -+ '36275' => { -+ 'Header' => undef, -+ 'Line' => '279', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'xrcd', -+ 'type' => '26296' -+ }, -+ '2' => { -+ 'name' => 'vxrcd_size', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'attr', -+ 'type' => '20850' -+ }, -+ '4' => { -+ 'name' => 'cmd', -+ 'type' => '36595' -+ }, -+ '5' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ }, -+ '6' => { -+ 'name' => 'resp', -+ 'type' => '36601' -+ }, -+ '7' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_open_xrcd' -+ }, -+ '363005' => { -+ 'Header' => undef, -+ 'Line' => '101', -+ 'Param' => { -+ '0' => { -+ 'name' => 'status', -+ 'type' => '12750' -+ } -+ }, -+ 'Return' => '77645', -+ 'ShortName' => 'ibv_wc_status_str' -+ }, -+ '363103' => { -+ 'Header' => undef, -+ 'Line' => '70', -+ 'Param' => { -+ '0' => { -+ 'name' => 'event', -+ 'type' => '58602' -+ } -+ }, -+ 'Return' => '77645', -+ 'ShortName' => 'ibv_event_type_str' -+ }, -+ '363201' => { -+ 'Header' => undef, -+ 'Line' => '53', -+ 'Param' => { -+ '0' => { -+ 'name' => 'port_state', -+ 'type' => '58223' -+ } -+ }, -+ 'Return' => '77645', -+ 'ShortName' => 'ibv_port_state_str' -+ }, -+ '363299' => { -+ 'Header' => undef, -+ 'Line' => '35', -+ 'Param' => { -+ '0' => { -+ 'name' => 'node_type', -+ 'type' => '10457' -+ } -+ }, -+ 'Return' => '77645', -+ 'ShortName' => 'ibv_node_type_str' -+ }, -+ '36607' => { -+ 'Header' => undef, -+ 'Line' => '262', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '2' => { -+ 'name' => 'cmd', -+ 'type' => '36892' -+ }, -+ '3' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ }, -+ '4' => { -+ 'name' => 'resp', -+ 'type' => '36898' -+ }, -+ '5' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_alloc_pd' -+ }, -+ '36904' => { -+ 'Header' => undef, -+ 'Line' => '115', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'input', -+ 'type' => '20826' -+ }, -+ '2' => { -+ 'name' => 'attr', -+ 'type' => '20832' -+ }, -+ '3' => { -+ 'name' => 'attr_size', -+ 'type' => '52' -+ }, -+ '4' => { -+ 'name' => 'raw_fw_ver', -+ 'type' => '20796' -+ }, -+ '5' => { -+ 'name' => 'cmd', -+ 'type' => '37405' -+ }, -+ '6' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ }, -+ '7' => { -+ 'name' => 'resp', -+ 'type' => '37411' -+ }, -+ '8' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_query_device_ex' -+ }, -+ '369048' => { -+ 'Header' => undef, -+ 'Line' => '48', -+ 'Return' => '77645', -+ 'ShortName' => 'ibv_get_sysfs_path' -+ }, -+ '37417' => { -+ 'Header' => undef, -+ 'Line' => '96', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'device_attr', -+ 'type' => '26683' -+ }, -+ '2' => { -+ 'name' => 'raw_fw_ver', -+ 'type' => '20796' -+ }, -+ '3' => { -+ 'name' => 'cmd', -+ 'type' => '37841' -+ }, -+ '4' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_query_device' -+ }, -+ '393184' => { -+ 'Header' => undef, -+ 'Line' => '220', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ops', -+ 'type' => '92497' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'verbs_register_driver_25' -+ }, -+ '397180' => { -+ 'Header' => undef, -+ 'Line' => '124', -+ 'Return' => '130', -+ 'ShortName' => 'ibv_fork_init' -+ }, -+ '397339' => { -+ 'Header' => undef, -+ 'Line' => '108', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dir', -+ 'type' => '77645' -+ }, -+ '1' => { -+ 'name' => 'file', -+ 'type' => '77645' -+ }, -+ '2' => { -+ 'name' => 'buf', -+ 'type' => '180' -+ }, -+ '3' => { -+ 'name' => 'size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_read_sysfs_file' -+ }, -+ '400243' => { -+ 'Header' => undef, -+ 'Line' => '117', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dst', -+ 'type' => '400452' -+ }, -+ '1' => { -+ 'name' => 'src', -+ 'type' => '400458' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'ibv_copy_path_rec_to_kern' -+ }, -+ '400464' => { -+ 'Header' => undef, -+ 'Line' => '92', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dst', -+ 'type' => '400458' -+ }, -+ '1' => { -+ 'name' => 'src', -+ 'type' => '400452' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'ibv_copy_path_rec_from_kern' -+ }, -+ '400673' => { -+ 'Header' => undef, -+ 'Line' => '56', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dst', -+ 'type' => '26671' -+ }, -+ '1' => { -+ 'name' => 'src', -+ 'type' => '400808' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'ibv_copy_qp_attr_from_kern' -+ }, -+ '400814' => { -+ 'Header' => undef, -+ 'Line' => '39', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dst', -+ 'type' => '26653' -+ }, -+ '1' => { -+ 'name' => 'src', -+ 'type' => '400952' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'ibv_copy_ah_attr_from_kern' -+ }, -+ '404250' => { -+ 'Header' => undef, -+ 'Line' => '632', -+ 'Param' => { -+ '0' => { -+ 'name' => 'base', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_dofork_range' -+ }, -+ '404502' => { -+ 'Header' => undef, -+ 'Line' => '631', -+ 'Param' => { -+ '0' => { -+ 'name' => 'base', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_dontfork_range' -+ }, -+ '44557' => { -+ 'Header' => undef, -+ 'Line' => '35', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ah', -+ 'type' => '16183' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_destroy_ah' -+ }, -+ '447303' => { -+ 'Header' => undef, -+ 'Line' => '1060', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'name' => 'ece', -+ 'type' => '71307' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_query_ece' -+ }, -+ '447491' => { -+ 'Header' => undef, -+ 'Line' => '1050', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'name' => 'ece', -+ 'type' => '71307' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_set_ece' -+ }, -+ '447696' => { -+ 'Header' => undef, -+ 'Line' => '963', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '26653' -+ }, -+ '2' => { -+ 'name' => 'eth_mac', -+ 'type' => '61877' -+ }, -+ '3' => { -+ 'name' => 'vid', -+ 'type' => '448761' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_resolve_eth_l2_from_gid' -+ }, -+ '448882' => { -+ 'Alias' => '__ibv_detach_mcast_1_1', -+ 'Header' => undef, -+ 'Line' => '922', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'name' => 'gid', -+ 'type' => '26647' -+ }, -+ '2' => { -+ 'name' => 'lid', -+ 'type' => '814' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_detach_mcast' -+ }, -+ '449102' => { -+ 'Alias' => '__ibv_attach_mcast_1_1', -+ 'Header' => undef, -+ 'Line' => '915', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'name' => 'gid', -+ 'type' => '26647' -+ }, -+ '2' => { -+ 'name' => 'lid', -+ 'type' => '814' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_attach_mcast' -+ }, -+ '449322' => { -+ 'Alias' => '__ibv_destroy_ah_1_1', -+ 'Header' => undef, -+ 'Line' => '908', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ah', -+ 'type' => '16183' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_destroy_ah' -+ }, -+ '449482' => { -+ 'Header' => undef, -+ 'Line' => '895', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'name' => 'wc', -+ 'type' => '20659' -+ }, -+ '2' => { -+ 'name' => 'grh', -+ 'type' => '449731' -+ }, -+ '3' => { -+ 'name' => 'port_num', -+ 'type' => '802' -+ } -+ }, -+ 'Return' => '16183', -+ 'ShortName' => 'ibv_create_ah_from_wc' -+ }, -+ '449737' => { -+ 'Header' => undef, -+ 'Line' => '867', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'port_num', -+ 'type' => '802' -+ }, -+ '2' => { -+ 'name' => 'wc', -+ 'type' => '20659' -+ }, -+ '3' => { -+ 'name' => 'grh', -+ 'type' => '449731' -+ }, -+ '4' => { -+ 'name' => 'ah_attr', -+ 'type' => '26653' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_init_ah_from_wc' -+ }, -+ '451637' => { -+ 'Header' => undef, -+ 'Line' => '687', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'port_num', -+ 'type' => '802' -+ }, -+ '2' => { -+ 'name' => 'index', -+ 'type' => '64' -+ }, -+ '3' => { -+ 'name' => 'type', -+ 'type' => '98936' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_query_gid_type' -+ }, -+ '451872' => { -+ 'Alias' => '__ibv_create_ah_1_1', -+ 'Header' => undef, -+ 'Line' => '673', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '26653' -+ } -+ }, -+ 'Return' => '16183', -+ 'ShortName' => 'ibv_create_ah' -+ }, -+ '452079' => { -+ 'Alias' => '__ibv_destroy_qp_1_1', -+ 'Header' => undef, -+ 'Line' => '666', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '12425' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_destroy_qp' -+ }, -+ '452239' => { -+ 'Alias' => '__ibv_modify_qp_1_1', -+ 'Header' => undef, -+ 'Line' => '649', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '26671' -+ }, -+ '2' => { -+ 'name' => 'attr_mask', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_modify_qp' -+ }, -+ '452473' => { -+ 'Alias' => '__ibv_query_qp_1_1', -+ 'Header' => undef, -+ 'Line' => '631', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '26671' -+ }, -+ '2' => { -+ 'name' => 'attr_mask', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'init_attr', -+ 'type' => '26659' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_query_qp' -+ }, -+ '452735' => { -+ 'Header' => undef, -+ 'Line' => '622', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '12425' -+ } -+ }, -+ 'Return' => '17150', -+ 'ShortName' => 'ibv_qp_to_qp_ex' -+ }, -+ '452812' => { -+ 'Alias' => '__ibv_create_qp_1_1', -+ 'Header' => undef, -+ 'Line' => '612', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'name' => 'qp_init_attr', -+ 'type' => '26659' -+ } -+ }, -+ 'Return' => '12425', -+ 'ShortName' => 'ibv_create_qp' -+ }, -+ '453012' => { -+ 'Alias' => '__ibv_destroy_srq_1_1', -+ 'Header' => undef, -+ 'Line' => '605', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srq', -+ 'type' => '12543' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_destroy_srq' -+ }, -+ '453173' => { -+ 'Alias' => '__ibv_query_srq_1_1', -+ 'Header' => undef, -+ 'Line' => '598', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srq', -+ 'type' => '12543' -+ }, -+ '1' => { -+ 'name' => 'srq_attr', -+ 'type' => '26677' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_query_srq' -+ }, -+ '453362' => { -+ 'Alias' => '__ibv_modify_srq_1_1', -+ 'Header' => undef, -+ 'Line' => '589', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srq', -+ 'type' => '12543' -+ }, -+ '1' => { -+ 'name' => 'srq_attr', -+ 'type' => '26677' -+ }, -+ '2' => { -+ 'name' => 'srq_attr_mask', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_modify_srq' -+ }, -+ '453579' => { -+ 'Alias' => '__ibv_create_srq_1_1', -+ 'Header' => undef, -+ 'Line' => '569', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'name' => 'srq_init_attr', -+ 'type' => '70985' -+ } -+ }, -+ 'Return' => '12543', -+ 'ShortName' => 'ibv_create_srq' -+ }, -+ '453833' => { -+ 'Alias' => '__ibv_ack_cq_events_1_1', -+ 'Header' => undef, -+ 'Line' => '559', -+ 'Param' => { -+ '0' => { -+ 'name' => 'cq', -+ 'type' => '12223' -+ }, -+ '1' => { -+ 'name' => 'nevents', -+ 'type' => '64' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'ibv_ack_cq_events' -+ }, -+ '453978' => { -+ 'Alias' => '__ibv_get_cq_event_1_1', -+ 'Header' => undef, -+ 'Line' => '541', -+ 'Param' => { -+ '0' => { -+ 'name' => 'channel', -+ 'type' => '17618' -+ }, -+ '1' => { -+ 'name' => 'cq', -+ 'type' => '454274' -+ }, -+ '2' => { -+ 'name' => 'cq_context', -+ 'type' => '153089' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_get_cq_event' -+ }, -+ '454286' => { -+ 'Alias' => '__ibv_destroy_cq_1_1', -+ 'Header' => undef, -+ 'Line' => '521', -+ 'Param' => { -+ '0' => { -+ 'name' => 'cq', -+ 'type' => '12223' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_destroy_cq' -+ }, -+ '454506' => { -+ 'Alias' => '__ibv_resize_cq_1_1', -+ 'Header' => undef, -+ 'Line' => '514', -+ 'Param' => { -+ '0' => { -+ 'name' => 'cq', -+ 'type' => '12223' -+ }, -+ '1' => { -+ 'name' => 'cqe', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_resize_cq' -+ }, -+ '454694' => { -+ 'Alias' => '__ibv_create_cq_1_1', -+ 'Header' => undef, -+ 'Line' => '499', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'cqe', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'name' => 'cq_context', -+ 'type' => '71' -+ }, -+ '3' => { -+ 'name' => 'channel', -+ 'type' => '17618' -+ }, -+ '4' => { -+ 'name' => 'comp_vector', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '12223', -+ 'ShortName' => 'ibv_create_cq' -+ }, -+ '455020' => { -+ 'Header' => undef, -+ 'Line' => '476', -+ 'Param' => { -+ '0' => { -+ 'name' => 'channel', -+ 'type' => '17618' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_destroy_comp_channel' -+ }, -+ '455217' => { -+ 'Header' => undef, -+ 'Line' => '452', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ } -+ }, -+ 'Return' => '17618', -+ 'ShortName' => 'ibv_create_comp_channel' -+ }, -+ '455469' => { -+ 'Alias' => '__ibv_dereg_mr_1_1', -+ 'Header' => undef, -+ 'Line' => '435', -+ 'Param' => { -+ '0' => { -+ 'name' => 'mr', -+ 'type' => '13659' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_dereg_mr' -+ }, -+ '455746' => { -+ 'Alias' => '__ibv_rereg_mr_1_1', -+ 'Header' => undef, -+ 'Line' => '370', -+ 'Param' => { -+ '0' => { -+ 'name' => 'mr', -+ 'type' => '13659' -+ }, -+ '1' => { -+ 'name' => 'flags', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '3' => { -+ 'name' => 'addr', -+ 'type' => '71' -+ }, -+ '4' => { -+ 'name' => 'length', -+ 'type' => '52' -+ }, -+ '5' => { -+ 'name' => 'access', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_rereg_mr' -+ }, -+ '456256' => { -+ 'Header' => undef, -+ 'Line' => '365', -+ 'Param' => { -+ '0' => { -+ 'name' => 'mr', -+ 'type' => '13659' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'ibv_unimport_mr' -+ }, -+ '456412' => { -+ 'Header' => undef, -+ 'Line' => '357', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'name' => 'mr_handle', -+ 'type' => '826' -+ } -+ }, -+ 'Return' => '13659', -+ 'ShortName' => 'ibv_import_mr' -+ }, -+ '456600' => { -+ 'Header' => undef, -+ 'Line' => '348', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'ibv_unimport_pd' -+ }, -+ '456756' => { -+ 'Header' => undef, -+ 'Line' => '341', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'pd_handle', -+ 'type' => '826' -+ } -+ }, -+ 'Return' => '13875', -+ 'ShortName' => 'ibv_import_pd' -+ }, -+ '456945' => { -+ 'Header' => undef, -+ 'Line' => '335', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'length', -+ 'type' => '52' -+ }, -+ '3' => { -+ 'name' => 'iova', -+ 'type' => '838' -+ }, -+ '4' => { -+ 'name' => 'access', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '13659', -+ 'ShortName' => 'ibv_reg_mr_iova' -+ }, -+ '457134' => { -+ 'Alias' => '__ibv_reg_mr_1_1', -+ 'Header' => undef, -+ 'Line' => '326', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'length', -+ 'type' => '52' -+ }, -+ '3' => { -+ 'name' => 'access', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '13659', -+ 'ShortName' => 'ibv_reg_mr' -+ }, -+ '457719' => { -+ 'Alias' => '__ibv_dealloc_pd_1_1', -+ 'Header' => undef, -+ 'Line' => '291', -+ 'Param' => { -+ '0' => { -+ 'name' => 'pd', -+ 'type' => '13875' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_dealloc_pd' -+ }, -+ '457879' => { -+ 'Alias' => '__ibv_alloc_pd_1_1', -+ 'Header' => undef, -+ 'Line' => '278', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ } -+ }, -+ 'Return' => '13875', -+ 'ShortName' => 'ibv_alloc_pd' -+ }, -+ '458059' => { -+ 'Alias' => '__ibv_get_pkey_index_1_5', -+ 'Header' => undef, -+ 'Line' => '262', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'port_num', -+ 'type' => '802' -+ }, -+ '2' => { -+ 'name' => 'pkey', -+ 'type' => '910' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_get_pkey_index' -+ }, -+ '458269' => { -+ 'Alias' => '__ibv_query_pkey_1_1', -+ 'Header' => undef, -+ 'Line' => '242', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'port_num', -+ 'type' => '802' -+ }, -+ '2' => { -+ 'name' => 'index', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'pkey', -+ 'type' => '307295' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_query_pkey' -+ }, -+ '458587' => { -+ 'Alias' => '__ibv_query_gid_1_1', -+ 'Header' => undef, -+ 'Line' => '219', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'port_num', -+ 'type' => '802' -+ }, -+ '2' => { -+ 'name' => 'index', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'gid', -+ 'type' => '99076' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_query_gid' -+ }, -+ '458951' => { -+ 'Alias' => '__ibv_query_port_1_1', -+ 'Header' => undef, -+ 'Line' => '209', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'port_num', -+ 'type' => '802' -+ }, -+ '2' => { -+ 'name' => 'port_attr', -+ 'type' => '20533' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_query_port' -+ }, -+ '459181' => { -+ 'Alias' => '__ibv_query_device_1_1', -+ 'Header' => undef, -+ 'Line' => '154', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'device_attr', -+ 'type' => '26683' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_query_device' -+ }, -+ '459366' => { -+ 'Header' => undef, -+ 'Line' => '126', -+ 'Param' => { -+ '0' => { -+ 'name' => 'mbps', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '434429', -+ 'ShortName' => 'mbps_to_ibv_rate' -+ }, -+ '459415' => { -+ 'Header' => undef, -+ 'Line' => '98', -+ 'Param' => { -+ '0' => { -+ 'name' => 'rate', -+ 'type' => '434429' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_rate_to_mbps' -+ }, -+ '459470' => { -+ 'Header' => undef, -+ 'Line' => '78', -+ 'Param' => { -+ '0' => { -+ 'name' => 'mult', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '434429', -+ 'ShortName' => 'mult_to_ibv_rate' -+ }, -+ '459525' => { -+ 'Header' => undef, -+ 'Line' => '58', -+ 'Param' => { -+ '0' => { -+ 'name' => 'rate', -+ 'type' => '434429' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_rate_to_mult' -+ }, -+ '50903' => { -+ 'Header' => undef, -+ 'Line' => '79', -+ 'Param' => { -+ '0' => { -+ 'name' => 'vcounters', -+ 'type' => '51877' -+ }, -+ '1' => { -+ 'name' => 'counters_value', -+ 'type' => '20796' -+ }, -+ '2' => { -+ 'name' => 'ncounters', -+ 'type' => '826' -+ }, -+ '3' => { -+ 'name' => 'flags', -+ 'type' => '826' -+ }, -+ '4' => { -+ 'name' => 'link', -+ 'type' => '44186' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_read_counters' -+ }, -+ '51903' => { -+ 'Header' => undef, -+ 'Line' => '64', -+ 'Param' => { -+ '0' => { -+ 'name' => 'vcounters', -+ 'type' => '51877' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_destroy_counters' -+ }, -+ '52349' => { -+ 'Header' => undef, -+ 'Line' => '38', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'init_attr', -+ 'type' => '50380' -+ }, -+ '2' => { -+ 'name' => 'vcounters', -+ 'type' => '51877' -+ }, -+ '3' => { -+ 'name' => 'link', -+ 'type' => '44186' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_create_counters' -+ }, -+ '53627' => { -+ 'Header' => undef, -+ 'Line' => '125', -+ 'Param' => { -+ '0' => { -+ 'name' => 'num_attrs', -+ 'type' => '64' -+ }, -+ '1' => { -+ 'name' => 'link', -+ 'type' => '44186' -+ } -+ }, -+ 'Return' => '64', -+ 'ShortName' => '__ioctl_final_num_attrs' -+ }, -+ '53639' => { -+ 'Header' => undef, -+ 'Line' => '191', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'cmd', -+ 'type' => '44186' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'execute_ioctl' -+ }, -+ '71900' => { -+ 'Header' => undef, -+ 'Line' => '168', -+ 'Param' => { -+ '0' => { -+ 'name' => 'cq', -+ 'type' => '12223' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_destroy_cq' -+ }, -+ '72715' => { -+ 'Header' => undef, -+ 'Line' => '141', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'cq_attr', -+ 'type' => '68319' -+ }, -+ '2' => { -+ 'name' => 'cq', -+ 'type' => '72995' -+ }, -+ '3' => { -+ 'name' => 'cmd', -+ 'type' => '73001' -+ }, -+ '4' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ }, -+ '5' => { -+ 'name' => 'resp', -+ 'type' => '73007' -+ }, -+ '6' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_create_cq_ex' -+ }, -+ '73029' => { -+ 'Header' => undef, -+ 'Line' => '127', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'cqe', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'name' => 'channel', -+ 'type' => '17618' -+ }, -+ '3' => { -+ 'name' => 'comp_vector', -+ 'type' => '130' -+ }, -+ '4' => { -+ 'name' => 'cq', -+ 'type' => '12223' -+ }, -+ '5' => { -+ 'name' => 'cmd', -+ 'type' => '73489' -+ }, -+ '6' => { -+ 'name' => 'cmd_size', -+ 'type' => '52' -+ }, -+ '7' => { -+ 'name' => 'resp', -+ 'type' => '73495' -+ }, -+ '8' => { -+ 'name' => 'resp_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_create_cq' -+ }, -+ '93695' => { -+ 'Header' => undef, -+ 'Line' => '483', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'entries', -+ 'type' => '94948' -+ }, -+ '2' => { -+ 'name' => 'max_entries', -+ 'type' => '52' -+ }, -+ '3' => { -+ 'name' => 'flags', -+ 'type' => '826' -+ }, -+ '4' => { -+ 'name' => 'entry_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '193', -+ 'ShortName' => '_ibv_query_gid_table' -+ }, -+ '94972' => { -+ 'Header' => undef, -+ 'Line' => '472', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'port_num', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'gid_index', -+ 'type' => '826' -+ }, -+ '3' => { -+ 'name' => 'entry', -+ 'type' => '94948' -+ }, -+ '4' => { -+ 'name' => 'flags', -+ 'type' => '826' -+ }, -+ '5' => { -+ 'name' => 'entry_size', -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => '_ibv_query_gid_ex' -+ }, -+ '99230' => { -+ 'Header' => undef, -+ 'Line' => '185', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'driver', -+ 'type' => '44186' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'ibv_cmd_query_context' -+ } -+ }, -+ 'SymbolVersion' => { -+ '__ibv_ack_async_event_1_0' => 'ibv_ack_async_event@IBVERBS_1.0', -+ '__ibv_ack_async_event_1_1' => 'ibv_ack_async_event@@IBVERBS_1.1', -+ '__ibv_ack_cq_events_1_0' => 'ibv_ack_cq_events@IBVERBS_1.0', -+ '__ibv_ack_cq_events_1_1' => 'ibv_ack_cq_events@@IBVERBS_1.1', -+ '__ibv_alloc_pd_1_0' => 'ibv_alloc_pd@IBVERBS_1.0', -+ '__ibv_alloc_pd_1_1' => 'ibv_alloc_pd@@IBVERBS_1.1', -+ '__ibv_attach_mcast_1_0' => 'ibv_attach_mcast@IBVERBS_1.0', -+ '__ibv_attach_mcast_1_1' => 'ibv_attach_mcast@@IBVERBS_1.1', -+ '__ibv_close_device_1_0' => 'ibv_close_device@IBVERBS_1.0', -+ '__ibv_close_device_1_1' => 'ibv_close_device@@IBVERBS_1.1', -+ '__ibv_create_ah_1_0' => 'ibv_create_ah@IBVERBS_1.0', -+ '__ibv_create_ah_1_1' => 'ibv_create_ah@@IBVERBS_1.1', -+ '__ibv_create_cq_1_0' => 'ibv_create_cq@IBVERBS_1.0', -+ '__ibv_create_cq_1_1' => 'ibv_create_cq@@IBVERBS_1.1', -+ '__ibv_create_qp_1_0' => 'ibv_create_qp@IBVERBS_1.0', -+ '__ibv_create_qp_1_1' => 'ibv_create_qp@@IBVERBS_1.1', -+ '__ibv_create_srq_1_0' => 'ibv_create_srq@IBVERBS_1.0', -+ '__ibv_create_srq_1_1' => 'ibv_create_srq@@IBVERBS_1.1', -+ '__ibv_dealloc_pd_1_0' => 'ibv_dealloc_pd@IBVERBS_1.0', -+ '__ibv_dealloc_pd_1_1' => 'ibv_dealloc_pd@@IBVERBS_1.1', -+ '__ibv_dereg_mr_1_0' => 'ibv_dereg_mr@IBVERBS_1.0', -+ '__ibv_dereg_mr_1_1' => 'ibv_dereg_mr@@IBVERBS_1.1', -+ '__ibv_destroy_ah_1_0' => 'ibv_destroy_ah@IBVERBS_1.0', -+ '__ibv_destroy_ah_1_1' => 'ibv_destroy_ah@@IBVERBS_1.1', -+ '__ibv_destroy_cq_1_0' => 'ibv_destroy_cq@IBVERBS_1.0', -+ '__ibv_destroy_cq_1_1' => 'ibv_destroy_cq@@IBVERBS_1.1', -+ '__ibv_destroy_qp_1_0' => 'ibv_destroy_qp@IBVERBS_1.0', -+ '__ibv_destroy_qp_1_1' => 'ibv_destroy_qp@@IBVERBS_1.1', -+ '__ibv_destroy_srq_1_0' => 'ibv_destroy_srq@IBVERBS_1.0', -+ '__ibv_destroy_srq_1_1' => 'ibv_destroy_srq@@IBVERBS_1.1', -+ '__ibv_detach_mcast_1_0' => 'ibv_detach_mcast@IBVERBS_1.0', -+ '__ibv_detach_mcast_1_1' => 'ibv_detach_mcast@@IBVERBS_1.1', -+ '__ibv_free_device_list_1_0' => 'ibv_free_device_list@IBVERBS_1.0', -+ '__ibv_free_device_list_1_1' => 'ibv_free_device_list@@IBVERBS_1.1', -+ '__ibv_get_async_event_1_0' => 'ibv_get_async_event@IBVERBS_1.0', -+ '__ibv_get_async_event_1_1' => 'ibv_get_async_event@@IBVERBS_1.1', -+ '__ibv_get_cq_event_1_0' => 'ibv_get_cq_event@IBVERBS_1.0', -+ '__ibv_get_cq_event_1_1' => 'ibv_get_cq_event@@IBVERBS_1.1', -+ '__ibv_get_device_guid_1_0' => 'ibv_get_device_guid@IBVERBS_1.0', -+ '__ibv_get_device_guid_1_1' => 'ibv_get_device_guid@@IBVERBS_1.1', -+ '__ibv_get_device_list_1_0' => 'ibv_get_device_list@IBVERBS_1.0', -+ '__ibv_get_device_list_1_1' => 'ibv_get_device_list@@IBVERBS_1.1', -+ '__ibv_get_device_name_1_0' => 'ibv_get_device_name@IBVERBS_1.0', -+ '__ibv_get_device_name_1_1' => 'ibv_get_device_name@@IBVERBS_1.1', -+ '__ibv_get_pkey_index_1_5' => 'ibv_get_pkey_index@@IBVERBS_1.5', -+ '__ibv_modify_qp_1_0' => 'ibv_modify_qp@IBVERBS_1.0', -+ '__ibv_modify_qp_1_1' => 'ibv_modify_qp@@IBVERBS_1.1', -+ '__ibv_modify_srq_1_0' => 'ibv_modify_srq@IBVERBS_1.0', -+ '__ibv_modify_srq_1_1' => 'ibv_modify_srq@@IBVERBS_1.1', -+ '__ibv_open_device_1_0' => 'ibv_open_device@IBVERBS_1.0', -+ '__ibv_open_device_1_1' => 'ibv_open_device@@IBVERBS_1.1', -+ '__ibv_query_device_1_0' => 'ibv_query_device@IBVERBS_1.0', -+ '__ibv_query_device_1_1' => 'ibv_query_device@@IBVERBS_1.1', -+ '__ibv_query_gid_1_0' => 'ibv_query_gid@IBVERBS_1.0', -+ '__ibv_query_gid_1_1' => 'ibv_query_gid@@IBVERBS_1.1', -+ '__ibv_query_pkey_1_0' => 'ibv_query_pkey@IBVERBS_1.0', -+ '__ibv_query_pkey_1_1' => 'ibv_query_pkey@@IBVERBS_1.1', -+ '__ibv_query_port_1_0' => 'ibv_query_port@IBVERBS_1.0', -+ '__ibv_query_port_1_1' => 'ibv_query_port@@IBVERBS_1.1', -+ '__ibv_query_qp_1_0' => 'ibv_query_qp@IBVERBS_1.0', -+ '__ibv_query_qp_1_1' => 'ibv_query_qp@@IBVERBS_1.1', -+ '__ibv_query_srq_1_0' => 'ibv_query_srq@IBVERBS_1.0', -+ '__ibv_query_srq_1_1' => 'ibv_query_srq@@IBVERBS_1.1', -+ '__ibv_reg_mr_1_0' => 'ibv_reg_mr@IBVERBS_1.0', -+ '__ibv_reg_mr_1_1' => 'ibv_reg_mr@@IBVERBS_1.1', -+ '__ibv_register_driver_1_1' => 'ibv_register_driver@IBVERBS_1.1', -+ '__ibv_rereg_mr_1_1' => 'ibv_rereg_mr@@IBVERBS_1.1', -+ '__ibv_resize_cq_1_0' => 'ibv_resize_cq@IBVERBS_1.0', -+ '__ibv_resize_cq_1_1' => 'ibv_resize_cq@@IBVERBS_1.1', -+ '__ioctl_final_num_attrs' => '__ioctl_final_num_attrs@@IBVERBS_PRIVATE_25', -+ '_ibv_query_gid_ex' => '_ibv_query_gid_ex@@IBVERBS_1.11', -+ '_ibv_query_gid_table' => '_ibv_query_gid_table@@IBVERBS_1.11', -+ '_verbs_init_and_alloc_context' => '_verbs_init_and_alloc_context@@IBVERBS_PRIVATE_25', -+ 'execute_ioctl' => 'execute_ioctl@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_advise_mr' => 'ibv_cmd_advise_mr@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_alloc_dm' => 'ibv_cmd_alloc_dm@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_alloc_mw' => 'ibv_cmd_alloc_mw@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_alloc_pd' => 'ibv_cmd_alloc_pd@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_attach_mcast' => 'ibv_cmd_attach_mcast@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_close_xrcd' => 'ibv_cmd_close_xrcd@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_create_ah' => 'ibv_cmd_create_ah@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_create_counters' => 'ibv_cmd_create_counters@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_create_cq' => 'ibv_cmd_create_cq@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_create_cq_ex' => 'ibv_cmd_create_cq_ex@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_create_flow' => 'ibv_cmd_create_flow@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_create_flow_action_esp' => 'ibv_cmd_create_flow_action_esp@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_create_qp' => 'ibv_cmd_create_qp@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_create_qp_ex' => 'ibv_cmd_create_qp_ex@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_create_qp_ex2' => 'ibv_cmd_create_qp_ex2@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_create_rwq_ind_table' => 'ibv_cmd_create_rwq_ind_table@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_create_srq' => 'ibv_cmd_create_srq@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_create_srq_ex' => 'ibv_cmd_create_srq_ex@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_create_wq' => 'ibv_cmd_create_wq@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_dealloc_mw' => 'ibv_cmd_dealloc_mw@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_dealloc_pd' => 'ibv_cmd_dealloc_pd@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_dereg_mr' => 'ibv_cmd_dereg_mr@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_destroy_ah' => 'ibv_cmd_destroy_ah@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_destroy_counters' => 'ibv_cmd_destroy_counters@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_destroy_cq' => 'ibv_cmd_destroy_cq@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_destroy_flow' => 'ibv_cmd_destroy_flow@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_destroy_flow_action' => 'ibv_cmd_destroy_flow_action@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_destroy_qp' => 'ibv_cmd_destroy_qp@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_destroy_rwq_ind_table' => 'ibv_cmd_destroy_rwq_ind_table@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_destroy_srq' => 'ibv_cmd_destroy_srq@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_destroy_wq' => 'ibv_cmd_destroy_wq@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_detach_mcast' => 'ibv_cmd_detach_mcast@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_free_dm' => 'ibv_cmd_free_dm@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_get_context' => 'ibv_cmd_get_context@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_modify_cq' => 'ibv_cmd_modify_cq@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_modify_flow_action_esp' => 'ibv_cmd_modify_flow_action_esp@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_modify_qp' => 'ibv_cmd_modify_qp@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_modify_qp_ex' => 'ibv_cmd_modify_qp_ex@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_modify_srq' => 'ibv_cmd_modify_srq@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_modify_wq' => 'ibv_cmd_modify_wq@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_open_qp' => 'ibv_cmd_open_qp@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_open_xrcd' => 'ibv_cmd_open_xrcd@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_poll_cq' => 'ibv_cmd_poll_cq@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_post_recv' => 'ibv_cmd_post_recv@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_post_send' => 'ibv_cmd_post_send@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_post_srq_recv' => 'ibv_cmd_post_srq_recv@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_query_context' => 'ibv_cmd_query_context@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_query_device' => 'ibv_cmd_query_device@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_query_device_ex' => 'ibv_cmd_query_device_ex@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_query_mr' => 'ibv_cmd_query_mr@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_query_port' => 'ibv_cmd_query_port@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_query_qp' => 'ibv_cmd_query_qp@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_query_srq' => 'ibv_cmd_query_srq@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_read_counters' => 'ibv_cmd_read_counters@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_reg_dm_mr' => 'ibv_cmd_reg_dm_mr@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_reg_mr' => 'ibv_cmd_reg_mr@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_req_notify_cq' => 'ibv_cmd_req_notify_cq@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_rereg_mr' => 'ibv_cmd_rereg_mr@@IBVERBS_PRIVATE_25', -+ 'ibv_cmd_resize_cq' => 'ibv_cmd_resize_cq@@IBVERBS_PRIVATE_25', -+ 'ibv_copy_ah_attr_from_kern' => 'ibv_copy_ah_attr_from_kern@@IBVERBS_1.1', -+ 'ibv_copy_path_rec_from_kern' => 'ibv_copy_path_rec_from_kern@@IBVERBS_1.0', -+ 'ibv_copy_path_rec_to_kern' => 'ibv_copy_path_rec_to_kern@@IBVERBS_1.0', -+ 'ibv_copy_qp_attr_from_kern' => 'ibv_copy_qp_attr_from_kern@@IBVERBS_1.0', -+ 'ibv_create_ah_from_wc' => 'ibv_create_ah_from_wc@@IBVERBS_1.1', -+ 'ibv_create_comp_channel' => 'ibv_create_comp_channel@@IBVERBS_1.0', -+ 'ibv_destroy_comp_channel' => 'ibv_destroy_comp_channel@@IBVERBS_1.0', -+ 'ibv_dofork_range' => 'ibv_dofork_range@@IBVERBS_1.1', -+ 'ibv_dontfork_range' => 'ibv_dontfork_range@@IBVERBS_1.1', -+ 'ibv_event_type_str' => 'ibv_event_type_str@@IBVERBS_1.1', -+ 'ibv_fork_init' => 'ibv_fork_init@@IBVERBS_1.1', -+ 'ibv_get_device_index' => 'ibv_get_device_index@@IBVERBS_1.9', -+ 'ibv_get_fw_ver' => 'ibv_get_fw_ver@@IBVERBS_PRIVATE_25', -+ 'ibv_get_sysfs_path' => 'ibv_get_sysfs_path@@IBVERBS_1.0', -+ 'ibv_import_device' => 'ibv_import_device@@IBVERBS_1.10', -+ 'ibv_import_mr' => 'ibv_import_mr@@IBVERBS_1.10', -+ 'ibv_import_pd' => 'ibv_import_pd@@IBVERBS_1.10', -+ 'ibv_init_ah_from_wc' => 'ibv_init_ah_from_wc@@IBVERBS_1.1', -+ 'ibv_node_type_str' => 'ibv_node_type_str@@IBVERBS_1.1', -+ 'ibv_port_state_str' => 'ibv_port_state_str@@IBVERBS_1.1', -+ 'ibv_qp_to_qp_ex' => 'ibv_qp_to_qp_ex@@IBVERBS_1.6', -+ 'ibv_query_ece' => 'ibv_query_ece@@IBVERBS_1.10', -+ 'ibv_query_gid_type' => 'ibv_query_gid_type@@IBVERBS_PRIVATE_25', -+ 'ibv_rate_to_mbps' => 'ibv_rate_to_mbps@@IBVERBS_1.1', -+ 'ibv_rate_to_mult' => 'ibv_rate_to_mult@@IBVERBS_1.0', -+ 'ibv_read_ibdev_sysfs_file' => 'ibv_read_ibdev_sysfs_file@@IBVERBS_PRIVATE_25', -+ 'ibv_read_sysfs_file' => 'ibv_read_sysfs_file@@IBVERBS_1.0', -+ 'ibv_reg_mr_iova' => 'ibv_reg_mr_iova@@IBVERBS_1.7', -+ 'ibv_reg_mr_iova2' => 'ibv_reg_mr_iova2@@IBVERBS_1.8', -+ 'ibv_resolve_eth_l2_from_gid' => 'ibv_resolve_eth_l2_from_gid@@IBVERBS_1.1', -+ 'ibv_set_ece' => 'ibv_set_ece@@IBVERBS_1.10', -+ 'ibv_unimport_mr' => 'ibv_unimport_mr@@IBVERBS_1.10', -+ 'ibv_unimport_pd' => 'ibv_unimport_pd@@IBVERBS_1.10', -+ 'ibv_wc_status_str' => 'ibv_wc_status_str@@IBVERBS_1.1', -+ 'mbps_to_ibv_rate' => 'mbps_to_ibv_rate@@IBVERBS_1.1', -+ 'mult_to_ibv_rate' => 'mult_to_ibv_rate@@IBVERBS_1.0', -+ 'verbs_allow_disassociate_destroy' => 'verbs_allow_disassociate_destroy@@IBVERBS_PRIVATE_25', -+ 'verbs_init_cq' => 'verbs_init_cq@@IBVERBS_PRIVATE_25', -+ 'verbs_open_device' => 'verbs_open_device@@IBVERBS_PRIVATE_25', -+ 'verbs_register_driver_25' => 'verbs_register_driver_25@@IBVERBS_PRIVATE_25', -+ 'verbs_set_ops' => 'verbs_set_ops@@IBVERBS_PRIVATE_25', -+ 'verbs_uninit_context' => 'verbs_uninit_context@@IBVERBS_PRIVATE_25' -+ }, -+ 'Symbols' => { -+ 'libibverbs.so.1.11.32.0' => { -+ '__ioctl_final_num_attrs@@IBVERBS_PRIVATE_25' => 1, -+ '_ibv_query_gid_ex@@IBVERBS_1.11' => 1, -+ '_ibv_query_gid_table@@IBVERBS_1.11' => 1, -+ '_verbs_init_and_alloc_context@@IBVERBS_PRIVATE_25' => 1, -+ 'execute_ioctl@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_ack_async_event@@IBVERBS_1.1' => 1, -+ 'ibv_ack_async_event@IBVERBS_1.0' => 1, -+ 'ibv_ack_cq_events@@IBVERBS_1.1' => 1, -+ 'ibv_ack_cq_events@IBVERBS_1.0' => 1, -+ 'ibv_alloc_pd@@IBVERBS_1.1' => 1, -+ 'ibv_alloc_pd@IBVERBS_1.0' => 1, -+ 'ibv_attach_mcast@@IBVERBS_1.1' => 1, -+ 'ibv_attach_mcast@IBVERBS_1.0' => 1, -+ 'ibv_close_device@@IBVERBS_1.1' => 1, -+ 'ibv_close_device@IBVERBS_1.0' => 1, -+ 'ibv_cmd_advise_mr@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_alloc_dm@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_alloc_mw@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_alloc_pd@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_attach_mcast@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_close_xrcd@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_create_ah@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_create_counters@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_create_cq@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_create_cq_ex@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_create_flow@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_create_flow_action_esp@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_create_qp@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_create_qp_ex2@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_create_qp_ex@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_create_rwq_ind_table@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_create_srq@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_create_srq_ex@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_create_wq@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_dealloc_mw@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_dealloc_pd@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_dereg_mr@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_destroy_ah@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_destroy_counters@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_destroy_cq@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_destroy_flow@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_destroy_flow_action@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_destroy_qp@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_destroy_rwq_ind_table@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_destroy_srq@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_destroy_wq@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_detach_mcast@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_free_dm@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_get_context@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_modify_cq@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_modify_flow_action_esp@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_modify_qp@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_modify_qp_ex@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_modify_srq@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_modify_wq@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_open_qp@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_open_xrcd@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_poll_cq@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_post_recv@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_post_send@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_post_srq_recv@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_query_context@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_query_device@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_query_device_ex@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_query_mr@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_query_port@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_query_qp@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_query_srq@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_read_counters@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_reg_dm_mr@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_reg_mr@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_req_notify_cq@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_rereg_mr@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_cmd_resize_cq@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_copy_ah_attr_from_kern@@IBVERBS_1.1' => 1, -+ 'ibv_copy_path_rec_from_kern@@IBVERBS_1.0' => 1, -+ 'ibv_copy_path_rec_to_kern@@IBVERBS_1.0' => 1, -+ 'ibv_copy_qp_attr_from_kern@@IBVERBS_1.0' => 1, -+ 'ibv_create_ah@@IBVERBS_1.1' => 1, -+ 'ibv_create_ah@IBVERBS_1.0' => 1, -+ 'ibv_create_ah_from_wc@@IBVERBS_1.1' => 1, -+ 'ibv_create_comp_channel@@IBVERBS_1.0' => 1, -+ 'ibv_create_cq@@IBVERBS_1.1' => 1, -+ 'ibv_create_cq@IBVERBS_1.0' => 1, -+ 'ibv_create_qp@@IBVERBS_1.1' => 1, -+ 'ibv_create_qp@IBVERBS_1.0' => 1, -+ 'ibv_create_srq@@IBVERBS_1.1' => 1, -+ 'ibv_create_srq@IBVERBS_1.0' => 1, -+ 'ibv_dealloc_pd@@IBVERBS_1.1' => 1, -+ 'ibv_dealloc_pd@IBVERBS_1.0' => 1, -+ 'ibv_dereg_mr@@IBVERBS_1.1' => 1, -+ 'ibv_dereg_mr@IBVERBS_1.0' => 1, -+ 'ibv_destroy_ah@@IBVERBS_1.1' => 1, -+ 'ibv_destroy_ah@IBVERBS_1.0' => 1, -+ 'ibv_destroy_comp_channel@@IBVERBS_1.0' => 1, -+ 'ibv_destroy_cq@@IBVERBS_1.1' => 1, -+ 'ibv_destroy_cq@IBVERBS_1.0' => 1, -+ 'ibv_destroy_qp@@IBVERBS_1.1' => 1, -+ 'ibv_destroy_qp@IBVERBS_1.0' => 1, -+ 'ibv_destroy_srq@@IBVERBS_1.1' => 1, -+ 'ibv_destroy_srq@IBVERBS_1.0' => 1, -+ 'ibv_detach_mcast@@IBVERBS_1.1' => 1, -+ 'ibv_detach_mcast@IBVERBS_1.0' => 1, -+ 'ibv_dofork_range@@IBVERBS_1.1' => 1, -+ 'ibv_dontfork_range@@IBVERBS_1.1' => 1, -+ 'ibv_event_type_str@@IBVERBS_1.1' => 1, -+ 'ibv_fork_init@@IBVERBS_1.1' => 1, -+ 'ibv_free_device_list@@IBVERBS_1.1' => 1, -+ 'ibv_free_device_list@IBVERBS_1.0' => 1, -+ 'ibv_get_async_event@@IBVERBS_1.1' => 1, -+ 'ibv_get_async_event@IBVERBS_1.0' => 1, -+ 'ibv_get_cq_event@@IBVERBS_1.1' => 1, -+ 'ibv_get_cq_event@IBVERBS_1.0' => 1, -+ 'ibv_get_device_guid@@IBVERBS_1.1' => 1, -+ 'ibv_get_device_guid@IBVERBS_1.0' => 1, -+ 'ibv_get_device_index@@IBVERBS_1.9' => 1, -+ 'ibv_get_device_list@@IBVERBS_1.1' => 1, -+ 'ibv_get_device_list@IBVERBS_1.0' => 1, -+ 'ibv_get_device_name@@IBVERBS_1.1' => 1, -+ 'ibv_get_device_name@IBVERBS_1.0' => 1, -+ 'ibv_get_fw_ver@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_get_pkey_index@@IBVERBS_1.5' => 1, -+ 'ibv_get_sysfs_path@@IBVERBS_1.0' => 1, -+ 'ibv_import_device@@IBVERBS_1.10' => 1, -+ 'ibv_import_mr@@IBVERBS_1.10' => 1, -+ 'ibv_import_pd@@IBVERBS_1.10' => 1, -+ 'ibv_init_ah_from_wc@@IBVERBS_1.1' => 1, -+ 'ibv_modify_qp@@IBVERBS_1.1' => 1, -+ 'ibv_modify_qp@IBVERBS_1.0' => 1, -+ 'ibv_modify_srq@@IBVERBS_1.1' => 1, -+ 'ibv_modify_srq@IBVERBS_1.0' => 1, -+ 'ibv_node_type_str@@IBVERBS_1.1' => 1, -+ 'ibv_open_device@@IBVERBS_1.1' => 1, -+ 'ibv_open_device@IBVERBS_1.0' => 1, -+ 'ibv_port_state_str@@IBVERBS_1.1' => 1, -+ 'ibv_qp_to_qp_ex@@IBVERBS_1.6' => 1, -+ 'ibv_query_device@@IBVERBS_1.1' => 1, -+ 'ibv_query_device@IBVERBS_1.0' => 1, -+ 'ibv_query_ece@@IBVERBS_1.10' => 1, -+ 'ibv_query_gid@@IBVERBS_1.1' => 1, -+ 'ibv_query_gid@IBVERBS_1.0' => 1, -+ 'ibv_query_gid_type@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_query_pkey@@IBVERBS_1.1' => 1, -+ 'ibv_query_pkey@IBVERBS_1.0' => 1, -+ 'ibv_query_port@@IBVERBS_1.1' => 1, -+ 'ibv_query_port@IBVERBS_1.0' => 1, -+ 'ibv_query_qp@@IBVERBS_1.1' => 1, -+ 'ibv_query_qp@IBVERBS_1.0' => 1, -+ 'ibv_query_srq@@IBVERBS_1.1' => 1, -+ 'ibv_query_srq@IBVERBS_1.0' => 1, -+ 'ibv_rate_to_mbps@@IBVERBS_1.1' => 1, -+ 'ibv_rate_to_mult@@IBVERBS_1.0' => 1, -+ 'ibv_read_ibdev_sysfs_file@@IBVERBS_PRIVATE_25' => 1, -+ 'ibv_read_sysfs_file@@IBVERBS_1.0' => 1, -+ 'ibv_reg_mr@@IBVERBS_1.1' => 1, -+ 'ibv_reg_mr@IBVERBS_1.0' => 1, -+ 'ibv_reg_mr_iova2@@IBVERBS_1.8' => 1, -+ 'ibv_reg_mr_iova@@IBVERBS_1.7' => 1, -+ 'ibv_register_driver@IBVERBS_1.1' => 1, -+ 'ibv_rereg_mr@@IBVERBS_1.1' => 1, -+ 'ibv_resize_cq@@IBVERBS_1.1' => 1, -+ 'ibv_resize_cq@IBVERBS_1.0' => 1, -+ 'ibv_resolve_eth_l2_from_gid@@IBVERBS_1.1' => 1, -+ 'ibv_set_ece@@IBVERBS_1.10' => 1, -+ 'ibv_unimport_mr@@IBVERBS_1.10' => 1, -+ 'ibv_unimport_pd@@IBVERBS_1.10' => 1, -+ 'ibv_wc_status_str@@IBVERBS_1.1' => 1, -+ 'mbps_to_ibv_rate@@IBVERBS_1.1' => 1, -+ 'mult_to_ibv_rate@@IBVERBS_1.0' => 1, -+ 'verbs_allow_disassociate_destroy@@IBVERBS_PRIVATE_25' => -1, -+ 'verbs_init_cq@@IBVERBS_PRIVATE_25' => 1, -+ 'verbs_open_device@@IBVERBS_PRIVATE_25' => 1, -+ 'verbs_register_driver_25@@IBVERBS_PRIVATE_25' => 1, -+ 'verbs_set_ops@@IBVERBS_PRIVATE_25' => 1, -+ 'verbs_uninit_context@@IBVERBS_PRIVATE_25' => 1 -+ } -+ }, -+ 'Target' => 'unix', -+ 'TypeInfo' => { -+ '-1' => { -+ 'Name' => '...', -+ 'Type' => 'Intrinsic' -+ }, -+ '1' => { -+ 'Name' => 'void', -+ 'Type' => 'Intrinsic' -+ }, -+ '10005' => { -+ 'Header' => undef, -+ 'Line' => '1268', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'attr_mask', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'wq_handle', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'wq_state', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'curr_wq_state', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'flags', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'flags_mask', -+ 'offset' => '20', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_ex_modify_wq', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '101359' => { -+ 'BaseType' => '91613', -+ 'Name' => 'struct ibv_get_context*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '101365' => { -+ 'BaseType' => '79223', -+ 'Name' => 'struct ib_uverbs_get_context_resp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10177' => { -+ 'Header' => undef, -+ 'Line' => '1289', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'response_length', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'ind_tbl_handle', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'ind_tbl_num', -+ 'offset' => '12', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_ex_create_rwq_ind_table_resp', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '10248' => { -+ 'Header' => undef, -+ 'Line' => '1301', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'cq_count', -+ 'offset' => '0', -+ 'type' => '874' -+ }, -+ '1' => { -+ 'name' => 'cq_period', -+ 'offset' => '2', -+ 'type' => '874' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_cq_moderation', -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '10291' => { -+ 'Header' => undef, -+ 'Line' => '1306', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'cq_handle', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'attr_mask', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'attr', -+ 'offset' => '8', -+ 'type' => '10248' -+ }, -+ '3' => { -+ 'name' => 'reserved', -+ 'offset' => '12', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_ex_modify_cq', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '103203' => { -+ 'BaseType' => '91798', -+ 'Name' => 'struct ibv_query_port*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10362' => { -+ 'Header' => undef, -+ 'Line' => '66', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'subnet_prefix', -+ 'offset' => '0', -+ 'type' => '934' -+ }, -+ '1' => { -+ 'name' => 'interface_id', -+ 'offset' => '8', -+ 'type' => '934' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '10398' => { -+ 'Header' => undef, -+ 'Line' => '64', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'raw', -+ 'offset' => '0', -+ 'type' => '10441' -+ }, -+ '1' => { -+ 'name' => 'global', -+ 'offset' => '0', -+ 'type' => '10362' -+ } -+ }, -+ 'Name' => 'union ibv_gid', -+ 'Size' => '16', -+ 'Type' => 'Union' -+ }, -+ '10436' => { -+ 'BaseType' => '10398', -+ 'Name' => 'union ibv_gid const', -+ 'Size' => '16', -+ 'Type' => 'Const' -+ }, -+ '10441' => { -+ 'BaseType' => '802', -+ 'Name' => 'uint8_t[16]', -+ 'Size' => '16', -+ 'Type' => 'Array' -+ }, -+ '10457' => { -+ 'Header' => undef, -+ 'Line' => '94', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_NODE_UNKNOWN', -+ 'value' => '18446744073709551615 (-1)' -+ }, -+ '1' => { -+ 'name' => 'IBV_NODE_CA', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_NODE_SWITCH', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_NODE_ROUTER', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_NODE_RNIC', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_NODE_USNIC', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_NODE_USNIC_UDP', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_NODE_UNSPECIFIED', -+ 'value' => '7' -+ } -+ }, -+ 'Name' => 'enum ibv_node_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '10524' => { -+ 'Header' => undef, -+ 'Line' => '105', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_TRANSPORT_UNKNOWN', -+ 'value' => '18446744073709551615 (-1)' -+ }, -+ '1' => { -+ 'name' => 'IBV_TRANSPORT_IB', -+ 'value' => '0' -+ }, -+ '2' => { -+ 'name' => 'IBV_TRANSPORT_IWARP', -+ 'value' => '1' -+ }, -+ '3' => { -+ 'name' => 'IBV_TRANSPORT_USNIC', -+ 'value' => '2' -+ }, -+ '4' => { -+ 'name' => 'IBV_TRANSPORT_USNIC_UDP', -+ 'value' => '3' -+ }, -+ '5' => { -+ 'name' => 'IBV_TRANSPORT_UNSPECIFIED', -+ 'value' => '4' -+ } -+ }, -+ 'Name' => 'enum ibv_transport_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '10579' => { -+ 'Header' => undef, -+ 'Line' => '148', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_ATOMIC_NONE', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_ATOMIC_HCA', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_ATOMIC_GLOB', -+ 'value' => '2' -+ } -+ }, -+ 'Name' => 'enum ibv_atomic_cap', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '105818' => { -+ 'BaseType' => '56548', -+ 'Name' => 'struct ibv_alloc_dm_attr const', -+ 'Size' => '16', -+ 'Type' => 'Const' -+ }, -+ '10616' => { -+ 'Header' => undef, -+ 'Line' => '1966', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'device', -+ 'offset' => '0', -+ 'type' => '19844' -+ }, -+ '1' => { -+ 'name' => 'ops', -+ 'offset' => '8', -+ 'type' => '20033' -+ }, -+ '2' => { -+ 'name' => 'cmd_fd', -+ 'offset' => '264', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'async_fd', -+ 'offset' => '268', -+ 'type' => '130' -+ }, -+ '4' => { -+ 'name' => 'num_comp_vectors', -+ 'offset' => '272', -+ 'type' => '130' -+ }, -+ '5' => { -+ 'name' => 'mutex', -+ 'offset' => '280', -+ 'type' => '704' -+ }, -+ '6' => { -+ 'name' => 'abi_compat', -+ 'offset' => '320', -+ 'type' => '71' -+ } -+ }, -+ 'Name' => 'struct ibv_context', -+ 'Size' => '328', -+ 'Type' => 'Struct' -+ }, -+ '10735' => { -+ 'BaseType' => '10616', -+ 'Name' => 'struct ibv_context*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10741' => { -+ 'Header' => undef, -+ 'Line' => '169', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'fw_ver', -+ 'offset' => '0', -+ 'type' => '11275' -+ }, -+ '1' => { -+ 'name' => 'node_guid', -+ 'offset' => '64', -+ 'type' => '934' -+ }, -+ '10' => { -+ 'name' => 'device_cap_flags', -+ 'offset' => '116', -+ 'type' => '64' -+ }, -+ '11' => { -+ 'name' => 'max_sge', -+ 'offset' => '120', -+ 'type' => '130' -+ }, -+ '12' => { -+ 'name' => 'max_sge_rd', -+ 'offset' => '124', -+ 'type' => '130' -+ }, -+ '13' => { -+ 'name' => 'max_cq', -+ 'offset' => '128', -+ 'type' => '130' -+ }, -+ '14' => { -+ 'name' => 'max_cqe', -+ 'offset' => '132', -+ 'type' => '130' -+ }, -+ '15' => { -+ 'name' => 'max_mr', -+ 'offset' => '136', -+ 'type' => '130' -+ }, -+ '16' => { -+ 'name' => 'max_pd', -+ 'offset' => '140', -+ 'type' => '130' -+ }, -+ '17' => { -+ 'name' => 'max_qp_rd_atom', -+ 'offset' => '144', -+ 'type' => '130' -+ }, -+ '18' => { -+ 'name' => 'max_ee_rd_atom', -+ 'offset' => '148', -+ 'type' => '130' -+ }, -+ '19' => { -+ 'name' => 'max_res_rd_atom', -+ 'offset' => '152', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'name' => 'sys_image_guid', -+ 'offset' => '72', -+ 'type' => '934' -+ }, -+ '20' => { -+ 'name' => 'max_qp_init_rd_atom', -+ 'offset' => '156', -+ 'type' => '130' -+ }, -+ '21' => { -+ 'name' => 'max_ee_init_rd_atom', -+ 'offset' => '160', -+ 'type' => '130' -+ }, -+ '22' => { -+ 'name' => 'atomic_cap', -+ 'offset' => '164', -+ 'type' => '10579' -+ }, -+ '23' => { -+ 'name' => 'max_ee', -+ 'offset' => '168', -+ 'type' => '130' -+ }, -+ '24' => { -+ 'name' => 'max_rdd', -+ 'offset' => '172', -+ 'type' => '130' -+ }, -+ '25' => { -+ 'name' => 'max_mw', -+ 'offset' => '176', -+ 'type' => '130' -+ }, -+ '26' => { -+ 'name' => 'max_raw_ipv6_qp', -+ 'offset' => '180', -+ 'type' => '130' -+ }, -+ '27' => { -+ 'name' => 'max_raw_ethy_qp', -+ 'offset' => '184', -+ 'type' => '130' -+ }, -+ '28' => { -+ 'name' => 'max_mcast_grp', -+ 'offset' => '188', -+ 'type' => '130' -+ }, -+ '29' => { -+ 'name' => 'max_mcast_qp_attach', -+ 'offset' => '192', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'max_mr_size', -+ 'offset' => '80', -+ 'type' => '838' -+ }, -+ '30' => { -+ 'name' => 'max_total_mcast_qp_attach', -+ 'offset' => '196', -+ 'type' => '130' -+ }, -+ '31' => { -+ 'name' => 'max_ah', -+ 'offset' => '200', -+ 'type' => '130' -+ }, -+ '32' => { -+ 'name' => 'max_fmr', -+ 'offset' => '204', -+ 'type' => '130' -+ }, -+ '33' => { -+ 'name' => 'max_map_per_fmr', -+ 'offset' => '208', -+ 'type' => '130' -+ }, -+ '34' => { -+ 'name' => 'max_srq', -+ 'offset' => '212', -+ 'type' => '130' -+ }, -+ '35' => { -+ 'name' => 'max_srq_wr', -+ 'offset' => '216', -+ 'type' => '130' -+ }, -+ '36' => { -+ 'name' => 'max_srq_sge', -+ 'offset' => '220', -+ 'type' => '130' -+ }, -+ '37' => { -+ 'name' => 'max_pkeys', -+ 'offset' => '224', -+ 'type' => '814' -+ }, -+ '38' => { -+ 'name' => 'local_ca_ack_delay', -+ 'offset' => '226', -+ 'type' => '802' -+ }, -+ '39' => { -+ 'name' => 'phys_port_cnt', -+ 'offset' => '227', -+ 'type' => '802' -+ }, -+ '4' => { -+ 'name' => 'page_size_cap', -+ 'offset' => '88', -+ 'type' => '838' -+ }, -+ '5' => { -+ 'name' => 'vendor_id', -+ 'offset' => '96', -+ 'type' => '826' -+ }, -+ '6' => { -+ 'name' => 'vendor_part_id', -+ 'offset' => '100', -+ 'type' => '826' -+ }, -+ '7' => { -+ 'name' => 'hw_ver', -+ 'offset' => '104', -+ 'type' => '826' -+ }, -+ '8' => { -+ 'name' => 'max_qp', -+ 'offset' => '108', -+ 'type' => '130' -+ }, -+ '9' => { -+ 'name' => 'max_qp_wr', -+ 'offset' => '112', -+ 'type' => '130' -+ } -+ }, -+ 'Name' => 'struct ibv_device_attr', -+ 'Size' => '232', -+ 'Type' => 'Struct' -+ }, -+ '109940' => { -+ 'Header' => undef, -+ 'Line' => '131', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dm', -+ 'offset' => '0', -+ 'type' => '56601' -+ }, -+ '1' => { -+ 'name' => 'handle', -+ 'offset' => '32', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct verbs_dm', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '112339' => { -+ 'BaseType' => '109940', -+ 'Name' => 'struct verbs_dm*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '11275' => { -+ 'BaseType' => '186', -+ 'Name' => 'char[64]', -+ 'Size' => '64', -+ 'Type' => 'Array' -+ }, -+ '11291' => { -+ 'Header' => undef, -+ 'Line' => '214', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_query_device_ex_input', -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '11318' => { -+ 'BaseType' => '11291', -+ 'Name' => 'struct ibv_query_device_ex_input const', -+ 'Size' => '4', -+ 'Type' => 'Const' -+ }, -+ '11323' => { -+ 'Header' => undef, -+ 'Line' => '229', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rc_odp_caps', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'uc_odp_caps', -+ 'offset' => '4', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'ud_odp_caps', -+ 'offset' => '8', -+ 'type' => '826' -+ } -+ }, -+ 'Size' => '12', -+ 'Type' => 'Struct' -+ }, -+ '11372' => { -+ 'Header' => undef, -+ 'Line' => '227', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'general_caps', -+ 'offset' => '0', -+ 'type' => '838' -+ }, -+ '1' => { -+ 'name' => 'per_transport_caps', -+ 'offset' => '8', -+ 'type' => '11323' -+ } -+ }, -+ 'Name' => 'struct ibv_odp_caps', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '113802' => { -+ 'BaseType' => '105818', -+ 'Name' => 'struct ibv_alloc_dm_attr const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '11412' => { -+ 'Header' => undef, -+ 'Line' => '241', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'max_tso', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'supported_qpts', -+ 'offset' => '4', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_tso_caps', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '11452' => { -+ 'Header' => undef, -+ 'Line' => '272', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'supported_qpts', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'max_rwq_indirection_tables', -+ 'offset' => '4', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'max_rwq_indirection_table_size', -+ 'offset' => '8', -+ 'type' => '826' -+ }, -+ '3' => { -+ 'name' => 'rx_hash_fields_mask', -+ 'offset' => '16', -+ 'type' => '838' -+ }, -+ '4' => { -+ 'name' => 'rx_hash_function', -+ 'offset' => '24', -+ 'type' => '802' -+ } -+ }, -+ 'Name' => 'struct ibv_rss_caps', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '11537' => { -+ 'Header' => undef, -+ 'Line' => '280', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp_rate_limit_min', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'qp_rate_limit_max', -+ 'offset' => '4', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'supported_qpts', -+ 'offset' => '8', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_packet_pacing_caps', -+ 'Size' => '12', -+ 'Type' => 'Struct' -+ }, -+ '11594' => { -+ 'Header' => undef, -+ 'Line' => '297', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'max_rndv_hdr_size', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'max_num_tags', -+ 'offset' => '4', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'flags', -+ 'offset' => '8', -+ 'type' => '826' -+ }, -+ '3' => { -+ 'name' => 'max_ops', -+ 'offset' => '12', -+ 'type' => '826' -+ }, -+ '4' => { -+ 'name' => 'max_sge', -+ 'offset' => '16', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_tm_caps', -+ 'Size' => '20', -+ 'Type' => 'Struct' -+ }, -+ '11679' => { -+ 'Header' => undef, -+ 'Line' => '310', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'max_cq_count', -+ 'offset' => '0', -+ 'type' => '814' -+ }, -+ '1' => { -+ 'name' => 'max_cq_period', -+ 'offset' => '2', -+ 'type' => '814' -+ } -+ }, -+ 'Name' => 'struct ibv_cq_moderation_caps', -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '11722' => { -+ 'Header' => undef, -+ 'Line' => '325', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'fetch_add', -+ 'offset' => '0', -+ 'type' => '814' -+ }, -+ '1' => { -+ 'name' => 'swap', -+ 'offset' => '2', -+ 'type' => '814' -+ }, -+ '2' => { -+ 'name' => 'compare_swap', -+ 'offset' => '4', -+ 'type' => '814' -+ } -+ }, -+ 'Name' => 'struct ibv_pci_atomic_caps', -+ 'Size' => '6', -+ 'Type' => 'Struct' -+ }, -+ '11779' => { -+ 'Header' => undef, -+ 'Line' => '331', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'orig_attr', -+ 'offset' => '0', -+ 'type' => '10741' -+ }, -+ '1' => { -+ 'name' => 'comp_mask', -+ 'offset' => '232', -+ 'type' => '826' -+ }, -+ '10' => { -+ 'name' => 'raw_packet_caps', -+ 'offset' => '344', -+ 'type' => '826' -+ }, -+ '11' => { -+ 'name' => 'tm_caps', -+ 'offset' => '348', -+ 'type' => '11594' -+ }, -+ '12' => { -+ 'name' => 'cq_mod_caps', -+ 'offset' => '368', -+ 'type' => '11679' -+ }, -+ '13' => { -+ 'name' => 'max_dm_size', -+ 'offset' => '376', -+ 'type' => '838' -+ }, -+ '14' => { -+ 'name' => 'pci_atomic_caps', -+ 'offset' => '384', -+ 'type' => '11722' -+ }, -+ '15' => { -+ 'name' => 'xrc_odp_caps', -+ 'offset' => '392', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'odp_caps', -+ 'offset' => '240', -+ 'type' => '11372' -+ }, -+ '3' => { -+ 'name' => 'completion_timestamp_mask', -+ 'offset' => '264', -+ 'type' => '838' -+ }, -+ '4' => { -+ 'name' => 'hca_core_clock', -+ 'offset' => '272', -+ 'type' => '838' -+ }, -+ '5' => { -+ 'name' => 'device_cap_flags_ex', -+ 'offset' => '280', -+ 'type' => '838' -+ }, -+ '6' => { -+ 'name' => 'tso_caps', -+ 'offset' => '288', -+ 'type' => '11412' -+ }, -+ '7' => { -+ 'name' => 'rss_caps', -+ 'offset' => '296', -+ 'type' => '11452' -+ }, -+ '8' => { -+ 'name' => 'max_wq_type_rq', -+ 'offset' => '328', -+ 'type' => '826' -+ }, -+ '9' => { -+ 'name' => 'packet_pacing_caps', -+ 'offset' => '332', -+ 'type' => '11537' -+ } -+ }, -+ 'Name' => 'struct ibv_device_attr_ex', -+ 'Size' => '400', -+ 'Type' => 'Struct' -+ }, -+ '118' => { -+ 'BaseType' => '85', -+ 'Header' => undef, -+ 'Line' => '40', -+ 'Name' => '__uint16_t', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '12032' => { -+ 'Header' => undef, -+ 'Line' => '350', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_MTU_256', -+ 'value' => '1' -+ }, -+ '1' => { -+ 'name' => 'IBV_MTU_512', -+ 'value' => '2' -+ }, -+ '2' => { -+ 'name' => 'IBV_MTU_1024', -+ 'value' => '3' -+ }, -+ '3' => { -+ 'name' => 'IBV_MTU_2048', -+ 'value' => '4' -+ }, -+ '4' => { -+ 'name' => 'IBV_MTU_4096', -+ 'value' => '5' -+ } -+ }, -+ 'Name' => 'enum ibv_mtu', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '12082' => { -+ 'Header' => undef, -+ 'Line' => '1439', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'channel', -+ 'offset' => '8', -+ 'type' => '17618' -+ }, -+ '2' => { -+ 'name' => 'cq_context', -+ 'offset' => '16', -+ 'type' => '71' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '24', -+ 'type' => '826' -+ }, -+ '4' => { -+ 'name' => 'cqe', -+ 'offset' => '28', -+ 'type' => '130' -+ }, -+ '5' => { -+ 'name' => 'mutex', -+ 'offset' => '32', -+ 'type' => '704' -+ }, -+ '6' => { -+ 'name' => 'cond', -+ 'offset' => '72', -+ 'type' => '778' -+ }, -+ '7' => { -+ 'name' => 'comp_events_completed', -+ 'offset' => '120', -+ 'type' => '826' -+ }, -+ '8' => { -+ 'name' => 'async_events_completed', -+ 'offset' => '124', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_cq', -+ 'Size' => '128', -+ 'Type' => 'Struct' -+ }, -+ '12223' => { -+ 'BaseType' => '12082', -+ 'Name' => 'struct ibv_cq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '12229' => { -+ 'Header' => undef, -+ 'Line' => '1233', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'qp_context', -+ 'offset' => '8', -+ 'type' => '71' -+ }, -+ '10' => { -+ 'name' => 'mutex', -+ 'offset' => '64', -+ 'type' => '704' -+ }, -+ '11' => { -+ 'name' => 'cond', -+ 'offset' => '104', -+ 'type' => '778' -+ }, -+ '12' => { -+ 'name' => 'events_completed', -+ 'offset' => '152', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'offset' => '16', -+ 'type' => '13875' -+ }, -+ '3' => { -+ 'name' => 'send_cq', -+ 'offset' => '24', -+ 'type' => '12223' -+ }, -+ '4' => { -+ 'name' => 'recv_cq', -+ 'offset' => '32', -+ 'type' => '12223' -+ }, -+ '5' => { -+ 'name' => 'srq', -+ 'offset' => '40', -+ 'type' => '12543' -+ }, -+ '6' => { -+ 'name' => 'handle', -+ 'offset' => '48', -+ 'type' => '826' -+ }, -+ '7' => { -+ 'name' => 'qp_num', -+ 'offset' => '52', -+ 'type' => '826' -+ }, -+ '8' => { -+ 'name' => 'state', -+ 'offset' => '56', -+ 'type' => '15245' -+ }, -+ '9' => { -+ 'name' => 'qp_type', -+ 'offset' => '60', -+ 'type' => '14666' -+ } -+ }, -+ 'Name' => 'struct ibv_qp', -+ 'Size' => '160', -+ 'Type' => 'Struct' -+ }, -+ '12425' => { -+ 'BaseType' => '12229', -+ 'Name' => 'struct ibv_qp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '12431' => { -+ 'Header' => undef, -+ 'Line' => '1193', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'srq_context', -+ 'offset' => '8', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'offset' => '16', -+ 'type' => '13875' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '24', -+ 'type' => '826' -+ }, -+ '4' => { -+ 'name' => 'mutex', -+ 'offset' => '32', -+ 'type' => '704' -+ }, -+ '5' => { -+ 'name' => 'cond', -+ 'offset' => '72', -+ 'type' => '778' -+ }, -+ '6' => { -+ 'name' => 'events_completed', -+ 'offset' => '120', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_srq', -+ 'Size' => '128', -+ 'Type' => 'Struct' -+ }, -+ '12543' => { -+ 'BaseType' => '12431', -+ 'Name' => 'struct ibv_srq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '12549' => { -+ 'Header' => undef, -+ 'Line' => '1215', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'wq_context', -+ 'offset' => '8', -+ 'type' => '71' -+ }, -+ '10' => { -+ 'name' => 'cond', -+ 'offset' => '96', -+ 'type' => '778' -+ }, -+ '11' => { -+ 'name' => 'events_completed', -+ 'offset' => '144', -+ 'type' => '826' -+ }, -+ '12' => { -+ 'name' => 'comp_mask', -+ 'offset' => '148', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'offset' => '16', -+ 'type' => '13875' -+ }, -+ '3' => { -+ 'name' => 'cq', -+ 'offset' => '24', -+ 'type' => '12223' -+ }, -+ '4' => { -+ 'name' => 'wq_num', -+ 'offset' => '32', -+ 'type' => '826' -+ }, -+ '5' => { -+ 'name' => 'handle', -+ 'offset' => '36', -+ 'type' => '826' -+ }, -+ '6' => { -+ 'name' => 'state', -+ 'offset' => '40', -+ 'type' => '14333' -+ }, -+ '7' => { -+ 'name' => 'wq_type', -+ 'offset' => '44', -+ 'type' => '14257' -+ }, -+ '8' => { -+ 'name' => 'post_recv', -+ 'offset' => '48', -+ 'type' => '16750' -+ }, -+ '9' => { -+ 'name' => 'mutex', -+ 'offset' => '56', -+ 'type' => '704' -+ } -+ }, -+ 'Name' => 'struct ibv_wq', -+ 'Size' => '152', -+ 'Type' => 'Struct' -+ }, -+ '12744' => { -+ 'BaseType' => '12549', -+ 'Name' => 'struct ibv_wq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '12750' => { -+ 'Header' => undef, -+ 'Line' => '468', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WC_SUCCESS', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WC_LOC_LEN_ERR', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IBV_WC_REM_ACCESS_ERR', -+ 'value' => '10' -+ }, -+ '11' => { -+ 'name' => 'IBV_WC_REM_OP_ERR', -+ 'value' => '11' -+ }, -+ '12' => { -+ 'name' => 'IBV_WC_RETRY_EXC_ERR', -+ 'value' => '12' -+ }, -+ '13' => { -+ 'name' => 'IBV_WC_RNR_RETRY_EXC_ERR', -+ 'value' => '13' -+ }, -+ '14' => { -+ 'name' => 'IBV_WC_LOC_RDD_VIOL_ERR', -+ 'value' => '14' -+ }, -+ '15' => { -+ 'name' => 'IBV_WC_REM_INV_RD_REQ_ERR', -+ 'value' => '15' -+ }, -+ '16' => { -+ 'name' => 'IBV_WC_REM_ABORT_ERR', -+ 'value' => '16' -+ }, -+ '17' => { -+ 'name' => 'IBV_WC_INV_EECN_ERR', -+ 'value' => '17' -+ }, -+ '18' => { -+ 'name' => 'IBV_WC_INV_EEC_STATE_ERR', -+ 'value' => '18' -+ }, -+ '19' => { -+ 'name' => 'IBV_WC_FATAL_ERR', -+ 'value' => '19' -+ }, -+ '2' => { -+ 'name' => 'IBV_WC_LOC_QP_OP_ERR', -+ 'value' => '2' -+ }, -+ '20' => { -+ 'name' => 'IBV_WC_RESP_TIMEOUT_ERR', -+ 'value' => '20' -+ }, -+ '21' => { -+ 'name' => 'IBV_WC_GENERAL_ERR', -+ 'value' => '21' -+ }, -+ '22' => { -+ 'name' => 'IBV_WC_TM_ERR', -+ 'value' => '22' -+ }, -+ '23' => { -+ 'name' => 'IBV_WC_TM_RNDV_INCOMPLETE', -+ 'value' => '23' -+ }, -+ '3' => { -+ 'name' => 'IBV_WC_LOC_EEC_OP_ERR', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_WC_LOC_PROT_ERR', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_WC_WR_FLUSH_ERR', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_WC_MW_BIND_ERR', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_WC_BAD_RESP_ERR', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'IBV_WC_LOC_ACCESS_ERR', -+ 'value' => '8' -+ }, -+ '9' => { -+ 'name' => 'IBV_WC_REM_INV_REQ_ERR', -+ 'value' => '9' -+ } -+ }, -+ 'Name' => 'enum ibv_wc_status', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '12914' => { -+ 'Header' => undef, -+ 'Line' => '496', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WC_SEND', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WC_RDMA_WRITE', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IBV_WC_TM_ADD', -+ 'value' => '130' -+ }, -+ '11' => { -+ 'name' => 'IBV_WC_TM_DEL', -+ 'value' => '131' -+ }, -+ '12' => { -+ 'name' => 'IBV_WC_TM_SYNC', -+ 'value' => '132' -+ }, -+ '13' => { -+ 'name' => 'IBV_WC_TM_RECV', -+ 'value' => '133' -+ }, -+ '14' => { -+ 'name' => 'IBV_WC_TM_NO_TAG', -+ 'value' => '134' -+ }, -+ '15' => { -+ 'name' => 'IBV_WC_DRIVER1', -+ 'value' => '135' -+ }, -+ '2' => { -+ 'name' => 'IBV_WC_RDMA_READ', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_WC_COMP_SWAP', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_WC_FETCH_ADD', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_WC_BIND_MW', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_WC_LOCAL_INV', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_WC_TSO', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'IBV_WC_RECV', -+ 'value' => '128' -+ }, -+ '9' => { -+ 'name' => 'IBV_WC_RECV_RDMA_WITH_IMM', -+ 'value' => '129' -+ } -+ }, -+ 'Name' => 'enum ibv_wc_opcode', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '130' => { -+ 'Name' => 'int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '1305' => { -+ 'Header' => undef, -+ 'Line' => '129', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'max_cq_moderation_count', -+ 'offset' => '0', -+ 'type' => '874' -+ }, -+ '1' => { -+ 'name' => 'max_cq_moderation_period', -+ 'offset' => '2', -+ 'type' => '874' -+ }, -+ '2' => { -+ 'name' => 'reserved', -+ 'offset' => '4', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_cq_moderation_caps', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '13170' => { -+ 'Header' => undef, -+ 'Line' => '577', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'imm_data', -+ 'offset' => '0', -+ 'type' => '922' -+ }, -+ '1' => { -+ 'name' => 'invalidated_rkey', -+ 'offset' => '0', -+ 'type' => '826' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Union' -+ }, -+ '13207' => { -+ 'Header' => undef, -+ 'Line' => '568', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '838' -+ }, -+ '1' => { -+ 'name' => 'status', -+ 'offset' => '8', -+ 'type' => '12750' -+ }, -+ '10' => { -+ 'name' => 'slid', -+ 'offset' => '42', -+ 'type' => '814' -+ }, -+ '11' => { -+ 'name' => 'sl', -+ 'offset' => '44', -+ 'type' => '802' -+ }, -+ '12' => { -+ 'name' => 'dlid_path_bits', -+ 'offset' => '45', -+ 'type' => '802' -+ }, -+ '2' => { -+ 'name' => 'opcode', -+ 'offset' => '12', -+ 'type' => '12914' -+ }, -+ '3' => { -+ 'name' => 'vendor_err', -+ 'offset' => '16', -+ 'type' => '826' -+ }, -+ '4' => { -+ 'name' => 'byte_len', -+ 'offset' => '20', -+ 'type' => '826' -+ }, -+ '5' => { -+ 'name' => 'unnamed0', -+ 'offset' => '24', -+ 'type' => '13170' -+ }, -+ '6' => { -+ 'name' => 'qp_num', -+ 'offset' => '28', -+ 'type' => '826' -+ }, -+ '7' => { -+ 'name' => 'src_qp', -+ 'offset' => '32', -+ 'type' => '826' -+ }, -+ '8' => { -+ 'name' => 'wc_flags', -+ 'offset' => '36', -+ 'type' => '64' -+ }, -+ '9' => { -+ 'name' => 'pkey_index', -+ 'offset' => '40', -+ 'type' => '814' -+ } -+ }, -+ 'Name' => 'struct ibv_wc', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '13472' => { -+ 'Header' => undef, -+ 'Line' => '602', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'mr', -+ 'offset' => '0', -+ 'type' => '13659' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'offset' => '8', -+ 'type' => '838' -+ }, -+ '2' => { -+ 'name' => 'length', -+ 'offset' => '16', -+ 'type' => '838' -+ }, -+ '3' => { -+ 'name' => 'mw_access_flags', -+ 'offset' => '24', -+ 'type' => '64' -+ } -+ }, -+ 'Name' => 'struct ibv_mw_bind_info', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '13542' => { -+ 'BaseType' => '13472', -+ 'Name' => 'struct ibv_mw_bind_info const', -+ 'Size' => '32', -+ 'Type' => 'Const' -+ }, -+ '13547' => { -+ 'Header' => undef, -+ 'Line' => '645', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'offset' => '8', -+ 'type' => '13875' -+ }, -+ '2' => { -+ 'name' => 'addr', -+ 'offset' => '16', -+ 'type' => '71' -+ }, -+ '3' => { -+ 'name' => 'length', -+ 'offset' => '24', -+ 'type' => '52' -+ }, -+ '4' => { -+ 'name' => 'handle', -+ 'offset' => '32', -+ 'type' => '826' -+ }, -+ '5' => { -+ 'name' => 'lkey', -+ 'offset' => '36', -+ 'type' => '826' -+ }, -+ '6' => { -+ 'name' => 'rkey', -+ 'offset' => '40', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_mr', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '1358' => { -+ 'Header' => undef, -+ 'Line' => '146', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'command', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'in_words', -+ 'offset' => '4', -+ 'type' => '874' -+ }, -+ '2' => { -+ 'name' => 'out_words', -+ 'offset' => '6', -+ 'type' => '874' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_cmd_hdr', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '13659' => { -+ 'BaseType' => '13547', -+ 'Name' => 'struct ibv_mr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '13665' => { -+ 'Header' => undef, -+ 'Line' => '609', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'handle', -+ 'offset' => '8', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_pd', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '137' => { -+ 'BaseType' => '64', -+ 'Header' => undef, -+ 'Line' => '42', -+ 'Name' => '__uint32_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '13746' => { -+ 'Header' => undef, -+ 'Line' => '628', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'fd', -+ 'offset' => '4', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'name' => 'oflags', -+ 'offset' => '8', -+ 'type' => '130' -+ } -+ }, -+ 'Name' => 'struct ibv_xrcd_init_attr', -+ 'Size' => '12', -+ 'Type' => 'Struct' -+ }, -+ '13802' => { -+ 'Header' => undef, -+ 'Line' => '634', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '10735' -+ } -+ }, -+ 'Name' => 'struct ibv_xrcd', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '13875' => { -+ 'BaseType' => '13665', -+ 'Name' => 'struct ibv_pd*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '13881' => { -+ 'Header' => undef, -+ 'Line' => '655', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_MW_TYPE_1', -+ 'value' => '1' -+ }, -+ '1' => { -+ 'name' => 'IBV_MW_TYPE_2', -+ 'value' => '2' -+ } -+ }, -+ 'Name' => 'enum ibv_mw_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '13913' => { -+ 'Header' => undef, -+ 'Line' => '660', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'offset' => '8', -+ 'type' => '13875' -+ }, -+ '2' => { -+ 'name' => 'rkey', -+ 'offset' => '16', -+ 'type' => '826' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '20', -+ 'type' => '826' -+ }, -+ '4' => { -+ 'name' => 'type', -+ 'offset' => '24', -+ 'type' => '13881' -+ } -+ }, -+ 'Name' => 'struct ibv_mw', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '13997' => { -+ 'Header' => undef, -+ 'Line' => '668', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dgid', -+ 'offset' => '0', -+ 'type' => '10398' -+ }, -+ '1' => { -+ 'name' => 'flow_label', -+ 'offset' => '16', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'sgid_index', -+ 'offset' => '20', -+ 'type' => '802' -+ }, -+ '3' => { -+ 'name' => 'hop_limit', -+ 'offset' => '21', -+ 'type' => '802' -+ }, -+ '4' => { -+ 'name' => 'traffic_class', -+ 'offset' => '22', -+ 'type' => '802' -+ } -+ }, -+ 'Name' => 'struct ibv_global_route', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '14082' => { -+ 'Header' => undef, -+ 'Line' => '737', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'grh', -+ 'offset' => '0', -+ 'type' => '13997' -+ }, -+ '1' => { -+ 'name' => 'dlid', -+ 'offset' => '24', -+ 'type' => '814' -+ }, -+ '2' => { -+ 'name' => 'sl', -+ 'offset' => '26', -+ 'type' => '802' -+ }, -+ '3' => { -+ 'name' => 'src_path_bits', -+ 'offset' => '27', -+ 'type' => '802' -+ }, -+ '4' => { -+ 'name' => 'static_rate', -+ 'offset' => '28', -+ 'type' => '802' -+ }, -+ '5' => { -+ 'name' => 'is_global', -+ 'offset' => '29', -+ 'type' => '802' -+ }, -+ '6' => { -+ 'name' => 'port_num', -+ 'offset' => '30', -+ 'type' => '802' -+ } -+ }, -+ 'Name' => 'struct ibv_ah_attr', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '1411' => { -+ 'Header' => undef, -+ 'Line' => '152', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'provider_in_words', -+ 'offset' => '8', -+ 'type' => '874' -+ }, -+ '2' => { -+ 'name' => 'provider_out_words', -+ 'offset' => '10', -+ 'type' => '874' -+ }, -+ '3' => { -+ 'name' => 'cmd_hdr_reserved', -+ 'offset' => '12', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_ex_cmd_hdr', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '14194' => { -+ 'Header' => undef, -+ 'Line' => '752', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'max_wr', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'max_sge', -+ 'offset' => '4', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'srq_limit', -+ 'offset' => '8', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_srq_attr', -+ 'Size' => '12', -+ 'Type' => 'Struct' -+ }, -+ '14251' => { -+ 'BaseType' => '13802', -+ 'Name' => 'struct ibv_xrcd*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '14257' => { -+ 'Header' => undef, -+ 'Line' => '795', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WQT_RQ', -+ 'value' => '0' -+ } -+ }, -+ 'Name' => 'enum ibv_wq_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '14333' => { -+ 'Header' => undef, -+ 'Line' => '823', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WQS_RESET', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WQS_RDY', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_WQS_ERR', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_WQS_UNKNOWN', -+ 'value' => '3' -+ } -+ }, -+ 'Name' => 'enum ibv_wq_state', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '14421' => { -+ 'Header' => undef, -+ 'Line' => '837', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'attr_mask', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'wq_state', -+ 'offset' => '4', -+ 'type' => '14333' -+ }, -+ '2' => { -+ 'name' => 'curr_wq_state', -+ 'offset' => '8', -+ 'type' => '14333' -+ }, -+ '3' => { -+ 'name' => 'flags', -+ 'offset' => '12', -+ 'type' => '826' -+ }, -+ '4' => { -+ 'name' => 'flags_mask', -+ 'offset' => '16', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_wq_attr', -+ 'Size' => '20', -+ 'Type' => 'Struct' -+ }, -+ '14506' => { -+ 'Header' => undef, -+ 'Line' => '855', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'ind_tbl_handle', -+ 'offset' => '8', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'name' => 'ind_tbl_num', -+ 'offset' => '12', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'comp_mask', -+ 'offset' => '16', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_rwq_ind_table', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '14603' => { -+ 'Header' => undef, -+ 'Line' => '869', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'log_ind_tbl_size', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'ind_tbl', -+ 'offset' => '8', -+ 'type' => '14660' -+ }, -+ '2' => { -+ 'name' => 'comp_mask', -+ 'offset' => '16', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_rwq_ind_table_init_attr', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '14660' => { -+ 'BaseType' => '12744', -+ 'Name' => 'struct ibv_wq**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '14666' => { -+ 'Header' => undef, -+ 'Line' => '876', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_QPT_RC', -+ 'value' => '2' -+ }, -+ '1' => { -+ 'name' => 'IBV_QPT_UC', -+ 'value' => '3' -+ }, -+ '2' => { -+ 'name' => 'IBV_QPT_UD', -+ 'value' => '4' -+ }, -+ '3' => { -+ 'name' => 'IBV_QPT_RAW_PACKET', -+ 'value' => '8' -+ }, -+ '4' => { -+ 'name' => 'IBV_QPT_XRC_SEND', -+ 'value' => '9' -+ }, -+ '5' => { -+ 'name' => 'IBV_QPT_XRC_RECV', -+ 'value' => '10' -+ }, -+ '6' => { -+ 'name' => 'IBV_QPT_DRIVER', -+ 'value' => '255' -+ } -+ }, -+ 'Name' => 'enum ibv_qp_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '14728' => { -+ 'Header' => undef, -+ 'Line' => '886', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'max_send_wr', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'max_recv_wr', -+ 'offset' => '4', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'max_send_sge', -+ 'offset' => '8', -+ 'type' => '826' -+ }, -+ '3' => { -+ 'name' => 'max_recv_sge', -+ 'offset' => '12', -+ 'type' => '826' -+ }, -+ '4' => { -+ 'name' => 'max_inline_data', -+ 'offset' => '16', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_cap', -+ 'Size' => '20', -+ 'Type' => 'Struct' -+ }, -+ '1479' => { -+ 'Header' => undef, -+ 'Line' => '170', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'driver_data', -+ 'offset' => '8', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_query_device', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '14813' => { -+ 'Header' => undef, -+ 'Line' => '894', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp_context', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'send_cq', -+ 'offset' => '8', -+ 'type' => '12223' -+ }, -+ '2' => { -+ 'name' => 'recv_cq', -+ 'offset' => '16', -+ 'type' => '12223' -+ }, -+ '3' => { -+ 'name' => 'srq', -+ 'offset' => '24', -+ 'type' => '12543' -+ }, -+ '4' => { -+ 'name' => 'cap', -+ 'offset' => '32', -+ 'type' => '14728' -+ }, -+ '5' => { -+ 'name' => 'qp_type', -+ 'offset' => '52', -+ 'type' => '14666' -+ }, -+ '6' => { -+ 'name' => 'sq_sig_all', -+ 'offset' => '56', -+ 'type' => '130' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_init_attr', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '149' => { -+ 'Name' => 'long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '14926' => { -+ 'BaseType' => '14506', -+ 'Name' => 'struct ibv_rwq_ind_table*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '149719' => { -+ 'BaseType' => '26537', -+ 'Name' => 'struct verbs_flow_action*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '14982' => { -+ 'Header' => undef, -+ 'Line' => '974', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'qp_num', -+ 'offset' => '4', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'xrcd', -+ 'offset' => '8', -+ 'type' => '14251' -+ }, -+ '3' => { -+ 'name' => 'qp_context', -+ 'offset' => '16', -+ 'type' => '71' -+ }, -+ '4' => { -+ 'name' => 'qp_type', -+ 'offset' => '24', -+ 'type' => '14666' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_open_attr', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '1522' => { -+ 'BaseType' => '898', -+ 'Name' => '__u64[]', -+ 'Size' => '8', -+ 'Type' => 'Array' -+ }, -+ '15245' => { -+ 'Header' => undef, -+ 'Line' => '1014', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_QPS_RESET', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_QPS_INIT', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_QPS_RTR', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_QPS_RTS', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_QPS_SQD', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_QPS_SQE', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_QPS_ERR', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_QPS_UNKNOWN', -+ 'value' => '7' -+ } -+ }, -+ 'Name' => 'enum ibv_qp_state', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '153089' => { -+ 'BaseType' => '71', -+ 'Name' => 'void**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '15313' => { -+ 'Header' => undef, -+ 'Line' => '1025', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_MIG_MIGRATED', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_MIG_REARM', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_MIG_ARMED', -+ 'value' => '2' -+ } -+ }, -+ 'Name' => 'enum ibv_mig_state', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '15351' => { -+ 'Header' => undef, -+ 'Line' => '1031', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp_state', -+ 'offset' => '0', -+ 'type' => '15245' -+ }, -+ '1' => { -+ 'name' => 'cur_qp_state', -+ 'offset' => '4', -+ 'type' => '15245' -+ }, -+ '10' => { -+ 'name' => 'ah_attr', -+ 'offset' => '56', -+ 'type' => '14082' -+ }, -+ '11' => { -+ 'name' => 'alt_ah_attr', -+ 'offset' => '88', -+ 'type' => '14082' -+ }, -+ '12' => { -+ 'name' => 'pkey_index', -+ 'offset' => '120', -+ 'type' => '814' -+ }, -+ '13' => { -+ 'name' => 'alt_pkey_index', -+ 'offset' => '122', -+ 'type' => '814' -+ }, -+ '14' => { -+ 'name' => 'en_sqd_async_notify', -+ 'offset' => '124', -+ 'type' => '802' -+ }, -+ '15' => { -+ 'name' => 'sq_draining', -+ 'offset' => '125', -+ 'type' => '802' -+ }, -+ '16' => { -+ 'name' => 'max_rd_atomic', -+ 'offset' => '126', -+ 'type' => '802' -+ }, -+ '17' => { -+ 'name' => 'max_dest_rd_atomic', -+ 'offset' => '127', -+ 'type' => '802' -+ }, -+ '18' => { -+ 'name' => 'min_rnr_timer', -+ 'offset' => '128', -+ 'type' => '802' -+ }, -+ '19' => { -+ 'name' => 'port_num', -+ 'offset' => '129', -+ 'type' => '802' -+ }, -+ '2' => { -+ 'name' => 'path_mtu', -+ 'offset' => '8', -+ 'type' => '12032' -+ }, -+ '20' => { -+ 'name' => 'timeout', -+ 'offset' => '130', -+ 'type' => '802' -+ }, -+ '21' => { -+ 'name' => 'retry_cnt', -+ 'offset' => '131', -+ 'type' => '802' -+ }, -+ '22' => { -+ 'name' => 'rnr_retry', -+ 'offset' => '132', -+ 'type' => '802' -+ }, -+ '23' => { -+ 'name' => 'alt_port_num', -+ 'offset' => '133', -+ 'type' => '802' -+ }, -+ '24' => { -+ 'name' => 'alt_timeout', -+ 'offset' => '134', -+ 'type' => '802' -+ }, -+ '25' => { -+ 'name' => 'rate_limit', -+ 'offset' => '136', -+ 'type' => '826' -+ }, -+ '3' => { -+ 'name' => 'path_mig_state', -+ 'offset' => '12', -+ 'type' => '15313' -+ }, -+ '4' => { -+ 'name' => 'qkey', -+ 'offset' => '16', -+ 'type' => '826' -+ }, -+ '5' => { -+ 'name' => 'rq_psn', -+ 'offset' => '20', -+ 'type' => '826' -+ }, -+ '6' => { -+ 'name' => 'sq_psn', -+ 'offset' => '24', -+ 'type' => '826' -+ }, -+ '7' => { -+ 'name' => 'dest_qp_num', -+ 'offset' => '28', -+ 'type' => '826' -+ }, -+ '8' => { -+ 'name' => 'qp_access_flags', -+ 'offset' => '32', -+ 'type' => '64' -+ }, -+ '9' => { -+ 'name' => 'cap', -+ 'offset' => '36', -+ 'type' => '14728' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_attr', -+ 'Size' => '144', -+ 'Type' => 'Struct' -+ }, -+ '1538' => { -+ 'Header' => undef, -+ 'Line' => '175', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'fw_ver', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'node_guid', -+ 'offset' => '8', -+ 'type' => '934' -+ }, -+ '10' => { -+ 'name' => 'device_cap_flags', -+ 'offset' => '60', -+ 'type' => '886' -+ }, -+ '11' => { -+ 'name' => 'max_sge', -+ 'offset' => '64', -+ 'type' => '886' -+ }, -+ '12' => { -+ 'name' => 'max_sge_rd', -+ 'offset' => '68', -+ 'type' => '886' -+ }, -+ '13' => { -+ 'name' => 'max_cq', -+ 'offset' => '72', -+ 'type' => '886' -+ }, -+ '14' => { -+ 'name' => 'max_cqe', -+ 'offset' => '76', -+ 'type' => '886' -+ }, -+ '15' => { -+ 'name' => 'max_mr', -+ 'offset' => '80', -+ 'type' => '886' -+ }, -+ '16' => { -+ 'name' => 'max_pd', -+ 'offset' => '84', -+ 'type' => '886' -+ }, -+ '17' => { -+ 'name' => 'max_qp_rd_atom', -+ 'offset' => '88', -+ 'type' => '886' -+ }, -+ '18' => { -+ 'name' => 'max_ee_rd_atom', -+ 'offset' => '92', -+ 'type' => '886' -+ }, -+ '19' => { -+ 'name' => 'max_res_rd_atom', -+ 'offset' => '96', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'sys_image_guid', -+ 'offset' => '16', -+ 'type' => '934' -+ }, -+ '20' => { -+ 'name' => 'max_qp_init_rd_atom', -+ 'offset' => '100', -+ 'type' => '886' -+ }, -+ '21' => { -+ 'name' => 'max_ee_init_rd_atom', -+ 'offset' => '104', -+ 'type' => '886' -+ }, -+ '22' => { -+ 'name' => 'atomic_cap', -+ 'offset' => '108', -+ 'type' => '886' -+ }, -+ '23' => { -+ 'name' => 'max_ee', -+ 'offset' => '112', -+ 'type' => '886' -+ }, -+ '24' => { -+ 'name' => 'max_rdd', -+ 'offset' => '116', -+ 'type' => '886' -+ }, -+ '25' => { -+ 'name' => 'max_mw', -+ 'offset' => '120', -+ 'type' => '886' -+ }, -+ '26' => { -+ 'name' => 'max_raw_ipv6_qp', -+ 'offset' => '124', -+ 'type' => '886' -+ }, -+ '27' => { -+ 'name' => 'max_raw_ethy_qp', -+ 'offset' => '128', -+ 'type' => '886' -+ }, -+ '28' => { -+ 'name' => 'max_mcast_grp', -+ 'offset' => '132', -+ 'type' => '886' -+ }, -+ '29' => { -+ 'name' => 'max_mcast_qp_attach', -+ 'offset' => '136', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'max_mr_size', -+ 'offset' => '24', -+ 'type' => '898' -+ }, -+ '30' => { -+ 'name' => 'max_total_mcast_qp_attach', -+ 'offset' => '140', -+ 'type' => '886' -+ }, -+ '31' => { -+ 'name' => 'max_ah', -+ 'offset' => '144', -+ 'type' => '886' -+ }, -+ '32' => { -+ 'name' => 'max_fmr', -+ 'offset' => '148', -+ 'type' => '886' -+ }, -+ '33' => { -+ 'name' => 'max_map_per_fmr', -+ 'offset' => '152', -+ 'type' => '886' -+ }, -+ '34' => { -+ 'name' => 'max_srq', -+ 'offset' => '156', -+ 'type' => '886' -+ }, -+ '35' => { -+ 'name' => 'max_srq_wr', -+ 'offset' => '160', -+ 'type' => '886' -+ }, -+ '36' => { -+ 'name' => 'max_srq_sge', -+ 'offset' => '164', -+ 'type' => '886' -+ }, -+ '37' => { -+ 'name' => 'max_pkeys', -+ 'offset' => '168', -+ 'type' => '874' -+ }, -+ '38' => { -+ 'name' => 'local_ca_ack_delay', -+ 'offset' => '170', -+ 'type' => '862' -+ }, -+ '39' => { -+ 'name' => 'phys_port_cnt', -+ 'offset' => '171', -+ 'type' => '862' -+ }, -+ '4' => { -+ 'name' => 'page_size_cap', -+ 'offset' => '32', -+ 'type' => '898' -+ }, -+ '40' => { -+ 'name' => 'reserved', -+ 'offset' => '172', -+ 'type' => '2089' -+ }, -+ '5' => { -+ 'name' => 'vendor_id', -+ 'offset' => '40', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'vendor_part_id', -+ 'offset' => '44', -+ 'type' => '886' -+ }, -+ '7' => { -+ 'name' => 'hw_ver', -+ 'offset' => '48', -+ 'type' => '886' -+ }, -+ '8' => { -+ 'name' => 'max_qp', -+ 'offset' => '52', -+ 'type' => '886' -+ }, -+ '9' => { -+ 'name' => 'max_qp_wr', -+ 'offset' => '56', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_query_device_resp', -+ 'Size' => '176', -+ 'Type' => 'Struct' -+ }, -+ '156' => { -+ 'BaseType' => '45', -+ 'Header' => undef, -+ 'Line' => '45', -+ 'Name' => '__uint64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '15730' => { -+ 'Header' => undef, -+ 'Line' => '1067', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WR_RDMA_WRITE', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WR_RDMA_WRITE_WITH_IMM', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IBV_WR_TSO', -+ 'value' => '10' -+ }, -+ '11' => { -+ 'name' => 'IBV_WR_DRIVER1', -+ 'value' => '11' -+ }, -+ '2' => { -+ 'name' => 'IBV_WR_SEND', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_WR_SEND_WITH_IMM', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_WR_RDMA_READ', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_WR_ATOMIC_CMP_AND_SWP', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_WR_ATOMIC_FETCH_AND_ADD', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_WR_LOCAL_INV', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'IBV_WR_BIND_MW', -+ 'value' => '8' -+ }, -+ '9' => { -+ 'name' => 'IBV_WR_SEND_WITH_INV', -+ 'value' => '9' -+ } -+ }, -+ 'Name' => 'enum ibv_wr_opcode', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '15822' => { -+ 'Header' => undef, -+ 'Line' => '1090', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'addr', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'length', -+ 'offset' => '8', -+ 'type' => '52' -+ } -+ }, -+ 'Name' => 'struct ibv_data_buf', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '15865' => { -+ 'BaseType' => '15822', -+ 'Name' => 'struct ibv_data_buf const', -+ 'Size' => '16', -+ 'Type' => 'Const' -+ }, -+ '15870' => { -+ 'Header' => undef, -+ 'Line' => '1095', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'addr', -+ 'offset' => '0', -+ 'type' => '838' -+ }, -+ '1' => { -+ 'name' => 'length', -+ 'offset' => '8', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'lkey', -+ 'offset' => '12', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_sge', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '15927' => { -+ 'BaseType' => '15870', -+ 'Name' => 'struct ibv_sge const', -+ 'Size' => '16', -+ 'Type' => 'Const' -+ }, -+ '15932' => { -+ 'Header' => undef, -+ 'Line' => '1111', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'imm_data', -+ 'offset' => '0', -+ 'type' => '922' -+ }, -+ '1' => { -+ 'name' => 'invalidate_rkey', -+ 'offset' => '0', -+ 'type' => '826' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Union' -+ }, -+ '15969' => { -+ 'Header' => undef, -+ 'Line' => '1116', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'remote_addr', -+ 'offset' => '0', -+ 'type' => '838' -+ }, -+ '1' => { -+ 'name' => 'rkey', -+ 'offset' => '8', -+ 'type' => '826' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '16008' => { -+ 'Header' => undef, -+ 'Line' => '1120', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'remote_addr', -+ 'offset' => '0', -+ 'type' => '838' -+ }, -+ '1' => { -+ 'name' => 'compare_add', -+ 'offset' => '8', -+ 'type' => '838' -+ }, -+ '2' => { -+ 'name' => 'swap', -+ 'offset' => '16', -+ 'type' => '838' -+ }, -+ '3' => { -+ 'name' => 'rkey', -+ 'offset' => '24', -+ 'type' => '826' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '16075' => { -+ 'Header' => undef, -+ 'Line' => '1126', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'ah', -+ 'offset' => '0', -+ 'type' => '16183' -+ }, -+ '1' => { -+ 'name' => 'remote_qpn', -+ 'offset' => '8', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'remote_qkey', -+ 'offset' => '12', -+ 'type' => '826' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '16127' => { -+ 'Header' => undef, -+ 'Line' => '1626', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'offset' => '8', -+ 'type' => '13875' -+ }, -+ '2' => { -+ 'name' => 'handle', -+ 'offset' => '16', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_ah', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '16183' => { -+ 'BaseType' => '16127', -+ 'Name' => 'struct ibv_ah*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '16189' => { -+ 'Header' => undef, -+ 'Line' => '1115', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rdma', -+ 'offset' => '0', -+ 'type' => '15969' -+ }, -+ '1' => { -+ 'name' => 'atomic', -+ 'offset' => '0', -+ 'type' => '16008' -+ }, -+ '2' => { -+ 'name' => 'ud', -+ 'offset' => '0', -+ 'type' => '16075' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Union' -+ }, -+ '16238' => { -+ 'Header' => undef, -+ 'Line' => '1133', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'remote_srqn', -+ 'offset' => '0', -+ 'type' => '826' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '16263' => { -+ 'Header' => undef, -+ 'Line' => '1132', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'xrc', -+ 'offset' => '0', -+ 'type' => '16238' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Union' -+ }, -+ '16287' => { -+ 'Header' => undef, -+ 'Line' => '1138', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'mw', -+ 'offset' => '0', -+ 'type' => '16339' -+ }, -+ '1' => { -+ 'name' => 'rkey', -+ 'offset' => '8', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'bind_info', -+ 'offset' => '16', -+ 'type' => '13472' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '16339' => { -+ 'BaseType' => '13913', -+ 'Name' => 'struct ibv_mw*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '16345' => { -+ 'Header' => undef, -+ 'Line' => '1143', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'hdr_sz', -+ 'offset' => '8', -+ 'type' => '814' -+ }, -+ '2' => { -+ 'name' => 'mss', -+ 'offset' => '10', -+ 'type' => '814' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '16398' => { -+ 'Header' => undef, -+ 'Line' => '1137', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'bind_mw', -+ 'offset' => '0', -+ 'type' => '16287' -+ }, -+ '1' => { -+ 'name' => 'tso', -+ 'offset' => '0', -+ 'type' => '16345' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Union' -+ }, -+ '16435' => { -+ 'Header' => undef, -+ 'Line' => '1101', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '838' -+ }, -+ '1' => { -+ 'name' => 'next', -+ 'offset' => '8', -+ 'type' => '16573' -+ }, -+ '2' => { -+ 'name' => 'sg_list', -+ 'offset' => '16', -+ 'type' => '16579' -+ }, -+ '3' => { -+ 'name' => 'num_sge', -+ 'offset' => '24', -+ 'type' => '130' -+ }, -+ '4' => { -+ 'name' => 'opcode', -+ 'offset' => '28', -+ 'type' => '15730' -+ }, -+ '5' => { -+ 'name' => 'send_flags', -+ 'offset' => '32', -+ 'type' => '64' -+ }, -+ '6' => { -+ 'name' => 'unnamed0', -+ 'offset' => '36', -+ 'type' => '15932' -+ }, -+ '7' => { -+ 'name' => 'wr', -+ 'offset' => '40', -+ 'type' => '16189' -+ }, -+ '8' => { -+ 'name' => 'qp_type', -+ 'offset' => '72', -+ 'type' => '16263' -+ }, -+ '9' => { -+ 'name' => 'unnamed1', -+ 'offset' => '80', -+ 'type' => '16398' -+ } -+ }, -+ 'Name' => 'struct ibv_send_wr', -+ 'Size' => '128', -+ 'Type' => 'Struct' -+ }, -+ '16573' => { -+ 'BaseType' => '16435', -+ 'Name' => 'struct ibv_send_wr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '16579' => { -+ 'BaseType' => '15870', -+ 'Name' => 'struct ibv_sge*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '16585' => { -+ 'Header' => undef, -+ 'Line' => '1151', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '838' -+ }, -+ '1' => { -+ 'name' => 'next', -+ 'offset' => '8', -+ 'type' => '16656' -+ }, -+ '2' => { -+ 'name' => 'sg_list', -+ 'offset' => '16', -+ 'type' => '16579' -+ }, -+ '3' => { -+ 'name' => 'num_sge', -+ 'offset' => '24', -+ 'type' => '130' -+ } -+ }, -+ 'Name' => 'struct ibv_recv_wr', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '16656' => { -+ 'BaseType' => '16585', -+ 'Name' => 'struct ibv_recv_wr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '16662' => { -+ 'Header' => undef, -+ 'Line' => '1187', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '838' -+ }, -+ '1' => { -+ 'name' => 'send_flags', -+ 'offset' => '8', -+ 'type' => '64' -+ }, -+ '2' => { -+ 'name' => 'bind_info', -+ 'offset' => '16', -+ 'type' => '13472' -+ } -+ }, -+ 'Name' => 'struct ibv_mw_bind', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '16744' => { -+ 'BaseType' => '16656', -+ 'Name' => 'struct ibv_recv_wr**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '16750' => { -+ 'Name' => 'int(*)(struct ibv_wq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12744' -+ }, -+ '1' => { -+ 'type' => '16656' -+ }, -+ '2' => { -+ 'type' => '16744' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '16756' => { -+ 'Header' => undef, -+ 'Line' => '1250', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp_base', -+ 'offset' => '0', -+ 'type' => '12229' -+ }, -+ '1' => { -+ 'name' => 'comp_mask', -+ 'offset' => '160', -+ 'type' => '838' -+ }, -+ '10' => { -+ 'name' => 'wr_rdma_write_imm', -+ 'offset' => '232', -+ 'type' => '17307' -+ }, -+ '11' => { -+ 'name' => 'wr_send', -+ 'offset' => '240', -+ 'type' => '17324' -+ }, -+ '12' => { -+ 'name' => 'wr_send_imm', -+ 'offset' => '248', -+ 'type' => '17346' -+ }, -+ '13' => { -+ 'name' => 'wr_send_inv', -+ 'offset' => '256', -+ 'type' => '17248' -+ }, -+ '14' => { -+ 'name' => 'wr_send_tso', -+ 'offset' => '264', -+ 'type' => '17378' -+ }, -+ '15' => { -+ 'name' => 'wr_set_ud_addr', -+ 'offset' => '272', -+ 'type' => '17410' -+ }, -+ '16' => { -+ 'name' => 'wr_set_xrc_srqn', -+ 'offset' => '280', -+ 'type' => '17248' -+ }, -+ '17' => { -+ 'name' => 'wr_set_inline_data', -+ 'offset' => '288', -+ 'type' => '17437' -+ }, -+ '18' => { -+ 'name' => 'wr_set_inline_data_list', -+ 'offset' => '296', -+ 'type' => '17470' -+ }, -+ '19' => { -+ 'name' => 'wr_set_sge', -+ 'offset' => '304', -+ 'type' => '17502' -+ }, -+ '2' => { -+ 'name' => 'wr_id', -+ 'offset' => '168', -+ 'type' => '838' -+ }, -+ '20' => { -+ 'name' => 'wr_set_sge_list', -+ 'offset' => '312', -+ 'type' => '17535' -+ }, -+ '21' => { -+ 'name' => 'wr_start', -+ 'offset' => '320', -+ 'type' => '17324' -+ }, -+ '22' => { -+ 'name' => 'wr_complete', -+ 'offset' => '328', -+ 'type' => '17556' -+ }, -+ '23' => { -+ 'name' => 'wr_abort', -+ 'offset' => '336', -+ 'type' => '17324' -+ }, -+ '3' => { -+ 'name' => 'wr_flags', -+ 'offset' => '176', -+ 'type' => '64' -+ }, -+ '4' => { -+ 'name' => 'wr_atomic_cmp_swp', -+ 'offset' => '184', -+ 'type' => '17156' -+ }, -+ '5' => { -+ 'name' => 'wr_atomic_fetch_add', -+ 'offset' => '192', -+ 'type' => '17188' -+ }, -+ '6' => { -+ 'name' => 'wr_bind_mw', -+ 'offset' => '200', -+ 'type' => '17226' -+ }, -+ '7' => { -+ 'name' => 'wr_local_inv', -+ 'offset' => '208', -+ 'type' => '17248' -+ }, -+ '8' => { -+ 'name' => 'wr_rdma_read', -+ 'offset' => '216', -+ 'type' => '17275' -+ }, -+ '9' => { -+ 'name' => 'wr_rdma_write', -+ 'offset' => '224', -+ 'type' => '17275' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_ex', -+ 'Size' => '344', -+ 'Type' => 'Struct' -+ }, -+ '168' => { -+ 'BaseType' => '149', -+ 'Header' => undef, -+ 'Line' => '193', -+ 'Name' => '__ssize_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '17150' => { -+ 'BaseType' => '16756', -+ 'Name' => 'struct ibv_qp_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '17156' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint64_t, uint64_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '17150' -+ }, -+ '1' => { -+ 'type' => '826' -+ }, -+ '2' => { -+ 'type' => '838' -+ }, -+ '3' => { -+ 'type' => '838' -+ }, -+ '4' => { -+ 'type' => '838' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '17188' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint64_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '17150' -+ }, -+ '1' => { -+ 'type' => '826' -+ }, -+ '2' => { -+ 'type' => '838' -+ }, -+ '3' => { -+ 'type' => '838' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '17220' => { -+ 'BaseType' => '13542', -+ 'Name' => 'struct ibv_mw_bind_info const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '17226' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, struct ibv_mw*, uint32_t, struct ibv_mw_bind_info const*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '17150' -+ }, -+ '1' => { -+ 'type' => '16339' -+ }, -+ '2' => { -+ 'type' => '826' -+ }, -+ '3' => { -+ 'type' => '17220' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '17248' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '17150' -+ }, -+ '1' => { -+ 'type' => '826' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '17275' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '17150' -+ }, -+ '1' => { -+ 'type' => '826' -+ }, -+ '2' => { -+ 'type' => '838' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '17307' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, __be32)', -+ 'Param' => { -+ '0' => { -+ 'type' => '17150' -+ }, -+ '1' => { -+ 'type' => '826' -+ }, -+ '2' => { -+ 'type' => '838' -+ }, -+ '3' => { -+ 'type' => '922' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '17324' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '17150' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '17346' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, __be32)', -+ 'Param' => { -+ '0' => { -+ 'type' => '17150' -+ }, -+ '1' => { -+ 'type' => '922' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '17378' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, void*, uint16_t, uint16_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '17150' -+ }, -+ '1' => { -+ 'type' => '71' -+ }, -+ '2' => { -+ 'type' => '814' -+ }, -+ '3' => { -+ 'type' => '814' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '17410' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, struct ibv_ah*, uint32_t, uint32_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '17150' -+ }, -+ '1' => { -+ 'type' => '16183' -+ }, -+ '2' => { -+ 'type' => '826' -+ }, -+ '3' => { -+ 'type' => '826' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '17437' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, void*, size_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '17150' -+ }, -+ '1' => { -+ 'type' => '71' -+ }, -+ '2' => { -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '17464' => { -+ 'BaseType' => '15865', -+ 'Name' => 'struct ibv_data_buf const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '17470' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, size_t, struct ibv_data_buf const*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '17150' -+ }, -+ '1' => { -+ 'type' => '52' -+ }, -+ '2' => { -+ 'type' => '17464' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '17502' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint32_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '17150' -+ }, -+ '1' => { -+ 'type' => '826' -+ }, -+ '2' => { -+ 'type' => '838' -+ }, -+ '3' => { -+ 'type' => '826' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '17529' => { -+ 'BaseType' => '15927', -+ 'Name' => 'struct ibv_sge const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '17535' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, size_t, struct ibv_sge const*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '17150' -+ }, -+ '1' => { -+ 'type' => '52' -+ }, -+ '2' => { -+ 'type' => '17529' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '17556' => { -+ 'Name' => 'int(*)(struct ibv_qp_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '17150' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '17562' => { -+ 'Header' => undef, -+ 'Line' => '1433', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'fd', -+ 'offset' => '8', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'name' => 'refcnt', -+ 'offset' => '12', -+ 'type' => '130' -+ } -+ }, -+ 'Name' => 'struct ibv_comp_channel', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '17618' => { -+ 'BaseType' => '17562', -+ 'Name' => 'struct ibv_comp_channel*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '17656' => { -+ 'Header' => undef, -+ 'Line' => '1508', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'cq_count', -+ 'offset' => '0', -+ 'type' => '814' -+ }, -+ '1' => { -+ 'name' => 'cq_period', -+ 'offset' => '2', -+ 'type' => '814' -+ } -+ }, -+ 'Name' => 'struct ibv_moderate_cq', -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '17699' => { -+ 'Header' => undef, -+ 'Line' => '1513', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'attr_mask', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'moderate', -+ 'offset' => '4', -+ 'type' => '17656' -+ } -+ }, -+ 'Name' => 'struct ibv_modify_cq_attr', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '17742' => { -+ 'Header' => undef, -+ 'Line' => '1638', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_FLOW_ATTR_NORMAL', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_FLOW_ATTR_ALL_DEFAULT', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_FLOW_ATTR_MC_DEFAULT', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_FLOW_ATTR_SNIFFER', -+ 'value' => '3' -+ } -+ }, -+ 'Name' => 'enum ibv_flow_attr_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '180' => { -+ 'BaseType' => '186', -+ 'Name' => 'char*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '186' => { -+ 'Name' => 'char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '19226' => { -+ 'Header' => undef, -+ 'Line' => '1870', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '10735' -+ } -+ }, -+ 'Name' => 'struct ibv_flow_action', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '193' => { -+ 'BaseType' => '168', -+ 'Header' => undef, -+ 'Line' => '77', -+ 'Name' => 'ssize_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '19323' => { -+ 'Header' => undef, -+ 'Line' => '2034', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '10735' -+ } -+ }, -+ 'Name' => 'struct ibv_counters', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '19352' => { -+ 'BaseType' => '19323', -+ 'Name' => 'struct ibv_counters*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '19611' => { -+ 'Header' => undef, -+ 'Line' => '1850', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'type', -+ 'offset' => '4', -+ 'type' => '17742' -+ }, -+ '2' => { -+ 'name' => 'size', -+ 'offset' => '8', -+ 'type' => '814' -+ }, -+ '3' => { -+ 'name' => 'priority', -+ 'offset' => '10', -+ 'type' => '814' -+ }, -+ '4' => { -+ 'name' => 'num_of_specs', -+ 'offset' => '12', -+ 'type' => '802' -+ }, -+ '5' => { -+ 'name' => 'port', -+ 'offset' => '13', -+ 'type' => '802' -+ }, -+ '6' => { -+ 'name' => 'flags', -+ 'offset' => '16', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_flow_attr', -+ 'Size' => '20', -+ 'Type' => 'Struct' -+ }, -+ '196292' => { -+ 'Header' => undef, -+ 'Line' => '572', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'user_handle', -+ 'offset' => '8', -+ 'type' => '898' -+ }, -+ '10' => { -+ 'name' => 'max_inline_data', -+ 'offset' => '48', -+ 'type' => '886' -+ }, -+ '11' => { -+ 'name' => 'sq_sig_all', -+ 'offset' => '52', -+ 'type' => '862' -+ }, -+ '12' => { -+ 'name' => 'qp_type', -+ 'offset' => '53', -+ 'type' => '862' -+ }, -+ '13' => { -+ 'name' => 'is_srq', -+ 'offset' => '54', -+ 'type' => '862' -+ }, -+ '14' => { -+ 'name' => 'reserved', -+ 'offset' => '55', -+ 'type' => '862' -+ }, -+ '15' => { -+ 'name' => 'driver_data', -+ 'offset' => '56', -+ 'type' => '1522' -+ }, -+ '2' => { -+ 'name' => 'pd_handle', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'send_cq_handle', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'recv_cq_handle', -+ 'offset' => '24', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'srq_handle', -+ 'offset' => '28', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'max_send_wr', -+ 'offset' => '32', -+ 'type' => '886' -+ }, -+ '7' => { -+ 'name' => 'max_recv_wr', -+ 'offset' => '36', -+ 'type' => '886' -+ }, -+ '8' => { -+ 'name' => 'max_send_sge', -+ 'offset' => '40', -+ 'type' => '886' -+ }, -+ '9' => { -+ 'name' => 'max_recv_sge', -+ 'offset' => '44', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_create_qp', -+ 'Size' => '56', -+ 'Type' => 'Struct' -+ }, -+ '196577' => { -+ 'Header' => undef, -+ 'Line' => '613', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'user_handle', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'pd_handle', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '10' => { -+ 'name' => 'sq_sig_all', -+ 'offset' => '44', -+ 'type' => '862' -+ }, -+ '11' => { -+ 'name' => 'qp_type', -+ 'offset' => '45', -+ 'type' => '862' -+ }, -+ '12' => { -+ 'name' => 'is_srq', -+ 'offset' => '46', -+ 'type' => '862' -+ }, -+ '13' => { -+ 'name' => 'reserved', -+ 'offset' => '47', -+ 'type' => '862' -+ }, -+ '14' => { -+ 'name' => 'comp_mask', -+ 'offset' => '48', -+ 'type' => '886' -+ }, -+ '15' => { -+ 'name' => 'create_flags', -+ 'offset' => '52', -+ 'type' => '886' -+ }, -+ '16' => { -+ 'name' => 'rwq_ind_tbl_handle', -+ 'offset' => '56', -+ 'type' => '886' -+ }, -+ '17' => { -+ 'name' => 'source_qpn', -+ 'offset' => '60', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'send_cq_handle', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'recv_cq_handle', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'srq_handle', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'max_send_wr', -+ 'offset' => '24', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'max_recv_wr', -+ 'offset' => '28', -+ 'type' => '886' -+ }, -+ '7' => { -+ 'name' => 'max_send_sge', -+ 'offset' => '32', -+ 'type' => '886' -+ }, -+ '8' => { -+ 'name' => 'max_recv_sge', -+ 'offset' => '36', -+ 'type' => '886' -+ }, -+ '9' => { -+ 'name' => 'max_inline_data', -+ 'offset' => '40', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_ex_create_qp', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '197003' => { -+ 'Header' => undef, -+ 'Line' => '657', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'base', -+ 'offset' => '0', -+ 'type' => '4605' -+ }, -+ '1' => { -+ 'name' => 'comp_mask', -+ 'offset' => '32', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'response_length', -+ 'offset' => '36', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_ex_create_qp_resp', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '19724' => { -+ 'Header' => undef, -+ 'Line' => '1864', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'context', -+ 'offset' => '8', -+ 'type' => '10735' -+ }, -+ '2' => { -+ 'name' => 'handle', -+ 'offset' => '16', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_flow', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '19781' => { -+ 'Header' => undef, -+ 'Line' => '1899', -+ 'Memb' => { -+ '0' => { -+ 'name' => '_dummy1', -+ 'offset' => '0', -+ 'type' => '19965' -+ }, -+ '1' => { -+ 'name' => '_dummy2', -+ 'offset' => '8', -+ 'type' => '19982' -+ } -+ }, -+ 'Name' => 'struct _ibv_device_ops', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '19844' => { -+ 'BaseType' => '19850', -+ 'Name' => 'struct ibv_device*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '19850' => { -+ 'Header' => undef, -+ 'Line' => '1909', -+ 'Memb' => { -+ '0' => { -+ 'name' => '_ops', -+ 'offset' => '0', -+ 'type' => '19781' -+ }, -+ '1' => { -+ 'name' => 'node_type', -+ 'offset' => '16', -+ 'type' => '10457' -+ }, -+ '2' => { -+ 'name' => 'transport_type', -+ 'offset' => '20', -+ 'type' => '10524' -+ }, -+ '3' => { -+ 'name' => 'name', -+ 'offset' => '24', -+ 'type' => '11275' -+ }, -+ '4' => { -+ 'name' => 'dev_name', -+ 'offset' => '88', -+ 'type' => '11275' -+ }, -+ '5' => { -+ 'name' => 'dev_path', -+ 'offset' => '152', -+ 'type' => '20017' -+ }, -+ '6' => { -+ 'name' => 'ibdev_path', -+ 'offset' => '408', -+ 'type' => '20017' -+ } -+ }, -+ 'Name' => 'struct ibv_device', -+ 'Size' => '664', -+ 'Type' => 'Struct' -+ }, -+ '19965' => { -+ 'Name' => 'struct ibv_context*(*)(struct ibv_device*, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '19844' -+ }, -+ '1' => { -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '10735', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '19982' => { -+ 'Name' => 'void(*)(struct ibv_context*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '20017' => { -+ 'BaseType' => '186', -+ 'Name' => 'char[256]', -+ 'Size' => '256', -+ 'Type' => 'Array' -+ }, -+ '20033' => { -+ 'Header' => undef, -+ 'Line' => '1924', -+ 'Memb' => { -+ '0' => { -+ 'name' => '_compat_query_device', -+ 'offset' => '0', -+ 'type' => '20502' -+ }, -+ '1' => { -+ 'name' => '_compat_query_port', -+ 'offset' => '8', -+ 'type' => '20544' -+ }, -+ '10' => { -+ 'name' => '_compat_create_cq', -+ 'offset' => '80', -+ 'type' => '20502' -+ }, -+ '11' => { -+ 'name' => 'poll_cq', -+ 'offset' => '88', -+ 'type' => '20665' -+ }, -+ '12' => { -+ 'name' => 'req_notify_cq', -+ 'offset' => '96', -+ 'type' => '20691' -+ }, -+ '13' => { -+ 'name' => '_compat_cq_event', -+ 'offset' => '104', -+ 'type' => '20502' -+ }, -+ '14' => { -+ 'name' => '_compat_resize_cq', -+ 'offset' => '112', -+ 'type' => '20502' -+ }, -+ '15' => { -+ 'name' => '_compat_destroy_cq', -+ 'offset' => '120', -+ 'type' => '20502' -+ }, -+ '16' => { -+ 'name' => '_compat_create_srq', -+ 'offset' => '128', -+ 'type' => '20502' -+ }, -+ '17' => { -+ 'name' => '_compat_modify_srq', -+ 'offset' => '136', -+ 'type' => '20502' -+ }, -+ '18' => { -+ 'name' => '_compat_query_srq', -+ 'offset' => '144', -+ 'type' => '20502' -+ }, -+ '19' => { -+ 'name' => '_compat_destroy_srq', -+ 'offset' => '152', -+ 'type' => '20502' -+ }, -+ '2' => { -+ 'name' => '_compat_alloc_pd', -+ 'offset' => '16', -+ 'type' => '20502' -+ }, -+ '20' => { -+ 'name' => 'post_srq_recv', -+ 'offset' => '160', -+ 'type' => '20722' -+ }, -+ '21' => { -+ 'name' => '_compat_create_qp', -+ 'offset' => '168', -+ 'type' => '20502' -+ }, -+ '22' => { -+ 'name' => '_compat_query_qp', -+ 'offset' => '176', -+ 'type' => '20502' -+ }, -+ '23' => { -+ 'name' => '_compat_modify_qp', -+ 'offset' => '184', -+ 'type' => '20502' -+ }, -+ '24' => { -+ 'name' => '_compat_destroy_qp', -+ 'offset' => '192', -+ 'type' => '20502' -+ }, -+ '25' => { -+ 'name' => 'post_send', -+ 'offset' => '200', -+ 'type' => '20759' -+ }, -+ '26' => { -+ 'name' => 'post_recv', -+ 'offset' => '208', -+ 'type' => '20790' -+ }, -+ '27' => { -+ 'name' => '_compat_create_ah', -+ 'offset' => '216', -+ 'type' => '20502' -+ }, -+ '28' => { -+ 'name' => '_compat_destroy_ah', -+ 'offset' => '224', -+ 'type' => '20502' -+ }, -+ '29' => { -+ 'name' => '_compat_attach_mcast', -+ 'offset' => '232', -+ 'type' => '20502' -+ }, -+ '3' => { -+ 'name' => '_compat_dealloc_pd', -+ 'offset' => '24', -+ 'type' => '20502' -+ }, -+ '30' => { -+ 'name' => '_compat_detach_mcast', -+ 'offset' => '240', -+ 'type' => '20502' -+ }, -+ '31' => { -+ 'name' => '_compat_async_event', -+ 'offset' => '248', -+ 'type' => '20502' -+ }, -+ '4' => { -+ 'name' => '_compat_reg_mr', -+ 'offset' => '32', -+ 'type' => '20502' -+ }, -+ '5' => { -+ 'name' => '_compat_rereg_mr', -+ 'offset' => '40', -+ 'type' => '20502' -+ }, -+ '6' => { -+ 'name' => '_compat_dereg_mr', -+ 'offset' => '48', -+ 'type' => '20502' -+ }, -+ '7' => { -+ 'name' => 'alloc_mw', -+ 'offset' => '56', -+ 'type' => '20570' -+ }, -+ '8' => { -+ 'name' => 'bind_mw', -+ 'offset' => '64', -+ 'type' => '20607' -+ }, -+ '9' => { -+ 'name' => 'dealloc_mw', -+ 'offset' => '72', -+ 'type' => '20628' -+ } -+ }, -+ 'Name' => 'struct ibv_context_ops', -+ 'Size' => '256', -+ 'Type' => 'Struct' -+ }, -+ '205' => { -+ 'Name' => 'long long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '20502' => { -+ 'Name' => 'void*(*)()', -+ 'Return' => '71', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '20533' => { -+ 'BaseType' => '20539', -+ 'Name' => 'struct _compat_ibv_port_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '20539' => { -+ 'Header' => undef, -+ 'Line' => '185', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'state', -+ 'offset' => '0', -+ 'type' => '58223' -+ }, -+ '1' => { -+ 'name' => 'max_mtu', -+ 'offset' => '4', -+ 'type' => '12032' -+ }, -+ '10' => { -+ 'name' => 'sm_lid', -+ 'offset' => '36', -+ 'type' => '814' -+ }, -+ '11' => { -+ 'name' => 'lmc', -+ 'offset' => '38', -+ 'type' => '802' -+ }, -+ '12' => { -+ 'name' => 'max_vl_num', -+ 'offset' => '39', -+ 'type' => '802' -+ }, -+ '13' => { -+ 'name' => 'sm_sl', -+ 'offset' => '40', -+ 'type' => '802' -+ }, -+ '14' => { -+ 'name' => 'subnet_timeout', -+ 'offset' => '41', -+ 'type' => '802' -+ }, -+ '15' => { -+ 'name' => 'init_type_reply', -+ 'offset' => '42', -+ 'type' => '802' -+ }, -+ '16' => { -+ 'name' => 'active_width', -+ 'offset' => '43', -+ 'type' => '802' -+ }, -+ '17' => { -+ 'name' => 'active_speed', -+ 'offset' => '44', -+ 'type' => '802' -+ }, -+ '18' => { -+ 'name' => 'phys_state', -+ 'offset' => '45', -+ 'type' => '802' -+ }, -+ '19' => { -+ 'name' => 'link_layer', -+ 'offset' => '46', -+ 'type' => '802' -+ }, -+ '2' => { -+ 'name' => 'active_mtu', -+ 'offset' => '8', -+ 'type' => '12032' -+ }, -+ '20' => { -+ 'name' => 'flags', -+ 'offset' => '47', -+ 'type' => '802' -+ }, -+ '3' => { -+ 'name' => 'gid_tbl_len', -+ 'offset' => '12', -+ 'type' => '130' -+ }, -+ '4' => { -+ 'name' => 'port_cap_flags', -+ 'offset' => '16', -+ 'type' => '826' -+ }, -+ '5' => { -+ 'name' => 'max_msg_sz', -+ 'offset' => '20', -+ 'type' => '826' -+ }, -+ '6' => { -+ 'name' => 'bad_pkey_cntr', -+ 'offset' => '24', -+ 'type' => '826' -+ }, -+ '7' => { -+ 'name' => 'qkey_viol_cntr', -+ 'offset' => '28', -+ 'type' => '826' -+ }, -+ '8' => { -+ 'name' => 'pkey_tbl_len', -+ 'offset' => '32', -+ 'type' => '814' -+ }, -+ '9' => { -+ 'name' => 'lid', -+ 'offset' => '34', -+ 'type' => '814' -+ } -+ }, -+ 'Name' => 'struct _compat_ibv_port_attr', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '20544' => { -+ 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct _compat_ibv_port_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '802' -+ }, -+ '2' => { -+ 'type' => '20533' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '20570' => { -+ 'Name' => 'struct ibv_mw*(*)(struct ibv_pd*, enum ibv_mw_type)', -+ 'Param' => { -+ '0' => { -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'type' => '13881' -+ } -+ }, -+ 'Return' => '16339', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '20601' => { -+ 'BaseType' => '16662', -+ 'Name' => 'struct ibv_mw_bind*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '20607' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_mw*, struct ibv_mw_bind*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'type' => '16339' -+ }, -+ '2' => { -+ 'type' => '20601' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '20628' => { -+ 'Name' => 'int(*)(struct ibv_mw*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '16339' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '20659' => { -+ 'BaseType' => '13207', -+ 'Name' => 'struct ibv_wc*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '20665' => { -+ 'Name' => 'int(*)(struct ibv_cq*, int, struct ibv_wc*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12223' -+ }, -+ '1' => { -+ 'type' => '130' -+ }, -+ '2' => { -+ 'type' => '20659' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '20691' => { -+ 'Name' => 'int(*)(struct ibv_cq*, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12223' -+ }, -+ '1' => { -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '20722' => { -+ 'Name' => 'int(*)(struct ibv_srq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12543' -+ }, -+ '1' => { -+ 'type' => '16656' -+ }, -+ '2' => { -+ 'type' => '16744' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '20753' => { -+ 'BaseType' => '16573', -+ 'Name' => 'struct ibv_send_wr**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '20759' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_send_wr*, struct ibv_send_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'type' => '16573' -+ }, -+ '2' => { -+ 'type' => '20753' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '20790' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_recv_wr*, struct ibv_recv_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'type' => '16656' -+ }, -+ '2' => { -+ 'type' => '16744' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '20796' => { -+ 'BaseType' => '838', -+ 'Name' => 'uint64_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '20802' => { -+ 'BaseType' => '19724', -+ 'Name' => 'struct ibv_flow*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '20808' => { -+ 'BaseType' => '17699', -+ 'Name' => 'struct ibv_modify_cq_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '20814' => { -+ 'BaseType' => '14603', -+ 'Name' => 'struct ibv_rwq_ind_table_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '20820' => { -+ 'BaseType' => '14421', -+ 'Name' => 'struct ibv_wq_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '20826' => { -+ 'BaseType' => '11318', -+ 'Name' => 'struct ibv_query_device_ex_input const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '20832' => { -+ 'BaseType' => '11779', -+ 'Name' => 'struct ibv_device_attr_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '20838' => { -+ 'BaseType' => '19611', -+ 'Name' => 'struct ibv_flow_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '20844' => { -+ 'BaseType' => '14982', -+ 'Name' => 'struct ibv_qp_open_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '20850' => { -+ 'BaseType' => '13746', -+ 'Name' => 'struct ibv_xrcd_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '20856' => { -+ 'Name' => '_Bool', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '20863' => { -+ 'Header' => undef, -+ 'Line' => '51', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'ex_hdr', -+ 'offset' => '8', -+ 'type' => '1411' -+ } -+ }, -+ 'Name' => 'struct ex_hdr', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '2089' => { -+ 'BaseType' => '862', -+ 'Name' => '__u8[4]', -+ 'Size' => '4', -+ 'Type' => 'Array' -+ }, -+ '20905' => { -+ 'Header' => undef, -+ 'Line' => '175', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'pd_handle', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'mw_type', -+ 'offset' => '12', -+ 'type' => '862' -+ }, -+ '3' => { -+ 'name' => 'reserved', -+ 'offset' => '13', -+ 'type' => '3479' -+ }, -+ '4' => { -+ 'name' => 'driver_data', -+ 'offset' => '16', -+ 'type' => '1522' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '20999' => { -+ 'Header' => undef, -+ 'Line' => '175', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '20905' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '3391' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Union' -+ }, -+ '21029' => { -+ 'Header' => undef, -+ 'Line' => '175', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '20999' -+ } -+ }, -+ 'Name' => 'struct ibv_alloc_mw', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '2105' => { -+ 'Header' => undef, -+ 'Line' => '219', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'reserved', -+ 'offset' => '4', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_ex_query_device', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '21090' => { -+ 'Header' => undef, -+ 'Line' => '176', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'driver_data', -+ 'offset' => '8', -+ 'type' => '1522' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '210991' => { -+ 'Header' => undef, -+ 'Line' => '182', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'user_handle', -+ 'offset' => '8', -+ 'type' => '898' -+ }, -+ '10' => { -+ 'name' => 'max_inline_data', -+ 'offset' => '48', -+ 'type' => '886' -+ }, -+ '11' => { -+ 'name' => 'sq_sig_all', -+ 'offset' => '52', -+ 'type' => '862' -+ }, -+ '12' => { -+ 'name' => 'qp_type', -+ 'offset' => '53', -+ 'type' => '862' -+ }, -+ '13' => { -+ 'name' => 'is_srq', -+ 'offset' => '54', -+ 'type' => '862' -+ }, -+ '14' => { -+ 'name' => 'reserved', -+ 'offset' => '55', -+ 'type' => '862' -+ }, -+ '15' => { -+ 'name' => 'driver_data', -+ 'offset' => '56', -+ 'type' => '1522' -+ }, -+ '2' => { -+ 'name' => 'pd_handle', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'send_cq_handle', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'recv_cq_handle', -+ 'offset' => '24', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'srq_handle', -+ 'offset' => '28', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'max_send_wr', -+ 'offset' => '32', -+ 'type' => '886' -+ }, -+ '7' => { -+ 'name' => 'max_recv_wr', -+ 'offset' => '36', -+ 'type' => '886' -+ }, -+ '8' => { -+ 'name' => 'max_send_sge', -+ 'offset' => '40', -+ 'type' => '886' -+ }, -+ '9' => { -+ 'name' => 'max_recv_sge', -+ 'offset' => '44', -+ 'type' => '886' -+ } -+ }, -+ 'Size' => '56', -+ 'Type' => 'Struct' -+ }, -+ '211229' => { -+ 'Header' => undef, -+ 'Line' => '182', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '210991' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '196292' -+ } -+ }, -+ 'Size' => '56', -+ 'Type' => 'Union' -+ }, -+ '211259' => { -+ 'Header' => undef, -+ 'Line' => '182', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '211229' -+ } -+ }, -+ 'Name' => 'struct ibv_create_qp', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '21145' => { -+ 'Header' => undef, -+ 'Line' => '176', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '21090' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '2662' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Union' -+ }, -+ '211473' => { -+ 'Header' => undef, -+ 'Line' => '213', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'user_handle', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'pd_handle', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '10' => { -+ 'name' => 'sq_sig_all', -+ 'offset' => '44', -+ 'type' => '862' -+ }, -+ '11' => { -+ 'name' => 'qp_type', -+ 'offset' => '45', -+ 'type' => '862' -+ }, -+ '12' => { -+ 'name' => 'is_srq', -+ 'offset' => '46', -+ 'type' => '862' -+ }, -+ '13' => { -+ 'name' => 'reserved', -+ 'offset' => '47', -+ 'type' => '862' -+ }, -+ '14' => { -+ 'name' => 'comp_mask', -+ 'offset' => '48', -+ 'type' => '886' -+ }, -+ '15' => { -+ 'name' => 'create_flags', -+ 'offset' => '52', -+ 'type' => '886' -+ }, -+ '16' => { -+ 'name' => 'rwq_ind_tbl_handle', -+ 'offset' => '56', -+ 'type' => '886' -+ }, -+ '17' => { -+ 'name' => 'source_qpn', -+ 'offset' => '60', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'send_cq_handle', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'recv_cq_handle', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'srq_handle', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'max_send_wr', -+ 'offset' => '24', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'max_recv_wr', -+ 'offset' => '28', -+ 'type' => '886' -+ }, -+ '7' => { -+ 'name' => 'max_send_sge', -+ 'offset' => '32', -+ 'type' => '886' -+ }, -+ '8' => { -+ 'name' => 'max_recv_sge', -+ 'offset' => '36', -+ 'type' => '886' -+ }, -+ '9' => { -+ 'name' => 'max_inline_data', -+ 'offset' => '40', -+ 'type' => '886' -+ } -+ }, -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '211719' => { -+ 'Header' => undef, -+ 'Line' => '213', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '211473' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '196577' -+ } -+ }, -+ 'Size' => '64', -+ 'Type' => 'Union' -+ }, -+ '211749' => { -+ 'Header' => undef, -+ 'Line' => '213', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '20863' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '24', -+ 'type' => '211719' -+ } -+ }, -+ 'Name' => 'struct ibv_create_qp_ex', -+ 'Size' => '88', -+ 'Type' => 'Struct' -+ }, -+ '21175' => { -+ 'Header' => undef, -+ 'Line' => '176', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '21145' -+ } -+ }, -+ 'Name' => 'struct ibv_alloc_pd', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '2145' => { -+ 'Header' => undef, -+ 'Line' => '226', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rc_odp_caps', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'uc_odp_caps', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'ud_odp_caps', -+ 'offset' => '8', -+ 'type' => '886' -+ } -+ }, -+ 'Size' => '12', -+ 'Type' => 'Struct' -+ }, -+ '216667' => { -+ 'BaseType' => '211749', -+ 'Name' => 'struct ibv_create_qp_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '216673' => { -+ 'BaseType' => '197003', -+ 'Name' => 'struct ib_uverbs_ex_create_qp_resp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '217214' => { -+ 'BaseType' => '211259', -+ 'Name' => 'struct ibv_create_qp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '21775' => { -+ 'Header' => undef, -+ 'Line' => '194', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dest', -+ 'offset' => '0', -+ 'type' => '4762' -+ }, -+ '1' => { -+ 'name' => 'alt_dest', -+ 'offset' => '32', -+ 'type' => '4762' -+ }, -+ '10' => { -+ 'name' => 'alt_pkey_index', -+ 'offset' => '94', -+ 'type' => '874' -+ }, -+ '11' => { -+ 'name' => 'qp_state', -+ 'offset' => '96', -+ 'type' => '862' -+ }, -+ '12' => { -+ 'name' => 'cur_qp_state', -+ 'offset' => '97', -+ 'type' => '862' -+ }, -+ '13' => { -+ 'name' => 'path_mtu', -+ 'offset' => '98', -+ 'type' => '862' -+ }, -+ '14' => { -+ 'name' => 'path_mig_state', -+ 'offset' => '99', -+ 'type' => '862' -+ }, -+ '15' => { -+ 'name' => 'en_sqd_async_notify', -+ 'offset' => '100', -+ 'type' => '862' -+ }, -+ '16' => { -+ 'name' => 'max_rd_atomic', -+ 'offset' => '101', -+ 'type' => '862' -+ }, -+ '17' => { -+ 'name' => 'max_dest_rd_atomic', -+ 'offset' => '102', -+ 'type' => '862' -+ }, -+ '18' => { -+ 'name' => 'min_rnr_timer', -+ 'offset' => '103', -+ 'type' => '862' -+ }, -+ '19' => { -+ 'name' => 'port_num', -+ 'offset' => '104', -+ 'type' => '862' -+ }, -+ '2' => { -+ 'name' => 'qp_handle', -+ 'offset' => '64', -+ 'type' => '886' -+ }, -+ '20' => { -+ 'name' => 'timeout', -+ 'offset' => '105', -+ 'type' => '862' -+ }, -+ '21' => { -+ 'name' => 'retry_cnt', -+ 'offset' => '106', -+ 'type' => '862' -+ }, -+ '22' => { -+ 'name' => 'rnr_retry', -+ 'offset' => '107', -+ 'type' => '862' -+ }, -+ '23' => { -+ 'name' => 'alt_port_num', -+ 'offset' => '108', -+ 'type' => '862' -+ }, -+ '24' => { -+ 'name' => 'alt_timeout', -+ 'offset' => '109', -+ 'type' => '862' -+ }, -+ '25' => { -+ 'name' => 'reserved', -+ 'offset' => '110', -+ 'type' => '5896' -+ }, -+ '26' => { -+ 'name' => 'driver_data', -+ 'offset' => '112', -+ 'type' => '1522' -+ }, -+ '3' => { -+ 'name' => 'attr_mask', -+ 'offset' => '68', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'qkey', -+ 'offset' => '72', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'rq_psn', -+ 'offset' => '76', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'sq_psn', -+ 'offset' => '80', -+ 'type' => '886' -+ }, -+ '7' => { -+ 'name' => 'dest_qp_num', -+ 'offset' => '84', -+ 'type' => '886' -+ }, -+ '8' => { -+ 'name' => 'qp_access_flags', -+ 'offset' => '88', -+ 'type' => '886' -+ }, -+ '9' => { -+ 'name' => 'pkey_index', -+ 'offset' => '92', -+ 'type' => '874' -+ } -+ }, -+ 'Size' => '112', -+ 'Type' => 'Struct' -+ }, -+ '2194' => { -+ 'Header' => undef, -+ 'Line' => '224', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'general_caps', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'per_transport_caps', -+ 'offset' => '8', -+ 'type' => '2145' -+ }, -+ '2' => { -+ 'name' => 'reserved', -+ 'offset' => '20', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_odp_caps', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '22154' => { -+ 'Header' => undef, -+ 'Line' => '194', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '21775' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '5501' -+ } -+ }, -+ 'Size' => '112', -+ 'Type' => 'Union' -+ }, -+ '22184' => { -+ 'Header' => undef, -+ 'Line' => '194', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '22154' -+ } -+ }, -+ 'Name' => 'struct ibv_modify_qp', -+ 'Size' => '120', -+ 'Type' => 'Struct' -+ }, -+ '22232' => { -+ 'Header' => undef, -+ 'Line' => '195', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'srq_handle', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'attr_mask', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'max_wr', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'srq_limit', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'driver_data', -+ 'offset' => '16', -+ 'type' => '1522' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '22325' => { -+ 'Header' => undef, -+ 'Line' => '195', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '22232' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '9741' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Union' -+ }, -+ '22355' => { -+ 'Header' => undef, -+ 'Line' => '195', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '22325' -+ } -+ }, -+ 'Name' => 'struct ibv_modify_srq', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '22403' => { -+ 'Header' => undef, -+ 'Line' => '196', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'user_handle', -+ 'offset' => '8', -+ 'type' => '898' -+ }, -+ '2' => { -+ 'name' => 'pd_handle', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'qpn', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'qp_type', -+ 'offset' => '24', -+ 'type' => '862' -+ }, -+ '5' => { -+ 'name' => 'reserved', -+ 'offset' => '25', -+ 'type' => '2646' -+ }, -+ '6' => { -+ 'name' => 'driver_data', -+ 'offset' => '32', -+ 'type' => '1522' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '2249' => { -+ 'Header' => undef, -+ 'Line' => '234', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'supported_qpts', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'max_rwq_indirection_tables', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'max_rwq_indirection_table_size', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'reserved', -+ 'offset' => '12', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_rss_caps', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '22524' => { -+ 'Header' => undef, -+ 'Line' => '196', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '22403' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '4472' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Union' -+ }, -+ '22554' => { -+ 'Header' => undef, -+ 'Line' => '196', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '22524' -+ } -+ }, -+ 'Name' => 'struct ibv_open_qp', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '22614' => { -+ 'Header' => undef, -+ 'Line' => '197', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'fd', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'oflags', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'driver_data', -+ 'offset' => '16', -+ 'type' => '1522' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '22694' => { -+ 'Header' => undef, -+ 'Line' => '197', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '22614' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '2783' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Union' -+ }, -+ '22724' => { -+ 'Header' => undef, -+ 'Line' => '197', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '22694' -+ } -+ }, -+ 'Name' => 'struct ibv_open_xrcd', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '2315' => { -+ 'Header' => undef, -+ 'Line' => '245', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'max_rndv_hdr_size', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'max_num_tags', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'flags', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'max_ops', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'max_sge', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'reserved', -+ 'offset' => '20', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_tm_caps', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '232304' => { -+ 'Header' => undef, -+ 'Line' => '1171', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'user_handle', -+ 'offset' => '8', -+ 'type' => '898' -+ }, -+ '2' => { -+ 'name' => 'pd_handle', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'max_wr', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'max_sge', -+ 'offset' => '24', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'srq_limit', -+ 'offset' => '28', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'driver_data', -+ 'offset' => '32', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_create_srq', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '232437' => { -+ 'Header' => undef, -+ 'Line' => '1181', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'user_handle', -+ 'offset' => '8', -+ 'type' => '898' -+ }, -+ '10' => { -+ 'name' => 'driver_data', -+ 'offset' => '48', -+ 'type' => '1522' -+ }, -+ '2' => { -+ 'name' => 'srq_type', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'pd_handle', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'max_wr', -+ 'offset' => '24', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'max_sge', -+ 'offset' => '28', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'srq_limit', -+ 'offset' => '32', -+ 'type' => '886' -+ }, -+ '7' => { -+ 'name' => 'max_num_tags', -+ 'offset' => '36', -+ 'type' => '886' -+ }, -+ '8' => { -+ 'name' => 'xrcd_handle', -+ 'offset' => '40', -+ 'type' => '886' -+ }, -+ '9' => { -+ 'name' => 'cq_handle', -+ 'offset' => '44', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_create_xsrq', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '232626' => { -+ 'Header' => undef, -+ 'Line' => '1195', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'srq_handle', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'max_wr', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'max_sge', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'srqn', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'driver_data', -+ 'offset' => '16', -+ 'type' => '2767' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_create_srq_resp', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '23519' => { -+ 'Header' => undef, -+ 'Line' => '202', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'driver_data', -+ 'offset' => '8', -+ 'type' => '1522' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '23574' => { -+ 'Header' => undef, -+ 'Line' => '202', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '23519' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '1479' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Union' -+ }, -+ '23604' => { -+ 'Header' => undef, -+ 'Line' => '202', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '23574' -+ } -+ }, -+ 'Name' => 'struct ibv_query_device', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '23665' => { -+ 'Header' => undef, -+ 'Line' => '204', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'qp_handle', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'attr_mask', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'driver_data', -+ 'offset' => '16', -+ 'type' => '1522' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '23746' => { -+ 'Header' => undef, -+ 'Line' => '204', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '23665' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '4944' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Union' -+ }, -+ '23776' => { -+ 'Header' => undef, -+ 'Line' => '204', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '23746' -+ } -+ }, -+ 'Name' => 'struct ibv_query_qp', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '23837' => { -+ 'Header' => undef, -+ 'Line' => '205', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'srq_handle', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'reserved', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'driver_data', -+ 'offset' => '16', -+ 'type' => '1522' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '23918' => { -+ 'Header' => undef, -+ 'Line' => '205', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '23837' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '9844' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Union' -+ }, -+ '23948' => { -+ 'Header' => undef, -+ 'Line' => '205', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '23918' -+ } -+ }, -+ 'Name' => 'struct ibv_query_srq', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '24008' => { -+ 'Header' => undef, -+ 'Line' => '206', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'start', -+ 'offset' => '8', -+ 'type' => '898' -+ }, -+ '2' => { -+ 'name' => 'length', -+ 'offset' => '16', -+ 'type' => '898' -+ }, -+ '3' => { -+ 'name' => 'hca_va', -+ 'offset' => '24', -+ 'type' => '898' -+ }, -+ '4' => { -+ 'name' => 'pd_handle', -+ 'offset' => '32', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'access_flags', -+ 'offset' => '36', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'driver_data', -+ 'offset' => '40', -+ 'type' => '1522' -+ } -+ }, -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '2408' => { -+ 'Header' => undef, -+ 'Line' => '259', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'base', -+ 'offset' => '0', -+ 'type' => '1538' -+ }, -+ '1' => { -+ 'name' => 'comp_mask', -+ 'offset' => '176', -+ 'type' => '886' -+ }, -+ '10' => { -+ 'name' => 'tm_caps', -+ 'offset' => '256', -+ 'type' => '2315' -+ }, -+ '11' => { -+ 'name' => 'cq_moderation_caps', -+ 'offset' => '280', -+ 'type' => '1305' -+ }, -+ '12' => { -+ 'name' => 'max_dm_size', -+ 'offset' => '288', -+ 'type' => '898' -+ }, -+ '13' => { -+ 'name' => 'xrc_odp_caps', -+ 'offset' => '296', -+ 'type' => '886' -+ }, -+ '14' => { -+ 'name' => 'reserved', -+ 'offset' => '300', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'response_length', -+ 'offset' => '180', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'odp_caps', -+ 'offset' => '184', -+ 'type' => '2194' -+ }, -+ '4' => { -+ 'name' => 'timestamp_mask', -+ 'offset' => '208', -+ 'type' => '898' -+ }, -+ '5' => { -+ 'name' => 'hca_core_clock', -+ 'offset' => '216', -+ 'type' => '898' -+ }, -+ '6' => { -+ 'name' => 'device_cap_flags_ex', -+ 'offset' => '224', -+ 'type' => '898' -+ }, -+ '7' => { -+ 'name' => 'rss_caps', -+ 'offset' => '232', -+ 'type' => '2249' -+ }, -+ '8' => { -+ 'name' => 'max_wq_type_rq', -+ 'offset' => '248', -+ 'type' => '886' -+ }, -+ '9' => { -+ 'name' => 'raw_packet_caps', -+ 'offset' => '252', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_ex_query_device_resp', -+ 'Size' => '304', -+ 'Type' => 'Struct' -+ }, -+ '24131' => { -+ 'Header' => undef, -+ 'Line' => '206', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '24008' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '2931' -+ } -+ }, -+ 'Size' => '40', -+ 'Type' => 'Union' -+ }, -+ '24161' => { -+ 'Header' => undef, -+ 'Line' => '206', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '24131' -+ } -+ }, -+ 'Name' => 'struct ibv_reg_mr', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '24329' => { -+ 'Header' => undef, -+ 'Line' => '208', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'mr_handle', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'flags', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'start', -+ 'offset' => '16', -+ 'type' => '898' -+ }, -+ '4' => { -+ 'name' => 'length', -+ 'offset' => '24', -+ 'type' => '898' -+ }, -+ '5' => { -+ 'name' => 'hca_va', -+ 'offset' => '32', -+ 'type' => '898' -+ }, -+ '6' => { -+ 'name' => 'pd_handle', -+ 'offset' => '40', -+ 'type' => '886' -+ }, -+ '7' => { -+ 'name' => 'access_flags', -+ 'offset' => '44', -+ 'type' => '886' -+ }, -+ '8' => { -+ 'name' => 'driver_data', -+ 'offset' => '48', -+ 'type' => '1522' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '24478' => { -+ 'Header' => undef, -+ 'Line' => '208', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '24329' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '3153' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Union' -+ }, -+ '24508' => { -+ 'Header' => undef, -+ 'Line' => '208', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '24478' -+ } -+ }, -+ 'Name' => 'struct ibv_rereg_mr', -+ 'Size' => '56', -+ 'Type' => 'Struct' -+ }, -+ '245639' => { -+ 'Header' => undef, -+ 'Line' => '183', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'user_handle', -+ 'offset' => '8', -+ 'type' => '898' -+ }, -+ '2' => { -+ 'name' => 'pd_handle', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'max_wr', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'max_sge', -+ 'offset' => '24', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'srq_limit', -+ 'offset' => '28', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'driver_data', -+ 'offset' => '32', -+ 'type' => '1522' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '24569' => { -+ 'Header' => undef, -+ 'Line' => '209', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'cq_handle', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'cqe', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'driver_data', -+ 'offset' => '16', -+ 'type' => '1522' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '245760' => { -+ 'Header' => undef, -+ 'Line' => '183', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '245639' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '232304' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Union' -+ }, -+ '245790' => { -+ 'Header' => undef, -+ 'Line' => '183', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '245760' -+ } -+ }, -+ 'Name' => 'struct ibv_create_srq', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '245863' => { -+ 'Header' => undef, -+ 'Line' => '184', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'user_handle', -+ 'offset' => '8', -+ 'type' => '898' -+ }, -+ '10' => { -+ 'name' => 'driver_data', -+ 'offset' => '48', -+ 'type' => '1522' -+ }, -+ '2' => { -+ 'name' => 'srq_type', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'pd_handle', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'max_wr', -+ 'offset' => '24', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'max_sge', -+ 'offset' => '28', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'srq_limit', -+ 'offset' => '32', -+ 'type' => '886' -+ }, -+ '7' => { -+ 'name' => 'max_num_tags', -+ 'offset' => '36', -+ 'type' => '886' -+ }, -+ '8' => { -+ 'name' => 'xrcd_handle', -+ 'offset' => '40', -+ 'type' => '886' -+ }, -+ '9' => { -+ 'name' => 'cq_handle', -+ 'offset' => '44', -+ 'type' => '886' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '246036' => { -+ 'Header' => undef, -+ 'Line' => '184', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '245863' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '232437' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Union' -+ }, -+ '246066' => { -+ 'Header' => undef, -+ 'Line' => '184', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '246036' -+ } -+ }, -+ 'Name' => 'struct ibv_create_xsrq', -+ 'Size' => '56', -+ 'Type' => 'Struct' -+ }, -+ '24650' => { -+ 'Header' => undef, -+ 'Line' => '209', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '24569' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '3586' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Union' -+ }, -+ '246623' => { -+ 'Header' => undef, -+ 'Line' => '62', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'srq', -+ 'offset' => '0', -+ 'type' => '12431' -+ }, -+ '1' => { -+ 'name' => 'srq_type', -+ 'offset' => '128', -+ 'type' => '60920' -+ }, -+ '2' => { -+ 'name' => 'xrcd', -+ 'offset' => '136', -+ 'type' => '26296' -+ }, -+ '3' => { -+ 'name' => 'cq', -+ 'offset' => '144', -+ 'type' => '12223' -+ }, -+ '4' => { -+ 'name' => 'srq_num', -+ 'offset' => '152', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct verbs_srq', -+ 'Size' => '160', -+ 'Type' => 'Struct' -+ }, -+ '24680' => { -+ 'Header' => undef, -+ 'Line' => '209', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '24650' -+ } -+ }, -+ 'Name' => 'struct ibv_resize_cq', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '250335' => { -+ 'BaseType' => '246623', -+ 'Name' => 'struct verbs_srq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '250341' => { -+ 'BaseType' => '246066', -+ 'Name' => 'struct ibv_create_xsrq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '250347' => { -+ 'BaseType' => '232626', -+ 'Name' => 'struct ib_uverbs_create_srq_resp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '25035' => { -+ 'Header' => undef, -+ 'Line' => '219', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'cq_handle', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'attr_mask', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'attr', -+ 'offset' => '8', -+ 'type' => '10248' -+ }, -+ '3' => { -+ 'name' => 'reserved', -+ 'offset' => '12', -+ 'type' => '886' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '250899' => { -+ 'BaseType' => '245790', -+ 'Name' => 'struct ibv_create_srq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '25097' => { -+ 'Header' => undef, -+ 'Line' => '219', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '25035' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '10291' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Union' -+ }, -+ '25124' => { -+ 'Header' => undef, -+ 'Line' => '219', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '20863' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '24', -+ 'type' => '25097' -+ } -+ }, -+ 'Name' => 'struct ibv_modify_cq', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '25172' => { -+ 'Header' => undef, -+ 'Line' => '220', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'base', -+ 'offset' => '0', -+ 'type' => '5501' -+ }, -+ '1' => { -+ 'name' => 'rate_limit', -+ 'offset' => '112', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'reserved', -+ 'offset' => '116', -+ 'type' => '886' -+ } -+ }, -+ 'Size' => '120', -+ 'Type' => 'Struct' -+ }, -+ '25223' => { -+ 'Header' => undef, -+ 'Line' => '220', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '25172' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '5928' -+ } -+ }, -+ 'Size' => '120', -+ 'Type' => 'Union' -+ }, -+ '25253' => { -+ 'Header' => undef, -+ 'Line' => '220', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '20863' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '24', -+ 'type' => '25223' -+ } -+ }, -+ 'Name' => 'struct ibv_modify_qp_ex', -+ 'Size' => '144', -+ 'Type' => 'Struct' -+ }, -+ '25314' => { -+ 'Header' => undef, -+ 'Line' => '221', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'attr_mask', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'wq_handle', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'wq_state', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'curr_wq_state', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'flags', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'flags_mask', -+ 'offset' => '20', -+ 'type' => '886' -+ } -+ }, -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '25402' => { -+ 'Header' => undef, -+ 'Line' => '221', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '25314' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '10005' -+ } -+ }, -+ 'Size' => '24', -+ 'Type' => 'Union' -+ }, -+ '25429' => { -+ 'Header' => undef, -+ 'Line' => '221', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '20863' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '24', -+ 'type' => '25402' -+ } -+ }, -+ 'Name' => 'struct ibv_modify_wq', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '25477' => { -+ 'Header' => undef, -+ 'Line' => '222', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'reserved', -+ 'offset' => '4', -+ 'type' => '886' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '25513' => { -+ 'Header' => undef, -+ 'Line' => '222', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '25477' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '2105' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Union' -+ }, -+ '25540' => { -+ 'Header' => undef, -+ 'Line' => '222', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '20863' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '24', -+ 'type' => '25513' -+ } -+ }, -+ 'Name' => 'struct ibv_query_device_ex', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '258069' => { -+ 'Header' => undef, -+ 'Line' => '1235', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'wq_type', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'user_handle', -+ 'offset' => '8', -+ 'type' => '898' -+ }, -+ '3' => { -+ 'name' => 'pd_handle', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'cq_handle', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'max_wr', -+ 'offset' => '24', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'max_sge', -+ 'offset' => '28', -+ 'type' => '886' -+ }, -+ '7' => { -+ 'name' => 'create_flags', -+ 'offset' => '32', -+ 'type' => '886' -+ }, -+ '8' => { -+ 'name' => 'reserved', -+ 'offset' => '36', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_ex_create_wq', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '258212' => { -+ 'Header' => undef, -+ 'Line' => '1247', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'response_length', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'wq_handle', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'max_wr', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'max_sge', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'wqn', -+ 'offset' => '20', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_ex_create_wq_resp', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '26243' => { -+ 'Header' => undef, -+ 'Line' => '56', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'xrcd', -+ 'offset' => '0', -+ 'type' => '13802' -+ }, -+ '1' => { -+ 'name' => 'comp_mask', -+ 'offset' => '8', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'handle', -+ 'offset' => '12', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct verbs_xrcd', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '26296' => { -+ 'BaseType' => '26243', -+ 'Name' => 'struct verbs_xrcd*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '26333' => { -+ 'Header' => undef, -+ 'Line' => '86', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_MR_TYPE_MR', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_MR_TYPE_NULL_MR', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_MR_TYPE_IMPORTED_MR', -+ 'value' => '2' -+ } -+ }, -+ 'Name' => 'enum ibv_mr_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '26370' => { -+ 'Header' => undef, -+ 'Line' => '92', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'ibv_mr', -+ 'offset' => '0', -+ 'type' => '13547' -+ }, -+ '1' => { -+ 'name' => 'mr_type', -+ 'offset' => '48', -+ 'type' => '26333' -+ }, -+ '2' => { -+ 'name' => 'access', -+ 'offset' => '52', -+ 'type' => '130' -+ } -+ }, -+ 'Name' => 'struct verbs_mr', -+ 'Size' => '56', -+ 'Type' => 'Struct' -+ }, -+ '26423' => { -+ 'Header' => undef, -+ 'Line' => '104', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp', -+ 'offset' => '0', -+ 'type' => '12229' -+ }, -+ '1' => { -+ 'name' => 'qp_ex', -+ 'offset' => '0', -+ 'type' => '16756' -+ } -+ }, -+ 'Size' => '344', -+ 'Type' => 'Union' -+ }, -+ '26457' => { -+ 'Header' => undef, -+ 'Line' => '103', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '26423' -+ }, -+ '1' => { -+ 'name' => 'comp_mask', -+ 'offset' => '344', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'xrcd', -+ 'offset' => '352', -+ 'type' => '26296' -+ } -+ }, -+ 'Name' => 'struct verbs_qp', -+ 'Size' => '360', -+ 'Type' => 'Struct' -+ }, -+ '2646' => { -+ 'BaseType' => '862', -+ 'Name' => '__u8[7]', -+ 'Size' => '7', -+ 'Type' => 'Array' -+ }, -+ '26506' => { -+ 'Header' => undef, -+ 'Line' => '120', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_FLOW_ACTION_UNSPECIFIED', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_FLOW_ACTION_ESP', -+ 'value' => '1' -+ } -+ }, -+ 'Name' => 'enum ibv_flow_action_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '26537' => { -+ 'Header' => undef, -+ 'Line' => '125', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'action', -+ 'offset' => '0', -+ 'type' => '19226' -+ }, -+ '1' => { -+ 'name' => 'handle', -+ 'offset' => '8', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'type', -+ 'offset' => '12', -+ 'type' => '26506' -+ } -+ }, -+ 'Name' => 'struct verbs_flow_action', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '26595' => { -+ 'Name' => 'atomic_int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '26602' => { -+ 'Header' => undef, -+ 'Line' => '244', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'counters', -+ 'offset' => '0', -+ 'type' => '19323' -+ }, -+ '1' => { -+ 'name' => 'handle', -+ 'offset' => '8', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct verbs_counters', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '2662' => { -+ 'Header' => undef, -+ 'Line' => '309', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'driver_data', -+ 'offset' => '8', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_alloc_pd', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '26647' => { -+ 'BaseType' => '10436', -+ 'Name' => 'union ibv_gid const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '26653' => { -+ 'BaseType' => '14082', -+ 'Name' => 'struct ibv_ah_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '26659' => { -+ 'BaseType' => '14813', -+ 'Name' => 'struct ibv_qp_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '26665' => { -+ 'BaseType' => '26370', -+ 'Name' => 'struct verbs_mr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '26671' => { -+ 'BaseType' => '15351', -+ 'Name' => 'struct ibv_qp_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '26677' => { -+ 'BaseType' => '14194', -+ 'Name' => 'struct ibv_srq_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '26683' => { -+ 'BaseType' => '10741', -+ 'Name' => 'struct ibv_device_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '26951' => { -+ 'BaseType' => '25124', -+ 'Name' => 'struct ibv_modify_cq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '271317' => { -+ 'Header' => undef, -+ 'Line' => '215', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'wq_type', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'user_handle', -+ 'offset' => '8', -+ 'type' => '898' -+ }, -+ '3' => { -+ 'name' => 'pd_handle', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'cq_handle', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'max_wr', -+ 'offset' => '24', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'max_sge', -+ 'offset' => '28', -+ 'type' => '886' -+ }, -+ '7' => { -+ 'name' => 'create_flags', -+ 'offset' => '32', -+ 'type' => '886' -+ }, -+ '8' => { -+ 'name' => 'reserved', -+ 'offset' => '36', -+ 'type' => '886' -+ } -+ }, -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '271446' => { -+ 'Header' => undef, -+ 'Line' => '215', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '271317' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '258069' -+ } -+ }, -+ 'Size' => '40', -+ 'Type' => 'Union' -+ }, -+ '271476' => { -+ 'Header' => undef, -+ 'Line' => '215', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '20863' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '24', -+ 'type' => '271446' -+ } -+ }, -+ 'Name' => 'struct ibv_create_wq', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '2724' => { -+ 'Header' => undef, -+ 'Line' => '314', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'pd_handle', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'driver_data', -+ 'offset' => '4', -+ 'type' => '2767' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_alloc_pd_resp', -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '27369' => { -+ 'BaseType' => '10177', -+ 'Name' => 'struct ib_uverbs_ex_create_rwq_ind_table_resp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '27626' => { -+ 'BaseType' => '25429', -+ 'Name' => 'struct ibv_modify_wq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '2767' => { -+ 'BaseType' => '886', -+ 'Name' => '__u32[]', -+ 'Size' => '8', -+ 'Type' => 'Array' -+ }, -+ '278271' => { -+ 'BaseType' => '271476', -+ 'Name' => 'struct ibv_create_wq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '278277' => { -+ 'BaseType' => '258212', -+ 'Name' => 'struct ib_uverbs_ex_create_wq_resp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '2783' => { -+ 'Header' => undef, -+ 'Line' => '323', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'fd', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'oflags', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'driver_data', -+ 'offset' => '16', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_open_xrcd', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '2872' => { -+ 'Header' => undef, -+ 'Line' => '330', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'xrcd_handle', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'driver_data', -+ 'offset' => '4', -+ 'type' => '2767' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_open_xrcd_resp', -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '2931' => { -+ 'Header' => undef, -+ 'Line' => '339', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'start', -+ 'offset' => '8', -+ 'type' => '898' -+ }, -+ '2' => { -+ 'name' => 'length', -+ 'offset' => '16', -+ 'type' => '898' -+ }, -+ '3' => { -+ 'name' => 'hca_va', -+ 'offset' => '24', -+ 'type' => '898' -+ }, -+ '4' => { -+ 'name' => 'pd_handle', -+ 'offset' => '32', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'access_flags', -+ 'offset' => '36', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'driver_data', -+ 'offset' => '40', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_reg_mr', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '29840' => { -+ 'BaseType' => '130', -+ 'Name' => 'int*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '301858' => { -+ 'Header' => undef, -+ 'Line' => '44', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '302003' -+ }, -+ '1' => { -+ 'name' => 'handle', -+ 'offset' => '8', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'real_pd', -+ 'offset' => '16', -+ 'type' => '13875' -+ } -+ }, -+ 'Name' => 'struct ibv_pd_1_0', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '301911' => { -+ 'Header' => undef, -+ 'Line' => '218', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'device', -+ 'offset' => '0', -+ 'type' => '303816' -+ }, -+ '1' => { -+ 'name' => 'ops', -+ 'offset' => '8', -+ 'type' => '303189' -+ }, -+ '2' => { -+ 'name' => 'cmd_fd', -+ 'offset' => '224', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'async_fd', -+ 'offset' => '228', -+ 'type' => '130' -+ }, -+ '4' => { -+ 'name' => 'num_comp_vectors', -+ 'offset' => '232', -+ 'type' => '130' -+ }, -+ '5' => { -+ 'name' => 'real_context', -+ 'offset' => '240', -+ 'type' => '10735' -+ } -+ }, -+ 'Name' => 'struct ibv_context_1_0', -+ 'Size' => '248', -+ 'Type' => 'Struct' -+ }, -+ '302003' => { -+ 'BaseType' => '301911', -+ 'Name' => 'struct ibv_context_1_0*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '302009' => { -+ 'Header' => undef, -+ 'Line' => '51', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '302003' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'offset' => '8', -+ 'type' => '302100' -+ }, -+ '2' => { -+ 'name' => 'handle', -+ 'offset' => '16', -+ 'type' => '826' -+ }, -+ '3' => { -+ 'name' => 'lkey', -+ 'offset' => '20', -+ 'type' => '826' -+ }, -+ '4' => { -+ 'name' => 'rkey', -+ 'offset' => '24', -+ 'type' => '826' -+ }, -+ '5' => { -+ 'name' => 'real_mr', -+ 'offset' => '32', -+ 'type' => '13659' -+ } -+ }, -+ 'Name' => 'struct ibv_mr_1_0', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '302100' => { -+ 'BaseType' => '301858', -+ 'Name' => 'struct ibv_pd_1_0*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '302106' => { -+ 'Header' => undef, -+ 'Line' => '61', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '302003' -+ }, -+ '1' => { -+ 'name' => 'srq_context', -+ 'offset' => '8', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'offset' => '16', -+ 'type' => '302100' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '24', -+ 'type' => '826' -+ }, -+ '4' => { -+ 'name' => 'mutex', -+ 'offset' => '32', -+ 'type' => '286979' -+ }, -+ '5' => { -+ 'name' => 'cond', -+ 'offset' => '72', -+ 'type' => '287053' -+ }, -+ '6' => { -+ 'name' => 'events_completed', -+ 'offset' => '120', -+ 'type' => '826' -+ }, -+ '7' => { -+ 'name' => 'real_srq', -+ 'offset' => '128', -+ 'type' => '12543' -+ } -+ }, -+ 'Name' => 'struct ibv_srq_1_0', -+ 'Size' => '136', -+ 'Type' => 'Struct' -+ }, -+ '302223' => { -+ 'Header' => undef, -+ 'Line' => '74', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp_context', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'send_cq', -+ 'offset' => '8', -+ 'type' => '302459' -+ }, -+ '2' => { -+ 'name' => 'recv_cq', -+ 'offset' => '16', -+ 'type' => '302459' -+ }, -+ '3' => { -+ 'name' => 'srq', -+ 'offset' => '24', -+ 'type' => '302465' -+ }, -+ '4' => { -+ 'name' => 'cap', -+ 'offset' => '32', -+ 'type' => '14728' -+ }, -+ '5' => { -+ 'name' => 'qp_type', -+ 'offset' => '52', -+ 'type' => '14666' -+ }, -+ '6' => { -+ 'name' => 'sq_sig_all', -+ 'offset' => '56', -+ 'type' => '130' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_init_attr_1_0', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '302328' => { -+ 'Header' => undef, -+ 'Line' => '137', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '302003' -+ }, -+ '1' => { -+ 'name' => 'cq_context', -+ 'offset' => '8', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'handle', -+ 'offset' => '16', -+ 'type' => '826' -+ }, -+ '3' => { -+ 'name' => 'cqe', -+ 'offset' => '20', -+ 'type' => '130' -+ }, -+ '4' => { -+ 'name' => 'mutex', -+ 'offset' => '24', -+ 'type' => '286979' -+ }, -+ '5' => { -+ 'name' => 'cond', -+ 'offset' => '64', -+ 'type' => '287053' -+ }, -+ '6' => { -+ 'name' => 'comp_events_completed', -+ 'offset' => '112', -+ 'type' => '826' -+ }, -+ '7' => { -+ 'name' => 'async_events_completed', -+ 'offset' => '116', -+ 'type' => '826' -+ }, -+ '8' => { -+ 'name' => 'real_cq', -+ 'offset' => '120', -+ 'type' => '12223' -+ } -+ }, -+ 'Name' => 'struct ibv_cq_1_0', -+ 'Size' => '128', -+ 'Type' => 'Struct' -+ }, -+ '302459' => { -+ 'BaseType' => '302328', -+ 'Name' => 'struct ibv_cq_1_0*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '302465' => { -+ 'BaseType' => '302106', -+ 'Name' => 'struct ibv_srq_1_0*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '302471' => { -+ 'Header' => undef, -+ 'Line' => '93', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'remote_addr', -+ 'offset' => '0', -+ 'type' => '838' -+ }, -+ '1' => { -+ 'name' => 'rkey', -+ 'offset' => '8', -+ 'type' => '826' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '302507' => { -+ 'Header' => undef, -+ 'Line' => '97', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'remote_addr', -+ 'offset' => '0', -+ 'type' => '838' -+ }, -+ '1' => { -+ 'name' => 'compare_add', -+ 'offset' => '8', -+ 'type' => '838' -+ }, -+ '2' => { -+ 'name' => 'swap', -+ 'offset' => '16', -+ 'type' => '838' -+ }, -+ '3' => { -+ 'name' => 'rkey', -+ 'offset' => '24', -+ 'type' => '826' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '302569' => { -+ 'Header' => undef, -+ 'Line' => '103', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'ah', -+ 'offset' => '0', -+ 'type' => '302682' -+ }, -+ '1' => { -+ 'name' => 'remote_qpn', -+ 'offset' => '8', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'remote_qkey', -+ 'offset' => '12', -+ 'type' => '826' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '302617' => { -+ 'Header' => undef, -+ 'Line' => '151', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '302003' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'offset' => '8', -+ 'type' => '302100' -+ }, -+ '2' => { -+ 'name' => 'handle', -+ 'offset' => '16', -+ 'type' => '826' -+ }, -+ '3' => { -+ 'name' => 'real_ah', -+ 'offset' => '24', -+ 'type' => '16183' -+ } -+ }, -+ 'Name' => 'struct ibv_ah_1_0', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '302682' => { -+ 'BaseType' => '302617', -+ 'Name' => 'struct ibv_ah_1_0*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '302688' => { -+ 'Header' => undef, -+ 'Line' => '92', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rdma', -+ 'offset' => '0', -+ 'type' => '302471' -+ }, -+ '1' => { -+ 'name' => 'atomic', -+ 'offset' => '0', -+ 'type' => '302507' -+ }, -+ '2' => { -+ 'name' => 'ud', -+ 'offset' => '0', -+ 'type' => '302569' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Union' -+ }, -+ '302733' => { -+ 'Header' => undef, -+ 'Line' => '84', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'next', -+ 'offset' => '0', -+ 'type' => '302850' -+ }, -+ '1' => { -+ 'name' => 'wr_id', -+ 'offset' => '8', -+ 'type' => '838' -+ }, -+ '2' => { -+ 'name' => 'sg_list', -+ 'offset' => '16', -+ 'type' => '16579' -+ }, -+ '3' => { -+ 'name' => 'num_sge', -+ 'offset' => '24', -+ 'type' => '130' -+ }, -+ '4' => { -+ 'name' => 'opcode', -+ 'offset' => '28', -+ 'type' => '15730' -+ }, -+ '5' => { -+ 'name' => 'send_flags', -+ 'offset' => '32', -+ 'type' => '130' -+ }, -+ '6' => { -+ 'name' => 'imm_data', -+ 'offset' => '36', -+ 'type' => '922' -+ }, -+ '7' => { -+ 'name' => 'wr', -+ 'offset' => '40', -+ 'type' => '302688' -+ } -+ }, -+ 'Name' => 'struct ibv_send_wr_1_0', -+ 'Size' => '72', -+ 'Type' => 'Struct' -+ }, -+ '302850' => { -+ 'BaseType' => '302733', -+ 'Name' => 'struct ibv_send_wr_1_0*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '302856' => { -+ 'Header' => undef, -+ 'Line' => '111', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'next', -+ 'offset' => '0', -+ 'type' => '302922' -+ }, -+ '1' => { -+ 'name' => 'wr_id', -+ 'offset' => '8', -+ 'type' => '838' -+ }, -+ '2' => { -+ 'name' => 'sg_list', -+ 'offset' => '16', -+ 'type' => '16579' -+ }, -+ '3' => { -+ 'name' => 'num_sge', -+ 'offset' => '24', -+ 'type' => '130' -+ } -+ }, -+ 'Name' => 'struct ibv_recv_wr_1_0', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '302922' => { -+ 'BaseType' => '302856', -+ 'Name' => 'struct ibv_recv_wr_1_0*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '302928' => { -+ 'Header' => undef, -+ 'Line' => '118', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '302003' -+ }, -+ '1' => { -+ 'name' => 'qp_context', -+ 'offset' => '8', -+ 'type' => '71' -+ }, -+ '10' => { -+ 'name' => 'mutex', -+ 'offset' => '64', -+ 'type' => '286979' -+ }, -+ '11' => { -+ 'name' => 'cond', -+ 'offset' => '104', -+ 'type' => '287053' -+ }, -+ '12' => { -+ 'name' => 'events_completed', -+ 'offset' => '152', -+ 'type' => '826' -+ }, -+ '13' => { -+ 'name' => 'real_qp', -+ 'offset' => '160', -+ 'type' => '12425' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'offset' => '16', -+ 'type' => '302100' -+ }, -+ '3' => { -+ 'name' => 'send_cq', -+ 'offset' => '24', -+ 'type' => '302459' -+ }, -+ '4' => { -+ 'name' => 'recv_cq', -+ 'offset' => '32', -+ 'type' => '302459' -+ }, -+ '5' => { -+ 'name' => 'srq', -+ 'offset' => '40', -+ 'type' => '302465' -+ }, -+ '6' => { -+ 'name' => 'handle', -+ 'offset' => '48', -+ 'type' => '826' -+ }, -+ '7' => { -+ 'name' => 'qp_num', -+ 'offset' => '52', -+ 'type' => '826' -+ }, -+ '8' => { -+ 'name' => 'state', -+ 'offset' => '56', -+ 'type' => '15245' -+ }, -+ '9' => { -+ 'name' => 'qp_type', -+ 'offset' => '60', -+ 'type' => '14666' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_1_0', -+ 'Size' => '168', -+ 'Type' => 'Struct' -+ }, -+ '303123' => { -+ 'Header' => undef, -+ 'Line' => '159', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'obsolete_sysfs_dev', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'obsolete_sysfs_ibdev', -+ 'offset' => '8', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'real_device', -+ 'offset' => '16', -+ 'type' => '19844' -+ }, -+ '3' => { -+ 'name' => '_ops', -+ 'offset' => '24', -+ 'type' => '19781' -+ } -+ }, -+ 'Name' => 'struct ibv_device_1_0', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '303189' => { -+ 'Header' => undef, -+ 'Line' => '166', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'query_device', -+ 'offset' => '0', -+ 'type' => '71281' -+ }, -+ '1' => { -+ 'name' => 'query_port', -+ 'offset' => '8', -+ 'type' => '71344' -+ }, -+ '10' => { -+ 'name' => 'resize_cq', -+ 'offset' => '80', -+ 'type' => '20691' -+ }, -+ '11' => { -+ 'name' => 'destroy_cq', -+ 'offset' => '88', -+ 'type' => '71081' -+ }, -+ '12' => { -+ 'name' => 'create_srq', -+ 'offset' => '96', -+ 'type' => '70991' -+ }, -+ '13' => { -+ 'name' => 'modify_srq', -+ 'offset' => '104', -+ 'type' => '71249' -+ }, -+ '14' => { -+ 'name' => 'query_srq', -+ 'offset' => '112', -+ 'type' => '71406' -+ }, -+ '15' => { -+ 'name' => 'destroy_srq', -+ 'offset' => '120', -+ 'type' => '71123' -+ }, -+ '16' => { -+ 'name' => 'post_srq_recv', -+ 'offset' => '128', -+ 'type' => '303699' -+ }, -+ '17' => { -+ 'name' => 'create_qp', -+ 'offset' => '136', -+ 'type' => '70959' -+ }, -+ '18' => { -+ 'name' => 'query_qp', -+ 'offset' => '144', -+ 'type' => '71380' -+ }, -+ '19' => { -+ 'name' => 'modify_qp', -+ 'offset' => '152', -+ 'type' => '71212' -+ }, -+ '2' => { -+ 'name' => 'alloc_pd', -+ 'offset' => '16', -+ 'type' => '70777' -+ }, -+ '20' => { -+ 'name' => 'destroy_qp', -+ 'offset' => '160', -+ 'type' => '71102' -+ }, -+ '21' => { -+ 'name' => 'post_send', -+ 'offset' => '168', -+ 'type' => '303742' -+ }, -+ '22' => { -+ 'name' => 'post_recv', -+ 'offset' => '176', -+ 'type' => '303773' -+ }, -+ '23' => { -+ 'name' => 'create_ah', -+ 'offset' => '184', -+ 'type' => '70891' -+ }, -+ '24' => { -+ 'name' => 'destroy_ah', -+ 'offset' => '192', -+ 'type' => '71060' -+ }, -+ '25' => { -+ 'name' => 'attach_mcast', -+ 'offset' => '200', -+ 'type' => '303810' -+ }, -+ '26' => { -+ 'name' => 'detach_mcast', -+ 'offset' => '208', -+ 'type' => '303810' -+ }, -+ '3' => { -+ 'name' => 'dealloc_pd', -+ 'offset' => '24', -+ 'type' => '71012' -+ }, -+ '4' => { -+ 'name' => 'reg_mr', -+ 'offset' => '32', -+ 'type' => '303584' -+ }, -+ '5' => { -+ 'name' => 'dereg_mr', -+ 'offset' => '40', -+ 'type' => '303605' -+ }, -+ '6' => { -+ 'name' => 'create_cq', -+ 'offset' => '48', -+ 'type' => '70927' -+ }, -+ '7' => { -+ 'name' => 'poll_cq', -+ 'offset' => '56', -+ 'type' => '303636' -+ }, -+ '8' => { -+ 'name' => 'req_notify_cq', -+ 'offset' => '64', -+ 'type' => '303662' -+ }, -+ '9' => { -+ 'name' => 'cq_event', -+ 'offset' => '72', -+ 'type' => '70859' -+ } -+ }, -+ 'Name' => 'struct ibv_context_ops_1_0', -+ 'Size' => '216', -+ 'Type' => 'Struct' -+ }, -+ '303584' => { -+ 'Name' => 'struct ibv_mr*(*)(struct ibv_pd*, void*, size_t, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'type' => '71' -+ }, -+ '2' => { -+ 'type' => '52' -+ }, -+ '3' => { -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '13659', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '303605' => { -+ 'Name' => 'int(*)(struct ibv_mr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '13659' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '303636' => { -+ 'Name' => 'int(*)(struct ibv_cq_1_0*, int, struct ibv_wc*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '302459' -+ }, -+ '1' => { -+ 'type' => '130' -+ }, -+ '2' => { -+ 'type' => '20659' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '303662' => { -+ 'Name' => 'int(*)(struct ibv_cq_1_0*, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '302459' -+ }, -+ '1' => { -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '303693' => { -+ 'BaseType' => '302922', -+ 'Name' => 'struct ibv_recv_wr_1_0**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '303699' => { -+ 'Name' => 'int(*)(struct ibv_srq_1_0*, struct ibv_recv_wr_1_0*, struct ibv_recv_wr_1_0**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '302465' -+ }, -+ '1' => { -+ 'type' => '302922' -+ }, -+ '2' => { -+ 'type' => '303693' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '303730' => { -+ 'BaseType' => '302928', -+ 'Name' => 'struct ibv_qp_1_0*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '303736' => { -+ 'BaseType' => '302850', -+ 'Name' => 'struct ibv_send_wr_1_0**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '303742' => { -+ 'Name' => 'int(*)(struct ibv_qp_1_0*, struct ibv_send_wr_1_0*, struct ibv_send_wr_1_0**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '303730' -+ }, -+ '1' => { -+ 'type' => '302850' -+ }, -+ '2' => { -+ 'type' => '303736' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '303773' => { -+ 'Name' => 'int(*)(struct ibv_qp_1_0*, struct ibv_recv_wr_1_0*, struct ibv_recv_wr_1_0**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '303730' -+ }, -+ '1' => { -+ 'type' => '302922' -+ }, -+ '2' => { -+ 'type' => '303693' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '303810' => { -+ 'Name' => 'int(*)(struct ibv_qp*, union ibv_gid*, uint16_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'type' => '99076' -+ }, -+ '2' => { -+ 'type' => '814' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '303816' => { -+ 'BaseType' => '303123', -+ 'Name' => 'struct ibv_device_1_0*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '303822' => { -+ 'BaseType' => '303834', -+ 'Header' => undef, -+ 'Line' => '228', -+ 'Name' => 'ibv_driver_init_func_1_1', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '303834' => { -+ 'Name' => 'struct ibv_device*(*)(char const*, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '77645' -+ }, -+ '1' => { -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '19844', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '304916' => { -+ 'BaseType' => '302223', -+ 'Name' => 'struct ibv_qp_init_attr_1_0*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '305944' => { -+ 'BaseType' => '302459', -+ 'Name' => 'struct ibv_cq_1_0**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '30602' => { -+ 'BaseType' => '7047', -+ 'Name' => 'struct ib_uverbs_create_ah_resp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '306535' => { -+ 'BaseType' => '302009', -+ 'Name' => 'struct ibv_mr_1_0*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '3066' => { -+ 'Header' => undef, -+ 'Line' => '349', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'mr_handle', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'lkey', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'rkey', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'driver_data', -+ 'offset' => '12', -+ 'type' => '2767' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_reg_mr_resp', -+ 'Size' => '12', -+ 'Type' => 'Struct' -+ }, -+ '307295' => { -+ 'BaseType' => '910', -+ 'Name' => '__be16*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '309763' => { -+ 'BaseType' => '303816', -+ 'Name' => 'struct ibv_device_1_0**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '310086' => { -+ 'BaseType' => '19844', -+ 'Name' => 'struct ibv_device**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '3153' => { -+ 'Header' => undef, -+ 'Line' => '356', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'mr_handle', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'flags', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'start', -+ 'offset' => '16', -+ 'type' => '898' -+ }, -+ '4' => { -+ 'name' => 'length', -+ 'offset' => '24', -+ 'type' => '898' -+ }, -+ '5' => { -+ 'name' => 'hca_va', -+ 'offset' => '32', -+ 'type' => '898' -+ }, -+ '6' => { -+ 'name' => 'pd_handle', -+ 'offset' => '40', -+ 'type' => '886' -+ }, -+ '7' => { -+ 'name' => 'access_flags', -+ 'offset' => '44', -+ 'type' => '886' -+ }, -+ '8' => { -+ 'name' => 'driver_data', -+ 'offset' => '48', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_rereg_mr', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '32340' => { -+ 'BaseType' => '25253', -+ 'Name' => 'struct ibv_modify_qp_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '32346' => { -+ 'BaseType' => '5987', -+ 'Name' => 'struct ib_uverbs_ex_modify_qp_resp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '32572' => { -+ 'BaseType' => '22184', -+ 'Name' => 'struct ibv_modify_qp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '328431' => { -+ 'BaseType' => '69683', -+ 'Name' => 'struct verbs_context_ops const', -+ 'Size' => '584', -+ 'Type' => 'Const' -+ }, -+ '330120' => { -+ 'BaseType' => '328431', -+ 'Name' => 'struct verbs_context_ops const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '33086' => { -+ 'BaseType' => '23776', -+ 'Name' => 'struct ibv_query_qp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '3316' => { -+ 'Header' => undef, -+ 'Line' => '368', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'lkey', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'rkey', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'driver_data', -+ 'offset' => '8', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_rereg_mr_resp', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '33493' => { -+ 'BaseType' => '26457', -+ 'Name' => 'struct verbs_qp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '33499' => { -+ 'BaseType' => '22554', -+ 'Name' => 'struct ibv_open_qp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '33505' => { -+ 'BaseType' => '4605', -+ 'Name' => 'struct ib_uverbs_create_qp_resp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '33771' => { -+ 'BaseType' => '23948', -+ 'Name' => 'struct ibv_query_srq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '3391' => { -+ 'Header' => undef, -+ 'Line' => '378', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'pd_handle', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'mw_type', -+ 'offset' => '12', -+ 'type' => '862' -+ }, -+ '3' => { -+ 'name' => 'reserved', -+ 'offset' => '13', -+ 'type' => '3479' -+ }, -+ '4' => { -+ 'name' => 'driver_data', -+ 'offset' => '16', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_alloc_mw', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '33987' => { -+ 'BaseType' => '22355', -+ 'Name' => 'struct ibv_modify_srq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '34615' => { -+ 'BaseType' => '24680', -+ 'Name' => 'struct ibv_resize_cq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '34621' => { -+ 'BaseType' => '3676', -+ 'Name' => 'struct ib_uverbs_resize_cq_resp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '3479' => { -+ 'BaseType' => '862', -+ 'Name' => '__u8[3]', -+ 'Size' => '3', -+ 'Type' => 'Array' -+ }, -+ '3511' => { -+ 'Header' => undef, -+ 'Line' => '386', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'mw_handle', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'rkey', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'driver_data', -+ 'offset' => '8', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_alloc_mw_resp', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '35518' => { -+ 'BaseType' => '21029', -+ 'Name' => 'struct ibv_alloc_mw*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '35524' => { -+ 'BaseType' => '3511', -+ 'Name' => 'struct ib_uverbs_alloc_mw_resp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '3586' => { -+ 'Header' => undef, -+ 'Line' => '441', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'cq_handle', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'cqe', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'driver_data', -+ 'offset' => '16', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_resize_cq', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '35890' => { -+ 'BaseType' => '24508', -+ 'Name' => 'struct ibv_rereg_mr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '35896' => { -+ 'BaseType' => '3316', -+ 'Name' => 'struct ib_uverbs_rereg_mr_resp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '36263' => { -+ 'BaseType' => '24161', -+ 'Name' => 'struct ibv_reg_mr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '36269' => { -+ 'BaseType' => '3066', -+ 'Name' => 'struct ib_uverbs_reg_mr_resp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '36595' => { -+ 'BaseType' => '22724', -+ 'Name' => 'struct ibv_open_xrcd*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '36601' => { -+ 'BaseType' => '2872', -+ 'Name' => 'struct ib_uverbs_open_xrcd_resp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '3676' => { -+ 'Header' => undef, -+ 'Line' => '448', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'cqe', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'reserved', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'driver_data', -+ 'offset' => '8', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_resize_cq_resp', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '36892' => { -+ 'BaseType' => '21175', -+ 'Name' => 'struct ibv_alloc_pd*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '36898' => { -+ 'BaseType' => '2724', -+ 'Name' => 'struct ib_uverbs_alloc_pd_resp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '37405' => { -+ 'BaseType' => '25540', -+ 'Name' => 'struct ibv_query_device_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '37411' => { -+ 'BaseType' => '2408', -+ 'Name' => 'struct ib_uverbs_ex_query_device_resp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '37841' => { -+ 'BaseType' => '23604', -+ 'Name' => 'struct ibv_query_device*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '38978' => { -+ 'BaseType' => '205', -+ 'Header' => undef, -+ 'Line' => '30', -+ 'Name' => '__s64', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '39055' => { -+ 'Header' => undef, -+ 'Line' => '59', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'elem_id', -+ 'offset' => '0', -+ 'type' => '862' -+ }, -+ '1' => { -+ 'name' => 'reserved', -+ 'offset' => '1', -+ 'type' => '862' -+ } -+ }, -+ 'Size' => '2', -+ 'Type' => 'Struct' -+ }, -+ '39091' => { -+ 'Header' => undef, -+ 'Line' => '58', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'enum_data', -+ 'offset' => '0', -+ 'type' => '39055' -+ }, -+ '1' => { -+ 'name' => 'reserved', -+ 'offset' => '0', -+ 'type' => '874' -+ } -+ }, -+ 'Size' => '2', -+ 'Type' => 'Union' -+ }, -+ '39125' => { -+ 'Header' => undef, -+ 'Line' => '65', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'data', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'data_s64', -+ 'offset' => '0', -+ 'type' => '38978' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Union' -+ }, -+ '39161' => { -+ 'Header' => undef, -+ 'Line' => '54', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'attr_id', -+ 'offset' => '0', -+ 'type' => '874' -+ }, -+ '1' => { -+ 'name' => 'len', -+ 'offset' => '2', -+ 'type' => '874' -+ }, -+ '2' => { -+ 'name' => 'flags', -+ 'offset' => '4', -+ 'type' => '874' -+ }, -+ '3' => { -+ 'name' => 'attr_data', -+ 'offset' => '6', -+ 'type' => '39091' -+ }, -+ '4' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '39125' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_attr', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '39235' => { -+ 'Header' => undef, -+ 'Line' => '76', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'length', -+ 'offset' => '0', -+ 'type' => '874' -+ }, -+ '1' => { -+ 'name' => 'object_id', -+ 'offset' => '2', -+ 'type' => '874' -+ }, -+ '2' => { -+ 'name' => 'method_id', -+ 'offset' => '4', -+ 'type' => '874' -+ }, -+ '3' => { -+ 'name' => 'num_attrs', -+ 'offset' => '6', -+ 'type' => '874' -+ }, -+ '4' => { -+ 'name' => 'reserved1', -+ 'offset' => '8', -+ 'type' => '898' -+ }, -+ '5' => { -+ 'name' => 'driver_id', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'reserved2', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '7' => { -+ 'name' => 'attrs', -+ 'offset' => '24', -+ 'type' => '39356' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_ioctl_hdr', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '39356' => { -+ 'BaseType' => '39161', -+ 'Name' => 'struct ib_uverbs_attr[]', -+ 'Size' => '8', -+ 'Type' => 'Array' -+ }, -+ '398339' => { -+ 'Header' => undef, -+ 'Line' => '534', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp_attr_mask', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'qp_state', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '10' => { -+ 'name' => 'ah_attr', -+ 'offset' => '40', -+ 'type' => '4304' -+ }, -+ '11' => { -+ 'name' => 'alt_ah_attr', -+ 'offset' => '72', -+ 'type' => '4304' -+ }, -+ '12' => { -+ 'name' => 'max_send_wr', -+ 'offset' => '104', -+ 'type' => '886' -+ }, -+ '13' => { -+ 'name' => 'max_recv_wr', -+ 'offset' => '108', -+ 'type' => '886' -+ }, -+ '14' => { -+ 'name' => 'max_send_sge', -+ 'offset' => '112', -+ 'type' => '886' -+ }, -+ '15' => { -+ 'name' => 'max_recv_sge', -+ 'offset' => '116', -+ 'type' => '886' -+ }, -+ '16' => { -+ 'name' => 'max_inline_data', -+ 'offset' => '120', -+ 'type' => '886' -+ }, -+ '17' => { -+ 'name' => 'pkey_index', -+ 'offset' => '124', -+ 'type' => '874' -+ }, -+ '18' => { -+ 'name' => 'alt_pkey_index', -+ 'offset' => '126', -+ 'type' => '874' -+ }, -+ '19' => { -+ 'name' => 'en_sqd_async_notify', -+ 'offset' => '128', -+ 'type' => '862' -+ }, -+ '2' => { -+ 'name' => 'cur_qp_state', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '20' => { -+ 'name' => 'sq_draining', -+ 'offset' => '129', -+ 'type' => '862' -+ }, -+ '21' => { -+ 'name' => 'max_rd_atomic', -+ 'offset' => '130', -+ 'type' => '862' -+ }, -+ '22' => { -+ 'name' => 'max_dest_rd_atomic', -+ 'offset' => '131', -+ 'type' => '862' -+ }, -+ '23' => { -+ 'name' => 'min_rnr_timer', -+ 'offset' => '132', -+ 'type' => '862' -+ }, -+ '24' => { -+ 'name' => 'port_num', -+ 'offset' => '133', -+ 'type' => '862' -+ }, -+ '25' => { -+ 'name' => 'timeout', -+ 'offset' => '134', -+ 'type' => '862' -+ }, -+ '26' => { -+ 'name' => 'retry_cnt', -+ 'offset' => '135', -+ 'type' => '862' -+ }, -+ '27' => { -+ 'name' => 'rnr_retry', -+ 'offset' => '136', -+ 'type' => '862' -+ }, -+ '28' => { -+ 'name' => 'alt_port_num', -+ 'offset' => '137', -+ 'type' => '862' -+ }, -+ '29' => { -+ 'name' => 'alt_timeout', -+ 'offset' => '138', -+ 'type' => '862' -+ }, -+ '3' => { -+ 'name' => 'path_mtu', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '30' => { -+ 'name' => 'reserved', -+ 'offset' => '139', -+ 'type' => '4430' -+ }, -+ '4' => { -+ 'name' => 'path_mig_state', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'qkey', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'rq_psn', -+ 'offset' => '24', -+ 'type' => '886' -+ }, -+ '7' => { -+ 'name' => 'sq_psn', -+ 'offset' => '28', -+ 'type' => '886' -+ }, -+ '8' => { -+ 'name' => 'dest_qp_num', -+ 'offset' => '32', -+ 'type' => '886' -+ }, -+ '9' => { -+ 'name' => 'qp_access_flags', -+ 'offset' => '36', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_qp_attr', -+ 'Size' => '144', -+ 'Type' => 'Struct' -+ }, -+ '399723' => { -+ 'Header' => undef, -+ 'Line' => '40', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dgid', -+ 'offset' => '0', -+ 'type' => '10398' -+ }, -+ '1' => { -+ 'name' => 'sgid', -+ 'offset' => '16', -+ 'type' => '10398' -+ }, -+ '10' => { -+ 'name' => 'pkey', -+ 'offset' => '54', -+ 'type' => '910' -+ }, -+ '11' => { -+ 'name' => 'sl', -+ 'offset' => '56', -+ 'type' => '802' -+ }, -+ '12' => { -+ 'name' => 'mtu_selector', -+ 'offset' => '57', -+ 'type' => '802' -+ }, -+ '13' => { -+ 'name' => 'mtu', -+ 'offset' => '58', -+ 'type' => '802' -+ }, -+ '14' => { -+ 'name' => 'rate_selector', -+ 'offset' => '59', -+ 'type' => '802' -+ }, -+ '15' => { -+ 'name' => 'rate', -+ 'offset' => '60', -+ 'type' => '802' -+ }, -+ '16' => { -+ 'name' => 'packet_life_time_selector', -+ 'offset' => '61', -+ 'type' => '802' -+ }, -+ '17' => { -+ 'name' => 'packet_life_time', -+ 'offset' => '62', -+ 'type' => '802' -+ }, -+ '18' => { -+ 'name' => 'preference', -+ 'offset' => '63', -+ 'type' => '802' -+ }, -+ '2' => { -+ 'name' => 'dlid', -+ 'offset' => '32', -+ 'type' => '910' -+ }, -+ '3' => { -+ 'name' => 'slid', -+ 'offset' => '34', -+ 'type' => '910' -+ }, -+ '4' => { -+ 'name' => 'raw_traffic', -+ 'offset' => '36', -+ 'type' => '130' -+ }, -+ '5' => { -+ 'name' => 'flow_label', -+ 'offset' => '40', -+ 'type' => '922' -+ }, -+ '6' => { -+ 'name' => 'hop_limit', -+ 'offset' => '44', -+ 'type' => '802' -+ }, -+ '7' => { -+ 'name' => 'traffic_class', -+ 'offset' => '45', -+ 'type' => '802' -+ }, -+ '8' => { -+ 'name' => 'reversible', -+ 'offset' => '48', -+ 'type' => '130' -+ }, -+ '9' => { -+ 'name' => 'numb_path', -+ 'offset' => '52', -+ 'type' => '802' -+ } -+ }, -+ 'Name' => 'struct ibv_sa_path_rec', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '399983' => { -+ 'Header' => undef, -+ 'Line' => '55', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dgid', -+ 'offset' => '0', -+ 'type' => '4288' -+ }, -+ '1' => { -+ 'name' => 'sgid', -+ 'offset' => '16', -+ 'type' => '4288' -+ }, -+ '10' => { -+ 'name' => 'traffic_class', -+ 'offset' => '55', -+ 'type' => '862' -+ }, -+ '11' => { -+ 'name' => 'numb_path', -+ 'offset' => '56', -+ 'type' => '862' -+ }, -+ '12' => { -+ 'name' => 'sl', -+ 'offset' => '57', -+ 'type' => '862' -+ }, -+ '13' => { -+ 'name' => 'mtu_selector', -+ 'offset' => '58', -+ 'type' => '862' -+ }, -+ '14' => { -+ 'name' => 'rate_selector', -+ 'offset' => '59', -+ 'type' => '862' -+ }, -+ '15' => { -+ 'name' => 'rate', -+ 'offset' => '60', -+ 'type' => '862' -+ }, -+ '16' => { -+ 'name' => 'packet_life_time_selector', -+ 'offset' => '61', -+ 'type' => '862' -+ }, -+ '17' => { -+ 'name' => 'packet_life_time', -+ 'offset' => '62', -+ 'type' => '862' -+ }, -+ '18' => { -+ 'name' => 'preference', -+ 'offset' => '63', -+ 'type' => '862' -+ }, -+ '2' => { -+ 'name' => 'dlid', -+ 'offset' => '32', -+ 'type' => '910' -+ }, -+ '3' => { -+ 'name' => 'slid', -+ 'offset' => '34', -+ 'type' => '910' -+ }, -+ '4' => { -+ 'name' => 'raw_traffic', -+ 'offset' => '36', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'flow_label', -+ 'offset' => '40', -+ 'type' => '922' -+ }, -+ '6' => { -+ 'name' => 'reversible', -+ 'offset' => '44', -+ 'type' => '886' -+ }, -+ '7' => { -+ 'name' => 'mtu', -+ 'offset' => '48', -+ 'type' => '886' -+ }, -+ '8' => { -+ 'name' => 'pkey', -+ 'offset' => '52', -+ 'type' => '910' -+ }, -+ '9' => { -+ 'name' => 'hop_limit', -+ 'offset' => '54', -+ 'type' => '862' -+ } -+ }, -+ 'Name' => 'struct ib_user_path_rec', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '400452' => { -+ 'BaseType' => '399983', -+ 'Name' => 'struct ib_user_path_rec*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '400458' => { -+ 'BaseType' => '399723', -+ 'Name' => 'struct ibv_sa_path_rec*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '400808' => { -+ 'BaseType' => '398339', -+ 'Name' => 'struct ib_uverbs_qp_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '400952' => { -+ 'BaseType' => '4304', -+ 'Name' => 'struct ib_uverbs_ah_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '4189' => { -+ 'Header' => undef, -+ 'Line' => '514', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dgid', -+ 'offset' => '0', -+ 'type' => '4288' -+ }, -+ '1' => { -+ 'name' => 'flow_label', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'sgid_index', -+ 'offset' => '20', -+ 'type' => '862' -+ }, -+ '3' => { -+ 'name' => 'hop_limit', -+ 'offset' => '21', -+ 'type' => '862' -+ }, -+ '4' => { -+ 'name' => 'traffic_class', -+ 'offset' => '22', -+ 'type' => '862' -+ }, -+ '5' => { -+ 'name' => 'reserved', -+ 'offset' => '23', -+ 'type' => '862' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_global_route', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '4288' => { -+ 'BaseType' => '862', -+ 'Name' => '__u8[16]', -+ 'Size' => '16', -+ 'Type' => 'Array' -+ }, -+ '4304' => { -+ 'Header' => undef, -+ 'Line' => '523', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'grh', -+ 'offset' => '0', -+ 'type' => '4189' -+ }, -+ '1' => { -+ 'name' => 'dlid', -+ 'offset' => '24', -+ 'type' => '874' -+ }, -+ '2' => { -+ 'name' => 'sl', -+ 'offset' => '26', -+ 'type' => '862' -+ }, -+ '3' => { -+ 'name' => 'src_path_bits', -+ 'offset' => '27', -+ 'type' => '862' -+ }, -+ '4' => { -+ 'name' => 'static_rate', -+ 'offset' => '28', -+ 'type' => '862' -+ }, -+ '5' => { -+ 'name' => 'is_global', -+ 'offset' => '29', -+ 'type' => '862' -+ }, -+ '6' => { -+ 'name' => 'port_num', -+ 'offset' => '30', -+ 'type' => '862' -+ }, -+ '7' => { -+ 'name' => 'reserved', -+ 'offset' => '31', -+ 'type' => '862' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_ah_attr', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '434330' => { -+ 'Header' => undef, -+ 'Line' => '676', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'version_tclass_flow', -+ 'offset' => '0', -+ 'type' => '922' -+ }, -+ '1' => { -+ 'name' => 'paylen', -+ 'offset' => '4', -+ 'type' => '910' -+ }, -+ '2' => { -+ 'name' => 'next_hdr', -+ 'offset' => '6', -+ 'type' => '802' -+ }, -+ '3' => { -+ 'name' => 'hop_limit', -+ 'offset' => '7', -+ 'type' => '802' -+ }, -+ '4' => { -+ 'name' => 'sgid', -+ 'offset' => '8', -+ 'type' => '10398' -+ }, -+ '5' => { -+ 'name' => 'dgid', -+ 'offset' => '24', -+ 'type' => '10398' -+ } -+ }, -+ 'Name' => 'struct ibv_grh', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '434429' => { -+ 'Header' => undef, -+ 'Line' => '685', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_RATE_MAX', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_RATE_2_5_GBPS', -+ 'value' => '2' -+ }, -+ '10' => { -+ 'name' => 'IBV_RATE_14_GBPS', -+ 'value' => '11' -+ }, -+ '11' => { -+ 'name' => 'IBV_RATE_56_GBPS', -+ 'value' => '12' -+ }, -+ '12' => { -+ 'name' => 'IBV_RATE_112_GBPS', -+ 'value' => '13' -+ }, -+ '13' => { -+ 'name' => 'IBV_RATE_168_GBPS', -+ 'value' => '14' -+ }, -+ '14' => { -+ 'name' => 'IBV_RATE_25_GBPS', -+ 'value' => '15' -+ }, -+ '15' => { -+ 'name' => 'IBV_RATE_100_GBPS', -+ 'value' => '16' -+ }, -+ '16' => { -+ 'name' => 'IBV_RATE_200_GBPS', -+ 'value' => '17' -+ }, -+ '17' => { -+ 'name' => 'IBV_RATE_300_GBPS', -+ 'value' => '18' -+ }, -+ '18' => { -+ 'name' => 'IBV_RATE_28_GBPS', -+ 'value' => '19' -+ }, -+ '19' => { -+ 'name' => 'IBV_RATE_50_GBPS', -+ 'value' => '20' -+ }, -+ '2' => { -+ 'name' => 'IBV_RATE_5_GBPS', -+ 'value' => '5' -+ }, -+ '20' => { -+ 'name' => 'IBV_RATE_400_GBPS', -+ 'value' => '21' -+ }, -+ '21' => { -+ 'name' => 'IBV_RATE_600_GBPS', -+ 'value' => '22' -+ }, -+ '3' => { -+ 'name' => 'IBV_RATE_10_GBPS', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_RATE_20_GBPS', -+ 'value' => '6' -+ }, -+ '5' => { -+ 'name' => 'IBV_RATE_30_GBPS', -+ 'value' => '4' -+ }, -+ '6' => { -+ 'name' => 'IBV_RATE_40_GBPS', -+ 'value' => '7' -+ }, -+ '7' => { -+ 'name' => 'IBV_RATE_60_GBPS', -+ 'value' => '8' -+ }, -+ '8' => { -+ 'name' => 'IBV_RATE_80_GBPS', -+ 'value' => '9' -+ }, -+ '9' => { -+ 'name' => 'IBV_RATE_120_GBPS', -+ 'value' => '10' -+ } -+ }, -+ 'Name' => 'enum ibv_rate', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '44018' => { -+ 'Header' => undef, -+ 'Line' => '85', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'next', -+ 'offset' => '0', -+ 'type' => '44186' -+ }, -+ '1' => { -+ 'name' => 'next_attr', -+ 'offset' => '8', -+ 'type' => '44192' -+ }, -+ '10' => { -+ 'name' => 'hdr', -+ 'offset' => '32', -+ 'type' => '39235' -+ }, -+ '2' => { -+ 'name' => 'last_attr', -+ 'offset' => '16', -+ 'type' => '44192' -+ }, -+ '3' => { -+ 'name' => 'uhw_in_idx', -+ 'offset' => '24', -+ 'type' => '802' -+ }, -+ '4' => { -+ 'name' => 'uhw_out_idx', -+ 'offset' => '25', -+ 'type' => '802' -+ }, -+ '5' => { -+ 'name' => 'uhw_in_headroom_dwords', -+ 'offset' => '26', -+ 'type' => '802' -+ }, -+ '6' => { -+ 'name' => 'uhw_out_headroom_dwords', -+ 'offset' => '27', -+ 'type' => '802' -+ }, -+ '7' => { -+ 'bitfield' => '1', -+ 'name' => 'buffer_error', -+ 'offset' => '28', -+ 'type' => '802' -+ }, -+ '8' => { -+ 'bitfield' => '1', -+ 'name' => 'fallback_require_ex', -+ 'offset' => '28', -+ 'type' => '802' -+ }, -+ '9' => { -+ 'bitfield' => '1', -+ 'name' => 'fallback_ioctl_only', -+ 'offset' => '28', -+ 'type' => '802' -+ } -+ }, -+ 'Name' => 'struct ibv_command_buffer', -+ 'Size' => '56', -+ 'Type' => 'Struct' -+ }, -+ '44186' => { -+ 'BaseType' => '44018', -+ 'Name' => 'struct ibv_command_buffer*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '44192' => { -+ 'BaseType' => '39161', -+ 'Name' => 'struct ib_uverbs_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '4430' => { -+ 'BaseType' => '862', -+ 'Name' => '__u8[5]', -+ 'Size' => '5', -+ 'Type' => 'Array' -+ }, -+ '4472' => { -+ 'Header' => undef, -+ 'Line' => '634', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'user_handle', -+ 'offset' => '8', -+ 'type' => '898' -+ }, -+ '2' => { -+ 'name' => 'pd_handle', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'qpn', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'qp_type', -+ 'offset' => '24', -+ 'type' => '862' -+ }, -+ '5' => { -+ 'name' => 'reserved', -+ 'offset' => '25', -+ 'type' => '2646' -+ }, -+ '6' => { -+ 'name' => 'driver_data', -+ 'offset' => '32', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_open_qp', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '448761' => { -+ 'BaseType' => '814', -+ 'Name' => 'uint16_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '449731' => { -+ 'BaseType' => '434330', -+ 'Name' => 'struct ibv_grh*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '45' => { -+ 'Name' => 'unsigned long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '454274' => { -+ 'BaseType' => '12223', -+ 'Name' => 'struct ibv_cq**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '458' => { -+ 'Name' => 'unsigned long long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '4605' => { -+ 'Header' => undef, -+ 'Line' => '645', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp_handle', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'qpn', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'max_send_wr', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'max_recv_wr', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'max_send_sge', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'max_recv_sge', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'max_inline_data', -+ 'offset' => '24', -+ 'type' => '886' -+ }, -+ '7' => { -+ 'name' => 'reserved', -+ 'offset' => '28', -+ 'type' => '886' -+ }, -+ '8' => { -+ 'name' => 'driver_data', -+ 'offset' => '32', -+ 'type' => '2767' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_create_qp_resp', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '4762' => { -+ 'Header' => undef, -+ 'Line' => '667', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dgid', -+ 'offset' => '0', -+ 'type' => '4288' -+ }, -+ '1' => { -+ 'name' => 'flow_label', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '10' => { -+ 'name' => 'is_global', -+ 'offset' => '30', -+ 'type' => '862' -+ }, -+ '11' => { -+ 'name' => 'port_num', -+ 'offset' => '31', -+ 'type' => '862' -+ }, -+ '2' => { -+ 'name' => 'dlid', -+ 'offset' => '20', -+ 'type' => '874' -+ }, -+ '3' => { -+ 'name' => 'reserved', -+ 'offset' => '22', -+ 'type' => '874' -+ }, -+ '4' => { -+ 'name' => 'sgid_index', -+ 'offset' => '24', -+ 'type' => '862' -+ }, -+ '5' => { -+ 'name' => 'hop_limit', -+ 'offset' => '25', -+ 'type' => '862' -+ }, -+ '6' => { -+ 'name' => 'traffic_class', -+ 'offset' => '26', -+ 'type' => '862' -+ }, -+ '7' => { -+ 'name' => 'sl', -+ 'offset' => '27', -+ 'type' => '862' -+ }, -+ '8' => { -+ 'name' => 'src_path_bits', -+ 'offset' => '28', -+ 'type' => '862' -+ }, -+ '9' => { -+ 'name' => 'static_rate', -+ 'offset' => '29', -+ 'type' => '862' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_qp_dest', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '4944' => { -+ 'Header' => undef, -+ 'Line' => '682', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'qp_handle', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'attr_mask', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'driver_data', -+ 'offset' => '16', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_query_qp', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '50345' => { -+ 'Header' => undef, -+ 'Line' => '2030', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_counters_init_attr', -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '50380' => { -+ 'BaseType' => '50345', -+ 'Name' => 'struct ibv_counters_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '51877' => { -+ 'BaseType' => '26602', -+ 'Name' => 'struct verbs_counters*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '52' => { -+ 'BaseType' => '45', -+ 'Header' => undef, -+ 'Line' => '209', -+ 'Name' => 'size_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '53815' => { -+ 'BaseType' => '149', -+ 'Header' => undef, -+ 'Line' => '160', -+ 'Name' => '__time_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '53834' => { -+ 'BaseType' => '149', -+ 'Header' => undef, -+ 'Line' => '196', -+ 'Name' => '__syscall_slong_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '53937' => { -+ 'BaseType' => '130', -+ 'Header' => undef, -+ 'Line' => '26', -+ 'Name' => '__s32', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '54380' => { -+ 'Header' => undef, -+ 'Line' => '10', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'tv_sec', -+ 'offset' => '0', -+ 'type' => '53815' -+ }, -+ '1' => { -+ 'name' => 'tv_nsec', -+ 'offset' => '8', -+ 'type' => '53834' -+ } -+ }, -+ 'Name' => 'struct timespec', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '5501' => { -+ 'Header' => undef, -+ 'Line' => '723', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dest', -+ 'offset' => '0', -+ 'type' => '4762' -+ }, -+ '1' => { -+ 'name' => 'alt_dest', -+ 'offset' => '32', -+ 'type' => '4762' -+ }, -+ '10' => { -+ 'name' => 'alt_pkey_index', -+ 'offset' => '94', -+ 'type' => '874' -+ }, -+ '11' => { -+ 'name' => 'qp_state', -+ 'offset' => '96', -+ 'type' => '862' -+ }, -+ '12' => { -+ 'name' => 'cur_qp_state', -+ 'offset' => '97', -+ 'type' => '862' -+ }, -+ '13' => { -+ 'name' => 'path_mtu', -+ 'offset' => '98', -+ 'type' => '862' -+ }, -+ '14' => { -+ 'name' => 'path_mig_state', -+ 'offset' => '99', -+ 'type' => '862' -+ }, -+ '15' => { -+ 'name' => 'en_sqd_async_notify', -+ 'offset' => '100', -+ 'type' => '862' -+ }, -+ '16' => { -+ 'name' => 'max_rd_atomic', -+ 'offset' => '101', -+ 'type' => '862' -+ }, -+ '17' => { -+ 'name' => 'max_dest_rd_atomic', -+ 'offset' => '102', -+ 'type' => '862' -+ }, -+ '18' => { -+ 'name' => 'min_rnr_timer', -+ 'offset' => '103', -+ 'type' => '862' -+ }, -+ '19' => { -+ 'name' => 'port_num', -+ 'offset' => '104', -+ 'type' => '862' -+ }, -+ '2' => { -+ 'name' => 'qp_handle', -+ 'offset' => '64', -+ 'type' => '886' -+ }, -+ '20' => { -+ 'name' => 'timeout', -+ 'offset' => '105', -+ 'type' => '862' -+ }, -+ '21' => { -+ 'name' => 'retry_cnt', -+ 'offset' => '106', -+ 'type' => '862' -+ }, -+ '22' => { -+ 'name' => 'rnr_retry', -+ 'offset' => '107', -+ 'type' => '862' -+ }, -+ '23' => { -+ 'name' => 'alt_port_num', -+ 'offset' => '108', -+ 'type' => '862' -+ }, -+ '24' => { -+ 'name' => 'alt_timeout', -+ 'offset' => '109', -+ 'type' => '862' -+ }, -+ '25' => { -+ 'name' => 'reserved', -+ 'offset' => '110', -+ 'type' => '5896' -+ }, -+ '26' => { -+ 'name' => 'driver_data', -+ 'offset' => '112', -+ 'type' => '1522' -+ }, -+ '3' => { -+ 'name' => 'attr_mask', -+ 'offset' => '68', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'qkey', -+ 'offset' => '72', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'rq_psn', -+ 'offset' => '76', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'sq_psn', -+ 'offset' => '80', -+ 'type' => '886' -+ }, -+ '7' => { -+ 'name' => 'dest_qp_num', -+ 'offset' => '84', -+ 'type' => '886' -+ }, -+ '8' => { -+ 'name' => 'qp_access_flags', -+ 'offset' => '88', -+ 'type' => '886' -+ }, -+ '9' => { -+ 'name' => 'pkey_index', -+ 'offset' => '92', -+ 'type' => '874' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_modify_qp', -+ 'Size' => '112', -+ 'Type' => 'Struct' -+ }, -+ '55471' => { -+ 'Header' => undef, -+ 'Line' => '404', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'user_handle', -+ 'offset' => '8', -+ 'type' => '898' -+ }, -+ '2' => { -+ 'name' => 'cqe', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'comp_vector', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'comp_channel', -+ 'offset' => '24', -+ 'type' => '53937' -+ }, -+ '5' => { -+ 'name' => 'reserved', -+ 'offset' => '28', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'driver_data', -+ 'offset' => '32', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_create_cq', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '55636' => { -+ 'Header' => undef, -+ 'Line' => '419', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'user_handle', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'cqe', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'comp_vector', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'comp_channel', -+ 'offset' => '16', -+ 'type' => '53937' -+ }, -+ '4' => { -+ 'name' => 'comp_mask', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'flags', -+ 'offset' => '24', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'reserved', -+ 'offset' => '28', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_ex_create_cq', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '55751' => { -+ 'Header' => undef, -+ 'Line' => '429', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'cq_handle', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'cqe', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'driver_data', -+ 'offset' => '8', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_create_cq_resp', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '55826' => { -+ 'Header' => undef, -+ 'Line' => '435', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'base', -+ 'offset' => '0', -+ 'type' => '55751' -+ }, -+ '1' => { -+ 'name' => 'comp_mask', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'response_length', -+ 'offset' => '12', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_ex_create_cq_resp', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '55987' => { -+ 'Header' => undef, -+ 'Line' => '144', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_KEYMAT_AES_GCM', -+ 'value' => '0' -+ } -+ }, -+ 'Name' => 'enum ib_uverbs_flow_action_esp_keymat', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '56012' => { -+ 'Header' => undef, -+ 'Line' => '163', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_REPLAY_NONE', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_REPLAY_BMP', -+ 'value' => '1' -+ } -+ }, -+ 'Name' => 'enum ib_uverbs_flow_action_esp_replay', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '56043' => { -+ 'Header' => undef, -+ 'Line' => '189', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'val_ptr', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'val_ptr_data_u64', -+ 'offset' => '0', -+ 'type' => '898' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Union' -+ }, -+ '56079' => { -+ 'Header' => undef, -+ 'Line' => '190', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'next_ptr', -+ 'offset' => '0', -+ 'type' => '56170' -+ }, -+ '1' => { -+ 'name' => 'next_ptr_data_u64', -+ 'offset' => '0', -+ 'type' => '898' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Union' -+ }, -+ '56115' => { -+ 'Header' => undef, -+ 'Line' => '185', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '56043' -+ }, -+ '1' => { -+ 'name' => 'unnamed1', -+ 'offset' => '8', -+ 'type' => '56079' -+ }, -+ '2' => { -+ 'name' => 'len', -+ 'offset' => '16', -+ 'type' => '874' -+ }, -+ '3' => { -+ 'name' => 'type', -+ 'offset' => '18', -+ 'type' => '874' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_flow_action_esp_encap', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '56170' => { -+ 'BaseType' => '56115', -+ 'Name' => 'struct ib_uverbs_flow_action_esp_encap*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '56176' => { -+ 'Header' => undef, -+ 'Line' => '195', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'spi', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'seq', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'tfc_pad', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'flags', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'hard_limit_pkts', -+ 'offset' => '16', -+ 'type' => '898' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_flow_action_esp', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '56257' => { -+ 'Header' => undef, -+ 'Line' => '208', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IB_UVERBS_ADVISE_MR_ADVICE_PREFETCH', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IB_UVERBS_ADVISE_MR_ADVICE_PREFETCH_WRITE', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IB_UVERBS_ADVISE_MR_ADVICE_PREFETCH_NO_FAULT', -+ 'value' => '2' -+ } -+ }, -+ 'Name' => 'enum ib_uverbs_advise_mr_advice', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '56548' => { -+ 'Header' => undef, -+ 'Line' => '154', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'length', -+ 'offset' => '0', -+ 'type' => '52' -+ }, -+ '1' => { -+ 'name' => 'log_align_req', -+ 'offset' => '8', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'comp_mask', -+ 'offset' => '12', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_alloc_dm_attr', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '56601' => { -+ 'Header' => undef, -+ 'Line' => '160', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'memcpy_to_dm', -+ 'offset' => '8', -+ 'type' => '56840' -+ }, -+ '2' => { -+ 'name' => 'memcpy_from_dm', -+ 'offset' => '16', -+ 'type' => '56876' -+ }, -+ '3' => { -+ 'name' => 'comp_mask', -+ 'offset' => '24', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_dm', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '56822' => { -+ 'BaseType' => '56601', -+ 'Name' => 'struct ibv_dm*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '56840' => { -+ 'Name' => 'int(*)(struct ibv_dm*, uint64_t, void const*, size_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '56822' -+ }, -+ '1' => { -+ 'type' => '838' -+ }, -+ '2' => { -+ 'type' => '790' -+ }, -+ '3' => { -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '56876' => { -+ 'Name' => 'int(*)(void*, struct ibv_dm*, uint64_t, size_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '71' -+ }, -+ '1' => { -+ 'type' => '56822' -+ }, -+ '2' => { -+ 'type' => '838' -+ }, -+ '3' => { -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '58223' => { -+ 'Header' => undef, -+ 'Line' => '358', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_PORT_NOP', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_PORT_DOWN', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_PORT_INIT', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_PORT_ARMED', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_PORT_ACTIVE', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_PORT_ACTIVE_DEFER', -+ 'value' => '5' -+ } -+ }, -+ 'Name' => 'enum ibv_port_state', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '58279' => { -+ 'Header' => undef, -+ 'Line' => '409', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'state', -+ 'offset' => '0', -+ 'type' => '58223' -+ }, -+ '1' => { -+ 'name' => 'max_mtu', -+ 'offset' => '4', -+ 'type' => '12032' -+ }, -+ '10' => { -+ 'name' => 'sm_lid', -+ 'offset' => '36', -+ 'type' => '814' -+ }, -+ '11' => { -+ 'name' => 'lmc', -+ 'offset' => '38', -+ 'type' => '802' -+ }, -+ '12' => { -+ 'name' => 'max_vl_num', -+ 'offset' => '39', -+ 'type' => '802' -+ }, -+ '13' => { -+ 'name' => 'sm_sl', -+ 'offset' => '40', -+ 'type' => '802' -+ }, -+ '14' => { -+ 'name' => 'subnet_timeout', -+ 'offset' => '41', -+ 'type' => '802' -+ }, -+ '15' => { -+ 'name' => 'init_type_reply', -+ 'offset' => '42', -+ 'type' => '802' -+ }, -+ '16' => { -+ 'name' => 'active_width', -+ 'offset' => '43', -+ 'type' => '802' -+ }, -+ '17' => { -+ 'name' => 'active_speed', -+ 'offset' => '44', -+ 'type' => '802' -+ }, -+ '18' => { -+ 'name' => 'phys_state', -+ 'offset' => '45', -+ 'type' => '802' -+ }, -+ '19' => { -+ 'name' => 'link_layer', -+ 'offset' => '46', -+ 'type' => '802' -+ }, -+ '2' => { -+ 'name' => 'active_mtu', -+ 'offset' => '8', -+ 'type' => '12032' -+ }, -+ '20' => { -+ 'name' => 'flags', -+ 'offset' => '47', -+ 'type' => '802' -+ }, -+ '21' => { -+ 'name' => 'port_cap_flags2', -+ 'offset' => '48', -+ 'type' => '814' -+ }, -+ '3' => { -+ 'name' => 'gid_tbl_len', -+ 'offset' => '12', -+ 'type' => '130' -+ }, -+ '4' => { -+ 'name' => 'port_cap_flags', -+ 'offset' => '16', -+ 'type' => '826' -+ }, -+ '5' => { -+ 'name' => 'max_msg_sz', -+ 'offset' => '20', -+ 'type' => '826' -+ }, -+ '6' => { -+ 'name' => 'bad_pkey_cntr', -+ 'offset' => '24', -+ 'type' => '826' -+ }, -+ '7' => { -+ 'name' => 'qkey_viol_cntr', -+ 'offset' => '28', -+ 'type' => '826' -+ }, -+ '8' => { -+ 'name' => 'pkey_tbl_len', -+ 'offset' => '32', -+ 'type' => '814' -+ }, -+ '9' => { -+ 'name' => 'lid', -+ 'offset' => '34', -+ 'type' => '814' -+ } -+ }, -+ 'Name' => 'struct ibv_port_attr', -+ 'Size' => '52', -+ 'Type' => 'Struct' -+ }, -+ '58602' => { -+ 'Header' => undef, -+ 'Line' => '434', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_EVENT_CQ_ERR', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_EVENT_QP_FATAL', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IBV_EVENT_PORT_ERR', -+ 'value' => '10' -+ }, -+ '11' => { -+ 'name' => 'IBV_EVENT_LID_CHANGE', -+ 'value' => '11' -+ }, -+ '12' => { -+ 'name' => 'IBV_EVENT_PKEY_CHANGE', -+ 'value' => '12' -+ }, -+ '13' => { -+ 'name' => 'IBV_EVENT_SM_CHANGE', -+ 'value' => '13' -+ }, -+ '14' => { -+ 'name' => 'IBV_EVENT_SRQ_ERR', -+ 'value' => '14' -+ }, -+ '15' => { -+ 'name' => 'IBV_EVENT_SRQ_LIMIT_REACHED', -+ 'value' => '15' -+ }, -+ '16' => { -+ 'name' => 'IBV_EVENT_QP_LAST_WQE_REACHED', -+ 'value' => '16' -+ }, -+ '17' => { -+ 'name' => 'IBV_EVENT_CLIENT_REREGISTER', -+ 'value' => '17' -+ }, -+ '18' => { -+ 'name' => 'IBV_EVENT_GID_CHANGE', -+ 'value' => '18' -+ }, -+ '19' => { -+ 'name' => 'IBV_EVENT_WQ_FATAL', -+ 'value' => '19' -+ }, -+ '2' => { -+ 'name' => 'IBV_EVENT_QP_REQ_ERR', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_EVENT_QP_ACCESS_ERR', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_EVENT_COMM_EST', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_EVENT_SQ_DRAINED', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_EVENT_PATH_MIG', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_EVENT_PATH_MIG_ERR', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'IBV_EVENT_DEVICE_FATAL', -+ 'value' => '8' -+ }, -+ '9' => { -+ 'name' => 'IBV_EVENT_PORT_ACTIVE', -+ 'value' => '9' -+ } -+ }, -+ 'Name' => 'enum ibv_event_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '58742' => { -+ 'Header' => undef, -+ 'Line' => '458', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'cq', -+ 'offset' => '0', -+ 'type' => '12223' -+ }, -+ '1' => { -+ 'name' => 'qp', -+ 'offset' => '0', -+ 'type' => '12425' -+ }, -+ '2' => { -+ 'name' => 'srq', -+ 'offset' => '0', -+ 'type' => '12543' -+ }, -+ '3' => { -+ 'name' => 'wq', -+ 'offset' => '0', -+ 'type' => '12744' -+ }, -+ '4' => { -+ 'name' => 'port_num', -+ 'offset' => '0', -+ 'type' => '130' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Union' -+ }, -+ '5896' => { -+ 'BaseType' => '862', -+ 'Name' => '__u8[2]', -+ 'Size' => '2', -+ 'Type' => 'Array' -+ }, -+ '5928' => { -+ 'Header' => undef, -+ 'Line' => '753', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'base', -+ 'offset' => '0', -+ 'type' => '5501' -+ }, -+ '1' => { -+ 'name' => 'rate_limit', -+ 'offset' => '112', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'reserved', -+ 'offset' => '116', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_ex_modify_qp', -+ 'Size' => '120', -+ 'Type' => 'Struct' -+ }, -+ '59483' => { -+ 'Header' => undef, -+ 'Line' => '457', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'element', -+ 'offset' => '0', -+ 'type' => '58742' -+ }, -+ '1' => { -+ 'name' => 'event_type', -+ 'offset' => '8', -+ 'type' => '58602' -+ } -+ }, -+ 'Name' => 'struct ibv_async_event', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '5987' => { -+ 'Header' => undef, -+ 'Line' => '759', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'response_length', -+ 'offset' => '4', -+ 'type' => '886' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_ex_modify_qp_resp', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '60358' => { -+ 'Header' => undef, -+ 'Line' => '614', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_td_init_attr', -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '60387' => { -+ 'Header' => undef, -+ 'Line' => '618', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '10735' -+ } -+ }, -+ 'Name' => 'struct ibv_td', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '60877' => { -+ 'Header' => undef, -+ 'Line' => '758', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'srq_context', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'offset' => '8', -+ 'type' => '14194' -+ } -+ }, -+ 'Name' => 'struct ibv_srq_init_attr', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '60920' => { -+ 'Header' => undef, -+ 'Line' => '763', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_SRQT_BASIC', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_SRQT_XRC', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_SRQT_TM', -+ 'value' => '2' -+ } -+ }, -+ 'Name' => 'enum ibv_srq_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '60958' => { -+ 'Header' => undef, -+ 'Line' => '778', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'max_num_tags', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'max_ops', -+ 'offset' => '4', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_tm_cap', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '61001' => { -+ 'Header' => undef, -+ 'Line' => '783', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'srq_context', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'offset' => '8', -+ 'type' => '14194' -+ }, -+ '2' => { -+ 'name' => 'comp_mask', -+ 'offset' => '20', -+ 'type' => '826' -+ }, -+ '3' => { -+ 'name' => 'srq_type', -+ 'offset' => '24', -+ 'type' => '60920' -+ }, -+ '4' => { -+ 'name' => 'pd', -+ 'offset' => '32', -+ 'type' => '13875' -+ }, -+ '5' => { -+ 'name' => 'xrcd', -+ 'offset' => '40', -+ 'type' => '14251' -+ }, -+ '6' => { -+ 'name' => 'cq', -+ 'offset' => '48', -+ 'type' => '12223' -+ }, -+ '7' => { -+ 'name' => 'tm_cap', -+ 'offset' => '56', -+ 'type' => '60958' -+ } -+ }, -+ 'Name' => 'struct ibv_srq_init_attr_ex', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '61158' => { -+ 'Header' => undef, -+ 'Line' => '812', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wq_context', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'wq_type', -+ 'offset' => '8', -+ 'type' => '14257' -+ }, -+ '2' => { -+ 'name' => 'max_wr', -+ 'offset' => '12', -+ 'type' => '826' -+ }, -+ '3' => { -+ 'name' => 'max_sge', -+ 'offset' => '16', -+ 'type' => '826' -+ }, -+ '4' => { -+ 'name' => 'pd', -+ 'offset' => '24', -+ 'type' => '13875' -+ }, -+ '5' => { -+ 'name' => 'cq', -+ 'offset' => '32', -+ 'type' => '12223' -+ }, -+ '6' => { -+ 'name' => 'comp_mask', -+ 'offset' => '40', -+ 'type' => '826' -+ }, -+ '7' => { -+ 'name' => 'create_flags', -+ 'offset' => '44', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_wq_init_attr', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '61806' => { -+ 'Header' => undef, -+ 'Line' => '936', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rx_hash_function', -+ 'offset' => '0', -+ 'type' => '802' -+ }, -+ '1' => { -+ 'name' => 'rx_hash_key_len', -+ 'offset' => '1', -+ 'type' => '802' -+ }, -+ '2' => { -+ 'name' => 'rx_hash_key', -+ 'offset' => '8', -+ 'type' => '61877' -+ }, -+ '3' => { -+ 'name' => 'rx_hash_fields_mask', -+ 'offset' => '16', -+ 'type' => '838' -+ } -+ }, -+ 'Name' => 'struct ibv_rx_hash_conf', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '61877' => { -+ 'BaseType' => '802', -+ 'Name' => 'uint8_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '61883' => { -+ 'Header' => undef, -+ 'Line' => '945', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp_context', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'send_cq', -+ 'offset' => '8', -+ 'type' => '12223' -+ }, -+ '10' => { -+ 'name' => 'create_flags', -+ 'offset' => '80', -+ 'type' => '826' -+ }, -+ '11' => { -+ 'name' => 'max_tso_header', -+ 'offset' => '84', -+ 'type' => '814' -+ }, -+ '12' => { -+ 'name' => 'rwq_ind_tbl', -+ 'offset' => '88', -+ 'type' => '14926' -+ }, -+ '13' => { -+ 'name' => 'rx_hash_conf', -+ 'offset' => '96', -+ 'type' => '61806' -+ }, -+ '14' => { -+ 'name' => 'source_qpn', -+ 'offset' => '120', -+ 'type' => '826' -+ }, -+ '15' => { -+ 'name' => 'send_ops_flags', -+ 'offset' => '128', -+ 'type' => '838' -+ }, -+ '2' => { -+ 'name' => 'recv_cq', -+ 'offset' => '16', -+ 'type' => '12223' -+ }, -+ '3' => { -+ 'name' => 'srq', -+ 'offset' => '24', -+ 'type' => '12543' -+ }, -+ '4' => { -+ 'name' => 'cap', -+ 'offset' => '32', -+ 'type' => '14728' -+ }, -+ '5' => { -+ 'name' => 'qp_type', -+ 'offset' => '52', -+ 'type' => '14666' -+ }, -+ '6' => { -+ 'name' => 'sq_sig_all', -+ 'offset' => '56', -+ 'type' => '130' -+ }, -+ '7' => { -+ 'name' => 'comp_mask', -+ 'offset' => '60', -+ 'type' => '826' -+ }, -+ '8' => { -+ 'name' => 'pd', -+ 'offset' => '64', -+ 'type' => '13875' -+ }, -+ '9' => { -+ 'name' => 'xrcd', -+ 'offset' => '72', -+ 'type' => '14251' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_init_attr_ex', -+ 'Size' => '136', -+ 'Type' => 'Struct' -+ }, -+ '62697' => { -+ 'Header' => undef, -+ 'Line' => '1060', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rate_limit', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'max_burst_sz', -+ 'offset' => '4', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'typical_pkt_sz', -+ 'offset' => '8', -+ 'type' => '814' -+ }, -+ '3' => { -+ 'name' => 'comp_mask', -+ 'offset' => '12', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_rate_limit_attr', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '63647' => { -+ 'Header' => undef, -+ 'Line' => '1158', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WR_TAG_ADD', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WR_TAG_DEL', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_WR_TAG_SYNC', -+ 'value' => '2' -+ } -+ }, -+ 'Name' => 'enum ibv_ops_wr_opcode', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '63685' => { -+ 'Header' => undef, -+ 'Line' => '1177', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'recv_wr_id', -+ 'offset' => '0', -+ 'type' => '838' -+ }, -+ '1' => { -+ 'name' => 'sg_list', -+ 'offset' => '8', -+ 'type' => '16579' -+ }, -+ '2' => { -+ 'name' => 'num_sge', -+ 'offset' => '16', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'tag', -+ 'offset' => '24', -+ 'type' => '838' -+ }, -+ '4' => { -+ 'name' => 'mask', -+ 'offset' => '32', -+ 'type' => '838' -+ } -+ }, -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '63766' => { -+ 'Header' => undef, -+ 'Line' => '1174', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unexpected_cnt', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'handle', -+ 'offset' => '4', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'add', -+ 'offset' => '8', -+ 'type' => '63685' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '63819' => { -+ 'Header' => undef, -+ 'Line' => '1169', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '838' -+ }, -+ '1' => { -+ 'name' => 'next', -+ 'offset' => '8', -+ 'type' => '63903' -+ }, -+ '2' => { -+ 'name' => 'opcode', -+ 'offset' => '16', -+ 'type' => '63647' -+ }, -+ '3' => { -+ 'name' => 'flags', -+ 'offset' => '20', -+ 'type' => '130' -+ }, -+ '4' => { -+ 'name' => 'tm', -+ 'offset' => '24', -+ 'type' => '63766' -+ } -+ }, -+ 'Name' => 'struct ibv_ops_wr', -+ 'Size' => '72', -+ 'Type' => 'Struct' -+ }, -+ '63903' => { -+ 'BaseType' => '63819', -+ 'Name' => 'struct ibv_ops_wr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '64' => { -+ 'Name' => 'unsigned int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '64003' => { -+ 'Header' => undef, -+ 'Line' => '1418', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'vendor_id', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'options', -+ 'offset' => '4', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'comp_mask', -+ 'offset' => '8', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_ece', -+ 'Size' => '12', -+ 'Type' => 'Struct' -+ }, -+ '64122' => { -+ 'Header' => undef, -+ 'Line' => '1452', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_poll_cq_attr', -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '64151' => { -+ 'Header' => undef, -+ 'Line' => '1456', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'tag', -+ 'offset' => '0', -+ 'type' => '838' -+ }, -+ '1' => { -+ 'name' => 'priv', -+ 'offset' => '8', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_wc_tm_info', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '64194' => { -+ 'Header' => undef, -+ 'Line' => '1461', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'channel', -+ 'offset' => '8', -+ 'type' => '17618' -+ }, -+ '10' => { -+ 'name' => 'status', -+ 'offset' => '132', -+ 'type' => '12750' -+ }, -+ '11' => { -+ 'name' => 'wr_id', -+ 'offset' => '136', -+ 'type' => '838' -+ }, -+ '12' => { -+ 'name' => 'start_poll', -+ 'offset' => '144', -+ 'type' => '64666' -+ }, -+ '13' => { -+ 'name' => 'next_poll', -+ 'offset' => '152', -+ 'type' => '64687' -+ }, -+ '14' => { -+ 'name' => 'end_poll', -+ 'offset' => '160', -+ 'type' => '64704' -+ }, -+ '15' => { -+ 'name' => 'read_opcode', -+ 'offset' => '168', -+ 'type' => '64725' -+ }, -+ '16' => { -+ 'name' => 'read_vendor_err', -+ 'offset' => '176', -+ 'type' => '64746' -+ }, -+ '17' => { -+ 'name' => 'read_byte_len', -+ 'offset' => '184', -+ 'type' => '64746' -+ }, -+ '18' => { -+ 'name' => 'read_imm_data', -+ 'offset' => '192', -+ 'type' => '64767' -+ }, -+ '19' => { -+ 'name' => 'read_qp_num', -+ 'offset' => '200', -+ 'type' => '64746' -+ }, -+ '2' => { -+ 'name' => 'cq_context', -+ 'offset' => '16', -+ 'type' => '71' -+ }, -+ '20' => { -+ 'name' => 'read_src_qp', -+ 'offset' => '208', -+ 'type' => '64746' -+ }, -+ '21' => { -+ 'name' => 'read_wc_flags', -+ 'offset' => '216', -+ 'type' => '64788' -+ }, -+ '22' => { -+ 'name' => 'read_slid', -+ 'offset' => '224', -+ 'type' => '64746' -+ }, -+ '23' => { -+ 'name' => 'read_sl', -+ 'offset' => '232', -+ 'type' => '64809' -+ }, -+ '24' => { -+ 'name' => 'read_dlid_path_bits', -+ 'offset' => '240', -+ 'type' => '64809' -+ }, -+ '25' => { -+ 'name' => 'read_completion_ts', -+ 'offset' => '248', -+ 'type' => '64830' -+ }, -+ '26' => { -+ 'name' => 'read_cvlan', -+ 'offset' => '256', -+ 'type' => '64851' -+ }, -+ '27' => { -+ 'name' => 'read_flow_tag', -+ 'offset' => '264', -+ 'type' => '64746' -+ }, -+ '28' => { -+ 'name' => 'read_tm_info', -+ 'offset' => '272', -+ 'type' => '64879' -+ }, -+ '29' => { -+ 'name' => 'read_completion_wallclock_ns', -+ 'offset' => '280', -+ 'type' => '64830' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '24', -+ 'type' => '826' -+ }, -+ '4' => { -+ 'name' => 'cqe', -+ 'offset' => '28', -+ 'type' => '130' -+ }, -+ '5' => { -+ 'name' => 'mutex', -+ 'offset' => '32', -+ 'type' => '54905' -+ }, -+ '6' => { -+ 'name' => 'cond', -+ 'offset' => '72', -+ 'type' => '54979' -+ }, -+ '7' => { -+ 'name' => 'comp_events_completed', -+ 'offset' => '120', -+ 'type' => '826' -+ }, -+ '8' => { -+ 'name' => 'async_events_completed', -+ 'offset' => '124', -+ 'type' => '826' -+ }, -+ '9' => { -+ 'name' => 'comp_mask', -+ 'offset' => '128', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_cq_ex', -+ 'Size' => '288', -+ 'Type' => 'Struct' -+ }, -+ '64654' => { -+ 'BaseType' => '64194', -+ 'Name' => 'struct ibv_cq_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '64660' => { -+ 'BaseType' => '64122', -+ 'Name' => 'struct ibv_poll_cq_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '64666' => { -+ 'Name' => 'int(*)(struct ibv_cq_ex*, struct ibv_poll_cq_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '64654' -+ }, -+ '1' => { -+ 'type' => '64660' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '64687' => { -+ 'Name' => 'int(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '64654' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '64704' => { -+ 'Name' => 'void(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '64654' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '64725' => { -+ 'Name' => 'enum ibv_wc_opcode(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '64654' -+ } -+ }, -+ 'Return' => '12914', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '64746' => { -+ 'Name' => 'uint32_t(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '64654' -+ } -+ }, -+ 'Return' => '826', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '64767' => { -+ 'Name' => '__be32(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '64654' -+ } -+ }, -+ 'Return' => '922', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '64788' => { -+ 'Name' => 'unsigned int(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '64654' -+ } -+ }, -+ 'Return' => '64', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '64809' => { -+ 'Name' => 'uint8_t(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '64654' -+ } -+ }, -+ 'Return' => '802', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '64830' => { -+ 'Name' => 'uint64_t(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '64654' -+ } -+ }, -+ 'Return' => '838', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '64851' => { -+ 'Name' => 'uint16_t(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '64654' -+ } -+ }, -+ 'Return' => '814', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '64873' => { -+ 'BaseType' => '64151', -+ 'Name' => 'struct ibv_wc_tm_info*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '64879' => { -+ 'Name' => 'void(*)(struct ibv_cq_ex*, struct ibv_wc_tm_info*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '64654' -+ }, -+ '1' => { -+ 'type' => '64873' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '65249' => { -+ 'Header' => undef, -+ 'Line' => '1878', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'esp_attr', -+ 'offset' => '0', -+ 'type' => '65404' -+ }, -+ '1' => { -+ 'name' => 'keymat_proto', -+ 'offset' => '8', -+ 'type' => '55987' -+ }, -+ '2' => { -+ 'name' => 'keymat_len', -+ 'offset' => '12', -+ 'type' => '814' -+ }, -+ '3' => { -+ 'name' => 'keymat_ptr', -+ 'offset' => '16', -+ 'type' => '71' -+ }, -+ '4' => { -+ 'name' => 'replay_proto', -+ 'offset' => '24', -+ 'type' => '56012' -+ }, -+ '5' => { -+ 'name' => 'replay_len', -+ 'offset' => '28', -+ 'type' => '814' -+ }, -+ '6' => { -+ 'name' => 'replay_ptr', -+ 'offset' => '32', -+ 'type' => '71' -+ }, -+ '7' => { -+ 'name' => 'esp_encap', -+ 'offset' => '40', -+ 'type' => '56170' -+ }, -+ '8' => { -+ 'name' => 'comp_mask', -+ 'offset' => '48', -+ 'type' => '826' -+ }, -+ '9' => { -+ 'name' => 'esn', -+ 'offset' => '52', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_flow_action_esp_attr', -+ 'Size' => '56', -+ 'Type' => 'Struct' -+ }, -+ '65404' => { -+ 'BaseType' => '56176', -+ 'Name' => 'struct ib_uverbs_flow_action_esp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '66460' => { -+ 'Header' => undef, -+ 'Line' => '1986', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'cqe', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'cq_context', -+ 'offset' => '8', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'channel', -+ 'offset' => '16', -+ 'type' => '17618' -+ }, -+ '3' => { -+ 'name' => 'comp_vector', -+ 'offset' => '24', -+ 'type' => '826' -+ }, -+ '4' => { -+ 'name' => 'wc_flags', -+ 'offset' => '32', -+ 'type' => '838' -+ }, -+ '5' => { -+ 'name' => 'comp_mask', -+ 'offset' => '40', -+ 'type' => '826' -+ }, -+ '6' => { -+ 'name' => 'flags', -+ 'offset' => '44', -+ 'type' => '826' -+ }, -+ '7' => { -+ 'name' => 'parent_domain', -+ 'offset' => '48', -+ 'type' => '13875' -+ } -+ }, -+ 'Name' => 'struct ibv_cq_init_attr_ex', -+ 'Size' => '56', -+ 'Type' => 'Struct' -+ }, -+ '66587' => { -+ 'Header' => undef, -+ 'Line' => '2019', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'pd', -+ 'offset' => '0', -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'name' => 'td', -+ 'offset' => '8', -+ 'type' => '66684' -+ }, -+ '2' => { -+ 'name' => 'comp_mask', -+ 'offset' => '16', -+ 'type' => '826' -+ }, -+ '3' => { -+ 'name' => 'alloc', -+ 'offset' => '24', -+ 'type' => '66725' -+ }, -+ '4' => { -+ 'name' => 'free', -+ 'offset' => '32', -+ 'type' => '66757' -+ }, -+ '5' => { -+ 'name' => 'pd_context', -+ 'offset' => '40', -+ 'type' => '71' -+ } -+ }, -+ 'Name' => 'struct ibv_parent_domain_init_attr', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '66684' => { -+ 'BaseType' => '60387', -+ 'Name' => 'struct ibv_td*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '66725' => { -+ 'Name' => 'void*(*)(struct ibv_pd*, void*, size_t, size_t, uint64_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'type' => '71' -+ }, -+ '2' => { -+ 'type' => '52' -+ }, -+ '3' => { -+ 'type' => '52' -+ }, -+ '4' => { -+ 'type' => '838' -+ } -+ }, -+ 'Return' => '71', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '66757' => { -+ 'Name' => 'void(*)(struct ibv_pd*, void*, void*, uint64_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'type' => '71' -+ }, -+ '2' => { -+ 'type' => '71' -+ }, -+ '3' => { -+ 'type' => '838' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '66792' => { -+ 'Header' => undef, -+ 'Line' => '2038', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_COUNTER_PACKETS', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_COUNTER_BYTES', -+ 'value' => '1' -+ } -+ }, -+ 'Name' => 'enum ibv_counter_description', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '66824' => { -+ 'Header' => undef, -+ 'Line' => '2043', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'counter_desc', -+ 'offset' => '0', -+ 'type' => '66792' -+ }, -+ '1' => { -+ 'name' => 'index', -+ 'offset' => '4', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'comp_mask', -+ 'offset' => '8', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_counter_attach_attr', -+ 'Size' => '12', -+ 'Type' => 'Struct' -+ }, -+ '66881' => { -+ 'Header' => undef, -+ 'Line' => '2058', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '826' -+ }, -+ '1' => { -+ 'name' => 'raw_clock', -+ 'offset' => '8', -+ 'type' => '54380' -+ } -+ }, -+ 'Name' => 'struct ibv_values_ex', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '66924' => { -+ 'Header' => undef, -+ 'Line' => '2063', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'query_port', -+ 'offset' => '0', -+ 'type' => '67558' -+ }, -+ '1' => { -+ 'name' => 'advise_mr', -+ 'offset' => '8', -+ 'type' => '67599' -+ }, -+ '10' => { -+ 'name' => 'modify_flow_action_esp', -+ 'offset' => '80', -+ 'type' => '67890' -+ }, -+ '11' => { -+ 'name' => 'destroy_flow_action', -+ 'offset' => '88', -+ 'type' => '67911' -+ }, -+ '12' => { -+ 'name' => 'create_flow_action_esp', -+ 'offset' => '96', -+ 'type' => '67937' -+ }, -+ '13' => { -+ 'name' => 'modify_qp_rate_limit', -+ 'offset' => '104', -+ 'type' => '67969' -+ }, -+ '14' => { -+ 'name' => 'alloc_parent_domain', -+ 'offset' => '112', -+ 'type' => '68001' -+ }, -+ '15' => { -+ 'name' => 'dealloc_td', -+ 'offset' => '120', -+ 'type' => '68022' -+ }, -+ '16' => { -+ 'name' => 'alloc_td', -+ 'offset' => '128', -+ 'type' => '68054' -+ }, -+ '17' => { -+ 'name' => 'modify_cq', -+ 'offset' => '136', -+ 'type' => '68086' -+ }, -+ '18' => { -+ 'name' => 'post_srq_ops', -+ 'offset' => '144', -+ 'type' => '68123' -+ }, -+ '19' => { -+ 'name' => 'destroy_rwq_ind_table', -+ 'offset' => '152', -+ 'type' => '68144' -+ }, -+ '2' => { -+ 'name' => 'alloc_null_mr', -+ 'offset' => '16', -+ 'type' => '67620' -+ }, -+ '20' => { -+ 'name' => 'create_rwq_ind_table', -+ 'offset' => '160', -+ 'type' => '68176' -+ }, -+ '21' => { -+ 'name' => 'destroy_wq', -+ 'offset' => '168', -+ 'type' => '68197' -+ }, -+ '22' => { -+ 'name' => 'modify_wq', -+ 'offset' => '176', -+ 'type' => '68229' -+ }, -+ '23' => { -+ 'name' => 'create_wq', -+ 'offset' => '184', -+ 'type' => '68261' -+ }, -+ '24' => { -+ 'name' => 'query_rt_values', -+ 'offset' => '192', -+ 'type' => '68293' -+ }, -+ '25' => { -+ 'name' => 'create_cq_ex', -+ 'offset' => '200', -+ 'type' => '68325' -+ }, -+ '26' => { -+ 'name' => 'priv', -+ 'offset' => '208', -+ 'type' => '68412' -+ }, -+ '27' => { -+ 'name' => 'query_device_ex', -+ 'offset' => '216', -+ 'type' => '68460' -+ }, -+ '28' => { -+ 'name' => 'ibv_destroy_flow', -+ 'offset' => '224', -+ 'type' => '68481' -+ }, -+ '29' => { -+ 'name' => 'ABI_placeholder2', -+ 'offset' => '232', -+ 'type' => '68488' -+ }, -+ '3' => { -+ 'name' => 'read_counters', -+ 'offset' => '24', -+ 'type' => '67662' -+ }, -+ '30' => { -+ 'name' => 'ibv_create_flow', -+ 'offset' => '240', -+ 'type' => '68520' -+ }, -+ '31' => { -+ 'name' => 'ABI_placeholder1', -+ 'offset' => '248', -+ 'type' => '68488' -+ }, -+ '32' => { -+ 'name' => 'open_qp', -+ 'offset' => '256', -+ 'type' => '68552' -+ }, -+ '33' => { -+ 'name' => 'create_qp_ex', -+ 'offset' => '264', -+ 'type' => '68584' -+ }, -+ '34' => { -+ 'name' => 'get_srq_num', -+ 'offset' => '272', -+ 'type' => '68616' -+ }, -+ '35' => { -+ 'name' => 'create_srq_ex', -+ 'offset' => '280', -+ 'type' => '68648' -+ }, -+ '36' => { -+ 'name' => 'open_xrcd', -+ 'offset' => '288', -+ 'type' => '68680' -+ }, -+ '37' => { -+ 'name' => 'close_xrcd', -+ 'offset' => '296', -+ 'type' => '68701' -+ }, -+ '38' => { -+ 'name' => '_ABI_placeholder3', -+ 'offset' => '304', -+ 'type' => '838' -+ }, -+ '39' => { -+ 'name' => 'sz', -+ 'offset' => '312', -+ 'type' => '52' -+ }, -+ '4' => { -+ 'name' => 'attach_counters_point_flow', -+ 'offset' => '32', -+ 'type' => '67705' -+ }, -+ '40' => { -+ 'name' => 'context', -+ 'offset' => '320', -+ 'type' => '10616' -+ }, -+ '5' => { -+ 'name' => 'create_counters', -+ 'offset' => '40', -+ 'type' => '67737' -+ }, -+ '6' => { -+ 'name' => 'destroy_counters', -+ 'offset' => '48', -+ 'type' => '67758' -+ }, -+ '7' => { -+ 'name' => 'reg_dm_mr', -+ 'offset' => '56', -+ 'type' => '67799' -+ }, -+ '8' => { -+ 'name' => 'alloc_dm', -+ 'offset' => '64', -+ 'type' => '67831' -+ }, -+ '9' => { -+ 'name' => 'free_dm', -+ 'offset' => '72', -+ 'type' => '67852' -+ } -+ }, -+ 'Name' => 'struct verbs_context', -+ 'Size' => '648', -+ 'Type' => 'Struct' -+ }, -+ '67552' => { -+ 'BaseType' => '58279', -+ 'Name' => 'struct ibv_port_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '67558' => { -+ 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct ibv_port_attr*, size_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '802' -+ }, -+ '2' => { -+ 'type' => '67552' -+ }, -+ '3' => { -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '67599' => { -+ 'Name' => 'int(*)(struct ibv_pd*, enum ib_uverbs_advise_mr_advice, uint32_t, struct ibv_sge*, uint32_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'type' => '56257' -+ }, -+ '2' => { -+ 'type' => '826' -+ }, -+ '3' => { -+ 'type' => '16579' -+ }, -+ '4' => { -+ 'type' => '826' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '67620' => { -+ 'Name' => 'struct ibv_mr*(*)(struct ibv_pd*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '13875' -+ } -+ }, -+ 'Return' => '13659', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '67662' => { -+ 'Name' => 'int(*)(struct ibv_counters*, uint64_t*, uint32_t, uint32_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '19352' -+ }, -+ '1' => { -+ 'type' => '20796' -+ }, -+ '2' => { -+ 'type' => '826' -+ }, -+ '3' => { -+ 'type' => '826' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '67693' => { -+ 'BaseType' => '66824', -+ 'Name' => 'struct ibv_counter_attach_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '67705' => { -+ 'Name' => 'int(*)(struct ibv_counters*, struct ibv_counter_attach_attr*, struct ibv_flow*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '19352' -+ }, -+ '1' => { -+ 'type' => '67693' -+ }, -+ '2' => { -+ 'type' => '20802' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '67737' => { -+ 'Name' => 'struct ibv_counters*(*)(struct ibv_context*, struct ibv_counters_init_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '50380' -+ } -+ }, -+ 'Return' => '19352', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '67758' => { -+ 'Name' => 'int(*)(struct ibv_counters*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '19352' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '67799' => { -+ 'Name' => 'struct ibv_mr*(*)(struct ibv_pd*, struct ibv_dm*, uint64_t, size_t, unsigned int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'type' => '56822' -+ }, -+ '2' => { -+ 'type' => '838' -+ }, -+ '3' => { -+ 'type' => '52' -+ }, -+ '4' => { -+ 'type' => '64' -+ } -+ }, -+ 'Return' => '13659', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '67825' => { -+ 'BaseType' => '56548', -+ 'Name' => 'struct ibv_alloc_dm_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '67831' => { -+ 'Name' => 'struct ibv_dm*(*)(struct ibv_context*, struct ibv_alloc_dm_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '67825' -+ } -+ }, -+ 'Return' => '56822', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '67852' => { -+ 'Name' => 'int(*)(struct ibv_dm*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '56822' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '67878' => { -+ 'BaseType' => '19226', -+ 'Name' => 'struct ibv_flow_action*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '67884' => { -+ 'BaseType' => '65249', -+ 'Name' => 'struct ibv_flow_action_esp_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '67890' => { -+ 'Name' => 'int(*)(struct ibv_flow_action*, struct ibv_flow_action_esp_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '67878' -+ }, -+ '1' => { -+ 'type' => '67884' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '67911' => { -+ 'Name' => 'int(*)(struct ibv_flow_action*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '67878' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '67937' => { -+ 'Name' => 'struct ibv_flow_action*(*)(struct ibv_context*, struct ibv_flow_action_esp_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '67884' -+ } -+ }, -+ 'Return' => '67878', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '67963' => { -+ 'BaseType' => '62697', -+ 'Name' => 'struct ibv_qp_rate_limit_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '67969' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_qp_rate_limit_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'type' => '67963' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '67995' => { -+ 'BaseType' => '66587', -+ 'Name' => 'struct ibv_parent_domain_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '68001' => { -+ 'Name' => 'struct ibv_pd*(*)(struct ibv_context*, struct ibv_parent_domain_init_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '67995' -+ } -+ }, -+ 'Return' => '13875', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68022' => { -+ 'Name' => 'int(*)(struct ibv_td*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '66684' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68048' => { -+ 'BaseType' => '60358', -+ 'Name' => 'struct ibv_td_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '68054' => { -+ 'Name' => 'struct ibv_td*(*)(struct ibv_context*, struct ibv_td_init_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '68048' -+ } -+ }, -+ 'Return' => '66684', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68086' => { -+ 'Name' => 'int(*)(struct ibv_cq*, struct ibv_modify_cq_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12223' -+ }, -+ '1' => { -+ 'type' => '20808' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68117' => { -+ 'BaseType' => '63903', -+ 'Name' => 'struct ibv_ops_wr**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '68123' => { -+ 'Name' => 'int(*)(struct ibv_srq*, struct ibv_ops_wr*, struct ibv_ops_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12543' -+ }, -+ '1' => { -+ 'type' => '63903' -+ }, -+ '2' => { -+ 'type' => '68117' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68144' => { -+ 'Name' => 'int(*)(struct ibv_rwq_ind_table*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '14926' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68176' => { -+ 'Name' => 'struct ibv_rwq_ind_table*(*)(struct ibv_context*, struct ibv_rwq_ind_table_init_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '20814' -+ } -+ }, -+ 'Return' => '14926', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68197' => { -+ 'Name' => 'int(*)(struct ibv_wq*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12744' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68229' => { -+ 'Name' => 'int(*)(struct ibv_wq*, struct ibv_wq_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12744' -+ }, -+ '1' => { -+ 'type' => '20820' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68255' => { -+ 'BaseType' => '61158', -+ 'Name' => 'struct ibv_wq_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '68261' => { -+ 'Name' => 'struct ibv_wq*(*)(struct ibv_context*, struct ibv_wq_init_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '68255' -+ } -+ }, -+ 'Return' => '12744', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68287' => { -+ 'BaseType' => '66881', -+ 'Name' => 'struct ibv_values_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '68293' => { -+ 'Name' => 'int(*)(struct ibv_context*, struct ibv_values_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '68287' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68319' => { -+ 'BaseType' => '66460', -+ 'Name' => 'struct ibv_cq_init_attr_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '68325' => { -+ 'Name' => 'struct ibv_cq_ex*(*)(struct ibv_context*, struct ibv_cq_init_attr_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '68319' -+ } -+ }, -+ 'Return' => '64654', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68331' => { -+ 'Header' => undef, -+ 'Line' => '72', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unsupported_ioctls', -+ 'offset' => '0', -+ 'type' => '71884' -+ }, -+ '1' => { -+ 'name' => 'driver_id', -+ 'offset' => '16', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'use_ioctl_write', -+ 'offset' => '20', -+ 'type' => '20856' -+ }, -+ '3' => { -+ 'name' => 'ops', -+ 'offset' => '24', -+ 'type' => '69683' -+ }, -+ '4' => { -+ 'name' => 'imported', -+ 'offset' => '608', -+ 'type' => '20856' -+ } -+ }, -+ 'Name' => 'struct verbs_ex_private', -+ 'Size' => '616', -+ 'Type' => 'Struct' -+ }, -+ '68412' => { -+ 'BaseType' => '68331', -+ 'Name' => 'struct verbs_ex_private*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '68460' => { -+ 'Name' => 'int(*)(struct ibv_context*, struct ibv_query_device_ex_input const*, struct ibv_device_attr_ex*, size_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '20826' -+ }, -+ '2' => { -+ 'type' => '20832' -+ }, -+ '3' => { -+ 'type' => '52' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68481' => { -+ 'Name' => 'int(*)(struct ibv_flow*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '20802' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68488' => { -+ 'Name' => 'void(*)()', -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68520' => { -+ 'Name' => 'struct ibv_flow*(*)(struct ibv_qp*, struct ibv_flow_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'type' => '20838' -+ } -+ }, -+ 'Return' => '20802', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68552' => { -+ 'Name' => 'struct ibv_qp*(*)(struct ibv_context*, struct ibv_qp_open_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '20844' -+ } -+ }, -+ 'Return' => '12425', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68578' => { -+ 'BaseType' => '61883', -+ 'Name' => 'struct ibv_qp_init_attr_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '68584' => { -+ 'Name' => 'struct ibv_qp*(*)(struct ibv_context*, struct ibv_qp_init_attr_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '68578' -+ } -+ }, -+ 'Return' => '12425', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68610' => { -+ 'BaseType' => '826', -+ 'Name' => 'uint32_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '68616' => { -+ 'Name' => 'int(*)(struct ibv_srq*, uint32_t*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12543' -+ }, -+ '1' => { -+ 'type' => '68610' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68642' => { -+ 'BaseType' => '61001', -+ 'Name' => 'struct ibv_srq_init_attr_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '68648' => { -+ 'Name' => 'struct ibv_srq*(*)(struct ibv_context*, struct ibv_srq_init_attr_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '68642' -+ } -+ }, -+ 'Return' => '12543', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68680' => { -+ 'Name' => 'struct ibv_xrcd*(*)(struct ibv_context*, struct ibv_xrcd_init_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '20850' -+ } -+ }, -+ 'Return' => '14251', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68701' => { -+ 'Name' => 'int(*)(struct ibv_xrcd*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '14251' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '68957' => { -+ 'Header' => undef, -+ 'Line' => '181', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'user_handle', -+ 'offset' => '8', -+ 'type' => '898' -+ }, -+ '2' => { -+ 'name' => 'cqe', -+ 'offset' => '16', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'comp_vector', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'comp_channel', -+ 'offset' => '24', -+ 'type' => '53937' -+ }, -+ '5' => { -+ 'name' => 'reserved', -+ 'offset' => '28', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'driver_data', -+ 'offset' => '32', -+ 'type' => '1522' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '69078' => { -+ 'Header' => undef, -+ 'Line' => '181', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '68957' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '55471' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Union' -+ }, -+ '69108' => { -+ 'Header' => undef, -+ 'Line' => '181', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '69078' -+ } -+ }, -+ 'Name' => 'struct ibv_create_cq', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '69323' => { -+ 'Header' => undef, -+ 'Line' => '211', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'user_handle', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'cqe', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'comp_vector', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'comp_channel', -+ 'offset' => '16', -+ 'type' => '53937' -+ }, -+ '4' => { -+ 'name' => 'comp_mask', -+ 'offset' => '20', -+ 'type' => '886' -+ }, -+ '5' => { -+ 'name' => 'flags', -+ 'offset' => '24', -+ 'type' => '886' -+ }, -+ '6' => { -+ 'name' => 'reserved', -+ 'offset' => '28', -+ 'type' => '886' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '69426' => { -+ 'Header' => undef, -+ 'Line' => '211', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '69323' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '55636' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Union' -+ }, -+ '69456' => { -+ 'Header' => undef, -+ 'Line' => '211', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '20863' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '24', -+ 'type' => '69426' -+ } -+ }, -+ 'Name' => 'struct ibv_create_cq_ex', -+ 'Size' => '56', -+ 'Type' => 'Struct' -+ }, -+ '69621' => { -+ 'Header' => undef, -+ 'Line' => '114', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'cq', -+ 'offset' => '0', -+ 'type' => '12082' -+ }, -+ '1' => { -+ 'name' => 'cq_ex', -+ 'offset' => '0', -+ 'type' => '64194' -+ } -+ }, -+ 'Size' => '288', -+ 'Type' => 'Union' -+ }, -+ '69655' => { -+ 'Header' => undef, -+ 'Line' => '113', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '69621' -+ } -+ }, -+ 'Name' => 'struct verbs_cq', -+ 'Size' => '288', -+ 'Type' => 'Struct' -+ }, -+ '69683' => { -+ 'Header' => undef, -+ 'Line' => '256', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'advise_mr', -+ 'offset' => '0', -+ 'type' => '67599' -+ }, -+ '1' => { -+ 'name' => 'alloc_dm', -+ 'offset' => '8', -+ 'type' => '67831' -+ }, -+ '10' => { -+ 'name' => 'bind_mw', -+ 'offset' => '80', -+ 'type' => '20607' -+ }, -+ '11' => { -+ 'name' => 'close_xrcd', -+ 'offset' => '88', -+ 'type' => '68701' -+ }, -+ '12' => { -+ 'name' => 'cq_event', -+ 'offset' => '96', -+ 'type' => '70859' -+ }, -+ '13' => { -+ 'name' => 'create_ah', -+ 'offset' => '104', -+ 'type' => '70891' -+ }, -+ '14' => { -+ 'name' => 'create_counters', -+ 'offset' => '112', -+ 'type' => '67737' -+ }, -+ '15' => { -+ 'name' => 'create_cq', -+ 'offset' => '120', -+ 'type' => '70927' -+ }, -+ '16' => { -+ 'name' => 'create_cq_ex', -+ 'offset' => '128', -+ 'type' => '68325' -+ }, -+ '17' => { -+ 'name' => 'create_flow', -+ 'offset' => '136', -+ 'type' => '68520' -+ }, -+ '18' => { -+ 'name' => 'create_flow_action_esp', -+ 'offset' => '144', -+ 'type' => '67937' -+ }, -+ '19' => { -+ 'name' => 'create_qp', -+ 'offset' => '152', -+ 'type' => '70959' -+ }, -+ '2' => { -+ 'name' => 'alloc_mw', -+ 'offset' => '16', -+ 'type' => '20570' -+ }, -+ '20' => { -+ 'name' => 'create_qp_ex', -+ 'offset' => '160', -+ 'type' => '68584' -+ }, -+ '21' => { -+ 'name' => 'create_rwq_ind_table', -+ 'offset' => '168', -+ 'type' => '68176' -+ }, -+ '22' => { -+ 'name' => 'create_srq', -+ 'offset' => '176', -+ 'type' => '70991' -+ }, -+ '23' => { -+ 'name' => 'create_srq_ex', -+ 'offset' => '184', -+ 'type' => '68648' -+ }, -+ '24' => { -+ 'name' => 'create_wq', -+ 'offset' => '192', -+ 'type' => '68261' -+ }, -+ '25' => { -+ 'name' => 'dealloc_mw', -+ 'offset' => '200', -+ 'type' => '20628' -+ }, -+ '26' => { -+ 'name' => 'dealloc_pd', -+ 'offset' => '208', -+ 'type' => '71012' -+ }, -+ '27' => { -+ 'name' => 'dealloc_td', -+ 'offset' => '216', -+ 'type' => '68022' -+ }, -+ '28' => { -+ 'name' => 'dereg_mr', -+ 'offset' => '224', -+ 'type' => '71039' -+ }, -+ '29' => { -+ 'name' => 'destroy_ah', -+ 'offset' => '232', -+ 'type' => '71060' -+ }, -+ '3' => { -+ 'name' => 'alloc_null_mr', -+ 'offset' => '24', -+ 'type' => '67620' -+ }, -+ '30' => { -+ 'name' => 'destroy_counters', -+ 'offset' => '240', -+ 'type' => '67758' -+ }, -+ '31' => { -+ 'name' => 'destroy_cq', -+ 'offset' => '248', -+ 'type' => '71081' -+ }, -+ '32' => { -+ 'name' => 'destroy_flow', -+ 'offset' => '256', -+ 'type' => '68481' -+ }, -+ '33' => { -+ 'name' => 'destroy_flow_action', -+ 'offset' => '264', -+ 'type' => '67911' -+ }, -+ '34' => { -+ 'name' => 'destroy_qp', -+ 'offset' => '272', -+ 'type' => '71102' -+ }, -+ '35' => { -+ 'name' => 'destroy_rwq_ind_table', -+ 'offset' => '280', -+ 'type' => '68144' -+ }, -+ '36' => { -+ 'name' => 'destroy_srq', -+ 'offset' => '288', -+ 'type' => '71123' -+ }, -+ '37' => { -+ 'name' => 'destroy_wq', -+ 'offset' => '296', -+ 'type' => '68197' -+ }, -+ '38' => { -+ 'name' => 'detach_mcast', -+ 'offset' => '304', -+ 'type' => '70842' -+ }, -+ '39' => { -+ 'name' => 'free_context', -+ 'offset' => '312', -+ 'type' => '19982' -+ }, -+ '4' => { -+ 'name' => 'alloc_parent_domain', -+ 'offset' => '32', -+ 'type' => '68001' -+ }, -+ '40' => { -+ 'name' => 'free_dm', -+ 'offset' => '320', -+ 'type' => '67852' -+ }, -+ '41' => { -+ 'name' => 'get_srq_num', -+ 'offset' => '328', -+ 'type' => '68616' -+ }, -+ '42' => { -+ 'name' => 'import_mr', -+ 'offset' => '336', -+ 'type' => '71149' -+ }, -+ '43' => { -+ 'name' => 'import_pd', -+ 'offset' => '344', -+ 'type' => '71175' -+ }, -+ '44' => { -+ 'name' => 'modify_cq', -+ 'offset' => '352', -+ 'type' => '68086' -+ }, -+ '45' => { -+ 'name' => 'modify_flow_action_esp', -+ 'offset' => '360', -+ 'type' => '67890' -+ }, -+ '46' => { -+ 'name' => 'modify_qp', -+ 'offset' => '368', -+ 'type' => '71212' -+ }, -+ '47' => { -+ 'name' => 'modify_qp_rate_limit', -+ 'offset' => '376', -+ 'type' => '67969' -+ }, -+ '48' => { -+ 'name' => 'modify_srq', -+ 'offset' => '384', -+ 'type' => '71249' -+ }, -+ '49' => { -+ 'name' => 'modify_wq', -+ 'offset' => '392', -+ 'type' => '68229' -+ }, -+ '5' => { -+ 'name' => 'alloc_pd', -+ 'offset' => '40', -+ 'type' => '70777' -+ }, -+ '50' => { -+ 'name' => 'open_qp', -+ 'offset' => '400', -+ 'type' => '68552' -+ }, -+ '51' => { -+ 'name' => 'open_xrcd', -+ 'offset' => '408', -+ 'type' => '68680' -+ }, -+ '52' => { -+ 'name' => 'poll_cq', -+ 'offset' => '416', -+ 'type' => '20665' -+ }, -+ '53' => { -+ 'name' => 'post_recv', -+ 'offset' => '424', -+ 'type' => '20790' -+ }, -+ '54' => { -+ 'name' => 'post_send', -+ 'offset' => '432', -+ 'type' => '20759' -+ }, -+ '55' => { -+ 'name' => 'post_srq_ops', -+ 'offset' => '440', -+ 'type' => '68123' -+ }, -+ '56' => { -+ 'name' => 'post_srq_recv', -+ 'offset' => '448', -+ 'type' => '20722' -+ }, -+ '57' => { -+ 'name' => 'query_device', -+ 'offset' => '456', -+ 'type' => '71281' -+ }, -+ '58' => { -+ 'name' => 'query_device_ex', -+ 'offset' => '464', -+ 'type' => '68460' -+ }, -+ '59' => { -+ 'name' => 'query_ece', -+ 'offset' => '472', -+ 'type' => '71313' -+ }, -+ '6' => { -+ 'name' => 'alloc_td', -+ 'offset' => '48', -+ 'type' => '68054' -+ }, -+ '60' => { -+ 'name' => 'query_port', -+ 'offset' => '480', -+ 'type' => '71344' -+ }, -+ '61' => { -+ 'name' => 'query_qp', -+ 'offset' => '488', -+ 'type' => '71380' -+ }, -+ '62' => { -+ 'name' => 'query_rt_values', -+ 'offset' => '496', -+ 'type' => '68293' -+ }, -+ '63' => { -+ 'name' => 'query_srq', -+ 'offset' => '504', -+ 'type' => '71406' -+ }, -+ '64' => { -+ 'name' => 'read_counters', -+ 'offset' => '512', -+ 'type' => '67662' -+ }, -+ '65' => { -+ 'name' => 'reg_dm_mr', -+ 'offset' => '520', -+ 'type' => '67799' -+ }, -+ '66' => { -+ 'name' => 'reg_mr', -+ 'offset' => '528', -+ 'type' => '71447' -+ }, -+ '67' => { -+ 'name' => 'req_notify_cq', -+ 'offset' => '536', -+ 'type' => '20691' -+ }, -+ '68' => { -+ 'name' => 'rereg_mr', -+ 'offset' => '544', -+ 'type' => '71493' -+ }, -+ '69' => { -+ 'name' => 'resize_cq', -+ 'offset' => '552', -+ 'type' => '20691' -+ }, -+ '7' => { -+ 'name' => 'async_event', -+ 'offset' => '56', -+ 'type' => '70805' -+ }, -+ '70' => { -+ 'name' => 'set_ece', -+ 'offset' => '560', -+ 'type' => '71313' -+ }, -+ '71' => { -+ 'name' => 'unimport_mr', -+ 'offset' => '568', -+ 'type' => '71510' -+ }, -+ '72' => { -+ 'name' => 'unimport_pd', -+ 'offset' => '576', -+ 'type' => '71527' -+ }, -+ '8' => { -+ 'name' => 'attach_counters_point_flow', -+ 'offset' => '64', -+ 'type' => '67705' -+ }, -+ '9' => { -+ 'name' => 'attach_mcast', -+ 'offset' => '72', -+ 'type' => '70842' -+ } -+ }, -+ 'Name' => 'struct verbs_context_ops', -+ 'Size' => '584', -+ 'Type' => 'Struct' -+ }, -+ '7047' => { -+ 'Header' => undef, -+ 'Line' => '889', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'ah_handle', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'driver_data', -+ 'offset' => '4', -+ 'type' => '2767' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_create_ah_resp', -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '70777' => { -+ 'Name' => 'struct ibv_pd*(*)(struct ibv_context*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ } -+ }, -+ 'Return' => '13875', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '70799' => { -+ 'BaseType' => '59483', -+ 'Name' => 'struct ibv_async_event*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '70805' => { -+ 'Name' => 'void(*)(struct ibv_context*, struct ibv_async_event*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '70799' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '70842' => { -+ 'Name' => 'int(*)(struct ibv_qp*, union ibv_gid const*, uint16_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'type' => '26647' -+ }, -+ '2' => { -+ 'type' => '814' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '70859' => { -+ 'Name' => 'void(*)(struct ibv_cq*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12223' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '70891' => { -+ 'Name' => 'struct ibv_ah*(*)(struct ibv_pd*, struct ibv_ah_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'type' => '26653' -+ } -+ }, -+ 'Return' => '16183', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '70927' => { -+ 'Name' => 'struct ibv_cq*(*)(struct ibv_context*, int, struct ibv_comp_channel*, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '130' -+ }, -+ '2' => { -+ 'type' => '17618' -+ }, -+ '3' => { -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '12223', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '70959' => { -+ 'Name' => 'struct ibv_qp*(*)(struct ibv_pd*, struct ibv_qp_init_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'type' => '26659' -+ } -+ }, -+ 'Return' => '12425', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '70985' => { -+ 'BaseType' => '60877', -+ 'Name' => 'struct ibv_srq_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '70991' => { -+ 'Name' => 'struct ibv_srq*(*)(struct ibv_pd*, struct ibv_srq_init_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'type' => '70985' -+ } -+ }, -+ 'Return' => '12543', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71' => { -+ 'BaseType' => '1', -+ 'Name' => 'void*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '71012' => { -+ 'Name' => 'int(*)(struct ibv_pd*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '13875' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71039' => { -+ 'Name' => 'int(*)(struct verbs_mr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '26665' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71060' => { -+ 'Name' => 'int(*)(struct ibv_ah*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '16183' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71081' => { -+ 'Name' => 'int(*)(struct ibv_cq*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12223' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71102' => { -+ 'Name' => 'int(*)(struct ibv_qp*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12425' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71123' => { -+ 'Name' => 'int(*)(struct ibv_srq*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12543' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71149' => { -+ 'Name' => 'struct ibv_mr*(*)(struct ibv_pd*, uint32_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'type' => '826' -+ } -+ }, -+ 'Return' => '13659', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71175' => { -+ 'Name' => 'struct ibv_pd*(*)(struct ibv_context*, uint32_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '826' -+ } -+ }, -+ 'Return' => '13875', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71212' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_qp_attr*, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'type' => '26671' -+ }, -+ '2' => { -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71249' => { -+ 'Name' => 'int(*)(struct ibv_srq*, struct ibv_srq_attr*, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12543' -+ }, -+ '1' => { -+ 'type' => '26677' -+ }, -+ '2' => { -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71281' => { -+ 'Name' => 'int(*)(struct ibv_context*, struct ibv_device_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '26683' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71307' => { -+ 'BaseType' => '64003', -+ 'Name' => 'struct ibv_ece*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '71313' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_ece*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'type' => '71307' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71344' => { -+ 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct ibv_port_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '802' -+ }, -+ '2' => { -+ 'type' => '67552' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71380' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_qp_attr*, int, struct ibv_qp_init_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12425' -+ }, -+ '1' => { -+ 'type' => '26671' -+ }, -+ '2' => { -+ 'type' => '130' -+ }, -+ '3' => { -+ 'type' => '26659' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71406' => { -+ 'Name' => 'int(*)(struct ibv_srq*, struct ibv_srq_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '12543' -+ }, -+ '1' => { -+ 'type' => '26677' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71447' => { -+ 'Name' => 'struct ibv_mr*(*)(struct ibv_pd*, void*, size_t, uint64_t, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '13875' -+ }, -+ '1' => { -+ 'type' => '71' -+ }, -+ '2' => { -+ 'type' => '52' -+ }, -+ '3' => { -+ 'type' => '838' -+ }, -+ '4' => { -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '13659', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71493' => { -+ 'Name' => 'int(*)(struct verbs_mr*, int, struct ibv_pd*, void*, size_t, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '26665' -+ }, -+ '1' => { -+ 'type' => '130' -+ }, -+ '2' => { -+ 'type' => '13875' -+ }, -+ '3' => { -+ 'type' => '71' -+ }, -+ '4' => { -+ 'type' => '52' -+ }, -+ '5' => { -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71510' => { -+ 'Name' => 'void(*)(struct ibv_mr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '13659' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '71527' => { -+ 'Name' => 'void(*)(struct ibv_pd*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '13875' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '72995' => { -+ 'BaseType' => '69655', -+ 'Name' => 'struct verbs_cq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '73001' => { -+ 'BaseType' => '69456', -+ 'Name' => 'struct ibv_create_cq_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '73007' => { -+ 'BaseType' => '55826', -+ 'Name' => 'struct ib_uverbs_ex_create_cq_resp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '73489' => { -+ 'BaseType' => '69108', -+ 'Name' => 'struct ibv_create_cq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '73495' => { -+ 'BaseType' => '55751', -+ 'Name' => 'struct ib_uverbs_create_cq_resp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '77579' => { -+ 'BaseType' => '45', -+ 'Header' => undef, -+ 'Line' => '145', -+ 'Name' => '__dev_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '77640' => { -+ 'BaseType' => '186', -+ 'Name' => 'char const', -+ 'Size' => '1', -+ 'Type' => 'Const' -+ }, -+ '77645' => { -+ 'BaseType' => '77640', -+ 'Name' => 'char const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '77675' => { -+ 'BaseType' => '77579', -+ 'Header' => undef, -+ 'Line' => '59', -+ 'Name' => 'dev_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '78' => { -+ 'Name' => 'unsigned char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '790' => { -+ 'BaseType' => '801', -+ 'Name' => 'void const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '79164' => { -+ 'Header' => undef, -+ 'Line' => '159', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'driver_data', -+ 'offset' => '8', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_get_context', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '79223' => { -+ 'Header' => undef, -+ 'Line' => '164', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'async_fd', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'num_comp_vectors', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'driver_data', -+ 'offset' => '8', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_get_context_resp', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '79294' => { -+ 'Header' => undef, -+ 'Line' => '277', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'port_num', -+ 'offset' => '8', -+ 'type' => '862' -+ }, -+ '2' => { -+ 'name' => 'reserved', -+ 'offset' => '9', -+ 'type' => '2646' -+ }, -+ '3' => { -+ 'name' => 'driver_data', -+ 'offset' => '16', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_query_port', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '801' => { -+ 'BaseType' => '1', -+ 'Name' => 'void const', -+ 'Type' => 'Const' -+ }, -+ '802' => { -+ 'BaseType' => '99', -+ 'Header' => undef, -+ 'Line' => '24', -+ 'Name' => 'uint8_t', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ }, -+ '80231' => { -+ 'Header' => undef, -+ 'Line' => '78', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'gid', -+ 'offset' => '0', -+ 'type' => '10398' -+ }, -+ '1' => { -+ 'name' => 'gid_index', -+ 'offset' => '16', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'port_num', -+ 'offset' => '20', -+ 'type' => '826' -+ }, -+ '3' => { -+ 'name' => 'gid_type', -+ 'offset' => '24', -+ 'type' => '826' -+ }, -+ '4' => { -+ 'name' => 'ndev_ifindex', -+ 'offset' => '28', -+ 'type' => '826' -+ } -+ }, -+ 'Name' => 'struct ibv_gid_entry', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '814' => { -+ 'BaseType' => '118', -+ 'Header' => undef, -+ 'Line' => '25', -+ 'Name' => 'uint16_t', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '826' => { -+ 'BaseType' => '137', -+ 'Header' => undef, -+ 'Line' => '26', -+ 'Name' => 'uint32_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '838' => { -+ 'BaseType' => '156', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => 'uint64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '85' => { -+ 'Name' => 'unsigned short', -+ 'Size' => '2', -+ 'Type' => 'Intrinsic' -+ }, -+ '862' => { -+ 'BaseType' => '78', -+ 'Header' => undef, -+ 'Line' => '21', -+ 'Name' => '__u8', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ }, -+ '874' => { -+ 'BaseType' => '85', -+ 'Header' => undef, -+ 'Line' => '24', -+ 'Name' => '__u16', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '886' => { -+ 'BaseType' => '64', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => '__u32', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '898' => { -+ 'BaseType' => '458', -+ 'Header' => undef, -+ 'Line' => '31', -+ 'Name' => '__u64', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '910' => { -+ 'BaseType' => '874', -+ 'Header' => undef, -+ 'Line' => '25', -+ 'Name' => '__be16', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '91528' => { -+ 'Header' => undef, -+ 'Line' => '193', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'driver_data', -+ 'offset' => '8', -+ 'type' => '1522' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '91583' => { -+ 'Header' => undef, -+ 'Line' => '193', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '91528' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '79164' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Union' -+ }, -+ '91613' => { -+ 'Header' => undef, -+ 'Line' => '193', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '91583' -+ } -+ }, -+ 'Name' => 'struct ibv_get_context', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '91687' => { -+ 'Header' => undef, -+ 'Line' => '203', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'port_num', -+ 'offset' => '8', -+ 'type' => '862' -+ }, -+ '2' => { -+ 'name' => 'reserved', -+ 'offset' => '9', -+ 'type' => '2646' -+ }, -+ '3' => { -+ 'name' => 'driver_data', -+ 'offset' => '16', -+ 'type' => '1522' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '91768' => { -+ 'Header' => undef, -+ 'Line' => '203', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '91687' -+ }, -+ '1' => { -+ 'name' => 'core_payload', -+ 'offset' => '0', -+ 'type' => '79294' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Union' -+ }, -+ '91798' => { -+ 'Header' => undef, -+ 'Line' => '203', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '1358' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '91768' -+ } -+ }, -+ 'Name' => 'struct ibv_query_port', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '91858' => { -+ 'Header' => undef, -+ 'Line' => '24', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'next', -+ 'offset' => '0', -+ 'type' => '91898' -+ }, -+ '1' => { -+ 'name' => 'prev', -+ 'offset' => '8', -+ 'type' => '91898' -+ } -+ }, -+ 'Name' => 'struct list_node', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '91898' => { -+ 'BaseType' => '91858', -+ 'Name' => 'struct list_node*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '91904' => { -+ 'Header' => undef, -+ 'Line' => '75', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_GID_TYPE_SYSFS_IB_ROCE_V1', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_GID_TYPE_SYSFS_ROCE_V2', -+ 'value' => '1' -+ } -+ }, -+ 'Name' => 'enum ibv_gid_type_sysfs', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '91972' => { -+ 'Header' => undef, -+ 'Line' => '145', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'modalias', -+ 'offset' => '0', -+ 'type' => '77645' -+ }, -+ '1' => { -+ 'name' => 'driver_id', -+ 'offset' => '0', -+ 'type' => '838' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Union' -+ }, -+ '92006' => { -+ 'Header' => undef, -+ 'Line' => '143', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'driver_data', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'u', -+ 'offset' => '8', -+ 'type' => '91972' -+ }, -+ '2' => { -+ 'name' => 'vendor', -+ 'offset' => '16', -+ 'type' => '814' -+ }, -+ '3' => { -+ 'name' => 'device', -+ 'offset' => '18', -+ 'type' => '814' -+ }, -+ '4' => { -+ 'name' => 'kind', -+ 'offset' => '20', -+ 'type' => '802' -+ } -+ }, -+ 'Name' => 'struct verbs_match_ent', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '92083' => { -+ 'BaseType' => '92006', -+ 'Name' => 'struct verbs_match_ent const', -+ 'Size' => '24', -+ 'Type' => 'Const' -+ }, -+ '92088' => { -+ 'Header' => undef, -+ 'Line' => '194', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'entry', -+ 'offset' => '0', -+ 'type' => '91858' -+ }, -+ '1' => { -+ 'name' => 'provider_data', -+ 'offset' => '16', -+ 'type' => '71' -+ }, -+ '10' => { -+ 'name' => 'node_guid', -+ 'offset' => '1008', -+ 'type' => '838' -+ }, -+ '11' => { -+ 'name' => 'driver_id', -+ 'offset' => '1016', -+ 'type' => '826' -+ }, -+ '12' => { -+ 'name' => 'node_type', -+ 'offset' => '1020', -+ 'type' => '10457' -+ }, -+ '13' => { -+ 'name' => 'ibdev_idx', -+ 'offset' => '1024', -+ 'type' => '130' -+ }, -+ '14' => { -+ 'name' => 'abi_ver', -+ 'offset' => '1028', -+ 'type' => '826' -+ }, -+ '15' => { -+ 'name' => 'time_created', -+ 'offset' => '1032', -+ 'type' => '54380' -+ }, -+ '2' => { -+ 'name' => 'match', -+ 'offset' => '24', -+ 'type' => '92319' -+ }, -+ '3' => { -+ 'name' => 'flags', -+ 'offset' => '32', -+ 'type' => '64' -+ }, -+ '4' => { -+ 'name' => 'sysfs_name', -+ 'offset' => '36', -+ 'type' => '11275' -+ }, -+ '5' => { -+ 'name' => 'sysfs_cdev', -+ 'offset' => '104', -+ 'type' => '77675' -+ }, -+ '6' => { -+ 'name' => 'ibdev_name', -+ 'offset' => '112', -+ 'type' => '11275' -+ }, -+ '7' => { -+ 'name' => 'ibdev_path', -+ 'offset' => '176', -+ 'type' => '20017' -+ }, -+ '8' => { -+ 'name' => 'modalias', -+ 'offset' => '432', -+ 'type' => '92325' -+ }, -+ '9' => { -+ 'name' => 'fw_ver', -+ 'offset' => '944', -+ 'type' => '11275' -+ } -+ }, -+ 'Name' => 'struct verbs_sysfs_dev', -+ 'Size' => '1048', -+ 'Type' => 'Struct' -+ }, -+ '922' => { -+ 'BaseType' => '886', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => '__be32', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '92319' => { -+ 'BaseType' => '92083', -+ 'Name' => 'struct verbs_match_ent const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '92325' => { -+ 'BaseType' => '186', -+ 'Name' => 'char[512]', -+ 'Size' => '512', -+ 'Type' => 'Array' -+ }, -+ '92342' => { -+ 'Header' => undef, -+ 'Line' => '214', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'name', -+ 'offset' => '0', -+ 'type' => '77645' -+ }, -+ '1' => { -+ 'name' => 'match_min_abi_version', -+ 'offset' => '8', -+ 'type' => '826' -+ }, -+ '2' => { -+ 'name' => 'match_max_abi_version', -+ 'offset' => '12', -+ 'type' => '826' -+ }, -+ '3' => { -+ 'name' => 'match_table', -+ 'offset' => '16', -+ 'type' => '92319' -+ }, -+ '4' => { -+ 'name' => 'static_providers', -+ 'offset' => '24', -+ 'type' => '92491' -+ }, -+ '5' => { -+ 'name' => 'match_device', -+ 'offset' => '32', -+ 'type' => '92524' -+ }, -+ '6' => { -+ 'name' => 'alloc_context', -+ 'offset' => '40', -+ 'type' => '92561' -+ }, -+ '7' => { -+ 'name' => 'import_context', -+ 'offset' => '48', -+ 'type' => '92587' -+ }, -+ '8' => { -+ 'name' => 'alloc_device', -+ 'offset' => '56', -+ 'type' => '92712' -+ }, -+ '9' => { -+ 'name' => 'uninit_device', -+ 'offset' => '64', -+ 'type' => '92729' -+ } -+ }, -+ 'Name' => 'struct verbs_device_ops', -+ 'Size' => '72', -+ 'Type' => 'Struct' -+ }, -+ '92486' => { -+ 'BaseType' => '92342', -+ 'Name' => 'struct verbs_device_ops const', -+ 'Size' => '72', -+ 'Type' => 'Const' -+ }, -+ '92491' => { -+ 'BaseType' => '92497', -+ 'Name' => 'struct verbs_device_ops const**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '92497' => { -+ 'BaseType' => '92486', -+ 'Name' => 'struct verbs_device_ops const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '92518' => { -+ 'BaseType' => '92088', -+ 'Name' => 'struct verbs_sysfs_dev*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '92524' => { -+ 'Name' => '_Bool(*)(struct verbs_sysfs_dev*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '92518' -+ } -+ }, -+ 'Return' => '20856', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '92555' => { -+ 'BaseType' => '66924', -+ 'Name' => 'struct verbs_context*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '92561' => { -+ 'Name' => 'struct verbs_context*(*)(struct ibv_device*, int, void*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '19844' -+ }, -+ '1' => { -+ 'type' => '130' -+ }, -+ '2' => { -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '92555', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '92587' => { -+ 'Name' => 'struct verbs_context*(*)(struct ibv_device*, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '19844' -+ }, -+ '1' => { -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '92555', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '92593' => { -+ 'Header' => undef, -+ 'Line' => '235', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'device', -+ 'offset' => '0', -+ 'type' => '19850' -+ }, -+ '1' => { -+ 'name' => 'ops', -+ 'offset' => '664', -+ 'type' => '92497' -+ }, -+ '2' => { -+ 'name' => 'refcount', -+ 'offset' => '672', -+ 'type' => '26595' -+ }, -+ '3' => { -+ 'name' => 'entry', -+ 'offset' => '680', -+ 'type' => '91858' -+ }, -+ '4' => { -+ 'name' => 'sysfs', -+ 'offset' => '696', -+ 'type' => '92518' -+ }, -+ '5' => { -+ 'name' => 'core_support', -+ 'offset' => '704', -+ 'type' => '838' -+ } -+ }, -+ 'Name' => 'struct verbs_device', -+ 'Size' => '712', -+ 'Type' => 'Struct' -+ }, -+ '92706' => { -+ 'BaseType' => '92593', -+ 'Name' => 'struct verbs_device*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '92712' => { -+ 'Name' => 'struct verbs_device*(*)(struct verbs_sysfs_dev*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '92518' -+ } -+ }, -+ 'Return' => '92706', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '92729' => { -+ 'Name' => 'void(*)(struct verbs_device*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '92706' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '934' => { -+ 'BaseType' => '898', -+ 'Header' => undef, -+ 'Line' => '29', -+ 'Name' => '__be64', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '94948' => { -+ 'BaseType' => '80231', -+ 'Name' => 'struct ibv_gid_entry*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '9741' => { -+ 'Header' => undef, -+ 'Line' => '1203', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'srq_handle', -+ 'offset' => '0', -+ 'type' => '886' -+ }, -+ '1' => { -+ 'name' => 'attr_mask', -+ 'offset' => '4', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'max_wr', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'srq_limit', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '4' => { -+ 'name' => 'driver_data', -+ 'offset' => '16', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_modify_srq', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '9844' => { -+ 'Header' => undef, -+ 'Line' => '1211', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'response', -+ 'offset' => '0', -+ 'type' => '898' -+ }, -+ '1' => { -+ 'name' => 'srq_handle', -+ 'offset' => '8', -+ 'type' => '886' -+ }, -+ '2' => { -+ 'name' => 'reserved', -+ 'offset' => '12', -+ 'type' => '886' -+ }, -+ '3' => { -+ 'name' => 'driver_data', -+ 'offset' => '16', -+ 'type' => '1522' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_query_srq', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '98936' => { -+ 'BaseType' => '91904', -+ 'Name' => 'enum ibv_gid_type_sysfs*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '99' => { -+ 'BaseType' => '78', -+ 'Header' => undef, -+ 'Line' => '38', -+ 'Name' => '__uint8_t', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ }, -+ '99076' => { -+ 'BaseType' => '10398', -+ 'Name' => 'union ibv_gid*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ } -+ }, -+ 'UndefinedSymbols' => { -+ 'libibverbs.so.1.11.32.0' => { -+ '_ITM_deregisterTMCloneTable' => 0, -+ '_ITM_registerTMCloneTable' => 0, -+ '__asprintf_chk@GLIBC_2.8' => 0, -+ '__cxa_finalize@GLIBC_2.2.5' => 0, -+ '__errno_location@GLIBC_2.2.5' => 0, -+ '__fdelt_chk@GLIBC_2.15' => 0, -+ '__fprintf_chk@GLIBC_2.3.4' => 0, -+ '__fxstat@GLIBC_2.2.5' => 0, -+ '__getdelim@GLIBC_2.2.5' => 0, -+ '__gmon_start__' => 0, -+ '__isoc99_sscanf@GLIBC_2.7' => 0, -+ '__snprintf_chk@GLIBC_2.3.4' => 0, -+ '__stack_chk_fail@GLIBC_2.4' => 0, -+ '__strcpy_chk@GLIBC_2.3.4' => 0, -+ '__vasprintf_chk@GLIBC_2.8' => 0, -+ '__xstat@GLIBC_2.2.5' => 0, -+ 'bind@GLIBC_2.2.5' => 0, -+ 'calloc@GLIBC_2.2.5' => 0, -+ 'close@GLIBC_2.2.5' => 0, -+ 'closedir@GLIBC_2.2.5' => 0, -+ 'dirfd@GLIBC_2.2.5' => 0, -+ 'dlerror@GLIBC_2.2.5' => 0, -+ 'dlopen@GLIBC_2.2.5' => 0, -+ 'fclose@GLIBC_2.2.5' => 0, -+ 'fgets@GLIBC_2.2.5' => 0, -+ 'fnmatch@GLIBC_2.2.5' => 0, -+ 'fopen@GLIBC_2.2.5' => 0, -+ 'free@GLIBC_2.2.5' => 0, -+ 'freeaddrinfo@GLIBC_2.2.5' => 0, -+ 'freeifaddrs@GLIBC_2.3' => 0, -+ 'fwrite@GLIBC_2.2.5' => 0, -+ 'getenv@GLIBC_2.2.5' => 0, -+ 'geteuid@GLIBC_2.2.5' => 0, -+ 'getifaddrs@GLIBC_2.3' => 0, -+ 'getpid@GLIBC_2.2.5' => 0, -+ 'getrlimit@GLIBC_2.2.5' => 0, -+ 'getuid@GLIBC_2.2.5' => 0, -+ 'if_nametoindex@GLIBC_2.2.5' => 0, -+ 'inotify_add_watch@GLIBC_2.4' => 0, -+ 'inotify_init1@GLIBC_2.9' => 0, -+ 'ioctl@GLIBC_2.2.5' => 0, -+ 'madvise@GLIBC_2.2.5' => 0, -+ 'malloc@GLIBC_2.2.5' => 0, -+ 'memcmp@GLIBC_2.2.5' => 0, -+ 'memcpy@GLIBC_2.14' => 0, -+ 'memset@GLIBC_2.2.5' => 0, -+ 'nl_addr_build' => 0, -+ 'nl_addr_clone' => 0, -+ 'nl_addr_fill_sockaddr' => 0, -+ 'nl_addr_get_binary_addr' => 0, -+ 'nl_addr_get_family' => 0, -+ 'nl_addr_get_len' => 0, -+ 'nl_addr_get_prefixlen' => 0, -+ 'nl_addr_info' => 0, -+ 'nl_addr_put' => 0, -+ 'nl_addr_set_prefixlen' => 0, -+ 'nl_cache_free' => 0, -+ 'nl_cache_mngt_provide' => 0, -+ 'nl_cache_mngt_unprovide' => 0, -+ 'nl_cache_refill' => 0, -+ 'nl_connect' => 0, -+ 'nl_msg_parse' => 0, -+ 'nl_object_match_filter' => 0, -+ 'nl_recvmsgs_default' => 0, -+ 'nl_send_auto' => 0, -+ 'nl_send_simple' => 0, -+ 'nl_socket_add_membership' => 0, -+ 'nl_socket_alloc' => 0, -+ 'nl_socket_disable_auto_ack' => 0, -+ 'nl_socket_disable_msg_peek' => 0, -+ 'nl_socket_disable_seq_check' => 0, -+ 'nl_socket_free' => 0, -+ 'nl_socket_get_fd' => 0, -+ 'nl_socket_modify_cb' => 0, -+ 'nl_socket_modify_err_cb' => 0, -+ 'nla_get_string' => 0, -+ 'nla_get_u32' => 0, -+ 'nla_get_u64' => 0, -+ 'nla_get_u8' => 0, -+ 'nla_put' => 0, -+ 'nlmsg_alloc_simple' => 0, -+ 'nlmsg_append' => 0, -+ 'nlmsg_free' => 0, -+ 'nlmsg_hdr' => 0, -+ 'nlmsg_parse' => 0, -+ 'open@GLIBC_2.2.5' => 0, -+ 'openat@GLIBC_2.4' => 0, -+ 'opendir@GLIBC_2.2.5' => 0, -+ 'poll@GLIBC_2.2.5' => 0, -+ 'posix_memalign@GLIBC_2.2.5' => 0, -+ 'pthread_cond_init@GLIBC_2.3.2' => 0, -+ 'pthread_cond_signal@GLIBC_2.3.2' => 0, -+ 'pthread_cond_wait@GLIBC_2.3.2' => 0, -+ 'pthread_mutex_init@GLIBC_2.2.5' => 0, -+ 'pthread_mutex_lock@GLIBC_2.2.5' => 0, -+ 'pthread_mutex_unlock@GLIBC_2.2.5' => 0, -+ 'read@GLIBC_2.2.5' => 0, -+ 'readdir@GLIBC_2.2.5' => 0, -+ 'rtnl_link_alloc_cache' => 0, -+ 'rtnl_link_get' => 0, -+ 'rtnl_link_get_addr' => 0, -+ 'rtnl_link_is_vlan' => 0, -+ 'rtnl_link_put' => 0, -+ 'rtnl_link_vlan_get_id' => 0, -+ 'rtnl_neigh_alloc' => 0, -+ 'rtnl_neigh_alloc_cache' => 0, -+ 'rtnl_neigh_get' => 0, -+ 'rtnl_neigh_get_lladdr' => 0, -+ 'rtnl_neigh_put' => 0, -+ 'rtnl_neigh_set_dst' => 0, -+ 'rtnl_neigh_set_ifindex' => 0, -+ 'rtnl_route_alloc_cache' => 0, -+ 'rtnl_route_get_pref_src' => 0, -+ 'rtnl_route_get_type' => 0, -+ 'rtnl_route_nexthop_n' => 0, -+ 'rtnl_route_nh_get_gateway' => 0, -+ 'rtnl_route_nh_get_ifindex' => 0, -+ 'select@GLIBC_2.2.5' => 0, -+ 'sendto@GLIBC_2.2.5' => 0, -+ 'snprintf@GLIBC_2.2.5' => 0, -+ 'socket@GLIBC_2.2.5' => 0, -+ 'stderr@GLIBC_2.2.5' => 0, -+ 'strcmp@GLIBC_2.2.5' => 0, -+ 'strcpy@GLIBC_2.2.5' => 0, -+ 'strdup@GLIBC_2.2.5' => 0, -+ 'strlen@GLIBC_2.2.5' => 0, -+ 'strndup@GLIBC_2.2.5' => 0, -+ 'strsep@GLIBC_2.2.5' => 0, -+ 'strspn@GLIBC_2.2.5' => 0, -+ 'strstr@GLIBC_2.2.5' => 0, -+ 'strtol@GLIBC_2.2.5' => 0, -+ 'strtoul@GLIBC_2.2.5' => 0, -+ 'sysconf@GLIBC_2.2.5' => 0, -+ 'timerfd_create@GLIBC_2.8' => 0, -+ 'timerfd_settime@GLIBC_2.8' => 0, -+ 'write@GLIBC_2.2.5' => 0 -+ } -+ }, -+ 'WordSize' => '8' -+ }; -diff --git a/ABI/mlx4.dump b/ABI/mlx4.dump -new file mode 100644 -index 000000000000..e35ae1ac92dd ---- /dev/null -+++ b/ABI/mlx4.dump -@@ -0,0 +1,2967 @@ -+$VAR1 = { -+ 'ABI_DUMPER_VERSION' => '1.1', -+ 'ABI_DUMP_VERSION' => '3.5', -+ 'Arch' => 'x86_64', -+ 'GccVersion' => '10.2.0', -+ 'Headers' => {}, -+ 'Language' => 'C', -+ 'LibraryName' => 'libmlx4.so.1.0.32.0', -+ 'LibraryVersion' => 'mlx4', -+ 'MissedOffsets' => '1', -+ 'MissedRegs' => '1', -+ 'NameSpaces' => {}, -+ 'Needed' => { -+ 'libc.so.6' => 1, -+ 'libibverbs.so.1' => 1, -+ 'libpthread.so.0' => 1 -+ }, -+ 'Sources' => {}, -+ 'SymbolInfo' => { -+ '176156' => { -+ 'Header' => undef, -+ 'Line' => '1036', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '1693' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '13221' -+ }, -+ '2' => { -+ 'name' => 'mlx4_qp_attr', -+ 'type' => '109269' -+ } -+ }, -+ 'Return' => '4043', -+ 'ShortName' => 'mlx4dv_create_qp' -+ }, -+ '86350' => { -+ 'Header' => undef, -+ 'Line' => '433', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '1693' -+ }, -+ '1' => { -+ 'name' => 'attr_type', -+ 'type' => '84019' -+ }, -+ '2' => { -+ 'name' => 'attr', -+ 'type' => '197' -+ } -+ }, -+ 'Return' => '64', -+ 'ShortName' => 'mlx4dv_set_context_attr' -+ }, -+ '86458' => { -+ 'Header' => undef, -+ 'Line' => '420', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ctx_in', -+ 'type' => '1693' -+ }, -+ '1' => { -+ 'name' => 'attrs_out', -+ 'type' => '86545' -+ } -+ }, -+ 'Return' => '64', -+ 'ShortName' => 'mlx4dv_query_device' -+ }, -+ '86551' => { -+ 'Header' => undef, -+ 'Line' => '404', -+ 'Param' => { -+ '0' => { -+ 'name' => 'obj', -+ 'type' => '86980' -+ }, -+ '1' => { -+ 'name' => 'obj_type', -+ 'type' => '917' -+ } -+ }, -+ 'Return' => '64', -+ 'ShortName' => 'mlx4dv_init_obj' -+ } -+ }, -+ 'SymbolVersion' => { -+ 'mlx4dv_create_qp' => 'mlx4dv_create_qp@@MLX4_1.0', -+ 'mlx4dv_init_obj' => 'mlx4dv_init_obj@@MLX4_1.0', -+ 'mlx4dv_query_device' => 'mlx4dv_query_device@@MLX4_1.0', -+ 'mlx4dv_set_context_attr' => 'mlx4dv_set_context_attr@@MLX4_1.0' -+ }, -+ 'Symbols' => { -+ 'libmlx4.so.1.0.32.0' => { -+ 'mlx4dv_create_qp@@MLX4_1.0' => 1, -+ 'mlx4dv_init_obj@@MLX4_1.0' => 1, -+ 'mlx4dv_query_device@@MLX4_1.0' => 1, -+ 'mlx4dv_set_context_attr@@MLX4_1.0' => 1 -+ } -+ }, -+ 'Target' => 'unix', -+ 'TypeInfo' => { -+ '1' => { -+ 'Name' => 'void', -+ 'Type' => 'Intrinsic' -+ }, -+ '10193' => { -+ 'Header' => undef, -+ 'Line' => '1899', -+ 'Memb' => { -+ '0' => { -+ 'name' => '_dummy1', -+ 'offset' => '0', -+ 'type' => '10377' -+ }, -+ '1' => { -+ 'name' => '_dummy2', -+ 'offset' => '8', -+ 'type' => '10394' -+ } -+ }, -+ 'Name' => 'struct _ibv_device_ops', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '10256' => { -+ 'BaseType' => '10262', -+ 'Name' => 'struct ibv_device*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10262' => { -+ 'Header' => undef, -+ 'Line' => '1909', -+ 'Memb' => { -+ '0' => { -+ 'name' => '_ops', -+ 'offset' => '0', -+ 'type' => '10193' -+ }, -+ '1' => { -+ 'name' => 'node_type', -+ 'offset' => '16', -+ 'type' => '1296' -+ }, -+ '2' => { -+ 'name' => 'transport_type', -+ 'offset' => '20', -+ 'type' => '1363' -+ }, -+ '3' => { -+ 'name' => 'name', -+ 'offset' => '24', -+ 'type' => '2311' -+ }, -+ '4' => { -+ 'name' => 'dev_name', -+ 'offset' => '88', -+ 'type' => '2311' -+ }, -+ '5' => { -+ 'name' => 'dev_path', -+ 'offset' => '152', -+ 'type' => '10400' -+ }, -+ '6' => { -+ 'name' => 'ibdev_path', -+ 'offset' => '408', -+ 'type' => '10400' -+ } -+ }, -+ 'Name' => 'struct ibv_device', -+ 'Size' => '664', -+ 'Type' => 'Struct' -+ }, -+ '10377' => { -+ 'Name' => 'struct ibv_context*(*)(struct ibv_device*, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10256' -+ }, -+ '1' => { -+ 'type' => '64' -+ } -+ }, -+ 'Return' => '1693', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '10394' => { -+ 'Name' => 'void(*)(struct ibv_context*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '1693' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '104' => { -+ 'Name' => 'unsigned short', -+ 'Size' => '2', -+ 'Type' => 'Intrinsic' -+ }, -+ '10400' => { -+ 'BaseType' => '211', -+ 'Name' => 'char[256]', -+ 'Size' => '256', -+ 'Type' => 'Array' -+ }, -+ '10416' => { -+ 'Header' => undef, -+ 'Line' => '1924', -+ 'Memb' => { -+ '0' => { -+ 'name' => '_compat_query_device', -+ 'offset' => '0', -+ 'type' => '10885' -+ }, -+ '1' => { -+ 'name' => '_compat_query_port', -+ 'offset' => '8', -+ 'type' => '10927' -+ }, -+ '10' => { -+ 'name' => '_compat_create_cq', -+ 'offset' => '80', -+ 'type' => '10885' -+ }, -+ '11' => { -+ 'name' => 'poll_cq', -+ 'offset' => '88', -+ 'type' => '11048' -+ }, -+ '12' => { -+ 'name' => 'req_notify_cq', -+ 'offset' => '96', -+ 'type' => '11074' -+ }, -+ '13' => { -+ 'name' => '_compat_cq_event', -+ 'offset' => '104', -+ 'type' => '10885' -+ }, -+ '14' => { -+ 'name' => '_compat_resize_cq', -+ 'offset' => '112', -+ 'type' => '10885' -+ }, -+ '15' => { -+ 'name' => '_compat_destroy_cq', -+ 'offset' => '120', -+ 'type' => '10885' -+ }, -+ '16' => { -+ 'name' => '_compat_create_srq', -+ 'offset' => '128', -+ 'type' => '10885' -+ }, -+ '17' => { -+ 'name' => '_compat_modify_srq', -+ 'offset' => '136', -+ 'type' => '10885' -+ }, -+ '18' => { -+ 'name' => '_compat_query_srq', -+ 'offset' => '144', -+ 'type' => '10885' -+ }, -+ '19' => { -+ 'name' => '_compat_destroy_srq', -+ 'offset' => '152', -+ 'type' => '10885' -+ }, -+ '2' => { -+ 'name' => '_compat_alloc_pd', -+ 'offset' => '16', -+ 'type' => '10885' -+ }, -+ '20' => { -+ 'name' => 'post_srq_recv', -+ 'offset' => '160', -+ 'type' => '11105' -+ }, -+ '21' => { -+ 'name' => '_compat_create_qp', -+ 'offset' => '168', -+ 'type' => '10885' -+ }, -+ '22' => { -+ 'name' => '_compat_query_qp', -+ 'offset' => '176', -+ 'type' => '10885' -+ }, -+ '23' => { -+ 'name' => '_compat_modify_qp', -+ 'offset' => '184', -+ 'type' => '10885' -+ }, -+ '24' => { -+ 'name' => '_compat_destroy_qp', -+ 'offset' => '192', -+ 'type' => '10885' -+ }, -+ '25' => { -+ 'name' => 'post_send', -+ 'offset' => '200', -+ 'type' => '11142' -+ }, -+ '26' => { -+ 'name' => 'post_recv', -+ 'offset' => '208', -+ 'type' => '11173' -+ }, -+ '27' => { -+ 'name' => '_compat_create_ah', -+ 'offset' => '216', -+ 'type' => '10885' -+ }, -+ '28' => { -+ 'name' => '_compat_destroy_ah', -+ 'offset' => '224', -+ 'type' => '10885' -+ }, -+ '29' => { -+ 'name' => '_compat_attach_mcast', -+ 'offset' => '232', -+ 'type' => '10885' -+ }, -+ '3' => { -+ 'name' => '_compat_dealloc_pd', -+ 'offset' => '24', -+ 'type' => '10885' -+ }, -+ '30' => { -+ 'name' => '_compat_detach_mcast', -+ 'offset' => '240', -+ 'type' => '10885' -+ }, -+ '31' => { -+ 'name' => '_compat_async_event', -+ 'offset' => '248', -+ 'type' => '10885' -+ }, -+ '4' => { -+ 'name' => '_compat_reg_mr', -+ 'offset' => '32', -+ 'type' => '10885' -+ }, -+ '5' => { -+ 'name' => '_compat_rereg_mr', -+ 'offset' => '40', -+ 'type' => '10885' -+ }, -+ '6' => { -+ 'name' => '_compat_dereg_mr', -+ 'offset' => '48', -+ 'type' => '10885' -+ }, -+ '7' => { -+ 'name' => 'alloc_mw', -+ 'offset' => '56', -+ 'type' => '10953' -+ }, -+ '8' => { -+ 'name' => 'bind_mw', -+ 'offset' => '64', -+ 'type' => '10990' -+ }, -+ '9' => { -+ 'name' => 'dealloc_mw', -+ 'offset' => '72', -+ 'type' => '11011' -+ } -+ }, -+ 'Name' => 'struct ibv_context_ops', -+ 'Size' => '256', -+ 'Type' => 'Struct' -+ }, -+ '106347' => { -+ 'Header' => undef, -+ 'Line' => '425', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '917' -+ }, -+ '1' => { -+ 'name' => 'inl_recv_sz', -+ 'offset' => '8', -+ 'type' => '905' -+ } -+ }, -+ 'Name' => 'struct mlx4dv_qp_init_attr', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '10885' => { -+ 'Name' => 'void*(*)()', -+ 'Return' => '197', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '10916' => { -+ 'BaseType' => '10922', -+ 'Name' => 'struct _compat_ibv_port_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10922' => { -+ 'Name' => 'struct _compat_ibv_port_attr', -+ 'Type' => 'Struct' -+ }, -+ '109269' => { -+ 'BaseType' => '106347', -+ 'Name' => 'struct mlx4dv_qp_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10927' => { -+ 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct _compat_ibv_port_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '1693' -+ }, -+ '1' => { -+ 'type' => '881' -+ }, -+ '2' => { -+ 'type' => '10916' -+ } -+ }, -+ 'Return' => '64', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '10953' => { -+ 'Name' => 'struct ibv_mw*(*)(struct ibv_pd*, enum ibv_mw_type)', -+ 'Param' => { -+ '0' => { -+ 'type' => '5252' -+ }, -+ '1' => { -+ 'type' => '5258' -+ } -+ }, -+ 'Return' => '7358', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '10984' => { -+ 'BaseType' => '7943', -+ 'Name' => 'struct ibv_mw_bind*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10990' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_mw*, struct ibv_mw_bind*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '4043' -+ }, -+ '1' => { -+ 'type' => '7358' -+ }, -+ '2' => { -+ 'type' => '10984' -+ } -+ }, -+ 'Return' => '64', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '11011' => { -+ 'Name' => 'int(*)(struct ibv_mw*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '7358' -+ } -+ }, -+ 'Return' => '64', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '11042' => { -+ 'BaseType' => '4685', -+ 'Name' => 'struct ibv_wc*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '11048' => { -+ 'Name' => 'int(*)(struct ibv_cq*, int, struct ibv_wc*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '3841' -+ }, -+ '1' => { -+ 'type' => '64' -+ }, -+ '2' => { -+ 'type' => '11042' -+ } -+ }, -+ 'Return' => '64', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '11074' => { -+ 'Name' => 'int(*)(struct ibv_cq*, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '3841' -+ }, -+ '1' => { -+ 'type' => '64' -+ } -+ }, -+ 'Return' => '64', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '11105' => { -+ 'Name' => 'int(*)(struct ibv_srq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '4161' -+ }, -+ '1' => { -+ 'type' => '7675' -+ }, -+ '2' => { -+ 'type' => '8025' -+ } -+ }, -+ 'Return' => '64', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '11136' => { -+ 'BaseType' => '7592', -+ 'Name' => 'struct ibv_send_wr**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '11142' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_send_wr*, struct ibv_send_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '4043' -+ }, -+ '1' => { -+ 'type' => '7592' -+ }, -+ '2' => { -+ 'type' => '11136' -+ } -+ }, -+ 'Return' => '64', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '11173' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_recv_wr*, struct ibv_recv_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '4043' -+ }, -+ '1' => { -+ 'type' => '7675' -+ }, -+ '2' => { -+ 'type' => '8025' -+ } -+ }, -+ 'Return' => '64', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '118' => { -+ 'BaseType' => '97', -+ 'Header' => undef, -+ 'Line' => '38', -+ 'Name' => '__uint8_t', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ }, -+ '1296' => { -+ 'Header' => undef, -+ 'Line' => '94', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_NODE_UNKNOWN', -+ 'value' => '18446744073709551615 (-1)' -+ }, -+ '1' => { -+ 'name' => 'IBV_NODE_CA', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_NODE_SWITCH', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_NODE_ROUTER', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_NODE_RNIC', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_NODE_USNIC', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_NODE_USNIC_UDP', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_NODE_UNSPECIFIED', -+ 'value' => '7' -+ } -+ }, -+ 'Name' => 'enum ibv_node_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '13221' => { -+ 'BaseType' => '6281', -+ 'Name' => 'struct ibv_qp_init_attr_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '13253' => { -+ 'BaseType' => '905', -+ 'Name' => 'uint32_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '13577' => { -+ 'BaseType' => '965', -+ 'Name' => '__be32*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '1363' => { -+ 'Header' => undef, -+ 'Line' => '105', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_TRANSPORT_UNKNOWN', -+ 'value' => '18446744073709551615 (-1)' -+ }, -+ '1' => { -+ 'name' => 'IBV_TRANSPORT_IB', -+ 'value' => '0' -+ }, -+ '2' => { -+ 'name' => 'IBV_TRANSPORT_IWARP', -+ 'value' => '1' -+ }, -+ '3' => { -+ 'name' => 'IBV_TRANSPORT_USNIC', -+ 'value' => '2' -+ }, -+ '4' => { -+ 'name' => 'IBV_TRANSPORT_USNIC_UDP', -+ 'value' => '3' -+ }, -+ '5' => { -+ 'name' => 'IBV_TRANSPORT_UNSPECIFIED', -+ 'value' => '4' -+ } -+ }, -+ 'Name' => 'enum ibv_transport_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '137' => { -+ 'BaseType' => '104', -+ 'Header' => undef, -+ 'Line' => '40', -+ 'Name' => '__uint16_t', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '149' => { -+ 'BaseType' => '76', -+ 'Header' => undef, -+ 'Line' => '42', -+ 'Name' => '__uint32_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '1574' => { -+ 'Header' => undef, -+ 'Line' => '1966', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'device', -+ 'offset' => '0', -+ 'type' => '10256' -+ }, -+ '1' => { -+ 'name' => 'ops', -+ 'offset' => '8', -+ 'type' => '10416' -+ }, -+ '2' => { -+ 'name' => 'cmd_fd', -+ 'offset' => '264', -+ 'type' => '64' -+ }, -+ '3' => { -+ 'name' => 'async_fd', -+ 'offset' => '268', -+ 'type' => '64' -+ }, -+ '4' => { -+ 'name' => 'num_comp_vectors', -+ 'offset' => '272', -+ 'type' => '64' -+ }, -+ '5' => { -+ 'name' => 'mutex', -+ 'offset' => '280', -+ 'type' => '762' -+ }, -+ '6' => { -+ 'name' => 'abi_compat', -+ 'offset' => '320', -+ 'type' => '197' -+ } -+ }, -+ 'Name' => 'struct ibv_context', -+ 'Size' => '328', -+ 'Type' => 'Struct' -+ }, -+ '161' => { -+ 'BaseType' => '57', -+ 'Header' => undef, -+ 'Line' => '45', -+ 'Name' => '__uint64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '1693' => { -+ 'BaseType' => '1574', -+ 'Name' => 'struct ibv_context*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '173' => { -+ 'BaseType' => '83', -+ 'Header' => undef, -+ 'Line' => '152', -+ 'Name' => '__off_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '197' => { -+ 'BaseType' => '1', -+ 'Name' => 'void*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '211' => { -+ 'Name' => 'char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '218' => { -+ 'BaseType' => '173', -+ 'Header' => undef, -+ 'Line' => '85', -+ 'Name' => 'off_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '2311' => { -+ 'BaseType' => '211', -+ 'Name' => 'char[64]', -+ 'Size' => '64', -+ 'Type' => 'Array' -+ }, -+ '3700' => { -+ 'Header' => undef, -+ 'Line' => '1439', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '1693' -+ }, -+ '1' => { -+ 'name' => 'channel', -+ 'offset' => '8', -+ 'type' => '8899' -+ }, -+ '2' => { -+ 'name' => 'cq_context', -+ 'offset' => '16', -+ 'type' => '197' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '24', -+ 'type' => '905' -+ }, -+ '4' => { -+ 'name' => 'cqe', -+ 'offset' => '28', -+ 'type' => '64' -+ }, -+ '5' => { -+ 'name' => 'mutex', -+ 'offset' => '32', -+ 'type' => '762' -+ }, -+ '6' => { -+ 'name' => 'cond', -+ 'offset' => '72', -+ 'type' => '836' -+ }, -+ '7' => { -+ 'name' => 'comp_events_completed', -+ 'offset' => '120', -+ 'type' => '905' -+ }, -+ '8' => { -+ 'name' => 'async_events_completed', -+ 'offset' => '124', -+ 'type' => '905' -+ } -+ }, -+ 'Name' => 'struct ibv_cq', -+ 'Size' => '128', -+ 'Type' => 'Struct' -+ }, -+ '3841' => { -+ 'BaseType' => '3700', -+ 'Name' => 'struct ibv_cq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '3847' => { -+ 'Header' => undef, -+ 'Line' => '1233', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '1693' -+ }, -+ '1' => { -+ 'name' => 'qp_context', -+ 'offset' => '8', -+ 'type' => '197' -+ }, -+ '10' => { -+ 'name' => 'mutex', -+ 'offset' => '64', -+ 'type' => '762' -+ }, -+ '11' => { -+ 'name' => 'cond', -+ 'offset' => '104', -+ 'type' => '836' -+ }, -+ '12' => { -+ 'name' => 'events_completed', -+ 'offset' => '152', -+ 'type' => '905' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'offset' => '16', -+ 'type' => '5252' -+ }, -+ '3' => { -+ 'name' => 'send_cq', -+ 'offset' => '24', -+ 'type' => '3841' -+ }, -+ '4' => { -+ 'name' => 'recv_cq', -+ 'offset' => '32', -+ 'type' => '3841' -+ }, -+ '5' => { -+ 'name' => 'srq', -+ 'offset' => '40', -+ 'type' => '4161' -+ }, -+ '6' => { -+ 'name' => 'handle', -+ 'offset' => '48', -+ 'type' => '905' -+ }, -+ '7' => { -+ 'name' => 'qp_num', -+ 'offset' => '52', -+ 'type' => '905' -+ }, -+ '8' => { -+ 'name' => 'state', -+ 'offset' => '56', -+ 'type' => '6610' -+ }, -+ '9' => { -+ 'name' => 'qp_type', -+ 'offset' => '60', -+ 'type' => '6057' -+ } -+ }, -+ 'Name' => 'struct ibv_qp', -+ 'Size' => '160', -+ 'Type' => 'Struct' -+ }, -+ '4043' => { -+ 'BaseType' => '3847', -+ 'Name' => 'struct ibv_qp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '4049' => { -+ 'Header' => undef, -+ 'Line' => '1193', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '1693' -+ }, -+ '1' => { -+ 'name' => 'srq_context', -+ 'offset' => '8', -+ 'type' => '197' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'offset' => '16', -+ 'type' => '5252' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '24', -+ 'type' => '905' -+ }, -+ '4' => { -+ 'name' => 'mutex', -+ 'offset' => '32', -+ 'type' => '762' -+ }, -+ '5' => { -+ 'name' => 'cond', -+ 'offset' => '72', -+ 'type' => '836' -+ }, -+ '6' => { -+ 'name' => 'events_completed', -+ 'offset' => '120', -+ 'type' => '905' -+ } -+ }, -+ 'Name' => 'struct ibv_srq', -+ 'Size' => '128', -+ 'Type' => 'Struct' -+ }, -+ '4161' => { -+ 'BaseType' => '4049', -+ 'Name' => 'struct ibv_srq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '4167' => { -+ 'Header' => undef, -+ 'Line' => '1215', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '1693' -+ }, -+ '1' => { -+ 'name' => 'wq_context', -+ 'offset' => '8', -+ 'type' => '197' -+ }, -+ '10' => { -+ 'name' => 'cond', -+ 'offset' => '96', -+ 'type' => '836' -+ }, -+ '11' => { -+ 'name' => 'events_completed', -+ 'offset' => '144', -+ 'type' => '905' -+ }, -+ '12' => { -+ 'name' => 'comp_mask', -+ 'offset' => '148', -+ 'type' => '905' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'offset' => '16', -+ 'type' => '5252' -+ }, -+ '3' => { -+ 'name' => 'cq', -+ 'offset' => '24', -+ 'type' => '3841' -+ }, -+ '4' => { -+ 'name' => 'wq_num', -+ 'offset' => '32', -+ 'type' => '905' -+ }, -+ '5' => { -+ 'name' => 'handle', -+ 'offset' => '36', -+ 'type' => '905' -+ }, -+ '6' => { -+ 'name' => 'state', -+ 'offset' => '40', -+ 'type' => '5794' -+ }, -+ '7' => { -+ 'name' => 'wq_type', -+ 'offset' => '44', -+ 'type' => '5643' -+ }, -+ '8' => { -+ 'name' => 'post_recv', -+ 'offset' => '48', -+ 'type' => '8031' -+ }, -+ '9' => { -+ 'name' => 'mutex', -+ 'offset' => '56', -+ 'type' => '762' -+ } -+ }, -+ 'Name' => 'struct ibv_wq', -+ 'Size' => '152', -+ 'Type' => 'Struct' -+ }, -+ '4362' => { -+ 'BaseType' => '4167', -+ 'Name' => 'struct ibv_wq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '4368' => { -+ 'Header' => undef, -+ 'Line' => '468', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WC_SUCCESS', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WC_LOC_LEN_ERR', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IBV_WC_REM_ACCESS_ERR', -+ 'value' => '10' -+ }, -+ '11' => { -+ 'name' => 'IBV_WC_REM_OP_ERR', -+ 'value' => '11' -+ }, -+ '12' => { -+ 'name' => 'IBV_WC_RETRY_EXC_ERR', -+ 'value' => '12' -+ }, -+ '13' => { -+ 'name' => 'IBV_WC_RNR_RETRY_EXC_ERR', -+ 'value' => '13' -+ }, -+ '14' => { -+ 'name' => 'IBV_WC_LOC_RDD_VIOL_ERR', -+ 'value' => '14' -+ }, -+ '15' => { -+ 'name' => 'IBV_WC_REM_INV_RD_REQ_ERR', -+ 'value' => '15' -+ }, -+ '16' => { -+ 'name' => 'IBV_WC_REM_ABORT_ERR', -+ 'value' => '16' -+ }, -+ '17' => { -+ 'name' => 'IBV_WC_INV_EECN_ERR', -+ 'value' => '17' -+ }, -+ '18' => { -+ 'name' => 'IBV_WC_INV_EEC_STATE_ERR', -+ 'value' => '18' -+ }, -+ '19' => { -+ 'name' => 'IBV_WC_FATAL_ERR', -+ 'value' => '19' -+ }, -+ '2' => { -+ 'name' => 'IBV_WC_LOC_QP_OP_ERR', -+ 'value' => '2' -+ }, -+ '20' => { -+ 'name' => 'IBV_WC_RESP_TIMEOUT_ERR', -+ 'value' => '20' -+ }, -+ '21' => { -+ 'name' => 'IBV_WC_GENERAL_ERR', -+ 'value' => '21' -+ }, -+ '22' => { -+ 'name' => 'IBV_WC_TM_ERR', -+ 'value' => '22' -+ }, -+ '23' => { -+ 'name' => 'IBV_WC_TM_RNDV_INCOMPLETE', -+ 'value' => '23' -+ }, -+ '3' => { -+ 'name' => 'IBV_WC_LOC_EEC_OP_ERR', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_WC_LOC_PROT_ERR', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_WC_WR_FLUSH_ERR', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_WC_MW_BIND_ERR', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_WC_BAD_RESP_ERR', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'IBV_WC_LOC_ACCESS_ERR', -+ 'value' => '8' -+ }, -+ '9' => { -+ 'name' => 'IBV_WC_REM_INV_REQ_ERR', -+ 'value' => '9' -+ } -+ }, -+ 'Name' => 'enum ibv_wc_status', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '45' => { -+ 'BaseType' => '57', -+ 'Header' => undef, -+ 'Line' => '209', -+ 'Name' => 'size_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '4532' => { -+ 'Header' => undef, -+ 'Line' => '496', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WC_SEND', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WC_RDMA_WRITE', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IBV_WC_TM_ADD', -+ 'value' => '130' -+ }, -+ '11' => { -+ 'name' => 'IBV_WC_TM_DEL', -+ 'value' => '131' -+ }, -+ '12' => { -+ 'name' => 'IBV_WC_TM_SYNC', -+ 'value' => '132' -+ }, -+ '13' => { -+ 'name' => 'IBV_WC_TM_RECV', -+ 'value' => '133' -+ }, -+ '14' => { -+ 'name' => 'IBV_WC_TM_NO_TAG', -+ 'value' => '134' -+ }, -+ '15' => { -+ 'name' => 'IBV_WC_DRIVER1', -+ 'value' => '135' -+ }, -+ '2' => { -+ 'name' => 'IBV_WC_RDMA_READ', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_WC_COMP_SWAP', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_WC_FETCH_ADD', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_WC_BIND_MW', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_WC_LOCAL_INV', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_WC_TSO', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'IBV_WC_RECV', -+ 'value' => '128' -+ }, -+ '9' => { -+ 'name' => 'IBV_WC_RECV_RDMA_WITH_IMM', -+ 'value' => '129' -+ } -+ }, -+ 'Name' => 'enum ibv_wc_opcode', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '4648' => { -+ 'Header' => undef, -+ 'Line' => '577', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'imm_data', -+ 'offset' => '0', -+ 'type' => '965' -+ }, -+ '1' => { -+ 'name' => 'invalidated_rkey', -+ 'offset' => '0', -+ 'type' => '905' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Union' -+ }, -+ '4685' => { -+ 'Header' => undef, -+ 'Line' => '568', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '917' -+ }, -+ '1' => { -+ 'name' => 'status', -+ 'offset' => '8', -+ 'type' => '4368' -+ }, -+ '10' => { -+ 'name' => 'slid', -+ 'offset' => '42', -+ 'type' => '893' -+ }, -+ '11' => { -+ 'name' => 'sl', -+ 'offset' => '44', -+ 'type' => '881' -+ }, -+ '12' => { -+ 'name' => 'dlid_path_bits', -+ 'offset' => '45', -+ 'type' => '881' -+ }, -+ '2' => { -+ 'name' => 'opcode', -+ 'offset' => '12', -+ 'type' => '4532' -+ }, -+ '3' => { -+ 'name' => 'vendor_err', -+ 'offset' => '16', -+ 'type' => '905' -+ }, -+ '4' => { -+ 'name' => 'byte_len', -+ 'offset' => '20', -+ 'type' => '905' -+ }, -+ '5' => { -+ 'name' => 'unnamed0', -+ 'offset' => '24', -+ 'type' => '4648' -+ }, -+ '6' => { -+ 'name' => 'qp_num', -+ 'offset' => '28', -+ 'type' => '905' -+ }, -+ '7' => { -+ 'name' => 'src_qp', -+ 'offset' => '32', -+ 'type' => '905' -+ }, -+ '8' => { -+ 'name' => 'wc_flags', -+ 'offset' => '36', -+ 'type' => '76' -+ }, -+ '9' => { -+ 'name' => 'pkey_index', -+ 'offset' => '40', -+ 'type' => '893' -+ } -+ }, -+ 'Name' => 'struct ibv_wc', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '4873' => { -+ 'Header' => undef, -+ 'Line' => '602', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'mr', -+ 'offset' => '0', -+ 'type' => '5060' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'offset' => '8', -+ 'type' => '917' -+ }, -+ '2' => { -+ 'name' => 'length', -+ 'offset' => '16', -+ 'type' => '917' -+ }, -+ '3' => { -+ 'name' => 'mw_access_flags', -+ 'offset' => '24', -+ 'type' => '76' -+ } -+ }, -+ 'Name' => 'struct ibv_mw_bind_info', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '4948' => { -+ 'Header' => undef, -+ 'Line' => '645', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '1693' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'offset' => '8', -+ 'type' => '5252' -+ }, -+ '2' => { -+ 'name' => 'addr', -+ 'offset' => '16', -+ 'type' => '197' -+ }, -+ '3' => { -+ 'name' => 'length', -+ 'offset' => '24', -+ 'type' => '45' -+ }, -+ '4' => { -+ 'name' => 'handle', -+ 'offset' => '32', -+ 'type' => '905' -+ }, -+ '5' => { -+ 'name' => 'lkey', -+ 'offset' => '36', -+ 'type' => '905' -+ }, -+ '6' => { -+ 'name' => 'rkey', -+ 'offset' => '40', -+ 'type' => '905' -+ } -+ }, -+ 'Name' => 'struct ibv_mr', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '5060' => { -+ 'BaseType' => '4948', -+ 'Name' => 'struct ibv_mr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '5066' => { -+ 'Header' => undef, -+ 'Line' => '609', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '1693' -+ }, -+ '1' => { -+ 'name' => 'handle', -+ 'offset' => '8', -+ 'type' => '905' -+ } -+ }, -+ 'Name' => 'struct ibv_pd', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '5223' => { -+ 'Header' => undef, -+ 'Line' => '634', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '1693' -+ } -+ }, -+ 'Name' => 'struct ibv_xrcd', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '5252' => { -+ 'BaseType' => '5066', -+ 'Name' => 'struct ibv_pd*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '5258' => { -+ 'Header' => undef, -+ 'Line' => '655', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_MW_TYPE_1', -+ 'value' => '1' -+ }, -+ '1' => { -+ 'name' => 'IBV_MW_TYPE_2', -+ 'value' => '2' -+ } -+ }, -+ 'Name' => 'enum ibv_mw_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '5290' => { -+ 'Header' => undef, -+ 'Line' => '660', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '1693' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'offset' => '8', -+ 'type' => '5252' -+ }, -+ '2' => { -+ 'name' => 'rkey', -+ 'offset' => '16', -+ 'type' => '905' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '20', -+ 'type' => '905' -+ }, -+ '4' => { -+ 'name' => 'type', -+ 'offset' => '24', -+ 'type' => '5258' -+ } -+ }, -+ 'Name' => 'struct ibv_mw', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '5637' => { -+ 'BaseType' => '5223', -+ 'Name' => 'struct ibv_xrcd*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '5643' => { -+ 'Header' => undef, -+ 'Line' => '795', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WQT_RQ', -+ 'value' => '0' -+ } -+ }, -+ 'Name' => 'enum ibv_wq_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '57' => { -+ 'Name' => 'unsigned long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '5794' => { -+ 'Header' => undef, -+ 'Line' => '823', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WQS_RESET', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WQS_RDY', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_WQS_ERR', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_WQS_UNKNOWN', -+ 'value' => '3' -+ } -+ }, -+ 'Name' => 'enum ibv_wq_state', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '5923' => { -+ 'Header' => undef, -+ 'Line' => '855', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '1693' -+ }, -+ '1' => { -+ 'name' => 'ind_tbl_handle', -+ 'offset' => '8', -+ 'type' => '64' -+ }, -+ '2' => { -+ 'name' => 'ind_tbl_num', -+ 'offset' => '12', -+ 'type' => '64' -+ }, -+ '3' => { -+ 'name' => 'comp_mask', -+ 'offset' => '16', -+ 'type' => '905' -+ } -+ }, -+ 'Name' => 'struct ibv_rwq_ind_table', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '6057' => { -+ 'Header' => undef, -+ 'Line' => '876', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_QPT_RC', -+ 'value' => '2' -+ }, -+ '1' => { -+ 'name' => 'IBV_QPT_UC', -+ 'value' => '3' -+ }, -+ '2' => { -+ 'name' => 'IBV_QPT_UD', -+ 'value' => '4' -+ }, -+ '3' => { -+ 'name' => 'IBV_QPT_RAW_PACKET', -+ 'value' => '8' -+ }, -+ '4' => { -+ 'name' => 'IBV_QPT_XRC_SEND', -+ 'value' => '9' -+ }, -+ '5' => { -+ 'name' => 'IBV_QPT_XRC_RECV', -+ 'value' => '10' -+ }, -+ '6' => { -+ 'name' => 'IBV_QPT_DRIVER', -+ 'value' => '255' -+ } -+ }, -+ 'Name' => 'enum ibv_qp_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '6119' => { -+ 'Header' => undef, -+ 'Line' => '886', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'max_send_wr', -+ 'offset' => '0', -+ 'type' => '905' -+ }, -+ '1' => { -+ 'name' => 'max_recv_wr', -+ 'offset' => '4', -+ 'type' => '905' -+ }, -+ '2' => { -+ 'name' => 'max_send_sge', -+ 'offset' => '8', -+ 'type' => '905' -+ }, -+ '3' => { -+ 'name' => 'max_recv_sge', -+ 'offset' => '12', -+ 'type' => '905' -+ }, -+ '4' => { -+ 'name' => 'max_inline_data', -+ 'offset' => '16', -+ 'type' => '905' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_cap', -+ 'Size' => '20', -+ 'Type' => 'Struct' -+ }, -+ '6204' => { -+ 'Header' => undef, -+ 'Line' => '936', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rx_hash_function', -+ 'offset' => '0', -+ 'type' => '881' -+ }, -+ '1' => { -+ 'name' => 'rx_hash_key_len', -+ 'offset' => '1', -+ 'type' => '881' -+ }, -+ '2' => { -+ 'name' => 'rx_hash_key', -+ 'offset' => '8', -+ 'type' => '6275' -+ }, -+ '3' => { -+ 'name' => 'rx_hash_fields_mask', -+ 'offset' => '16', -+ 'type' => '917' -+ } -+ }, -+ 'Name' => 'struct ibv_rx_hash_conf', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '6275' => { -+ 'BaseType' => '881', -+ 'Name' => 'uint8_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '6281' => { -+ 'Header' => undef, -+ 'Line' => '945', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp_context', -+ 'offset' => '0', -+ 'type' => '197' -+ }, -+ '1' => { -+ 'name' => 'send_cq', -+ 'offset' => '8', -+ 'type' => '3841' -+ }, -+ '10' => { -+ 'name' => 'create_flags', -+ 'offset' => '80', -+ 'type' => '905' -+ }, -+ '11' => { -+ 'name' => 'max_tso_header', -+ 'offset' => '84', -+ 'type' => '893' -+ }, -+ '12' => { -+ 'name' => 'rwq_ind_tbl', -+ 'offset' => '88', -+ 'type' => '6519' -+ }, -+ '13' => { -+ 'name' => 'rx_hash_conf', -+ 'offset' => '96', -+ 'type' => '6204' -+ }, -+ '14' => { -+ 'name' => 'source_qpn', -+ 'offset' => '120', -+ 'type' => '905' -+ }, -+ '15' => { -+ 'name' => 'send_ops_flags', -+ 'offset' => '128', -+ 'type' => '917' -+ }, -+ '2' => { -+ 'name' => 'recv_cq', -+ 'offset' => '16', -+ 'type' => '3841' -+ }, -+ '3' => { -+ 'name' => 'srq', -+ 'offset' => '24', -+ 'type' => '4161' -+ }, -+ '4' => { -+ 'name' => 'cap', -+ 'offset' => '32', -+ 'type' => '6119' -+ }, -+ '5' => { -+ 'name' => 'qp_type', -+ 'offset' => '52', -+ 'type' => '6057' -+ }, -+ '6' => { -+ 'name' => 'sq_sig_all', -+ 'offset' => '56', -+ 'type' => '64' -+ }, -+ '7' => { -+ 'name' => 'comp_mask', -+ 'offset' => '60', -+ 'type' => '905' -+ }, -+ '8' => { -+ 'name' => 'pd', -+ 'offset' => '64', -+ 'type' => '5252' -+ }, -+ '9' => { -+ 'name' => 'xrcd', -+ 'offset' => '72', -+ 'type' => '5637' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_init_attr_ex', -+ 'Size' => '136', -+ 'Type' => 'Struct' -+ }, -+ '64' => { -+ 'Name' => 'int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '6519' => { -+ 'BaseType' => '5923', -+ 'Name' => 'struct ibv_rwq_ind_table*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '6610' => { -+ 'Header' => undef, -+ 'Line' => '1014', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_QPS_RESET', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_QPS_INIT', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_QPS_RTR', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_QPS_RTS', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_QPS_SQD', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_QPS_SQE', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_QPS_ERR', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_QPS_UNKNOWN', -+ 'value' => '7' -+ } -+ }, -+ 'Name' => 'enum ibv_qp_state', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '6749' => { -+ 'Header' => undef, -+ 'Line' => '1067', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WR_RDMA_WRITE', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WR_RDMA_WRITE_WITH_IMM', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IBV_WR_TSO', -+ 'value' => '10' -+ }, -+ '11' => { -+ 'name' => 'IBV_WR_DRIVER1', -+ 'value' => '11' -+ }, -+ '2' => { -+ 'name' => 'IBV_WR_SEND', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_WR_SEND_WITH_IMM', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_WR_RDMA_READ', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_WR_ATOMIC_CMP_AND_SWP', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_WR_ATOMIC_FETCH_AND_ADD', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_WR_LOCAL_INV', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'IBV_WR_BIND_MW', -+ 'value' => '8' -+ }, -+ '9' => { -+ 'name' => 'IBV_WR_SEND_WITH_INV', -+ 'value' => '9' -+ } -+ }, -+ 'Name' => 'enum ibv_wr_opcode', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '6889' => { -+ 'Header' => undef, -+ 'Line' => '1095', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'addr', -+ 'offset' => '0', -+ 'type' => '917' -+ }, -+ '1' => { -+ 'name' => 'length', -+ 'offset' => '8', -+ 'type' => '905' -+ }, -+ '2' => { -+ 'name' => 'lkey', -+ 'offset' => '12', -+ 'type' => '905' -+ } -+ }, -+ 'Name' => 'struct ibv_sge', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '6951' => { -+ 'Header' => undef, -+ 'Line' => '1111', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'imm_data', -+ 'offset' => '0', -+ 'type' => '965' -+ }, -+ '1' => { -+ 'name' => 'invalidate_rkey', -+ 'offset' => '0', -+ 'type' => '905' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Union' -+ }, -+ '6988' => { -+ 'Header' => undef, -+ 'Line' => '1116', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'remote_addr', -+ 'offset' => '0', -+ 'type' => '917' -+ }, -+ '1' => { -+ 'name' => 'rkey', -+ 'offset' => '8', -+ 'type' => '905' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '7027' => { -+ 'Header' => undef, -+ 'Line' => '1120', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'remote_addr', -+ 'offset' => '0', -+ 'type' => '917' -+ }, -+ '1' => { -+ 'name' => 'compare_add', -+ 'offset' => '8', -+ 'type' => '917' -+ }, -+ '2' => { -+ 'name' => 'swap', -+ 'offset' => '16', -+ 'type' => '917' -+ }, -+ '3' => { -+ 'name' => 'rkey', -+ 'offset' => '24', -+ 'type' => '905' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '7094' => { -+ 'Header' => undef, -+ 'Line' => '1126', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'ah', -+ 'offset' => '0', -+ 'type' => '7202' -+ }, -+ '1' => { -+ 'name' => 'remote_qpn', -+ 'offset' => '8', -+ 'type' => '905' -+ }, -+ '2' => { -+ 'name' => 'remote_qkey', -+ 'offset' => '12', -+ 'type' => '905' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '7146' => { -+ 'Header' => undef, -+ 'Line' => '1626', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '1693' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'offset' => '8', -+ 'type' => '5252' -+ }, -+ '2' => { -+ 'name' => 'handle', -+ 'offset' => '16', -+ 'type' => '905' -+ } -+ }, -+ 'Name' => 'struct ibv_ah', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '7202' => { -+ 'BaseType' => '7146', -+ 'Name' => 'struct ibv_ah*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '7208' => { -+ 'Header' => undef, -+ 'Line' => '1115', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rdma', -+ 'offset' => '0', -+ 'type' => '6988' -+ }, -+ '1' => { -+ 'name' => 'atomic', -+ 'offset' => '0', -+ 'type' => '7027' -+ }, -+ '2' => { -+ 'name' => 'ud', -+ 'offset' => '0', -+ 'type' => '7094' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Union' -+ }, -+ '7257' => { -+ 'Header' => undef, -+ 'Line' => '1133', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'remote_srqn', -+ 'offset' => '0', -+ 'type' => '905' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '7282' => { -+ 'Header' => undef, -+ 'Line' => '1132', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'xrc', -+ 'offset' => '0', -+ 'type' => '7257' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Union' -+ }, -+ '7306' => { -+ 'Header' => undef, -+ 'Line' => '1138', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'mw', -+ 'offset' => '0', -+ 'type' => '7358' -+ }, -+ '1' => { -+ 'name' => 'rkey', -+ 'offset' => '8', -+ 'type' => '905' -+ }, -+ '2' => { -+ 'name' => 'bind_info', -+ 'offset' => '16', -+ 'type' => '4873' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '7358' => { -+ 'BaseType' => '5290', -+ 'Name' => 'struct ibv_mw*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '7364' => { -+ 'Header' => undef, -+ 'Line' => '1143', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '197' -+ }, -+ '1' => { -+ 'name' => 'hdr_sz', -+ 'offset' => '8', -+ 'type' => '893' -+ }, -+ '2' => { -+ 'name' => 'mss', -+ 'offset' => '10', -+ 'type' => '893' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '7417' => { -+ 'Header' => undef, -+ 'Line' => '1137', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'bind_mw', -+ 'offset' => '0', -+ 'type' => '7306' -+ }, -+ '1' => { -+ 'name' => 'tso', -+ 'offset' => '0', -+ 'type' => '7364' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Union' -+ }, -+ '7454' => { -+ 'Header' => undef, -+ 'Line' => '1101', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '917' -+ }, -+ '1' => { -+ 'name' => 'next', -+ 'offset' => '8', -+ 'type' => '7592' -+ }, -+ '2' => { -+ 'name' => 'sg_list', -+ 'offset' => '16', -+ 'type' => '7598' -+ }, -+ '3' => { -+ 'name' => 'num_sge', -+ 'offset' => '24', -+ 'type' => '64' -+ }, -+ '4' => { -+ 'name' => 'opcode', -+ 'offset' => '28', -+ 'type' => '6749' -+ }, -+ '5' => { -+ 'name' => 'send_flags', -+ 'offset' => '32', -+ 'type' => '76' -+ }, -+ '6' => { -+ 'name' => 'unnamed0', -+ 'offset' => '36', -+ 'type' => '6951' -+ }, -+ '7' => { -+ 'name' => 'wr', -+ 'offset' => '40', -+ 'type' => '7208' -+ }, -+ '8' => { -+ 'name' => 'qp_type', -+ 'offset' => '72', -+ 'type' => '7282' -+ }, -+ '9' => { -+ 'name' => 'unnamed1', -+ 'offset' => '80', -+ 'type' => '7417' -+ } -+ }, -+ 'Name' => 'struct ibv_send_wr', -+ 'Size' => '128', -+ 'Type' => 'Struct' -+ }, -+ '7592' => { -+ 'BaseType' => '7454', -+ 'Name' => 'struct ibv_send_wr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '7598' => { -+ 'BaseType' => '6889', -+ 'Name' => 'struct ibv_sge*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '76' => { -+ 'Name' => 'unsigned int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '7604' => { -+ 'Header' => undef, -+ 'Line' => '1151', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '917' -+ }, -+ '1' => { -+ 'name' => 'next', -+ 'offset' => '8', -+ 'type' => '7675' -+ }, -+ '2' => { -+ 'name' => 'sg_list', -+ 'offset' => '16', -+ 'type' => '7598' -+ }, -+ '3' => { -+ 'name' => 'num_sge', -+ 'offset' => '24', -+ 'type' => '64' -+ } -+ }, -+ 'Name' => 'struct ibv_recv_wr', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '7675' => { -+ 'BaseType' => '7604', -+ 'Name' => 'struct ibv_recv_wr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '7943' => { -+ 'Header' => undef, -+ 'Line' => '1187', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '917' -+ }, -+ '1' => { -+ 'name' => 'send_flags', -+ 'offset' => '8', -+ 'type' => '76' -+ }, -+ '2' => { -+ 'name' => 'bind_info', -+ 'offset' => '16', -+ 'type' => '4873' -+ } -+ }, -+ 'Name' => 'struct ibv_mw_bind', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '8025' => { -+ 'BaseType' => '7675', -+ 'Name' => 'struct ibv_recv_wr**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '8031' => { -+ 'Name' => 'int(*)(struct ibv_wq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '4362' -+ }, -+ '1' => { -+ 'type' => '7675' -+ }, -+ '2' => { -+ 'type' => '8025' -+ } -+ }, -+ 'Return' => '64', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '82944' => { -+ 'Header' => undef, -+ 'Line' => '161', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wqe_cnt', -+ 'offset' => '0', -+ 'type' => '905' -+ }, -+ '1' => { -+ 'name' => 'wqe_shift', -+ 'offset' => '4', -+ 'type' => '64' -+ }, -+ '2' => { -+ 'name' => 'offset', -+ 'offset' => '8', -+ 'type' => '64' -+ } -+ }, -+ 'Size' => '12', -+ 'Type' => 'Struct' -+ }, -+ '82993' => { -+ 'Header' => undef, -+ 'Line' => '166', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wqe_cnt', -+ 'offset' => '0', -+ 'type' => '905' -+ }, -+ '1' => { -+ 'name' => 'wqe_shift', -+ 'offset' => '4', -+ 'type' => '64' -+ }, -+ '2' => { -+ 'name' => 'offset', -+ 'offset' => '8', -+ 'type' => '64' -+ } -+ }, -+ 'Size' => '12', -+ 'Type' => 'Struct' -+ }, -+ '83' => { -+ 'Name' => 'long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '83042' => { -+ 'Header' => undef, -+ 'Line' => '171', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'buf', -+ 'offset' => '0', -+ 'type' => '197' -+ }, -+ '1' => { -+ 'name' => 'length', -+ 'offset' => '8', -+ 'type' => '45' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '83078' => { -+ 'Header' => undef, -+ 'Line' => '157', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rdb', -+ 'offset' => '0', -+ 'type' => '13577' -+ }, -+ '1' => { -+ 'name' => 'sdb', -+ 'offset' => '8', -+ 'type' => '13253' -+ }, -+ '2' => { -+ 'name' => 'doorbell_qpn', -+ 'offset' => '16', -+ 'type' => '965' -+ }, -+ '3' => { -+ 'name' => 'sq', -+ 'offset' => '20', -+ 'type' => '82944' -+ }, -+ '4' => { -+ 'name' => 'rq', -+ 'offset' => '32', -+ 'type' => '82993' -+ }, -+ '5' => { -+ 'name' => 'buf', -+ 'offset' => '48', -+ 'type' => '83042' -+ }, -+ '6' => { -+ 'name' => 'comp_mask', -+ 'offset' => '64', -+ 'type' => '917' -+ }, -+ '7' => { -+ 'name' => 'uar_mmap_offset', -+ 'offset' => '72', -+ 'type' => '218' -+ } -+ }, -+ 'Name' => 'struct mlx4dv_qp', -+ 'Size' => '80', -+ 'Type' => 'Struct' -+ }, -+ '83225' => { -+ 'Header' => undef, -+ 'Line' => '184', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'buf', -+ 'offset' => '0', -+ 'type' => '197' -+ }, -+ '1' => { -+ 'name' => 'length', -+ 'offset' => '8', -+ 'type' => '45' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '83261' => { -+ 'Header' => undef, -+ 'Line' => '183', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'buf', -+ 'offset' => '0', -+ 'type' => '83225' -+ }, -+ '1' => { -+ 'name' => 'cqe_cnt', -+ 'offset' => '16', -+ 'type' => '905' -+ }, -+ '2' => { -+ 'name' => 'cqn', -+ 'offset' => '20', -+ 'type' => '905' -+ }, -+ '3' => { -+ 'name' => 'set_ci_db', -+ 'offset' => '24', -+ 'type' => '13577' -+ }, -+ '4' => { -+ 'name' => 'arm_db', -+ 'offset' => '32', -+ 'type' => '13577' -+ }, -+ '5' => { -+ 'name' => 'arm_sn', -+ 'offset' => '40', -+ 'type' => '64' -+ }, -+ '6' => { -+ 'name' => 'cqe_size', -+ 'offset' => '44', -+ 'type' => '64' -+ }, -+ '7' => { -+ 'name' => 'comp_mask', -+ 'offset' => '48', -+ 'type' => '917' -+ }, -+ '8' => { -+ 'name' => 'cq_uar', -+ 'offset' => '56', -+ 'type' => '197' -+ } -+ }, -+ 'Name' => 'struct mlx4dv_cq', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '83392' => { -+ 'Header' => undef, -+ 'Line' => '199', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'buf', -+ 'offset' => '0', -+ 'type' => '197' -+ }, -+ '1' => { -+ 'name' => 'length', -+ 'offset' => '8', -+ 'type' => '45' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '83428' => { -+ 'Header' => undef, -+ 'Line' => '198', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'buf', -+ 'offset' => '0', -+ 'type' => '83392' -+ }, -+ '1' => { -+ 'name' => 'wqe_shift', -+ 'offset' => '16', -+ 'type' => '64' -+ }, -+ '2' => { -+ 'name' => 'head', -+ 'offset' => '20', -+ 'type' => '64' -+ }, -+ '3' => { -+ 'name' => 'tail', -+ 'offset' => '24', -+ 'type' => '64' -+ }, -+ '4' => { -+ 'name' => 'db', -+ 'offset' => '32', -+ 'type' => '13577' -+ }, -+ '5' => { -+ 'name' => 'comp_mask', -+ 'offset' => '40', -+ 'type' => '917' -+ } -+ }, -+ 'Name' => 'struct mlx4dv_srq', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '83519' => { -+ 'Header' => undef, -+ 'Line' => '212', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wqe_cnt', -+ 'offset' => '0', -+ 'type' => '905' -+ }, -+ '1' => { -+ 'name' => 'wqe_shift', -+ 'offset' => '4', -+ 'type' => '64' -+ }, -+ '2' => { -+ 'name' => 'offset', -+ 'offset' => '8', -+ 'type' => '64' -+ } -+ }, -+ 'Size' => '12', -+ 'Type' => 'Struct' -+ }, -+ '83568' => { -+ 'Header' => undef, -+ 'Line' => '217', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'buf', -+ 'offset' => '0', -+ 'type' => '197' -+ }, -+ '1' => { -+ 'name' => 'length', -+ 'offset' => '8', -+ 'type' => '45' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '83604' => { -+ 'Header' => undef, -+ 'Line' => '210', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rdb', -+ 'offset' => '0', -+ 'type' => '13577' -+ }, -+ '1' => { -+ 'name' => 'rq', -+ 'offset' => '8', -+ 'type' => '83519' -+ }, -+ '2' => { -+ 'name' => 'buf', -+ 'offset' => '24', -+ 'type' => '83568' -+ }, -+ '3' => { -+ 'name' => 'comp_mask', -+ 'offset' => '40', -+ 'type' => '917' -+ } -+ }, -+ 'Name' => 'struct mlx4dv_rwq', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '83669' => { -+ 'Header' => undef, -+ 'Line' => '225', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'in', -+ 'offset' => '0', -+ 'type' => '4043' -+ }, -+ '1' => { -+ 'name' => 'out', -+ 'offset' => '8', -+ 'type' => '83704' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '83704' => { -+ 'BaseType' => '83078', -+ 'Name' => 'struct mlx4dv_qp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '83710' => { -+ 'Header' => undef, -+ 'Line' => '229', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'in', -+ 'offset' => '0', -+ 'type' => '3841' -+ }, -+ '1' => { -+ 'name' => 'out', -+ 'offset' => '8', -+ 'type' => '83745' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '83745' => { -+ 'BaseType' => '83261', -+ 'Name' => 'struct mlx4dv_cq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '83751' => { -+ 'Header' => undef, -+ 'Line' => '233', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'in', -+ 'offset' => '0', -+ 'type' => '4161' -+ }, -+ '1' => { -+ 'name' => 'out', -+ 'offset' => '8', -+ 'type' => '83786' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '83786' => { -+ 'BaseType' => '83428', -+ 'Name' => 'struct mlx4dv_srq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '83792' => { -+ 'Header' => undef, -+ 'Line' => '237', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'in', -+ 'offset' => '0', -+ 'type' => '4362' -+ }, -+ '1' => { -+ 'name' => 'out', -+ 'offset' => '8', -+ 'type' => '83827' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '83827' => { -+ 'BaseType' => '83604', -+ 'Name' => 'struct mlx4dv_rwq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '83833' => { -+ 'Header' => undef, -+ 'Line' => '224', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp', -+ 'offset' => '0', -+ 'type' => '83669' -+ }, -+ '1' => { -+ 'name' => 'cq', -+ 'offset' => '16', -+ 'type' => '83710' -+ }, -+ '2' => { -+ 'name' => 'srq', -+ 'offset' => '32', -+ 'type' => '83751' -+ }, -+ '3' => { -+ 'name' => 'rwq', -+ 'offset' => '48', -+ 'type' => '83792' -+ } -+ }, -+ 'Name' => 'struct mlx4dv_obj', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '83962' => { -+ 'Header' => undef, -+ 'Line' => '437', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'version', -+ 'offset' => '0', -+ 'type' => '881' -+ }, -+ '1' => { -+ 'name' => 'max_inl_recv_sz', -+ 'offset' => '4', -+ 'type' => '905' -+ }, -+ '2' => { -+ 'name' => 'comp_mask', -+ 'offset' => '8', -+ 'type' => '917' -+ } -+ }, -+ 'Name' => 'struct mlx4dv_context', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '84019' => { -+ 'Header' => undef, -+ 'Line' => '539', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'MLX4DV_SET_CTX_ATTR_LOG_WQS_RANGE_SZ', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'MLX4DV_SET_CTX_ATTR_BUF_ALLOCATORS', -+ 'value' => '1' -+ } -+ }, -+ 'Name' => 'enum mlx4dv_set_ctx_attr_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '86545' => { -+ 'BaseType' => '83962', -+ 'Name' => 'struct mlx4dv_context*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '86980' => { -+ 'BaseType' => '83833', -+ 'Name' => 'struct mlx4dv_obj*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '881' => { -+ 'BaseType' => '118', -+ 'Header' => undef, -+ 'Line' => '24', -+ 'Name' => 'uint8_t', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ }, -+ '8843' => { -+ 'Header' => undef, -+ 'Line' => '1433', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '1693' -+ }, -+ '1' => { -+ 'name' => 'fd', -+ 'offset' => '8', -+ 'type' => '64' -+ }, -+ '2' => { -+ 'name' => 'refcnt', -+ 'offset' => '12', -+ 'type' => '64' -+ } -+ }, -+ 'Name' => 'struct ibv_comp_channel', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '8899' => { -+ 'BaseType' => '8843', -+ 'Name' => 'struct ibv_comp_channel*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '893' => { -+ 'BaseType' => '137', -+ 'Header' => undef, -+ 'Line' => '25', -+ 'Name' => 'uint16_t', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '905' => { -+ 'BaseType' => '149', -+ 'Header' => undef, -+ 'Line' => '26', -+ 'Name' => 'uint32_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '917' => { -+ 'BaseType' => '161', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => 'uint64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '941' => { -+ 'BaseType' => '76', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => '__u32', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '965' => { -+ 'BaseType' => '941', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => '__be32', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '97' => { -+ 'Name' => 'unsigned char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ } -+ }, -+ 'UndefinedSymbols' => { -+ 'libmlx4.so.1.0.32.0' => { -+ '_ITM_deregisterTMCloneTable' => 0, -+ '_ITM_registerTMCloneTable' => 0, -+ '__cxa_finalize@GLIBC_2.2.5' => 0, -+ '__errno_location@GLIBC_2.2.5' => 0, -+ '__gmon_start__' => 0, -+ '__printf_chk@GLIBC_2.3.4' => 0, -+ '__snprintf_chk@GLIBC_2.3.4' => 0, -+ '__stack_chk_fail@GLIBC_2.4' => 0, -+ '_verbs_init_and_alloc_context@IBVERBS_PRIVATE_25' => 0, -+ 'calloc@GLIBC_2.2.5' => 0, -+ 'free@GLIBC_2.2.5' => 0, -+ 'fwrite@GLIBC_2.2.5' => 0, -+ 'ibv_cmd_alloc_mw@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_alloc_pd@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_attach_mcast@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_close_xrcd@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_cq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_cq_ex@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_flow@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_qp_ex2@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_qp_ex@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_rwq_ind_table@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_srq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_srq_ex@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_wq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_dealloc_mw@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_dealloc_pd@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_dereg_mr@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_cq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_flow@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_qp@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_rwq_ind_table@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_srq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_wq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_detach_mcast@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_get_context@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_modify_cq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_modify_qp@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_modify_srq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_modify_wq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_open_qp@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_open_xrcd@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_query_device@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_query_device_ex@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_query_port@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_query_qp@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_query_srq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_reg_mr@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_rereg_mr@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_resize_cq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_dofork_range@IBVERBS_1.1' => 0, -+ 'ibv_dontfork_range@IBVERBS_1.1' => 0, -+ 'ibv_query_device@IBVERBS_1.1' => 0, -+ 'ibv_query_gid@IBVERBS_1.1' => 0, -+ 'ibv_query_port@IBVERBS_1.1' => 0, -+ 'ibv_resolve_eth_l2_from_gid@IBVERBS_1.1' => 0, -+ 'malloc@GLIBC_2.2.5' => 0, -+ 'memcpy@GLIBC_2.14' => 0, -+ 'memset@GLIBC_2.2.5' => 0, -+ 'mmap@GLIBC_2.2.5' => 0, -+ 'munmap@GLIBC_2.2.5' => 0, -+ 'pthread_mutex_init@GLIBC_2.2.5' => 0, -+ 'pthread_mutex_lock@GLIBC_2.2.5' => 0, -+ 'pthread_mutex_unlock@GLIBC_2.2.5' => 0, -+ 'pthread_spin_init@GLIBC_2.2.5' => 0, -+ 'pthread_spin_lock@GLIBC_2.2.5' => 0, -+ 'pthread_spin_unlock@GLIBC_2.2.5' => 0, -+ 'stderr@GLIBC_2.2.5' => 0, -+ 'sysconf@GLIBC_2.2.5' => 0, -+ 'verbs_register_driver_25@IBVERBS_PRIVATE_25' => 0, -+ 'verbs_set_ops@IBVERBS_PRIVATE_25' => 0, -+ 'verbs_uninit_context@IBVERBS_PRIVATE_25' => 0 -+ } -+ }, -+ 'WordSize' => '8' -+ }; -diff --git a/ABI/mlx5.dump b/ABI/mlx5.dump -new file mode 100644 -index 000000000000..f2c1446b00b9 ---- /dev/null -+++ b/ABI/mlx5.dump -@@ -0,0 +1,11976 @@ -+$VAR1 = { -+ 'ABI_DUMPER_VERSION' => '1.1', -+ 'ABI_DUMP_VERSION' => '3.5', -+ 'Arch' => 'x86_64', -+ 'GccVersion' => '10.2.0', -+ 'Headers' => {}, -+ 'Language' => 'C', -+ 'LibraryName' => 'libmlx5.so.1.16.32.0', -+ 'LibraryVersion' => 'mlx5', -+ 'MissedOffsets' => '1', -+ 'MissedRegs' => '1', -+ 'NameSpaces' => {}, -+ 'Needed' => { -+ 'libc.so.6' => 1, -+ 'libibverbs.so.1' => 1, -+ 'libpthread.so.0' => 1 -+ }, -+ 'Sources' => {}, -+ 'SymbolInfo' => { -+ '1000337' => { -+ 'Header' => undef, -+ 'Line' => '5403', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'flags', -+ 'type' => '1554' -+ } -+ }, -+ 'Return' => '1000307', -+ 'ShortName' => 'mlx5dv_alloc_var' -+ }, -+ '1001701' => { -+ 'Header' => undef, -+ 'Line' => '5388', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dv_mkey', -+ 'type' => '14856' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_destroy_mkey' -+ }, -+ '1001839' => { -+ 'Header' => undef, -+ 'Line' => '5341', -+ 'Param' => { -+ '0' => { -+ 'name' => 'mkey_init_attr', -+ 'type' => '1003114' -+ } -+ }, -+ 'Return' => '14856', -+ 'ShortName' => 'mlx5dv_create_mkey' -+ }, -+ '1003136' => { -+ 'Header' => undef, -+ 'Line' => '5321', -+ 'Param' => { -+ '0' => { -+ 'name' => 'event_channel', -+ 'type' => '1003364' -+ }, -+ '1' => { -+ 'name' => 'event_data', -+ 'type' => '1003370' -+ }, -+ '2' => { -+ 'name' => 'event_resp_len', -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '936554', -+ 'ShortName' => 'mlx5dv_devx_get_event' -+ }, -+ '1003376' => { -+ 'Header' => undef, -+ 'Line' => '5305', -+ 'Param' => { -+ '0' => { -+ 'name' => 'cmd_comp', -+ 'type' => '1003604' -+ }, -+ '1' => { -+ 'name' => 'cmd_resp', -+ 'type' => '1003610' -+ }, -+ '2' => { -+ 'name' => 'cmd_resp_len', -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_get_async_cmd_comp' -+ }, -+ '1003616' => { -+ 'Header' => undef, -+ 'Line' => '5286', -+ 'Param' => { -+ '0' => { -+ 'name' => 'obj', -+ 'type' => '239698' -+ }, -+ '1' => { -+ 'name' => 'in', -+ 'type' => '1458' -+ }, -+ '2' => { -+ 'name' => 'inlen', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'outlen', -+ 'type' => '314' -+ }, -+ '4' => { -+ 'name' => 'wr_id', -+ 'type' => '1571' -+ }, -+ '5' => { -+ 'name' => 'cmd_comp', -+ 'type' => '1003604' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_obj_query_async' -+ }, -+ '1004931' => { -+ 'Header' => undef, -+ 'Line' => '5263', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dv_event_channel', -+ 'type' => '1003364' -+ }, -+ '1' => { -+ 'name' => 'fd', -+ 'type' => '128' -+ }, -+ '2' => { -+ 'name' => 'obj', -+ 'type' => '239698' -+ }, -+ '3' => { -+ 'name' => 'event_num', -+ 'type' => '1542' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_subscribe_devx_event_fd' -+ }, -+ '1006017' => { -+ 'Header' => undef, -+ 'Line' => '5239', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dv_event_channel', -+ 'type' => '1003364' -+ }, -+ '1' => { -+ 'name' => 'obj', -+ 'type' => '239698' -+ }, -+ '2' => { -+ 'name' => 'events_sz', -+ 'type' => '1542' -+ }, -+ '3' => { -+ 'name' => 'events_num', -+ 'type' => '367326' -+ }, -+ '4' => { -+ 'name' => 'cookie', -+ 'type' => '1571' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_subscribe_devx_event' -+ }, -+ '1007165' => { -+ 'Header' => undef, -+ 'Line' => '5228', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dv_event_channel', -+ 'type' => '1003364' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mlx5dv_devx_destroy_event_channel' -+ }, -+ '1007275' => { -+ 'Header' => undef, -+ 'Line' => '5192', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'flags', -+ 'type' => '972898' -+ } -+ }, -+ 'Return' => '1003364', -+ 'ShortName' => 'mlx5dv_devx_create_event_channel' -+ }, -+ '1008090' => { -+ 'Header' => undef, -+ 'Line' => '5184', -+ 'Param' => { -+ '0' => { -+ 'name' => 'cmd_comp', -+ 'type' => '1003604' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mlx5dv_devx_destroy_cmd_comp' -+ }, -+ '1008177' => { -+ 'Header' => undef, -+ 'Line' => '5152', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '2375' -+ } -+ }, -+ 'Return' => '1003604', -+ 'ShortName' => 'mlx5dv_devx_create_cmd_comp' -+ }, -+ '1008761' => { -+ 'Header' => undef, -+ 'Line' => '5136', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ind_tbl', -+ 'type' => '6998' -+ }, -+ '1' => { -+ 'name' => 'in', -+ 'type' => '1458' -+ }, -+ '2' => { -+ 'name' => 'inlen', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'out', -+ 'type' => '219' -+ }, -+ '4' => { -+ 'name' => 'outlen', -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_ind_tbl_modify' -+ }, -+ '1009799' => { -+ 'Header' => undef, -+ 'Line' => '5121', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ind_tbl', -+ 'type' => '6998' -+ }, -+ '1' => { -+ 'name' => 'in', -+ 'type' => '1458' -+ }, -+ '2' => { -+ 'name' => 'inlen', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'out', -+ 'type' => '219' -+ }, -+ '4' => { -+ 'name' => 'outlen', -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_ind_tbl_query' -+ }, -+ '1010837' => { -+ 'Header' => undef, -+ 'Line' => '5106', -+ 'Param' => { -+ '0' => { -+ 'name' => 'wq', -+ 'type' => '4841' -+ }, -+ '1' => { -+ 'name' => 'in', -+ 'type' => '1458' -+ }, -+ '2' => { -+ 'name' => 'inlen', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'out', -+ 'type' => '219' -+ }, -+ '4' => { -+ 'name' => 'outlen', -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_wq_modify' -+ }, -+ '1011874' => { -+ 'Header' => undef, -+ 'Line' => '5091', -+ 'Param' => { -+ '0' => { -+ 'name' => 'wq', -+ 'type' => '4841' -+ }, -+ '1' => { -+ 'name' => 'in', -+ 'type' => '1458' -+ }, -+ '2' => { -+ 'name' => 'inlen', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'out', -+ 'type' => '219' -+ }, -+ '4' => { -+ 'name' => 'outlen', -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_wq_query' -+ }, -+ '1012911' => { -+ 'Header' => undef, -+ 'Line' => '5076', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srq', -+ 'type' => '4640' -+ }, -+ '1' => { -+ 'name' => 'in', -+ 'type' => '1458' -+ }, -+ '2' => { -+ 'name' => 'inlen', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'out', -+ 'type' => '219' -+ }, -+ '4' => { -+ 'name' => 'outlen', -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_srq_modify' -+ }, -+ '1013949' => { -+ 'Header' => undef, -+ 'Line' => '5061', -+ 'Param' => { -+ '0' => { -+ 'name' => 'srq', -+ 'type' => '4640' -+ }, -+ '1' => { -+ 'name' => 'in', -+ 'type' => '1458' -+ }, -+ '2' => { -+ 'name' => 'inlen', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'out', -+ 'type' => '219' -+ }, -+ '4' => { -+ 'name' => 'outlen', -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_srq_query' -+ }, -+ '1017061' => { -+ 'Header' => undef, -+ 'Line' => '5016', -+ 'Param' => { -+ '0' => { -+ 'name' => 'cq', -+ 'type' => '4320' -+ }, -+ '1' => { -+ 'name' => 'in', -+ 'type' => '1458' -+ }, -+ '2' => { -+ 'name' => 'inlen', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'out', -+ 'type' => '219' -+ }, -+ '4' => { -+ 'name' => 'outlen', -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_cq_modify' -+ }, -+ '1018098' => { -+ 'Header' => undef, -+ 'Line' => '5001', -+ 'Param' => { -+ '0' => { -+ 'name' => 'cq', -+ 'type' => '4320' -+ }, -+ '1' => { -+ 'name' => 'in', -+ 'type' => '1458' -+ }, -+ '2' => { -+ 'name' => 'inlen', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'out', -+ 'type' => '219' -+ }, -+ '4' => { -+ 'name' => 'outlen', -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_cq_query' -+ }, -+ '1019135' => { -+ 'Header' => undef, -+ 'Line' => '4987', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'vector', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'eqn', -+ 'type' => '13725' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_query_eqn' -+ }, -+ '1031124' => { -+ 'Header' => undef, -+ 'Line' => '4673', -+ 'Param' => { -+ '0' => { -+ 'name' => 'flow_matcher', -+ 'type' => '252057' -+ }, -+ '1' => { -+ 'name' => 'match_value', -+ 'type' => '239464' -+ }, -+ '2' => { -+ 'name' => 'num_actions', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'actions_attr', -+ 'type' => '270243' -+ } -+ }, -+ 'Return' => '12897', -+ 'ShortName' => 'mlx5dv_create_flow' -+ }, -+ '1044580' => { -+ 'Header' => undef, -+ 'Line' => '4003', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ctx', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'esp', -+ 'type' => '13082' -+ }, -+ '2' => { -+ 'name' => 'mlx5_attr', -+ 'type' => '1045386' -+ } -+ }, -+ 'Return' => '13076', -+ 'ShortName' => 'mlx5dv_create_flow_action_esp' -+ }, -+ '1049180' => { -+ 'Header' => undef, -+ 'Line' => '3714', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '13453' -+ }, -+ '2' => { -+ 'name' => 'mlx5_wq_attr', -+ 'type' => '1049344' -+ } -+ }, -+ 'Return' => '4841', -+ 'ShortName' => 'mlx5dv_create_wq' -+ }, -+ '1056876' => { -+ 'Header' => undef, -+ 'Line' => '3045', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '8910' -+ } -+ }, -+ 'Return' => '14808', -+ 'ShortName' => 'mlx5dv_qp_ex_from_ibv_qp_ex' -+ }, -+ '1056926' => { -+ 'Header' => undef, -+ 'Line' => '3033', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'qp_attr', -+ 'type' => '13693' -+ }, -+ '2' => { -+ 'name' => 'mlx5_qp_attr', -+ 'type' => '1057090' -+ } -+ }, -+ 'Return' => '4522', -+ 'ShortName' => 'mlx5dv_create_qp' -+ }, -+ '1076094' => { -+ 'Header' => undef, -+ 'Line' => '1142', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'cq_attr', -+ 'type' => '13517' -+ }, -+ '2' => { -+ 'name' => 'mlx5_cq_attr', -+ 'type' => '1076310' -+ } -+ }, -+ 'Return' => '9916', -+ 'ShortName' => 'mlx5dv_create_cq' -+ }, -+ '202585' => { -+ 'Header' => undef, -+ 'Line' => '1240', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ctx_in', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'clock_info', -+ 'type' => '200281' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_get_clock_info' -+ }, -+ '254289' => { -+ 'Header' => undef, -+ 'Line' => '2168', -+ 'Param' => { -+ '0' => { -+ 'name' => 'action', -+ 'type' => '240551' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_dr_action_destroy' -+ }, -+ '254766' => { -+ 'Header' => undef, -+ 'Line' => '2136', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dmn', -+ 'type' => '251764' -+ }, -+ '1' => { -+ 'name' => 'num_dest', -+ 'type' => '314' -+ }, -+ '2' => { -+ 'name' => 'dests', -+ 'type' => '256222' -+ } -+ }, -+ 'Return' => '240551', -+ 'ShortName' => 'mlx5dv_dr_action_create_dest_array' -+ }, -+ '256892' => { -+ 'Header' => undef, -+ 'Line' => '1927', -+ 'Param' => { -+ '0' => { -+ 'name' => 'attr', -+ 'type' => '258965' -+ } -+ }, -+ 'Return' => '240551', -+ 'ShortName' => 'mlx5dv_dr_action_create_flow_sampler' -+ }, -+ '260213' => { -+ 'Header' => undef, -+ 'Line' => '1548', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dmn', -+ 'type' => '251764' -+ }, -+ '1' => { -+ 'name' => 'vport', -+ 'type' => '1554' -+ } -+ }, -+ 'Return' => '240551', -+ 'ShortName' => 'mlx5dv_dr_action_create_dest_vport' -+ }, -+ '260614' => { -+ 'Header' => undef, -+ 'Line' => '1501', -+ 'Param' => { -+ '0' => { -+ 'name' => 'attr', -+ 'type' => '261175' -+ } -+ }, -+ 'Return' => '240551', -+ 'ShortName' => 'mlx5dv_dr_action_create_flow_meter' -+ }, -+ '261181' => { -+ 'Header' => undef, -+ 'Line' => '1484', -+ 'Param' => { -+ '0' => { -+ 'name' => 'action', -+ 'type' => '240551' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '261175' -+ }, -+ '2' => { -+ 'name' => 'modify_field_select', -+ 'type' => '1643' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_dr_action_modify_flow_meter' -+ }, -+ '261319' => { -+ 'Header' => undef, -+ 'Line' => '1421', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dmn', -+ 'type' => '251764' -+ }, -+ '1' => { -+ 'name' => 'flags', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'actions_sz', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'actions', -+ 'type' => '264971' -+ } -+ }, -+ 'Return' => '240551', -+ 'ShortName' => 'mlx5dv_dr_action_create_modify_header' -+ }, -+ '266445' => { -+ 'Header' => undef, -+ 'Line' => '875', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dmn', -+ 'type' => '251764' -+ }, -+ '1' => { -+ 'name' => 'flags', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'reformat_type', -+ 'type' => '238871' -+ }, -+ '3' => { -+ 'name' => 'data_sz', -+ 'type' => '314' -+ }, -+ '4' => { -+ 'name' => 'data', -+ 'type' => '219' -+ } -+ }, -+ 'Return' => '240551', -+ 'ShortName' => 'mlx5dv_dr_action_create_packet_reformat' -+ }, -+ '267914' => { -+ 'Header' => undef, -+ 'Line' => '721', -+ 'Param' => { -+ '0' => { -+ 'name' => 'tag_value', -+ 'type' => '1554' -+ } -+ }, -+ 'Return' => '240551', -+ 'ShortName' => 'mlx5dv_dr_action_create_tag' -+ }, -+ '268196' => { -+ 'Header' => undef, -+ 'Line' => '701', -+ 'Param' => { -+ '0' => { -+ 'name' => 'devx_obj', -+ 'type' => '239698' -+ }, -+ '1' => { -+ 'name' => 'offset', -+ 'type' => '1554' -+ } -+ }, -+ 'Return' => '240551', -+ 'ShortName' => 'mlx5dv_dr_action_create_flow_counter' -+ }, -+ '268516' => { -+ 'Header' => undef, -+ 'Line' => '675', -+ 'Param' => { -+ '0' => { -+ 'name' => 'tbl', -+ 'type' => '240405' -+ } -+ }, -+ 'Return' => '240551', -+ 'ShortName' => 'mlx5dv_dr_action_create_dest_table' -+ }, -+ '268885' => { -+ 'Header' => undef, -+ 'Line' => '657', -+ 'Param' => { -+ '0' => { -+ 'name' => 'devx_obj', -+ 'type' => '239698' -+ } -+ }, -+ 'Return' => '240551', -+ 'ShortName' => 'mlx5dv_dr_action_create_dest_devx_tir' -+ }, -+ '269184' => { -+ 'Header' => undef, -+ 'Line' => '637', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ibqp', -+ 'type' => '4522' -+ } -+ }, -+ 'Return' => '240551', -+ 'ShortName' => 'mlx5dv_dr_action_create_dest_ibv_qp' -+ }, -+ '269483' => { -+ 'Header' => undef, -+ 'Line' => '631', -+ 'Return' => '240551', -+ 'ShortName' => 'mlx5dv_dr_action_create_default_miss' -+ }, -+ '269723' => { -+ 'Header' => undef, -+ 'Line' => '626', -+ 'Return' => '240551', -+ 'ShortName' => 'mlx5dv_dr_action_create_drop' -+ }, -+ '271953' => { -+ 'Header' => undef, -+ 'Line' => '4871', -+ 'Param' => { -+ '0' => { -+ 'name' => 'obj', -+ 'type' => '239698' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_obj_destroy' -+ }, -+ '272156' => { -+ 'Header' => undef, -+ 'Line' => '173', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dmn', -+ 'type' => '251764' -+ }, -+ '1' => { -+ 'name' => 'level', -+ 'type' => '1554' -+ } -+ }, -+ 'Return' => '240405', -+ 'ShortName' => 'mlx5dv_dr_table_create' -+ }, -+ '272169' => { -+ 'Header' => undef, -+ 'Line' => '770', -+ 'Param' => { -+ '0' => { -+ 'name' => 'tbl', -+ 'type' => '240405' -+ }, -+ '1' => { -+ 'name' => 'priority', -+ 'type' => '1542' -+ }, -+ '2' => { -+ 'name' => 'match_criteria_enable', -+ 'type' => '1530' -+ }, -+ '3' => { -+ 'name' => 'mask', -+ 'type' => '239464' -+ } -+ }, -+ 'Return' => '252372', -+ 'ShortName' => 'mlx5dv_dr_matcher_create' -+ }, -+ '272182' => { -+ 'Header' => undef, -+ 'Line' => '1321', -+ 'Param' => { -+ '0' => { -+ 'name' => 'matcher', -+ 'type' => '252372' -+ }, -+ '1' => { -+ 'name' => 'value', -+ 'type' => '239464' -+ }, -+ '2' => { -+ 'name' => 'num_actions', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'actions', -+ 'type' => '240545' -+ } -+ }, -+ 'Return' => '252441', -+ 'ShortName' => 'mlx5dv_dr_rule_create' -+ }, -+ '272195' => { -+ 'Header' => undef, -+ 'Line' => '883', -+ 'Param' => { -+ '0' => { -+ 'name' => 'matcher', -+ 'type' => '252372' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_dr_matcher_destroy' -+ }, -+ '272208' => { -+ 'Header' => undef, -+ 'Line' => '220', -+ 'Param' => { -+ '0' => { -+ 'name' => 'tbl', -+ 'type' => '240405' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_dr_table_destroy' -+ }, -+ '272221' => { -+ 'Header' => undef, -+ 'Line' => '1344', -+ 'Param' => { -+ '0' => { -+ 'name' => 'rule', -+ 'type' => '252441' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_dr_rule_destroy' -+ }, -+ '272299' => { -+ 'Header' => undef, -+ 'Line' => '4042', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ctx', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'actions_sz', -+ 'type' => '314' -+ }, -+ '2' => { -+ 'name' => 'actions', -+ 'type' => '12854' -+ }, -+ '3' => { -+ 'name' => 'ft_type', -+ 'type' => '238822' -+ } -+ }, -+ 'Return' => '13076', -+ 'ShortName' => 'mlx5dv_create_flow_action_modify_header' -+ }, -+ '272390' => { -+ 'Header' => undef, -+ 'Line' => '4081', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ctx', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'data_sz', -+ 'type' => '314' -+ }, -+ '2' => { -+ 'name' => 'data', -+ 'type' => '219' -+ }, -+ '3' => { -+ 'name' => 'reformat_type', -+ 'type' => '238871' -+ }, -+ '4' => { -+ 'name' => 'ft_type', -+ 'type' => '238822' -+ } -+ }, -+ 'Return' => '13076', -+ 'ShortName' => 'mlx5dv_create_flow_action_packet_reformat' -+ }, -+ '292717' => { -+ 'Header' => undef, -+ 'Line' => '742', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fout', -+ 'type' => '1423' -+ }, -+ '1' => { -+ 'name' => 'dmn', -+ 'type' => '251764' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_dump_dr_domain' -+ }, -+ '295527' => { -+ 'Header' => undef, -+ 'Line' => '563', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fout', -+ 'type' => '1423' -+ }, -+ '1' => { -+ 'name' => 'tbl', -+ 'type' => '240405' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_dump_dr_table' -+ }, -+ '295960' => { -+ 'Header' => undef, -+ 'Line' => '473', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fout', -+ 'type' => '1423' -+ }, -+ '1' => { -+ 'name' => 'matcher', -+ 'type' => '252372' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_dump_dr_matcher' -+ }, -+ '299769' => { -+ 'Header' => undef, -+ 'Line' => '288', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fout', -+ 'type' => '1423' -+ }, -+ '1' => { -+ 'name' => 'rule', -+ 'type' => '252441' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_dump_dr_rule' -+ }, -+ '368673' => { -+ 'Header' => undef, -+ 'Line' => '4888', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'in', -+ 'type' => '1458' -+ }, -+ '2' => { -+ 'name' => 'inlen', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'out', -+ 'type' => '219' -+ }, -+ '4' => { -+ 'name' => 'outlen', -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_general_cmd' -+ }, -+ '368695' => { -+ 'Header' => undef, -+ 'Line' => '4856', -+ 'Param' => { -+ '0' => { -+ 'name' => 'obj', -+ 'type' => '239698' -+ }, -+ '1' => { -+ 'name' => 'in', -+ 'type' => '1458' -+ }, -+ '2' => { -+ 'name' => 'inlen', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'out', -+ 'type' => '219' -+ }, -+ '4' => { -+ 'name' => 'outlen', -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_obj_modify' -+ }, -+ '368708' => { -+ 'Header' => undef, -+ 'Line' => '4807', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'in', -+ 'type' => '1458' -+ }, -+ '2' => { -+ 'name' => 'inlen', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'out', -+ 'type' => '219' -+ }, -+ '4' => { -+ 'name' => 'outlen', -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '239698', -+ 'ShortName' => 'mlx5dv_devx_obj_create' -+ }, -+ '368744' => { -+ 'Header' => undef, -+ 'Line' => '4841', -+ 'Param' => { -+ '0' => { -+ 'name' => 'obj', -+ 'type' => '239698' -+ }, -+ '1' => { -+ 'name' => 'in', -+ 'type' => '1458' -+ }, -+ '2' => { -+ 'name' => 'inlen', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'out', -+ 'type' => '219' -+ }, -+ '4' => { -+ 'name' => 'outlen', -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_obj_query' -+ }, -+ '398870' => { -+ 'Header' => undef, -+ 'Line' => '4258', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'dm_attr', -+ 'type' => '13023' -+ }, -+ '2' => { -+ 'name' => 'mlx5_dm_attr', -+ 'type' => '1040719' -+ } -+ }, -+ 'Return' => '2411', -+ 'ShortName' => 'mlx5dv_alloc_dm' -+ }, -+ '434256' => { -+ 'Header' => undef, -+ 'Line' => '4450', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '1037038' -+ } -+ }, -+ 'Return' => '252057', -+ 'ShortName' => 'mlx5dv_create_flow_matcher' -+ }, -+ '434457' => { -+ 'Header' => undef, -+ 'Line' => '4508', -+ 'Param' => { -+ '0' => { -+ 'name' => 'flow_matcher', -+ 'type' => '252057' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_destroy_flow_matcher' -+ }, -+ '456449' => { -+ 'Header' => undef, -+ 'Line' => '413', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dmn', -+ 'type' => '251764' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_dr_domain_destroy' -+ }, -+ '456785' => { -+ 'Header' => undef, -+ 'Line' => '402', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dmn', -+ 'type' => '251764' -+ }, -+ '1' => { -+ 'name' => 'enable', -+ 'type' => '1523' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mlx5dv_dr_domain_set_reclaim_device_memory' -+ }, -+ '456906' => { -+ 'Header' => undef, -+ 'Line' => '359', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dmn', -+ 'type' => '251764' -+ }, -+ '1' => { -+ 'name' => 'flags', -+ 'type' => '1554' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_dr_domain_sync' -+ }, -+ '457225' => { -+ 'Header' => undef, -+ 'Line' => '303', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ctx', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'type', -+ 'type' => '240143' -+ } -+ }, -+ 'Return' => '251764', -+ 'ShortName' => 'mlx5dv_dr_domain_create' -+ }, -+ '459912' => { -+ 'Header' => undef, -+ 'Line' => '4979', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dv_devx_uar', -+ 'type' => '251510' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mlx5dv_devx_free_uar' -+ }, -+ '460132' => { -+ 'Header' => undef, -+ 'Line' => '4931', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'flags', -+ 'type' => '1554' -+ } -+ }, -+ 'Return' => '251510', -+ 'ShortName' => 'mlx5dv_devx_alloc_uar' -+ }, -+ '769233' => { -+ 'Header' => undef, -+ 'Line' => '4686', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'type' => '219' -+ }, -+ '2' => { -+ 'name' => 'size', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'access', -+ 'type' => '1554' -+ } -+ }, -+ 'Return' => '253943', -+ 'ShortName' => 'mlx5dv_devx_umem_reg' -+ }, -+ '769259' => { -+ 'Header' => undef, -+ 'Line' => '4731', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dv_devx_umem', -+ 'type' => '253943' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_umem_dereg' -+ }, -+ '821578' => { -+ 'Header' => undef, -+ 'Line' => '1295', -+ 'Param' => { -+ '0' => { -+ 'name' => 'device', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '817363' -+ } -+ }, -+ 'Return' => '2375', -+ 'ShortName' => 'mlx5dv_open_device' -+ }, -+ '821725' => { -+ 'Header' => undef, -+ 'Line' => '1289', -+ 'Param' => { -+ '0' => { -+ 'name' => 'device', -+ 'type' => '10735' -+ } -+ }, -+ 'Return' => '1523', -+ 'ShortName' => 'mlx5dv_is_supported' -+ }, -+ '822173' => { -+ 'Header' => undef, -+ 'Line' => '1221', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ibv_ctx', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'type', -+ 'type' => '795457' -+ }, -+ '2' => { -+ 'name' => 'attr', -+ 'type' => '219' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_set_context_attr' -+ }, -+ '822991' => { -+ 'Alias' => '__mlx5dv_init_obj_1_0', -+ 'Header' => undef, -+ 'Line' => '1152', -+ 'Param' => { -+ '0' => { -+ 'name' => 'obj', -+ 'type' => '823116' -+ }, -+ '1' => { -+ 'name' => 'obj_type', -+ 'type' => '1571' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_init_obj' -+ }, -+ '823122' => { -+ 'Alias' => '__mlx5dv_init_obj_1_2', -+ 'Header' => undef, -+ 'Line' => '1128', -+ 'Param' => { -+ '0' => { -+ 'name' => 'obj', -+ 'type' => '823116' -+ }, -+ '1' => { -+ 'name' => 'obj_type', -+ 'type' => '1571' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_init_obj' -+ }, -+ '823943' => { -+ 'Header' => undef, -+ 'Line' => '1095', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '4522' -+ }, -+ '1' => { -+ 'name' => 'port_num', -+ 'type' => '1530' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_modify_qp_lag_port' -+ }, -+ '825040' => { -+ 'Header' => undef, -+ 'Line' => '1030', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '4522' -+ }, -+ '1' => { -+ 'name' => 'port_num', -+ 'type' => '6754' -+ }, -+ '2' => { -+ 'name' => 'active_port_num', -+ 'type' => '6754' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_query_qp_lag_port' -+ }, -+ '827816' => { -+ 'Header' => undef, -+ 'Line' => '722', -+ 'Param' => { -+ '0' => { -+ 'name' => 'ctx_in', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'attrs_out', -+ 'type' => '827969' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_query_device' -+ }, -+ '834887' => { -+ 'Header' => undef, -+ 'Line' => '5046', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '4522' -+ }, -+ '1' => { -+ 'name' => 'in', -+ 'type' => '1458' -+ }, -+ '2' => { -+ 'name' => 'inlen', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'out', -+ 'type' => '219' -+ }, -+ '4' => { -+ 'name' => 'outlen', -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_qp_modify' -+ }, -+ '834913' => { -+ 'Header' => undef, -+ 'Line' => '5031', -+ 'Param' => { -+ '0' => { -+ 'name' => 'qp', -+ 'type' => '4522' -+ }, -+ '1' => { -+ 'name' => 'in', -+ 'type' => '1458' -+ }, -+ '2' => { -+ 'name' => 'inlen', -+ 'type' => '314' -+ }, -+ '3' => { -+ 'name' => 'out', -+ 'type' => '219' -+ }, -+ '4' => { -+ 'name' => 'outlen', -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '128', -+ 'ShortName' => 'mlx5dv_devx_qp_query' -+ }, -+ '997953' => { -+ 'Header' => undef, -+ 'Line' => '5522', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dv_pp', -+ 'type' => '998354' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mlx5dv_pp_free' -+ }, -+ '998384' => { -+ 'Header' => undef, -+ 'Line' => '5470', -+ 'Param' => { -+ '0' => { -+ 'name' => 'context', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'pp_context_sz', -+ 'type' => '314' -+ }, -+ '2' => { -+ 'name' => 'pp_context', -+ 'type' => '1458' -+ }, -+ '3' => { -+ 'name' => 'flags', -+ 'type' => '1554' -+ } -+ }, -+ 'Return' => '998354', -+ 'ShortName' => 'mlx5dv_pp_alloc' -+ }, -+ '999906' => { -+ 'Header' => undef, -+ 'Line' => '5453', -+ 'Param' => { -+ '0' => { -+ 'name' => 'dv_var', -+ 'type' => '1000307' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'mlx5dv_free_var' -+ } -+ }, -+ 'SymbolVersion' => { -+ '__mlx5dv_init_obj_1_0' => 'mlx5dv_init_obj@MLX5_1.0', -+ '__mlx5dv_init_obj_1_2' => 'mlx5dv_init_obj@@MLX5_1.2', -+ 'mlx5dv_alloc_dm' => 'mlx5dv_alloc_dm@@MLX5_1.10', -+ 'mlx5dv_alloc_var' => 'mlx5dv_alloc_var@@MLX5_1.12', -+ 'mlx5dv_create_cq' => 'mlx5dv_create_cq@@MLX5_1.1', -+ 'mlx5dv_create_flow' => 'mlx5dv_create_flow@@MLX5_1.6', -+ 'mlx5dv_create_flow_action_esp' => 'mlx5dv_create_flow_action_esp@@MLX5_1.5', -+ 'mlx5dv_create_flow_action_modify_header' => 'mlx5dv_create_flow_action_modify_header@@MLX5_1.7', -+ 'mlx5dv_create_flow_action_packet_reformat' => 'mlx5dv_create_flow_action_packet_reformat@@MLX5_1.7', -+ 'mlx5dv_create_flow_matcher' => 'mlx5dv_create_flow_matcher@@MLX5_1.6', -+ 'mlx5dv_create_mkey' => 'mlx5dv_create_mkey@@MLX5_1.10', -+ 'mlx5dv_create_qp' => 'mlx5dv_create_qp@@MLX5_1.3', -+ 'mlx5dv_create_wq' => 'mlx5dv_create_wq@@MLX5_1.3', -+ 'mlx5dv_destroy_flow_matcher' => 'mlx5dv_destroy_flow_matcher@@MLX5_1.6', -+ 'mlx5dv_destroy_mkey' => 'mlx5dv_destroy_mkey@@MLX5_1.10', -+ 'mlx5dv_devx_alloc_uar' => 'mlx5dv_devx_alloc_uar@@MLX5_1.7', -+ 'mlx5dv_devx_cq_modify' => 'mlx5dv_devx_cq_modify@@MLX5_1.8', -+ 'mlx5dv_devx_cq_query' => 'mlx5dv_devx_cq_query@@MLX5_1.8', -+ 'mlx5dv_devx_create_cmd_comp' => 'mlx5dv_devx_create_cmd_comp@@MLX5_1.9', -+ 'mlx5dv_devx_create_event_channel' => 'mlx5dv_devx_create_event_channel@@MLX5_1.11', -+ 'mlx5dv_devx_destroy_cmd_comp' => 'mlx5dv_devx_destroy_cmd_comp@@MLX5_1.9', -+ 'mlx5dv_devx_destroy_event_channel' => 'mlx5dv_devx_destroy_event_channel@@MLX5_1.11', -+ 'mlx5dv_devx_free_uar' => 'mlx5dv_devx_free_uar@@MLX5_1.7', -+ 'mlx5dv_devx_general_cmd' => 'mlx5dv_devx_general_cmd@@MLX5_1.7', -+ 'mlx5dv_devx_get_async_cmd_comp' => 'mlx5dv_devx_get_async_cmd_comp@@MLX5_1.9', -+ 'mlx5dv_devx_get_event' => 'mlx5dv_devx_get_event@@MLX5_1.11', -+ 'mlx5dv_devx_ind_tbl_modify' => 'mlx5dv_devx_ind_tbl_modify@@MLX5_1.8', -+ 'mlx5dv_devx_ind_tbl_query' => 'mlx5dv_devx_ind_tbl_query@@MLX5_1.8', -+ 'mlx5dv_devx_obj_create' => 'mlx5dv_devx_obj_create@@MLX5_1.7', -+ 'mlx5dv_devx_obj_destroy' => 'mlx5dv_devx_obj_destroy@@MLX5_1.7', -+ 'mlx5dv_devx_obj_modify' => 'mlx5dv_devx_obj_modify@@MLX5_1.7', -+ 'mlx5dv_devx_obj_query' => 'mlx5dv_devx_obj_query@@MLX5_1.7', -+ 'mlx5dv_devx_obj_query_async' => 'mlx5dv_devx_obj_query_async@@MLX5_1.9', -+ 'mlx5dv_devx_qp_modify' => 'mlx5dv_devx_qp_modify@@MLX5_1.8', -+ 'mlx5dv_devx_qp_query' => 'mlx5dv_devx_qp_query@@MLX5_1.8', -+ 'mlx5dv_devx_query_eqn' => 'mlx5dv_devx_query_eqn@@MLX5_1.7', -+ 'mlx5dv_devx_srq_modify' => 'mlx5dv_devx_srq_modify@@MLX5_1.8', -+ 'mlx5dv_devx_srq_query' => 'mlx5dv_devx_srq_query@@MLX5_1.8', -+ 'mlx5dv_devx_subscribe_devx_event' => 'mlx5dv_devx_subscribe_devx_event@@MLX5_1.11', -+ 'mlx5dv_devx_subscribe_devx_event_fd' => 'mlx5dv_devx_subscribe_devx_event_fd@@MLX5_1.11', -+ 'mlx5dv_devx_umem_dereg' => 'mlx5dv_devx_umem_dereg@@MLX5_1.7', -+ 'mlx5dv_devx_umem_reg' => 'mlx5dv_devx_umem_reg@@MLX5_1.7', -+ 'mlx5dv_devx_wq_modify' => 'mlx5dv_devx_wq_modify@@MLX5_1.8', -+ 'mlx5dv_devx_wq_query' => 'mlx5dv_devx_wq_query@@MLX5_1.8', -+ 'mlx5dv_dr_action_create_default_miss' => 'mlx5dv_dr_action_create_default_miss@@MLX5_1.14', -+ 'mlx5dv_dr_action_create_dest_array' => 'mlx5dv_dr_action_create_dest_array@@MLX5_1.16', -+ 'mlx5dv_dr_action_create_dest_devx_tir' => 'mlx5dv_dr_action_create_dest_devx_tir@@MLX5_1.15', -+ 'mlx5dv_dr_action_create_dest_ibv_qp' => 'mlx5dv_dr_action_create_dest_ibv_qp@@MLX5_1.10', -+ 'mlx5dv_dr_action_create_dest_table' => 'mlx5dv_dr_action_create_dest_table@@MLX5_1.10', -+ 'mlx5dv_dr_action_create_dest_vport' => 'mlx5dv_dr_action_create_dest_vport@@MLX5_1.10', -+ 'mlx5dv_dr_action_create_drop' => 'mlx5dv_dr_action_create_drop@@MLX5_1.10', -+ 'mlx5dv_dr_action_create_flow_counter' => 'mlx5dv_dr_action_create_flow_counter@@MLX5_1.10', -+ 'mlx5dv_dr_action_create_flow_meter' => 'mlx5dv_dr_action_create_flow_meter@@MLX5_1.12', -+ 'mlx5dv_dr_action_create_flow_sampler' => 'mlx5dv_dr_action_create_flow_sampler@@MLX5_1.16', -+ 'mlx5dv_dr_action_create_modify_header' => 'mlx5dv_dr_action_create_modify_header@@MLX5_1.10', -+ 'mlx5dv_dr_action_create_packet_reformat' => 'mlx5dv_dr_action_create_packet_reformat@@MLX5_1.10', -+ 'mlx5dv_dr_action_create_tag' => 'mlx5dv_dr_action_create_tag@@MLX5_1.10', -+ 'mlx5dv_dr_action_destroy' => 'mlx5dv_dr_action_destroy@@MLX5_1.10', -+ 'mlx5dv_dr_action_modify_flow_meter' => 'mlx5dv_dr_action_modify_flow_meter@@MLX5_1.12', -+ 'mlx5dv_dr_domain_create' => 'mlx5dv_dr_domain_create@@MLX5_1.10', -+ 'mlx5dv_dr_domain_destroy' => 'mlx5dv_dr_domain_destroy@@MLX5_1.10', -+ 'mlx5dv_dr_domain_set_reclaim_device_memory' => 'mlx5dv_dr_domain_set_reclaim_device_memory@@MLX5_1.14', -+ 'mlx5dv_dr_domain_sync' => 'mlx5dv_dr_domain_sync@@MLX5_1.10', -+ 'mlx5dv_dr_matcher_create' => 'mlx5dv_dr_matcher_create@@MLX5_1.10', -+ 'mlx5dv_dr_matcher_destroy' => 'mlx5dv_dr_matcher_destroy@@MLX5_1.10', -+ 'mlx5dv_dr_rule_create' => 'mlx5dv_dr_rule_create@@MLX5_1.10', -+ 'mlx5dv_dr_rule_destroy' => 'mlx5dv_dr_rule_destroy@@MLX5_1.10', -+ 'mlx5dv_dr_table_create' => 'mlx5dv_dr_table_create@@MLX5_1.10', -+ 'mlx5dv_dr_table_destroy' => 'mlx5dv_dr_table_destroy@@MLX5_1.10', -+ 'mlx5dv_dump_dr_domain' => 'mlx5dv_dump_dr_domain@@MLX5_1.12', -+ 'mlx5dv_dump_dr_matcher' => 'mlx5dv_dump_dr_matcher@@MLX5_1.12', -+ 'mlx5dv_dump_dr_rule' => 'mlx5dv_dump_dr_rule@@MLX5_1.12', -+ 'mlx5dv_dump_dr_table' => 'mlx5dv_dump_dr_table@@MLX5_1.12', -+ 'mlx5dv_free_var' => 'mlx5dv_free_var@@MLX5_1.12', -+ 'mlx5dv_get_clock_info' => 'mlx5dv_get_clock_info@@MLX5_1.4', -+ 'mlx5dv_is_supported' => 'mlx5dv_is_supported@@MLX5_1.8', -+ 'mlx5dv_modify_qp_lag_port' => 'mlx5dv_modify_qp_lag_port@@MLX5_1.14', -+ 'mlx5dv_open_device' => 'mlx5dv_open_device@@MLX5_1.7', -+ 'mlx5dv_pp_alloc' => 'mlx5dv_pp_alloc@@MLX5_1.13', -+ 'mlx5dv_pp_free' => 'mlx5dv_pp_free@@MLX5_1.13', -+ 'mlx5dv_qp_ex_from_ibv_qp_ex' => 'mlx5dv_qp_ex_from_ibv_qp_ex@@MLX5_1.10', -+ 'mlx5dv_query_device' => 'mlx5dv_query_device@@MLX5_1.0', -+ 'mlx5dv_query_qp_lag_port' => 'mlx5dv_query_qp_lag_port@@MLX5_1.14', -+ 'mlx5dv_set_context_attr' => 'mlx5dv_set_context_attr@@MLX5_1.2' -+ }, -+ 'Symbols' => { -+ 'libmlx5.so.1.16.32.0' => { -+ 'mlx5dv_alloc_dm@@MLX5_1.10' => 1, -+ 'mlx5dv_alloc_var@@MLX5_1.12' => 1, -+ 'mlx5dv_create_cq@@MLX5_1.1' => 1, -+ 'mlx5dv_create_flow@@MLX5_1.6' => 1, -+ 'mlx5dv_create_flow_action_esp@@MLX5_1.5' => 1, -+ 'mlx5dv_create_flow_action_modify_header@@MLX5_1.7' => 1, -+ 'mlx5dv_create_flow_action_packet_reformat@@MLX5_1.7' => 1, -+ 'mlx5dv_create_flow_matcher@@MLX5_1.6' => 1, -+ 'mlx5dv_create_mkey@@MLX5_1.10' => 1, -+ 'mlx5dv_create_qp@@MLX5_1.3' => 1, -+ 'mlx5dv_create_wq@@MLX5_1.3' => 1, -+ 'mlx5dv_destroy_flow_matcher@@MLX5_1.6' => 1, -+ 'mlx5dv_destroy_mkey@@MLX5_1.10' => 1, -+ 'mlx5dv_devx_alloc_uar@@MLX5_1.7' => 1, -+ 'mlx5dv_devx_cq_modify@@MLX5_1.8' => 1, -+ 'mlx5dv_devx_cq_query@@MLX5_1.8' => 1, -+ 'mlx5dv_devx_create_cmd_comp@@MLX5_1.9' => 1, -+ 'mlx5dv_devx_create_event_channel@@MLX5_1.11' => 1, -+ 'mlx5dv_devx_destroy_cmd_comp@@MLX5_1.9' => 1, -+ 'mlx5dv_devx_destroy_event_channel@@MLX5_1.11' => 1, -+ 'mlx5dv_devx_free_uar@@MLX5_1.7' => 1, -+ 'mlx5dv_devx_general_cmd@@MLX5_1.7' => 1, -+ 'mlx5dv_devx_get_async_cmd_comp@@MLX5_1.9' => 1, -+ 'mlx5dv_devx_get_event@@MLX5_1.11' => 1, -+ 'mlx5dv_devx_ind_tbl_modify@@MLX5_1.8' => 1, -+ 'mlx5dv_devx_ind_tbl_query@@MLX5_1.8' => 1, -+ 'mlx5dv_devx_obj_create@@MLX5_1.7' => 1, -+ 'mlx5dv_devx_obj_destroy@@MLX5_1.7' => 1, -+ 'mlx5dv_devx_obj_modify@@MLX5_1.7' => 1, -+ 'mlx5dv_devx_obj_query@@MLX5_1.7' => 1, -+ 'mlx5dv_devx_obj_query_async@@MLX5_1.9' => 1, -+ 'mlx5dv_devx_qp_modify@@MLX5_1.8' => 1, -+ 'mlx5dv_devx_qp_query@@MLX5_1.8' => 1, -+ 'mlx5dv_devx_query_eqn@@MLX5_1.7' => 1, -+ 'mlx5dv_devx_srq_modify@@MLX5_1.8' => 1, -+ 'mlx5dv_devx_srq_query@@MLX5_1.8' => 1, -+ 'mlx5dv_devx_subscribe_devx_event@@MLX5_1.11' => 1, -+ 'mlx5dv_devx_subscribe_devx_event_fd@@MLX5_1.11' => 1, -+ 'mlx5dv_devx_umem_dereg@@MLX5_1.7' => 1, -+ 'mlx5dv_devx_umem_reg@@MLX5_1.7' => 1, -+ 'mlx5dv_devx_wq_modify@@MLX5_1.8' => 1, -+ 'mlx5dv_devx_wq_query@@MLX5_1.8' => 1, -+ 'mlx5dv_dr_action_create_default_miss@@MLX5_1.14' => 1, -+ 'mlx5dv_dr_action_create_dest_array@@MLX5_1.16' => 1, -+ 'mlx5dv_dr_action_create_dest_devx_tir@@MLX5_1.15' => 1, -+ 'mlx5dv_dr_action_create_dest_ibv_qp@@MLX5_1.10' => 1, -+ 'mlx5dv_dr_action_create_dest_table@@MLX5_1.10' => 1, -+ 'mlx5dv_dr_action_create_dest_vport@@MLX5_1.10' => 1, -+ 'mlx5dv_dr_action_create_drop@@MLX5_1.10' => 1, -+ 'mlx5dv_dr_action_create_flow_counter@@MLX5_1.10' => 1, -+ 'mlx5dv_dr_action_create_flow_meter@@MLX5_1.12' => 1, -+ 'mlx5dv_dr_action_create_flow_sampler@@MLX5_1.16' => 1, -+ 'mlx5dv_dr_action_create_modify_header@@MLX5_1.10' => 1, -+ 'mlx5dv_dr_action_create_packet_reformat@@MLX5_1.10' => 1, -+ 'mlx5dv_dr_action_create_tag@@MLX5_1.10' => 1, -+ 'mlx5dv_dr_action_destroy@@MLX5_1.10' => 1, -+ 'mlx5dv_dr_action_modify_flow_meter@@MLX5_1.12' => 1, -+ 'mlx5dv_dr_domain_create@@MLX5_1.10' => 1, -+ 'mlx5dv_dr_domain_destroy@@MLX5_1.10' => 1, -+ 'mlx5dv_dr_domain_set_reclaim_device_memory@@MLX5_1.14' => 1, -+ 'mlx5dv_dr_domain_sync@@MLX5_1.10' => 1, -+ 'mlx5dv_dr_matcher_create@@MLX5_1.10' => 1, -+ 'mlx5dv_dr_matcher_destroy@@MLX5_1.10' => 1, -+ 'mlx5dv_dr_rule_create@@MLX5_1.10' => 1, -+ 'mlx5dv_dr_rule_destroy@@MLX5_1.10' => 1, -+ 'mlx5dv_dr_table_create@@MLX5_1.10' => 1, -+ 'mlx5dv_dr_table_destroy@@MLX5_1.10' => 1, -+ 'mlx5dv_dump_dr_domain@@MLX5_1.12' => 1, -+ 'mlx5dv_dump_dr_matcher@@MLX5_1.12' => 1, -+ 'mlx5dv_dump_dr_rule@@MLX5_1.12' => 1, -+ 'mlx5dv_dump_dr_table@@MLX5_1.12' => 1, -+ 'mlx5dv_free_var@@MLX5_1.12' => 1, -+ 'mlx5dv_get_clock_info@@MLX5_1.4' => 1, -+ 'mlx5dv_init_obj@@MLX5_1.2' => 1, -+ 'mlx5dv_init_obj@MLX5_1.0' => 1, -+ 'mlx5dv_is_supported@@MLX5_1.8' => 1, -+ 'mlx5dv_modify_qp_lag_port@@MLX5_1.14' => 1, -+ 'mlx5dv_open_device@@MLX5_1.7' => 1, -+ 'mlx5dv_pp_alloc@@MLX5_1.13' => 1, -+ 'mlx5dv_pp_free@@MLX5_1.13' => 1, -+ 'mlx5dv_qp_ex_from_ibv_qp_ex@@MLX5_1.10' => 1, -+ 'mlx5dv_query_device@@MLX5_1.0' => 1, -+ 'mlx5dv_query_qp_lag_port@@MLX5_1.14' => 1, -+ 'mlx5dv_set_context_attr@@MLX5_1.2' => 1 -+ } -+ }, -+ 'Target' => 'unix', -+ 'TypeInfo' => { -+ '1' => { -+ 'Name' => 'void', -+ 'Type' => 'Intrinsic' -+ }, -+ '1000307' => { -+ 'BaseType' => '975986', -+ 'Name' => 'struct mlx5dv_var*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10008' => { -+ 'Name' => 'uint32_t(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '9916' -+ } -+ }, -+ 'Return' => '1554', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '10029' => { -+ 'Name' => '__be32(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '9916' -+ } -+ }, -+ 'Return' => '1631', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '1003114' => { -+ 'BaseType' => '973421', -+ 'Name' => 'struct mlx5dv_mkey_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '1003364' => { -+ 'BaseType' => '976085', -+ 'Name' => 'struct mlx5dv_devx_event_channel*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '1003370' => { -+ 'BaseType' => '972923', -+ 'Name' => 'struct mlx5_ib_uapi_devx_async_event_hdr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '1003604' => { -+ 'BaseType' => '976057', -+ 'Name' => 'struct mlx5dv_devx_cmd_comp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '1003610' => { -+ 'BaseType' => '972804', -+ 'Name' => 'struct mlx5_ib_uapi_devx_async_cmd_hdr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10050' => { -+ 'Name' => 'unsigned int(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '9916' -+ } -+ }, -+ 'Return' => '59', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '10071' => { -+ 'Name' => 'uint8_t(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '9916' -+ } -+ }, -+ 'Return' => '1530', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '10092' => { -+ 'Name' => 'uint64_t(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '9916' -+ } -+ }, -+ 'Return' => '1571', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '10113' => { -+ 'Name' => 'uint16_t(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '9916' -+ } -+ }, -+ 'Return' => '1542', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '10135' => { -+ 'BaseType' => '9413', -+ 'Name' => 'struct ibv_wc_tm_info*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10141' => { -+ 'Name' => 'void(*)(struct ibv_cq_ex*, struct ibv_wc_tm_info*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '9916' -+ }, -+ '1' => { -+ 'type' => '10135' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '10233' => { -+ 'Header' => undef, -+ 'Line' => '1638', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_FLOW_ATTR_NORMAL', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_FLOW_ATTR_ALL_DEFAULT', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_FLOW_ATTR_MC_DEFAULT', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_FLOW_ATTR_SNIFFER', -+ 'value' => '3' -+ } -+ }, -+ 'Name' => 'enum ibv_flow_attr_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '10277' => { -+ 'Header' => undef, -+ 'Line' => '1870', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ } -+ }, -+ 'Name' => 'struct ibv_flow_action', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '10306' => { -+ 'Header' => undef, -+ 'Line' => '2034', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ } -+ }, -+ 'Name' => 'struct ibv_counters', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '10335' => { -+ 'BaseType' => '10306', -+ 'Name' => 'struct ibv_counters*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '1037038' => { -+ 'BaseType' => '413576', -+ 'Name' => 'struct mlx5dv_flow_matcher_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '1040719' => { -+ 'BaseType' => '383361', -+ 'Name' => 'struct mlx5dv_alloc_dm_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '1045386' => { -+ 'BaseType' => '974006', -+ 'Name' => 'struct mlx5dv_flow_action_esp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10454' => { -+ 'Header' => undef, -+ 'Line' => '1864', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'name' => 'context', -+ 'offset' => '8', -+ 'type' => '2375' -+ }, -+ '2' => { -+ 'name' => 'handle', -+ 'offset' => '16', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct ibv_flow', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '1049344' => { -+ 'BaseType' => '974822', -+ 'Name' => 'struct mlx5dv_wq_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10511' => { -+ 'Header' => undef, -+ 'Line' => '1878', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'esp_attr', -+ 'offset' => '0', -+ 'type' => '10666' -+ }, -+ '1' => { -+ 'name' => 'keymat_proto', -+ 'offset' => '8', -+ 'type' => '1671' -+ }, -+ '2' => { -+ 'name' => 'keymat_len', -+ 'offset' => '12', -+ 'type' => '1542' -+ }, -+ '3' => { -+ 'name' => 'keymat_ptr', -+ 'offset' => '16', -+ 'type' => '219' -+ }, -+ '4' => { -+ 'name' => 'replay_proto', -+ 'offset' => '24', -+ 'type' => '1696' -+ }, -+ '5' => { -+ 'name' => 'replay_len', -+ 'offset' => '28', -+ 'type' => '1542' -+ }, -+ '6' => { -+ 'name' => 'replay_ptr', -+ 'offset' => '32', -+ 'type' => '219' -+ }, -+ '7' => { -+ 'name' => 'esp_encap', -+ 'offset' => '40', -+ 'type' => '1854' -+ }, -+ '8' => { -+ 'name' => 'comp_mask', -+ 'offset' => '48', -+ 'type' => '1554' -+ }, -+ '9' => { -+ 'name' => 'esn', -+ 'offset' => '52', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct ibv_flow_action_esp_attr', -+ 'Size' => '56', -+ 'Type' => 'Struct' -+ }, -+ '1057090' => { -+ 'BaseType' => '851324', -+ 'Name' => 'struct mlx5dv_qp_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10666' => { -+ 'BaseType' => '1860', -+ 'Name' => 'struct ib_uverbs_flow_action_esp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10672' => { -+ 'Header' => undef, -+ 'Line' => '1899', -+ 'Memb' => { -+ '0' => { -+ 'name' => '_dummy1', -+ 'offset' => '0', -+ 'type' => '10856' -+ }, -+ '1' => { -+ 'name' => '_dummy2', -+ 'offset' => '8', -+ 'type' => '10873' -+ } -+ }, -+ 'Name' => 'struct _ibv_device_ops', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '10735' => { -+ 'BaseType' => '10741', -+ 'Name' => 'struct ibv_device*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10741' => { -+ 'Header' => undef, -+ 'Line' => '1909', -+ 'Memb' => { -+ '0' => { -+ 'name' => '_ops', -+ 'offset' => '0', -+ 'type' => '10672' -+ }, -+ '1' => { -+ 'name' => 'node_type', -+ 'offset' => '16', -+ 'type' => '1978' -+ }, -+ '2' => { -+ 'name' => 'transport_type', -+ 'offset' => '20', -+ 'type' => '2045' -+ }, -+ '3' => { -+ 'name' => 'name', -+ 'offset' => '24', -+ 'type' => '2993' -+ }, -+ '4' => { -+ 'name' => 'dev_name', -+ 'offset' => '88', -+ 'type' => '2993' -+ }, -+ '5' => { -+ 'name' => 'dev_path', -+ 'offset' => '152', -+ 'type' => '10879' -+ }, -+ '6' => { -+ 'name' => 'ibdev_path', -+ 'offset' => '408', -+ 'type' => '10879' -+ } -+ }, -+ 'Name' => 'struct ibv_device', -+ 'Size' => '664', -+ 'Type' => 'Struct' -+ }, -+ '1076310' => { -+ 'BaseType' => '973275', -+ 'Name' => 'struct mlx5dv_cq_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '10856' => { -+ 'Name' => 'struct ibv_context*(*)(struct ibv_device*, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'type' => '128' -+ } -+ }, -+ 'Return' => '2375', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '10873' => { -+ 'Name' => 'void(*)(struct ibv_context*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '2375' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '10879' => { -+ 'BaseType' => '244', -+ 'Name' => 'char[256]', -+ 'Size' => '256', -+ 'Type' => 'Array' -+ }, -+ '10895' => { -+ 'Header' => undef, -+ 'Line' => '1924', -+ 'Memb' => { -+ '0' => { -+ 'name' => '_compat_query_device', -+ 'offset' => '0', -+ 'type' => '11364' -+ }, -+ '1' => { -+ 'name' => '_compat_query_port', -+ 'offset' => '8', -+ 'type' => '11406' -+ }, -+ '10' => { -+ 'name' => '_compat_create_cq', -+ 'offset' => '80', -+ 'type' => '11364' -+ }, -+ '11' => { -+ 'name' => 'poll_cq', -+ 'offset' => '88', -+ 'type' => '11527' -+ }, -+ '12' => { -+ 'name' => 'req_notify_cq', -+ 'offset' => '96', -+ 'type' => '11553' -+ }, -+ '13' => { -+ 'name' => '_compat_cq_event', -+ 'offset' => '104', -+ 'type' => '11364' -+ }, -+ '14' => { -+ 'name' => '_compat_resize_cq', -+ 'offset' => '112', -+ 'type' => '11364' -+ }, -+ '15' => { -+ 'name' => '_compat_destroy_cq', -+ 'offset' => '120', -+ 'type' => '11364' -+ }, -+ '16' => { -+ 'name' => '_compat_create_srq', -+ 'offset' => '128', -+ 'type' => '11364' -+ }, -+ '17' => { -+ 'name' => '_compat_modify_srq', -+ 'offset' => '136', -+ 'type' => '11364' -+ }, -+ '18' => { -+ 'name' => '_compat_query_srq', -+ 'offset' => '144', -+ 'type' => '11364' -+ }, -+ '19' => { -+ 'name' => '_compat_destroy_srq', -+ 'offset' => '152', -+ 'type' => '11364' -+ }, -+ '2' => { -+ 'name' => '_compat_alloc_pd', -+ 'offset' => '16', -+ 'type' => '11364' -+ }, -+ '20' => { -+ 'name' => 'post_srq_recv', -+ 'offset' => '160', -+ 'type' => '11584' -+ }, -+ '21' => { -+ 'name' => '_compat_create_qp', -+ 'offset' => '168', -+ 'type' => '11364' -+ }, -+ '22' => { -+ 'name' => '_compat_query_qp', -+ 'offset' => '176', -+ 'type' => '11364' -+ }, -+ '23' => { -+ 'name' => '_compat_modify_qp', -+ 'offset' => '184', -+ 'type' => '11364' -+ }, -+ '24' => { -+ 'name' => '_compat_destroy_qp', -+ 'offset' => '192', -+ 'type' => '11364' -+ }, -+ '25' => { -+ 'name' => 'post_send', -+ 'offset' => '200', -+ 'type' => '11621' -+ }, -+ '26' => { -+ 'name' => 'post_recv', -+ 'offset' => '208', -+ 'type' => '11652' -+ }, -+ '27' => { -+ 'name' => '_compat_create_ah', -+ 'offset' => '216', -+ 'type' => '11364' -+ }, -+ '28' => { -+ 'name' => '_compat_destroy_ah', -+ 'offset' => '224', -+ 'type' => '11364' -+ }, -+ '29' => { -+ 'name' => '_compat_attach_mcast', -+ 'offset' => '232', -+ 'type' => '11364' -+ }, -+ '3' => { -+ 'name' => '_compat_dealloc_pd', -+ 'offset' => '24', -+ 'type' => '11364' -+ }, -+ '30' => { -+ 'name' => '_compat_detach_mcast', -+ 'offset' => '240', -+ 'type' => '11364' -+ }, -+ '31' => { -+ 'name' => '_compat_async_event', -+ 'offset' => '248', -+ 'type' => '11364' -+ }, -+ '4' => { -+ 'name' => '_compat_reg_mr', -+ 'offset' => '32', -+ 'type' => '11364' -+ }, -+ '5' => { -+ 'name' => '_compat_rereg_mr', -+ 'offset' => '40', -+ 'type' => '11364' -+ }, -+ '6' => { -+ 'name' => '_compat_dereg_mr', -+ 'offset' => '48', -+ 'type' => '11364' -+ }, -+ '7' => { -+ 'name' => 'alloc_mw', -+ 'offset' => '56', -+ 'type' => '11432' -+ }, -+ '8' => { -+ 'name' => 'bind_mw', -+ 'offset' => '64', -+ 'type' => '11469' -+ }, -+ '9' => { -+ 'name' => 'dealloc_mw', -+ 'offset' => '72', -+ 'type' => '11490' -+ } -+ }, -+ 'Name' => 'struct ibv_context_ops', -+ 'Size' => '256', -+ 'Type' => 'Struct' -+ }, -+ '11364' => { -+ 'Name' => 'void*(*)()', -+ 'Return' => '219', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '11395' => { -+ 'BaseType' => '11401', -+ 'Name' => 'struct _compat_ibv_port_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '11401' => { -+ 'Name' => 'struct _compat_ibv_port_attr', -+ 'Type' => 'Struct' -+ }, -+ '11406' => { -+ 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct _compat_ibv_port_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'type' => '1530' -+ }, -+ '2' => { -+ 'type' => '11395' -+ } -+ }, -+ 'Return' => '128', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '11432' => { -+ 'Name' => 'struct ibv_mw*(*)(struct ibv_pd*, enum ibv_mw_type)', -+ 'Param' => { -+ '0' => { -+ 'type' => '5731' -+ }, -+ '1' => { -+ 'type' => '5737' -+ } -+ }, -+ 'Return' => '7837', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '11463' => { -+ 'BaseType' => '8422', -+ 'Name' => 'struct ibv_mw_bind*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '11469' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_mw*, struct ibv_mw_bind*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '4522' -+ }, -+ '1' => { -+ 'type' => '7837' -+ }, -+ '2' => { -+ 'type' => '11463' -+ } -+ }, -+ 'Return' => '128', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '11490' => { -+ 'Name' => 'int(*)(struct ibv_mw*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '7837' -+ } -+ }, -+ 'Return' => '128', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '11521' => { -+ 'BaseType' => '5164', -+ 'Name' => 'struct ibv_wc*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '11527' => { -+ 'Name' => 'int(*)(struct ibv_cq*, int, struct ibv_wc*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '4320' -+ }, -+ '1' => { -+ 'type' => '128' -+ }, -+ '2' => { -+ 'type' => '11521' -+ } -+ }, -+ 'Return' => '128', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '11553' => { -+ 'Name' => 'int(*)(struct ibv_cq*, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '4320' -+ }, -+ '1' => { -+ 'type' => '128' -+ } -+ }, -+ 'Return' => '128', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '11584' => { -+ 'Name' => 'int(*)(struct ibv_srq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '4640' -+ }, -+ '1' => { -+ 'type' => '8154' -+ }, -+ '2' => { -+ 'type' => '8504' -+ } -+ }, -+ 'Return' => '128', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '116' => { -+ 'BaseType' => '52', -+ 'Header' => undef, -+ 'Line' => '40', -+ 'Name' => '__uint16_t', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '11615' => { -+ 'BaseType' => '8071', -+ 'Name' => 'struct ibv_send_wr**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '11621' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_send_wr*, struct ibv_send_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '4522' -+ }, -+ '1' => { -+ 'type' => '8071' -+ }, -+ '2' => { -+ 'type' => '11615' -+ } -+ }, -+ 'Return' => '128', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '11652' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_recv_wr*, struct ibv_recv_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '4522' -+ }, -+ '1' => { -+ 'type' => '8154' -+ }, -+ '2' => { -+ 'type' => '8504' -+ } -+ }, -+ 'Return' => '128', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '11658' => { -+ 'Header' => undef, -+ 'Line' => '1986', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'cqe', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'name' => 'cq_context', -+ 'offset' => '8', -+ 'type' => '219' -+ }, -+ '2' => { -+ 'name' => 'channel', -+ 'offset' => '16', -+ 'type' => '9378' -+ }, -+ '3' => { -+ 'name' => 'comp_vector', -+ 'offset' => '24', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'wc_flags', -+ 'offset' => '32', -+ 'type' => '1571' -+ }, -+ '5' => { -+ 'name' => 'comp_mask', -+ 'offset' => '40', -+ 'type' => '1554' -+ }, -+ '6' => { -+ 'name' => 'flags', -+ 'offset' => '44', -+ 'type' => '1554' -+ }, -+ '7' => { -+ 'name' => 'parent_domain', -+ 'offset' => '48', -+ 'type' => '5731' -+ } -+ }, -+ 'Name' => 'struct ibv_cq_init_attr_ex', -+ 'Size' => '56', -+ 'Type' => 'Struct' -+ }, -+ '11923' => { -+ 'Name' => 'void*(*)(struct ibv_pd*, void*, size_t, size_t, uint64_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '5731' -+ }, -+ '1' => { -+ 'type' => '219' -+ }, -+ '2' => { -+ 'type' => '314' -+ }, -+ '3' => { -+ 'type' => '314' -+ }, -+ '4' => { -+ 'type' => '1571' -+ } -+ }, -+ 'Return' => '219', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '11955' => { -+ 'Name' => 'void(*)(struct ibv_pd*, void*, void*, uint64_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '5731' -+ }, -+ '1' => { -+ 'type' => '219' -+ }, -+ '2' => { -+ 'type' => '219' -+ }, -+ '3' => { -+ 'type' => '1571' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '128' => { -+ 'Name' => 'int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '12854' => { -+ 'BaseType' => '1571', -+ 'Name' => 'uint64_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '12897' => { -+ 'BaseType' => '10454', -+ 'Name' => 'struct ibv_flow*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '13023' => { -+ 'BaseType' => '2137', -+ 'Name' => 'struct ibv_alloc_dm_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '13076' => { -+ 'BaseType' => '10277', -+ 'Name' => 'struct ibv_flow_action*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '13082' => { -+ 'BaseType' => '10511', -+ 'Name' => 'struct ibv_flow_action_esp_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '1314' => { -+ 'BaseType' => '923', -+ 'Header' => undef, -+ 'Line' => '7', -+ 'Name' => 'FILE', -+ 'Size' => '216', -+ 'Type' => 'Typedef' -+ }, -+ '1326' => { -+ 'BaseType' => '1', -+ 'Header' => undef, -+ 'Line' => '43', -+ 'Name' => '_IO_lock_t', -+ 'Type' => 'Typedef' -+ }, -+ '1334' => { -+ 'Name' => 'struct _IO_marker', -+ 'Type' => 'Struct' -+ }, -+ '1339' => { -+ 'BaseType' => '1334', -+ 'Name' => 'struct _IO_marker*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '1345' => { -+ 'BaseType' => '923', -+ 'Name' => 'struct _IO_FILE*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '13453' => { -+ 'BaseType' => '6148', -+ 'Name' => 'struct ibv_wq_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '135' => { -+ 'BaseType' => '128', -+ 'Name' => 'int volatile', -+ 'Size' => '4', -+ 'Type' => 'Volatile' -+ }, -+ '1351' => { -+ 'BaseType' => '244', -+ 'Name' => 'char[1]', -+ 'Size' => '1', -+ 'Type' => 'Array' -+ }, -+ '13517' => { -+ 'BaseType' => '11658', -+ 'Name' => 'struct ibv_cq_init_attr_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '1367' => { -+ 'BaseType' => '1326', -+ 'Name' => '_IO_lock_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '13693' => { -+ 'BaseType' => '6760', -+ 'Name' => 'struct ibv_qp_init_attr_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '13725' => { -+ 'BaseType' => '1554', -+ 'Name' => 'uint32_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '1373' => { -+ 'Name' => 'struct _IO_codecvt', -+ 'Type' => 'Struct' -+ }, -+ '1378' => { -+ 'BaseType' => '1373', -+ 'Name' => 'struct _IO_codecvt*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '13822' => { -+ 'Header' => undef, -+ 'Line' => '24', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'next', -+ 'offset' => '0', -+ 'type' => '13862' -+ }, -+ '1' => { -+ 'name' => 'prev', -+ 'offset' => '8', -+ 'type' => '13862' -+ } -+ }, -+ 'Name' => 'struct list_node', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '1384' => { -+ 'Name' => 'struct _IO_wide_data', -+ 'Type' => 'Struct' -+ }, -+ '13862' => { -+ 'BaseType' => '13822', -+ 'Name' => 'struct list_node*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '13868' => { -+ 'Header' => undef, -+ 'Line' => '41', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'n', -+ 'offset' => '0', -+ 'type' => '13822' -+ } -+ }, -+ 'Name' => 'struct list_head', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '1389' => { -+ 'BaseType' => '1384', -+ 'Name' => 'struct _IO_wide_data*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '1395' => { -+ 'BaseType' => '244', -+ 'Name' => 'char[20]', -+ 'Size' => '20', -+ 'Type' => 'Array' -+ }, -+ '140' => { -+ 'BaseType' => '59', -+ 'Header' => undef, -+ 'Line' => '42', -+ 'Name' => '__uint32_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '1411' => { -+ 'BaseType' => '171', -+ 'Header' => undef, -+ 'Line' => '63', -+ 'Name' => 'off_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '14113' => { -+ 'Name' => 'atomic_int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '1423' => { -+ 'BaseType' => '1314', -+ 'Name' => 'FILE*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '14419' => { -+ 'Header' => undef, -+ 'Line' => '87', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'max_num', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'name' => 'supported_format', -+ 'offset' => '4', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_cqe_comp_caps', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '14459' => { -+ 'Header' => undef, -+ 'Line' => '92', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'sw_parsing_offloads', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'name' => 'supported_qpts', -+ 'offset' => '4', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_sw_parsing_caps', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '14499' => { -+ 'Header' => undef, -+ 'Line' => '97', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'min_single_stride_log_num_of_bytes', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'name' => 'max_single_stride_log_num_of_bytes', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'min_single_wqe_log_num_of_strides', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'max_single_wqe_log_num_of_strides', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'supported_qpts', -+ 'offset' => '16', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_striding_rq_caps', -+ 'Size' => '20', -+ 'Type' => 'Struct' -+ }, -+ '14578' => { -+ 'Header' => undef, -+ 'Line' => '194', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'lkey', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'name' => 'rkey', -+ 'offset' => '4', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_mkey', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '1458' => { -+ 'BaseType' => '1464', -+ 'Name' => 'void const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '14618' => { -+ 'Header' => undef, -+ 'Line' => '208', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'MLX5DV_DCTYPE_DCT', -+ 'value' => '1' -+ }, -+ '1' => { -+ 'name' => 'MLX5DV_DCTYPE_DCI', -+ 'value' => '2' -+ } -+ }, -+ 'Name' => 'enum mlx5dv_dc_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '1464' => { -+ 'BaseType' => '1', -+ 'Name' => 'void const', -+ 'Type' => 'Const' -+ }, -+ '14649' => { -+ 'Header' => undef, -+ 'Line' => '234', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'addr', -+ 'offset' => '0', -+ 'type' => '1571' -+ }, -+ '1' => { -+ 'name' => 'bytes_count', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'bytes_skip', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'lkey', -+ 'offset' => '16', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_mr_interleaved', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '14715' => { -+ 'Header' => undef, -+ 'Line' => '245', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '1571' -+ }, -+ '1' => { -+ 'name' => 'wr_set_dc_addr', -+ 'offset' => '8', -+ 'type' => '14814' -+ }, -+ '2' => { -+ 'name' => 'wr_mr_interleaved', -+ 'offset' => '16', -+ 'type' => '14868' -+ }, -+ '3' => { -+ 'name' => 'wr_mr_list', -+ 'offset' => '24', -+ 'type' => '14905' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_qp_ex', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '14808' => { -+ 'BaseType' => '14715', -+ 'Name' => 'struct mlx5dv_qp_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '14814' => { -+ 'Name' => 'void(*)(struct mlx5dv_qp_ex*, struct ibv_ah*, uint32_t, uint64_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '14808' -+ }, -+ '1' => { -+ 'type' => '7681' -+ }, -+ '2' => { -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'type' => '1571' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '14856' => { -+ 'BaseType' => '14578', -+ 'Name' => 'struct mlx5dv_mkey*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '14862' => { -+ 'BaseType' => '14649', -+ 'Name' => 'struct mlx5dv_mr_interleaved*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '14868' => { -+ 'Name' => 'void(*)(struct mlx5dv_qp_ex*, struct mlx5dv_mkey*, uint32_t, uint32_t, uint16_t, struct mlx5dv_mr_interleaved*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '14808' -+ }, -+ '1' => { -+ 'type' => '14856' -+ }, -+ '2' => { -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'type' => '1542' -+ }, -+ '5' => { -+ 'type' => '14862' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '14905' => { -+ 'Name' => 'void(*)(struct mlx5dv_qp_ex*, struct mlx5dv_mkey*, uint32_t, uint16_t, struct ibv_sge*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '14808' -+ }, -+ '1' => { -+ 'type' => '14856' -+ }, -+ '2' => { -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'type' => '1542' -+ }, -+ '4' => { -+ 'type' => '8077' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '14911' => { -+ 'BaseType' => '1631', -+ 'Name' => '__be32*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '15137' => { -+ 'Header' => undef, -+ 'Line' => '1277', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'reg_addr', -+ 'offset' => '0', -+ 'type' => '219' -+ }, -+ '1' => { -+ 'name' => 'base_addr', -+ 'offset' => '8', -+ 'type' => '219' -+ }, -+ '2' => { -+ 'name' => 'page_id', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'mmap_off', -+ 'offset' => '24', -+ 'type' => '1411' -+ }, -+ '4' => { -+ 'name' => 'comp_mask', -+ 'offset' => '32', -+ 'type' => '1571' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_devx_uar', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '152' => { -+ 'Name' => 'long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '1523' => { -+ 'Name' => '_Bool', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '1530' => { -+ 'BaseType' => '97', -+ 'Header' => undef, -+ 'Line' => '24', -+ 'Name' => 'uint8_t', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ }, -+ '15306' => { -+ 'Header' => undef, -+ 'Line' => '174', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'MLX5_ALLOC_TYPE_ANON', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'MLX5_ALLOC_TYPE_HUGE', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'MLX5_ALLOC_TYPE_CONTIG', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'MLX5_ALLOC_TYPE_PREFER_HUGE', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'MLX5_ALLOC_TYPE_PREFER_CONTIG', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'MLX5_ALLOC_TYPE_EXTERNAL', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'MLX5_ALLOC_TYPE_CUSTOM', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'MLX5_ALLOC_TYPE_ALL', -+ 'value' => '7' -+ } -+ }, -+ 'Name' => 'enum mlx5_alloc_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '1542' => { -+ 'BaseType' => '116', -+ 'Header' => undef, -+ 'Line' => '25', -+ 'Name' => 'uint16_t', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '15462' => { -+ 'Header' => undef, -+ 'Line' => '220', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'lock', -+ 'offset' => '0', -+ 'type' => '911' -+ }, -+ '1' => { -+ 'name' => 'in_use', -+ 'offset' => '4', -+ 'type' => '128' -+ }, -+ '2' => { -+ 'name' => 'need_lock', -+ 'offset' => '8', -+ 'type' => '128' -+ } -+ }, -+ 'Name' => 'struct mlx5_spinlock', -+ 'Size' => '12', -+ 'Type' => 'Struct' -+ }, -+ '1554' => { -+ 'BaseType' => '140', -+ 'Header' => undef, -+ 'Line' => '26', -+ 'Name' => 'uint32_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '1571' => { -+ 'BaseType' => '159', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => 'uint64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '1583' => { -+ 'BaseType' => '45', -+ 'Header' => undef, -+ 'Line' => '21', -+ 'Name' => '__u8', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ }, -+ '159' => { -+ 'BaseType' => '66', -+ 'Header' => undef, -+ 'Line' => '45', -+ 'Name' => '__uint64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '1595' => { -+ 'BaseType' => '52', -+ 'Header' => undef, -+ 'Line' => '24', -+ 'Name' => '__u16', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '1607' => { -+ 'BaseType' => '59', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => '__u32', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '1619' => { -+ 'BaseType' => '333', -+ 'Header' => undef, -+ 'Line' => '31', -+ 'Name' => '__u64', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '1631' => { -+ 'BaseType' => '1607', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => '__be32', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '1643' => { -+ 'BaseType' => '1619', -+ 'Header' => undef, -+ 'Line' => '29', -+ 'Name' => '__be64', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '1671' => { -+ 'Header' => undef, -+ 'Line' => '144', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_KEYMAT_AES_GCM', -+ 'value' => '0' -+ } -+ }, -+ 'Name' => 'enum ib_uverbs_flow_action_esp_keymat', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '1696' => { -+ 'Header' => undef, -+ 'Line' => '163', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_REPLAY_NONE', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_REPLAY_BMP', -+ 'value' => '1' -+ } -+ }, -+ 'Name' => 'enum ib_uverbs_flow_action_esp_replay', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '171' => { -+ 'BaseType' => '152', -+ 'Header' => undef, -+ 'Line' => '152', -+ 'Name' => '__off_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '1727' => { -+ 'Header' => undef, -+ 'Line' => '189', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'val_ptr', -+ 'offset' => '0', -+ 'type' => '219' -+ }, -+ '1' => { -+ 'name' => 'val_ptr_data_u64', -+ 'offset' => '0', -+ 'type' => '1619' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Union' -+ }, -+ '1763' => { -+ 'Header' => undef, -+ 'Line' => '190', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'next_ptr', -+ 'offset' => '0', -+ 'type' => '1854' -+ }, -+ '1' => { -+ 'name' => 'next_ptr_data_u64', -+ 'offset' => '0', -+ 'type' => '1619' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Union' -+ }, -+ '1799' => { -+ 'Header' => undef, -+ 'Line' => '185', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '1727' -+ }, -+ '1' => { -+ 'name' => 'unnamed1', -+ 'offset' => '8', -+ 'type' => '1763' -+ }, -+ '2' => { -+ 'name' => 'len', -+ 'offset' => '16', -+ 'type' => '1595' -+ }, -+ '3' => { -+ 'name' => 'type', -+ 'offset' => '18', -+ 'type' => '1595' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_flow_action_esp_encap', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '18169' => { -+ 'Header' => undef, -+ 'Line' => '516', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'reg', -+ 'offset' => '0', -+ 'type' => '219' -+ }, -+ '1' => { -+ 'name' => 'need_lock', -+ 'offset' => '8', -+ 'type' => '128' -+ }, -+ '10' => { -+ 'bitfield' => '1', -+ 'name' => 'dyn_alloc_uar', -+ 'offset' => '112', -+ 'type' => '1530' -+ }, -+ '11' => { -+ 'bitfield' => '1', -+ 'name' => 'mmaped_entry', -+ 'offset' => '112', -+ 'type' => '1530' -+ }, -+ '12' => { -+ 'bitfield' => '1', -+ 'name' => 'nc_mode', -+ 'offset' => '112', -+ 'type' => '1530' -+ }, -+ '13' => { -+ 'bitfield' => '1', -+ 'name' => 'qp_dedicated', -+ 'offset' => '112', -+ 'type' => '1530' -+ }, -+ '14' => { -+ 'bitfield' => '1', -+ 'name' => 'qp_shared', -+ 'offset' => '112', -+ 'type' => '1530' -+ }, -+ '15' => { -+ 'name' => 'count', -+ 'offset' => '116', -+ 'type' => '1554' -+ }, -+ '16' => { -+ 'name' => 'uar_entry', -+ 'offset' => '120', -+ 'type' => '13822' -+ }, -+ '17' => { -+ 'name' => 'uar_handle', -+ 'offset' => '136', -+ 'type' => '1554' -+ }, -+ '18' => { -+ 'name' => 'length', -+ 'offset' => '140', -+ 'type' => '1554' -+ }, -+ '19' => { -+ 'name' => 'page_id', -+ 'offset' => '144', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'lock', -+ 'offset' => '12', -+ 'type' => '15462' -+ }, -+ '3' => { -+ 'name' => 'offset', -+ 'offset' => '24', -+ 'type' => '59' -+ }, -+ '4' => { -+ 'name' => 'buf_size', -+ 'offset' => '28', -+ 'type' => '59' -+ }, -+ '5' => { -+ 'name' => 'uuarn', -+ 'offset' => '32', -+ 'type' => '59' -+ }, -+ '6' => { -+ 'name' => 'uar_mmap_offset', -+ 'offset' => '40', -+ 'type' => '1411' -+ }, -+ '7' => { -+ 'name' => 'uar', -+ 'offset' => '48', -+ 'type' => '219' -+ }, -+ '8' => { -+ 'name' => 'bfreg_dyn_index', -+ 'offset' => '56', -+ 'type' => '1554' -+ }, -+ '9' => { -+ 'name' => 'devx_uar', -+ 'offset' => '64', -+ 'type' => '19421' -+ } -+ }, -+ 'Name' => 'struct mlx5_bf', -+ 'Size' => '152', -+ 'Type' => 'Struct' -+ }, -+ '183' => { -+ 'BaseType' => '152', -+ 'Header' => undef, -+ 'Line' => '153', -+ 'Name' => '__off64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '18479' => { -+ 'BaseType' => '18169', -+ 'Name' => 'struct mlx5_bf*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '18491' => { -+ 'Header' => undef, -+ 'Line' => '339', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'last', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'name' => 'top', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'max', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'avail', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'mask', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '5' => { -+ 'name' => 'table', -+ 'offset' => '24', -+ 'type' => '18590' -+ } -+ }, -+ 'Name' => 'struct mlx5_bitmap', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '1854' => { -+ 'BaseType' => '1799', -+ 'Name' => 'struct ib_uverbs_flow_action_esp_encap*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '18590' => { -+ 'BaseType' => '66', -+ 'Name' => 'unsigned long*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '18596' => { -+ 'Header' => undef, -+ 'Line' => '348', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'shmid', -+ 'offset' => '0', -+ 'type' => '128' -+ }, -+ '1' => { -+ 'name' => 'shmaddr', -+ 'offset' => '8', -+ 'type' => '219' -+ }, -+ '2' => { -+ 'name' => 'bitmap', -+ 'offset' => '16', -+ 'type' => '18491' -+ }, -+ '3' => { -+ 'name' => 'entry', -+ 'offset' => '48', -+ 'type' => '13822' -+ } -+ }, -+ 'Name' => 'struct mlx5_hugetlb_mem', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '1860' => { -+ 'Header' => undef, -+ 'Line' => '195', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'spi', -+ 'offset' => '0', -+ 'type' => '1607' -+ }, -+ '1' => { -+ 'name' => 'seq', -+ 'offset' => '4', -+ 'type' => '1607' -+ }, -+ '2' => { -+ 'name' => 'tfc_pad', -+ 'offset' => '8', -+ 'type' => '1607' -+ }, -+ '3' => { -+ 'name' => 'flags', -+ 'offset' => '12', -+ 'type' => '1607' -+ }, -+ '4' => { -+ 'name' => 'hard_limit_pkts', -+ 'offset' => '16', -+ 'type' => '1619' -+ } -+ }, -+ 'Name' => 'struct ib_uverbs_flow_action_esp', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '18667' => { -+ 'Header' => undef, -+ 'Line' => '355', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'buf', -+ 'offset' => '0', -+ 'type' => '219' -+ }, -+ '1' => { -+ 'name' => 'length', -+ 'offset' => '8', -+ 'type' => '314' -+ }, -+ '2' => { -+ 'name' => 'base', -+ 'offset' => '16', -+ 'type' => '128' -+ }, -+ '3' => { -+ 'name' => 'hmem', -+ 'offset' => '24', -+ 'type' => '18794' -+ }, -+ '4' => { -+ 'name' => 'type', -+ 'offset' => '32', -+ 'type' => '15306' -+ }, -+ '5' => { -+ 'name' => 'resource_type', -+ 'offset' => '40', -+ 'type' => '1571' -+ }, -+ '6' => { -+ 'name' => 'req_alignment', -+ 'offset' => '48', -+ 'type' => '314' -+ }, -+ '7' => { -+ 'name' => 'mparent_domain', -+ 'offset' => '56', -+ 'type' => '18885' -+ } -+ }, -+ 'Name' => 'struct mlx5_buf', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '18794' => { -+ 'BaseType' => '18596', -+ 'Name' => 'struct mlx5_hugetlb_mem*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '18800' => { -+ 'Header' => undef, -+ 'Line' => '379', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'mpd', -+ 'offset' => '0', -+ 'type' => '18954' -+ }, -+ '1' => { -+ 'name' => 'mtd', -+ 'offset' => '32', -+ 'type' => '19038' -+ }, -+ '2' => { -+ 'name' => 'alloc', -+ 'offset' => '40', -+ 'type' => '11923' -+ }, -+ '3' => { -+ 'name' => 'free', -+ 'offset' => '48', -+ 'type' => '11955' -+ }, -+ '4' => { -+ 'name' => 'pd_context', -+ 'offset' => '56', -+ 'type' => '219' -+ } -+ }, -+ 'Name' => 'struct mlx5_parent_domain', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '18885' => { -+ 'BaseType' => '18800', -+ 'Name' => 'struct mlx5_parent_domain*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '18891' => { -+ 'Header' => undef, -+ 'Line' => '366', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'ibv_td', -+ 'offset' => '0', -+ 'type' => '5617' -+ }, -+ '1' => { -+ 'name' => 'bf', -+ 'offset' => '8', -+ 'type' => '18479' -+ }, -+ '2' => { -+ 'name' => 'refcount', -+ 'offset' => '16', -+ 'type' => '14113' -+ } -+ }, -+ 'Name' => 'struct mlx5_td', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '18954' => { -+ 'Header' => undef, -+ 'Line' => '372', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'ibv_pd', -+ 'offset' => '0', -+ 'type' => '5545' -+ }, -+ '1' => { -+ 'name' => 'pdn', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'refcount', -+ 'offset' => '20', -+ 'type' => '14113' -+ }, -+ '3' => { -+ 'name' => 'mprotection_domain', -+ 'offset' => '24', -+ 'type' => '19032' -+ } -+ }, -+ 'Name' => 'struct mlx5_pd', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '19032' => { -+ 'BaseType' => '18954', -+ 'Name' => 'struct mlx5_pd*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '19038' => { -+ 'BaseType' => '18891', -+ 'Name' => 'struct mlx5_td*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '19415' => { -+ 'BaseType' => '59', -+ 'Name' => 'unsigned int*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '19421' => { -+ 'Header' => undef, -+ 'Line' => '511', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dv_devx_uar', -+ 'offset' => '0', -+ 'type' => '15137' -+ }, -+ '1' => { -+ 'name' => 'context', -+ 'offset' => '40', -+ 'type' => '2375' -+ } -+ }, -+ 'Name' => 'struct mlx5_devx_uar', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '1978' => { -+ 'Header' => undef, -+ 'Line' => '94', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_NODE_UNKNOWN', -+ 'value' => '18446744073709551615 (-1)' -+ }, -+ '1' => { -+ 'name' => 'IBV_NODE_CA', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_NODE_SWITCH', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_NODE_ROUTER', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_NODE_RNIC', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_NODE_USNIC', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_NODE_USNIC_UDP', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_NODE_UNSPECIFIED', -+ 'value' => '7' -+ } -+ }, -+ 'Name' => 'enum ibv_node_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '200281' => { -+ 'BaseType' => '48139', -+ 'Name' => 'struct mlx5dv_clock_info*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '2045' => { -+ 'Header' => undef, -+ 'Line' => '105', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_TRANSPORT_UNKNOWN', -+ 'value' => '18446744073709551615 (-1)' -+ }, -+ '1' => { -+ 'name' => 'IBV_TRANSPORT_IB', -+ 'value' => '0' -+ }, -+ '2' => { -+ 'name' => 'IBV_TRANSPORT_IWARP', -+ 'value' => '1' -+ }, -+ '3' => { -+ 'name' => 'IBV_TRANSPORT_USNIC', -+ 'value' => '2' -+ }, -+ '4' => { -+ 'name' => 'IBV_TRANSPORT_USNIC_UDP', -+ 'value' => '3' -+ }, -+ '5' => { -+ 'name' => 'IBV_TRANSPORT_UNSPECIFIED', -+ 'value' => '4' -+ } -+ }, -+ 'Name' => 'enum ibv_transport_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '2100' => { -+ 'Header' => undef, -+ 'Line' => '148', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_ATOMIC_NONE', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_ATOMIC_HCA', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_ATOMIC_GLOB', -+ 'value' => '2' -+ } -+ }, -+ 'Name' => 'enum ibv_atomic_cap', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '2137' => { -+ 'Header' => undef, -+ 'Line' => '154', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'length', -+ 'offset' => '0', -+ 'type' => '314' -+ }, -+ '1' => { -+ 'name' => 'log_align_req', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'comp_mask', -+ 'offset' => '12', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct ibv_alloc_dm_attr', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '219' => { -+ 'BaseType' => '1', -+ 'Name' => 'void*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '2190' => { -+ 'Header' => undef, -+ 'Line' => '160', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'memcpy_to_dm', -+ 'offset' => '8', -+ 'type' => '2417' -+ }, -+ '2' => { -+ 'name' => 'memcpy_from_dm', -+ 'offset' => '16', -+ 'type' => '2453' -+ }, -+ '3' => { -+ 'name' => 'comp_mask', -+ 'offset' => '24', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct ibv_dm', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '225355' => { -+ 'BaseType' => '1530', -+ 'Name' => 'uint8_t[16]', -+ 'Size' => '16', -+ 'Type' => 'Array' -+ }, -+ '2256' => { -+ 'Header' => undef, -+ 'Line' => '1966', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'device', -+ 'offset' => '0', -+ 'type' => '10735' -+ }, -+ '1' => { -+ 'name' => 'ops', -+ 'offset' => '8', -+ 'type' => '10895' -+ }, -+ '2' => { -+ 'name' => 'cmd_fd', -+ 'offset' => '264', -+ 'type' => '128' -+ }, -+ '3' => { -+ 'name' => 'async_fd', -+ 'offset' => '268', -+ 'type' => '128' -+ }, -+ '4' => { -+ 'name' => 'num_comp_vectors', -+ 'offset' => '272', -+ 'type' => '128' -+ }, -+ '5' => { -+ 'name' => 'mutex', -+ 'offset' => '280', -+ 'type' => '825' -+ }, -+ '6' => { -+ 'name' => 'abi_compat', -+ 'offset' => '320', -+ 'type' => '219' -+ } -+ }, -+ 'Name' => 'struct ibv_context', -+ 'Size' => '328', -+ 'Type' => 'Struct' -+ }, -+ '233' => { -+ 'BaseType' => '244', -+ 'Name' => 'char*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '2375' => { -+ 'BaseType' => '2256', -+ 'Name' => 'struct ibv_context*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '238822' => { -+ 'Header' => undef, -+ 'Line' => '42', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'MLX5_IB_UAPI_FLOW_TABLE_TYPE_NIC_RX', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'MLX5_IB_UAPI_FLOW_TABLE_TYPE_NIC_TX', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'MLX5_IB_UAPI_FLOW_TABLE_TYPE_FDB', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'MLX5_IB_UAPI_FLOW_TABLE_TYPE_RDMA_RX', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'MLX5_IB_UAPI_FLOW_TABLE_TYPE_RDMA_TX', -+ 'value' => '4' -+ } -+ }, -+ 'Name' => 'enum mlx5_ib_uapi_flow_table_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '238871' => { -+ 'Header' => undef, -+ 'Line' => '50', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'MLX5_IB_UAPI_FLOW_ACTION_PACKET_REFORMAT_TYPE_L2_TUNNEL_TO_L2', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'MLX5_IB_UAPI_FLOW_ACTION_PACKET_REFORMAT_TYPE_L2_TO_L2_TUNNEL', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'MLX5_IB_UAPI_FLOW_ACTION_PACKET_REFORMAT_TYPE_L3_TUNNEL_TO_L2', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'MLX5_IB_UAPI_FLOW_ACTION_PACKET_REFORMAT_TYPE_L2_TO_L3_TUNNEL', -+ 'value' => '3' -+ } -+ }, -+ 'Name' => 'enum mlx5_ib_uapi_flow_action_packet_reformat_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '239406' => { -+ 'Header' => undef, -+ 'Line' => '305', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'match_sz', -+ 'offset' => '0', -+ 'type' => '314' -+ }, -+ '1' => { -+ 'name' => 'match_buf', -+ 'offset' => '8', -+ 'type' => '239449' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_flow_match_parameters', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '239449' => { -+ 'BaseType' => '1571', -+ 'Name' => 'uint64_t[]', -+ 'Size' => '8', -+ 'Type' => 'Array' -+ }, -+ '239464' => { -+ 'BaseType' => '239406', -+ 'Name' => 'struct mlx5dv_flow_match_parameters*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '239470' => { -+ 'Header' => undef, -+ 'Line' => '332', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'MLX5DV_FLOW_ACTION_DEST_IBV_QP', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'MLX5DV_FLOW_ACTION_DROP', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'MLX5DV_FLOW_ACTION_IBV_COUNTER', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'MLX5DV_FLOW_ACTION_IBV_FLOW_ACTION', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'MLX5DV_FLOW_ACTION_TAG', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'MLX5DV_FLOW_ACTION_DEST_DEVX', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'MLX5DV_FLOW_ACTION_COUNTERS_DEVX', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'MLX5DV_FLOW_ACTION_DEFAULT_MISS', -+ 'value' => '7' -+ } -+ }, -+ 'Name' => 'enum mlx5dv_flow_action_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '239538' => { -+ 'Header' => undef, -+ 'Line' => '345', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp', -+ 'offset' => '0', -+ 'type' => '4522' -+ }, -+ '1' => { -+ 'name' => 'counter', -+ 'offset' => '0', -+ 'type' => '10335' -+ }, -+ '2' => { -+ 'name' => 'action', -+ 'offset' => '0', -+ 'type' => '13076' -+ }, -+ '3' => { -+ 'name' => 'tag_value', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'obj', -+ 'offset' => '0', -+ 'type' => '239698' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Union' -+ }, -+ '239613' => { -+ 'Header' => undef, -+ 'Line' => '676', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'handle', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'type', -+ 'offset' => '12', -+ 'type' => '246938' -+ }, -+ '3' => { -+ 'name' => 'object_id', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'rx_icm_addr', -+ 'offset' => '24', -+ 'type' => '1571' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_devx_obj', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '239698' => { -+ 'BaseType' => '239613', -+ 'Name' => 'struct mlx5dv_devx_obj*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '239704' => { -+ 'Header' => undef, -+ 'Line' => '343', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'type', -+ 'offset' => '0', -+ 'type' => '239470' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '239538' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_flow_action_attr', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '240029' => { -+ 'Header' => undef, -+ 'Line' => '1269', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'umem_id', -+ 'offset' => '0', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_devx_umem', -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '240143' => { -+ 'Header' => undef, -+ 'Line' => '1435', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'MLX5DV_DR_DOMAIN_TYPE_NIC_RX', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'MLX5DV_DR_DOMAIN_TYPE_NIC_TX', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'MLX5DV_DR_DOMAIN_TYPE_FDB', -+ 'value' => '2' -+ } -+ }, -+ 'Name' => 'enum mlx5dv_dr_domain_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '240181' => { -+ 'Header' => undef, -+ 'Line' => '1447', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'next_table', -+ 'offset' => '0', -+ 'type' => '240405' -+ }, -+ '1' => { -+ 'name' => 'active', -+ 'offset' => '8', -+ 'type' => '1530' -+ }, -+ '2' => { -+ 'name' => 'reg_c_index', -+ 'offset' => '9', -+ 'type' => '1530' -+ }, -+ '3' => { -+ 'name' => 'flow_meter_parameter_sz', -+ 'offset' => '16', -+ 'type' => '314' -+ }, -+ '4' => { -+ 'name' => 'flow_meter_parameter', -+ 'offset' => '24', -+ 'type' => '219' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_dr_flow_meter_attr', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '240266' => { -+ 'Header' => undef, -+ 'Line' => '741', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dmn', -+ 'offset' => '0', -+ 'type' => '251764' -+ }, -+ '1' => { -+ 'name' => 'rx', -+ 'offset' => '8', -+ 'type' => '251715' -+ }, -+ '2' => { -+ 'name' => 'tx', -+ 'offset' => '24', -+ 'type' => '251715' -+ }, -+ '3' => { -+ 'name' => 'level', -+ 'offset' => '40', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'table_type', -+ 'offset' => '44', -+ 'type' => '1554' -+ }, -+ '5' => { -+ 'name' => 'matcher_list', -+ 'offset' => '48', -+ 'type' => '13868' -+ }, -+ '6' => { -+ 'name' => 'devx_obj', -+ 'offset' => '64', -+ 'type' => '239698' -+ }, -+ '7' => { -+ 'name' => 'refcount', -+ 'offset' => '72', -+ 'type' => '128' -+ }, -+ '8' => { -+ 'name' => 'tbl_list', -+ 'offset' => '80', -+ 'type' => '13822' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_dr_table', -+ 'Size' => '96', -+ 'Type' => 'Struct' -+ }, -+ '240405' => { -+ 'BaseType' => '240266', -+ 'Name' => 'struct mlx5dv_dr_table*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '240411' => { -+ 'Header' => undef, -+ 'Line' => '1455', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'sample_ratio', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'name' => 'default_next_table', -+ 'offset' => '8', -+ 'type' => '240405' -+ }, -+ '2' => { -+ 'name' => 'num_sample_actions', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'sample_actions', -+ 'offset' => '24', -+ 'type' => '240545' -+ }, -+ '4' => { -+ 'name' => 'action', -+ 'offset' => '32', -+ 'type' => '1643' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_dr_flow_sampler_attr', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '240496' => { -+ 'Header' => undef, -+ 'Line' => '812', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'action_type', -+ 'offset' => '0', -+ 'type' => '247353' -+ }, -+ '1' => { -+ 'name' => 'refcount', -+ 'offset' => '4', -+ 'type' => '128' -+ }, -+ '2' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '253149' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_dr_action', -+ 'Size' => '56', -+ 'Type' => 'Struct' -+ }, -+ '240545' => { -+ 'BaseType' => '240551', -+ 'Name' => 'struct mlx5dv_dr_action**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '240551' => { -+ 'BaseType' => '240496', -+ 'Name' => 'struct mlx5dv_dr_action*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '240583' => { -+ 'Header' => undef, -+ 'Line' => '1512', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'MLX5DV_DR_ACTION_DEST', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'MLX5DV_DR_ACTION_DEST_REFORMAT', -+ 'value' => '1' -+ } -+ }, -+ 'Name' => 'enum mlx5dv_dr_action_dest_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '240615' => { -+ 'Header' => undef, -+ 'Line' => '1517', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'reformat', -+ 'offset' => '0', -+ 'type' => '240551' -+ }, -+ '1' => { -+ 'name' => 'dest', -+ 'offset' => '8', -+ 'type' => '240551' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_dr_action_dest_reformat', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '240658' => { -+ 'Header' => undef, -+ 'Line' => '1524', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dest', -+ 'offset' => '0', -+ 'type' => '240551' -+ }, -+ '1' => { -+ 'name' => 'dest_reformat', -+ 'offset' => '0', -+ 'type' => '240695' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Union' -+ }, -+ '240695' => { -+ 'BaseType' => '240615', -+ 'Name' => 'struct mlx5dv_dr_action_dest_reformat*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '240701' => { -+ 'Header' => undef, -+ 'Line' => '1522', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'type', -+ 'offset' => '0', -+ 'type' => '240583' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '240658' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_dr_action_dest_attr', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '240736' => { -+ 'BaseType' => '1530', -+ 'Name' => 'uint8_t[64]', -+ 'Size' => '64', -+ 'Type' => 'Array' -+ }, -+ '2411' => { -+ 'BaseType' => '2190', -+ 'Name' => 'struct ibv_dm*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '2417' => { -+ 'Name' => 'int(*)(struct ibv_dm*, uint64_t, void const*, size_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '2411' -+ }, -+ '1' => { -+ 'type' => '1571' -+ }, -+ '2' => { -+ 'type' => '1458' -+ }, -+ '3' => { -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '128', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '244' => { -+ 'Name' => 'char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '2453' => { -+ 'Name' => 'int(*)(void*, struct ibv_dm*, uint64_t, size_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '219' -+ }, -+ '1' => { -+ 'type' => '2411' -+ }, -+ '2' => { -+ 'type' => '1571' -+ }, -+ '3' => { -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '128', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '2459' => { -+ 'Header' => undef, -+ 'Line' => '169', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'fw_ver', -+ 'offset' => '0', -+ 'type' => '2993' -+ }, -+ '1' => { -+ 'name' => 'node_guid', -+ 'offset' => '64', -+ 'type' => '1643' -+ }, -+ '10' => { -+ 'name' => 'device_cap_flags', -+ 'offset' => '116', -+ 'type' => '59' -+ }, -+ '11' => { -+ 'name' => 'max_sge', -+ 'offset' => '120', -+ 'type' => '128' -+ }, -+ '12' => { -+ 'name' => 'max_sge_rd', -+ 'offset' => '124', -+ 'type' => '128' -+ }, -+ '13' => { -+ 'name' => 'max_cq', -+ 'offset' => '128', -+ 'type' => '128' -+ }, -+ '14' => { -+ 'name' => 'max_cqe', -+ 'offset' => '132', -+ 'type' => '128' -+ }, -+ '15' => { -+ 'name' => 'max_mr', -+ 'offset' => '136', -+ 'type' => '128' -+ }, -+ '16' => { -+ 'name' => 'max_pd', -+ 'offset' => '140', -+ 'type' => '128' -+ }, -+ '17' => { -+ 'name' => 'max_qp_rd_atom', -+ 'offset' => '144', -+ 'type' => '128' -+ }, -+ '18' => { -+ 'name' => 'max_ee_rd_atom', -+ 'offset' => '148', -+ 'type' => '128' -+ }, -+ '19' => { -+ 'name' => 'max_res_rd_atom', -+ 'offset' => '152', -+ 'type' => '128' -+ }, -+ '2' => { -+ 'name' => 'sys_image_guid', -+ 'offset' => '72', -+ 'type' => '1643' -+ }, -+ '20' => { -+ 'name' => 'max_qp_init_rd_atom', -+ 'offset' => '156', -+ 'type' => '128' -+ }, -+ '21' => { -+ 'name' => 'max_ee_init_rd_atom', -+ 'offset' => '160', -+ 'type' => '128' -+ }, -+ '22' => { -+ 'name' => 'atomic_cap', -+ 'offset' => '164', -+ 'type' => '2100' -+ }, -+ '23' => { -+ 'name' => 'max_ee', -+ 'offset' => '168', -+ 'type' => '128' -+ }, -+ '24' => { -+ 'name' => 'max_rdd', -+ 'offset' => '172', -+ 'type' => '128' -+ }, -+ '25' => { -+ 'name' => 'max_mw', -+ 'offset' => '176', -+ 'type' => '128' -+ }, -+ '26' => { -+ 'name' => 'max_raw_ipv6_qp', -+ 'offset' => '180', -+ 'type' => '128' -+ }, -+ '27' => { -+ 'name' => 'max_raw_ethy_qp', -+ 'offset' => '184', -+ 'type' => '128' -+ }, -+ '28' => { -+ 'name' => 'max_mcast_grp', -+ 'offset' => '188', -+ 'type' => '128' -+ }, -+ '29' => { -+ 'name' => 'max_mcast_qp_attach', -+ 'offset' => '192', -+ 'type' => '128' -+ }, -+ '3' => { -+ 'name' => 'max_mr_size', -+ 'offset' => '80', -+ 'type' => '1571' -+ }, -+ '30' => { -+ 'name' => 'max_total_mcast_qp_attach', -+ 'offset' => '196', -+ 'type' => '128' -+ }, -+ '31' => { -+ 'name' => 'max_ah', -+ 'offset' => '200', -+ 'type' => '128' -+ }, -+ '32' => { -+ 'name' => 'max_fmr', -+ 'offset' => '204', -+ 'type' => '128' -+ }, -+ '33' => { -+ 'name' => 'max_map_per_fmr', -+ 'offset' => '208', -+ 'type' => '128' -+ }, -+ '34' => { -+ 'name' => 'max_srq', -+ 'offset' => '212', -+ 'type' => '128' -+ }, -+ '35' => { -+ 'name' => 'max_srq_wr', -+ 'offset' => '216', -+ 'type' => '128' -+ }, -+ '36' => { -+ 'name' => 'max_srq_sge', -+ 'offset' => '220', -+ 'type' => '128' -+ }, -+ '37' => { -+ 'name' => 'max_pkeys', -+ 'offset' => '224', -+ 'type' => '1542' -+ }, -+ '38' => { -+ 'name' => 'local_ca_ack_delay', -+ 'offset' => '226', -+ 'type' => '1530' -+ }, -+ '39' => { -+ 'name' => 'phys_port_cnt', -+ 'offset' => '227', -+ 'type' => '1530' -+ }, -+ '4' => { -+ 'name' => 'page_size_cap', -+ 'offset' => '88', -+ 'type' => '1571' -+ }, -+ '5' => { -+ 'name' => 'vendor_id', -+ 'offset' => '96', -+ 'type' => '1554' -+ }, -+ '6' => { -+ 'name' => 'vendor_part_id', -+ 'offset' => '100', -+ 'type' => '1554' -+ }, -+ '7' => { -+ 'name' => 'hw_ver', -+ 'offset' => '104', -+ 'type' => '1554' -+ }, -+ '8' => { -+ 'name' => 'max_qp', -+ 'offset' => '108', -+ 'type' => '128' -+ }, -+ '9' => { -+ 'name' => 'max_qp_wr', -+ 'offset' => '112', -+ 'type' => '128' -+ } -+ }, -+ 'Name' => 'struct ibv_device_attr', -+ 'Size' => '232', -+ 'Type' => 'Struct' -+ }, -+ '246895' => { -+ 'Header' => undef, -+ 'Line' => '659', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'handle', -+ 'offset' => '8', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_flow_matcher', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '246938' => { -+ 'Header' => undef, -+ 'Line' => '664', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'MLX5_DEVX_FLOW_TABLE', -+ 'value' => '1' -+ }, -+ '1' => { -+ 'name' => 'MLX5_DEVX_FLOW_COUNTER', -+ 'value' => '2' -+ }, -+ '2' => { -+ 'name' => 'MLX5_DEVX_FLOW_METER', -+ 'value' => '3' -+ }, -+ '3' => { -+ 'name' => 'MLX5_DEVX_QP', -+ 'value' => '4' -+ }, -+ '4' => { -+ 'name' => 'MLX5_DEVX_PKT_REFORMAT_CTX', -+ 'value' => '5' -+ }, -+ '5' => { -+ 'name' => 'MLX5_DEVX_TIR', -+ 'value' => '6' -+ }, -+ '6' => { -+ 'name' => 'MLX5_DEVX_FLOW_GROUP', -+ 'value' => '7' -+ }, -+ '7' => { -+ 'name' => 'MLX5_DEVX_FLOW_TABLE_ENTRY', -+ 'value' => '8' -+ }, -+ '8' => { -+ 'name' => 'MLX5_DEVX_FLOW_SAMPLER', -+ 'value' => '9' -+ } -+ }, -+ 'Name' => 'enum mlx5_devx_obj_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '247081' => { -+ 'Header' => undef, -+ 'Line' => '55', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'DR_CHUNK_SIZE_1', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'DR_CHUNK_SIZE_MIN', -+ 'value' => '0' -+ }, -+ '10' => { -+ 'name' => 'DR_CHUNK_SIZE_512', -+ 'value' => '9' -+ }, -+ '11' => { -+ 'name' => 'DR_CHUNK_SIZE_1K', -+ 'value' => '10' -+ }, -+ '12' => { -+ 'name' => 'DR_CHUNK_SIZE_2K', -+ 'value' => '11' -+ }, -+ '13' => { -+ 'name' => 'DR_CHUNK_SIZE_4K', -+ 'value' => '12' -+ }, -+ '14' => { -+ 'name' => 'DR_CHUNK_SIZE_8K', -+ 'value' => '13' -+ }, -+ '15' => { -+ 'name' => 'DR_CHUNK_SIZE_16K', -+ 'value' => '14' -+ }, -+ '16' => { -+ 'name' => 'DR_CHUNK_SIZE_32K', -+ 'value' => '15' -+ }, -+ '17' => { -+ 'name' => 'DR_CHUNK_SIZE_64K', -+ 'value' => '16' -+ }, -+ '18' => { -+ 'name' => 'DR_CHUNK_SIZE_128K', -+ 'value' => '17' -+ }, -+ '19' => { -+ 'name' => 'DR_CHUNK_SIZE_256K', -+ 'value' => '18' -+ }, -+ '2' => { -+ 'name' => 'DR_CHUNK_SIZE_2', -+ 'value' => '1' -+ }, -+ '20' => { -+ 'name' => 'DR_CHUNK_SIZE_512K', -+ 'value' => '19' -+ }, -+ '21' => { -+ 'name' => 'DR_CHUNK_SIZE_1024K', -+ 'value' => '20' -+ }, -+ '22' => { -+ 'name' => 'DR_CHUNK_SIZE_2048K', -+ 'value' => '21' -+ }, -+ '23' => { -+ 'name' => 'DR_CHUNK_SIZE_MAX', -+ 'value' => '22' -+ }, -+ '3' => { -+ 'name' => 'DR_CHUNK_SIZE_4', -+ 'value' => '2' -+ }, -+ '4' => { -+ 'name' => 'DR_CHUNK_SIZE_8', -+ 'value' => '3' -+ }, -+ '5' => { -+ 'name' => 'DR_CHUNK_SIZE_16', -+ 'value' => '4' -+ }, -+ '6' => { -+ 'name' => 'DR_CHUNK_SIZE_32', -+ 'value' => '5' -+ }, -+ '7' => { -+ 'name' => 'DR_CHUNK_SIZE_64', -+ 'value' => '6' -+ }, -+ '8' => { -+ 'name' => 'DR_CHUNK_SIZE_128', -+ 'value' => '7' -+ }, -+ '9' => { -+ 'name' => 'DR_CHUNK_SIZE_256', -+ 'value' => '8' -+ } -+ }, -+ 'Name' => 'enum dr_icm_chunk_size', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '247244' => { -+ 'Header' => undef, -+ 'Line' => '101', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'DR_STE_TYPE_TX', -+ 'value' => '1' -+ }, -+ '1' => { -+ 'name' => 'DR_STE_TYPE_RX', -+ 'value' => '2' -+ }, -+ '2' => { -+ 'name' => 'DR_STE_TYPE_MODIFY_PKT', -+ 'value' => '6' -+ } -+ }, -+ 'Name' => 'enum dr_ste_entry_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '247353' => { -+ 'Header' => undef, -+ 'Line' => '134', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'DR_ACTION_TYP_TNL_L2_TO_L2', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'DR_ACTION_TYP_L2_TO_TNL_L2', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'DR_ACTION_TYP_VPORT', -+ 'value' => '10' -+ }, -+ '11' => { -+ 'name' => 'DR_ACTION_TYP_METER', -+ 'value' => '11' -+ }, -+ '12' => { -+ 'name' => 'DR_ACTION_TYP_MISS', -+ 'value' => '12' -+ }, -+ '13' => { -+ 'name' => 'DR_ACTION_TYP_SAMPLER', -+ 'value' => '13' -+ }, -+ '14' => { -+ 'name' => 'DR_ACTION_TYP_DEST_ARRAY', -+ 'value' => '14' -+ }, -+ '15' => { -+ 'name' => 'DR_ACTION_TYP_MAX', -+ 'value' => '15' -+ }, -+ '2' => { -+ 'name' => 'DR_ACTION_TYP_TNL_L3_TO_L2', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'DR_ACTION_TYP_L2_TO_TNL_L3', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'DR_ACTION_TYP_DROP', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'DR_ACTION_TYP_QP', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'DR_ACTION_TYP_FT', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'DR_ACTION_TYP_CTR', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'DR_ACTION_TYP_TAG', -+ 'value' => '8' -+ }, -+ '9' => { -+ 'name' => 'DR_ACTION_TYP_MODIFY_HDR', -+ 'value' => '9' -+ } -+ }, -+ 'Name' => 'enum dr_action_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '247468' => { -+ 'Header' => undef, -+ 'Line' => '176', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hw_ste', -+ 'offset' => '0', -+ 'type' => '6754' -+ }, -+ '1' => { -+ 'name' => 'refcount', -+ 'offset' => '8', -+ 'type' => '14113' -+ }, -+ '2' => { -+ 'name' => 'miss_list_node', -+ 'offset' => '16', -+ 'type' => '13822' -+ }, -+ '3' => { -+ 'name' => 'rule_list', -+ 'offset' => '32', -+ 'type' => '13868' -+ }, -+ '4' => { -+ 'name' => 'htbl', -+ 'offset' => '48', -+ 'type' => '247724' -+ }, -+ '5' => { -+ 'name' => 'next_htbl', -+ 'offset' => '56', -+ 'type' => '247724' -+ }, -+ '6' => { -+ 'name' => 'ste_chain_location', -+ 'offset' => '64', -+ 'type' => '1530' -+ } -+ }, -+ 'Name' => 'struct dr_ste', -+ 'Size' => '72', -+ 'Type' => 'Struct' -+ }, -+ '247580' => { -+ 'Header' => undef, -+ 'Line' => '208', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'lu_type', -+ 'offset' => '0', -+ 'type' => '1542' -+ }, -+ '1' => { -+ 'name' => 'byte_mask', -+ 'offset' => '2', -+ 'type' => '1542' -+ }, -+ '2' => { -+ 'name' => 'refcount', -+ 'offset' => '4', -+ 'type' => '14113' -+ }, -+ '3' => { -+ 'name' => 'chunk', -+ 'offset' => '8', -+ 'type' => '247972' -+ }, -+ '4' => { -+ 'name' => 'ste_arr', -+ 'offset' => '16', -+ 'type' => '247978' -+ }, -+ '5' => { -+ 'name' => 'hw_ste_arr', -+ 'offset' => '24', -+ 'type' => '6754' -+ }, -+ '6' => { -+ 'name' => 'miss_list', -+ 'offset' => '32', -+ 'type' => '28308' -+ }, -+ '7' => { -+ 'name' => 'chunk_size', -+ 'offset' => '40', -+ 'type' => '247081' -+ }, -+ '8' => { -+ 'name' => 'pointing_ste', -+ 'offset' => '48', -+ 'type' => '247978' -+ }, -+ '9' => { -+ 'name' => 'ctrl', -+ 'offset' => '56', -+ 'type' => '247730' -+ } -+ }, -+ 'Name' => 'struct dr_ste_htbl', -+ 'Size' => '72', -+ 'Type' => 'Struct' -+ }, -+ '247724' => { -+ 'BaseType' => '247580', -+ 'Name' => 'struct dr_ste_htbl*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '247730' => { -+ 'Header' => undef, -+ 'Line' => '196', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'num_of_valid_entries', -+ 'offset' => '0', -+ 'type' => '128' -+ }, -+ '1' => { -+ 'name' => 'num_of_collisions', -+ 'offset' => '4', -+ 'type' => '128' -+ }, -+ '2' => { -+ 'name' => 'increase_threshold', -+ 'offset' => '8', -+ 'type' => '128' -+ }, -+ '3' => { -+ 'name' => 'may_grow', -+ 'offset' => '12', -+ 'type' => '1523' -+ } -+ }, -+ 'Name' => 'struct dr_ste_htbl_ctrl', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '247803' => { -+ 'Header' => undef, -+ 'Line' => '924', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'buddy_mem', -+ 'offset' => '0', -+ 'type' => '253616' -+ }, -+ '1' => { -+ 'name' => 'chunk_list', -+ 'offset' => '8', -+ 'type' => '13822' -+ }, -+ '10' => { -+ 'name' => 'miss_list', -+ 'offset' => '80', -+ 'type' => '28308' -+ }, -+ '2' => { -+ 'name' => 'rkey', -+ 'offset' => '24', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'num_of_entries', -+ 'offset' => '28', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'byte_size', -+ 'offset' => '32', -+ 'type' => '1554' -+ }, -+ '5' => { -+ 'name' => 'icm_addr', -+ 'offset' => '40', -+ 'type' => '1571' -+ }, -+ '6' => { -+ 'name' => 'mr_addr', -+ 'offset' => '48', -+ 'type' => '1571' -+ }, -+ '7' => { -+ 'name' => 'seg', -+ 'offset' => '56', -+ 'type' => '1554' -+ }, -+ '8' => { -+ 'name' => 'ste_arr', -+ 'offset' => '64', -+ 'type' => '247978' -+ }, -+ '9' => { -+ 'name' => 'hw_ste_arr', -+ 'offset' => '72', -+ 'type' => '6754' -+ } -+ }, -+ 'Name' => 'struct dr_icm_chunk', -+ 'Size' => '88', -+ 'Type' => 'Struct' -+ }, -+ '247972' => { -+ 'BaseType' => '247803', -+ 'Name' => 'struct dr_icm_chunk*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '247978' => { -+ 'BaseType' => '247468', -+ 'Name' => 'struct dr_ste*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '247990' => { -+ 'Header' => undef, -+ 'Line' => '239', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'inner', -+ 'offset' => '0', -+ 'type' => '1523' -+ }, -+ '1' => { -+ 'name' => 'rx', -+ 'offset' => '1', -+ 'type' => '1523' -+ }, -+ '2' => { -+ 'name' => 'caps', -+ 'offset' => '8', -+ 'type' => '248473' -+ }, -+ '3' => { -+ 'name' => 'lu_type', -+ 'offset' => '16', -+ 'type' => '1542' -+ }, -+ '4' => { -+ 'name' => 'byte_mask', -+ 'offset' => '18', -+ 'type' => '1542' -+ }, -+ '5' => { -+ 'name' => 'bit_mask', -+ 'offset' => '20', -+ 'type' => '225355' -+ }, -+ '6' => { -+ 'name' => 'ste_build_tag_func', -+ 'offset' => '40', -+ 'type' => '248602' -+ } -+ }, -+ 'Name' => 'struct dr_ste_build', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '248094' => { -+ 'Header' => undef, -+ 'Line' => '615', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'gvmi', -+ 'offset' => '0', -+ 'type' => '1542' -+ }, -+ '1' => { -+ 'name' => 'nic_rx_drop_address', -+ 'offset' => '8', -+ 'type' => '1571' -+ }, -+ '10' => { -+ 'name' => 'flex_parser_id_icmp_dw0', -+ 'offset' => '68', -+ 'type' => '1530' -+ }, -+ '11' => { -+ 'name' => 'flex_parser_id_icmp_dw1', -+ 'offset' => '69', -+ 'type' => '1530' -+ }, -+ '12' => { -+ 'name' => 'flex_parser_id_icmpv6_dw0', -+ 'offset' => '70', -+ 'type' => '1530' -+ }, -+ '13' => { -+ 'name' => 'flex_parser_id_icmpv6_dw1', -+ 'offset' => '71', -+ 'type' => '1530' -+ }, -+ '14' => { -+ 'name' => 'max_ft_level', -+ 'offset' => '72', -+ 'type' => '1530' -+ }, -+ '15' => { -+ 'name' => 'sw_format_ver', -+ 'offset' => '73', -+ 'type' => '1530' -+ }, -+ '16' => { -+ 'name' => 'eswitch_manager', -+ 'offset' => '74', -+ 'type' => '1523' -+ }, -+ '17' => { -+ 'name' => 'rx_sw_owner', -+ 'offset' => '75', -+ 'type' => '1523' -+ }, -+ '18' => { -+ 'name' => 'tx_sw_owner', -+ 'offset' => '76', -+ 'type' => '1523' -+ }, -+ '19' => { -+ 'name' => 'fdb_sw_owner', -+ 'offset' => '77', -+ 'type' => '1523' -+ }, -+ '2' => { -+ 'name' => 'nic_tx_drop_address', -+ 'offset' => '16', -+ 'type' => '1571' -+ }, -+ '20' => { -+ 'name' => 'rx_sw_owner_v2', -+ 'offset' => '78', -+ 'type' => '1523' -+ }, -+ '21' => { -+ 'name' => 'tx_sw_owner_v2', -+ 'offset' => '79', -+ 'type' => '1523' -+ }, -+ '22' => { -+ 'name' => 'fdb_sw_owner_v2', -+ 'offset' => '80', -+ 'type' => '1523' -+ }, -+ '23' => { -+ 'name' => 'num_vports', -+ 'offset' => '84', -+ 'type' => '1554' -+ }, -+ '24' => { -+ 'name' => 'vports_caps', -+ 'offset' => '88', -+ 'type' => '250510' -+ }, -+ '25' => { -+ 'name' => 'roce_caps', -+ 'offset' => '96', -+ 'type' => '250481' -+ }, -+ '3' => { -+ 'name' => 'nic_tx_allow_address', -+ 'offset' => '24', -+ 'type' => '1571' -+ }, -+ '4' => { -+ 'name' => 'esw_rx_drop_address', -+ 'offset' => '32', -+ 'type' => '1571' -+ }, -+ '5' => { -+ 'name' => 'esw_tx_drop_address', -+ 'offset' => '40', -+ 'type' => '1571' -+ }, -+ '6' => { -+ 'name' => 'log_icm_size', -+ 'offset' => '48', -+ 'type' => '1554' -+ }, -+ '7' => { -+ 'name' => 'log_modify_hdr_icm_size', -+ 'offset' => '52', -+ 'type' => '1530' -+ }, -+ '8' => { -+ 'name' => 'hdr_modify_icm_addr', -+ 'offset' => '56', -+ 'type' => '1571' -+ }, -+ '9' => { -+ 'name' => 'flex_protocols', -+ 'offset' => '64', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct dr_devx_caps', -+ 'Size' => '104', -+ 'Type' => 'Struct' -+ }, -+ '248473' => { -+ 'BaseType' => '248094', -+ 'Name' => 'struct dr_devx_caps*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '248504' => { -+ 'BaseType' => '248510', -+ 'Name' => 'struct dr_match_param*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '248510' => { -+ 'Header' => undef, -+ 'Line' => '584', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'outer', -+ 'offset' => '0', -+ 'type' => '248791' -+ }, -+ '1' => { -+ 'name' => 'misc', -+ 'offset' => '64', -+ 'type' => '249269' -+ }, -+ '2' => { -+ 'name' => 'inner', -+ 'offset' => '108', -+ 'type' => '248791' -+ }, -+ '3' => { -+ 'name' => 'misc2', -+ 'offset' => '172', -+ 'type' => '249743' -+ }, -+ '4' => { -+ 'name' => 'misc3', -+ 'offset' => '228', -+ 'type' => '250170' -+ } -+ }, -+ 'Name' => 'struct dr_match_param', -+ 'Size' => '272', -+ 'Type' => 'Struct' -+ }, -+ '248596' => { -+ 'BaseType' => '247990', -+ 'Name' => 'struct dr_ste_build*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '248602' => { -+ 'Name' => 'int(*)(struct dr_match_param*, struct dr_ste_build*, uint8_t*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '248504' -+ }, -+ '1' => { -+ 'type' => '248596' -+ }, -+ '2' => { -+ 'type' => '6754' -+ } -+ }, -+ 'Return' => '128', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '248608' => { -+ 'Header' => undef, -+ 'Line' => '283', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'modify_index', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'name' => 'modify_actions', -+ 'offset' => '4', -+ 'type' => '1542' -+ }, -+ '10' => { -+ 'name' => 'reformat_id', -+ 'offset' => '36', -+ 'type' => '1554' -+ }, -+ '11' => { -+ 'name' => 'reformat_size', -+ 'offset' => '40', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'decap_index', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'decap_actions', -+ 'offset' => '12', -+ 'type' => '1542' -+ }, -+ '4' => { -+ 'name' => 'decap_with_vlan', -+ 'offset' => '14', -+ 'type' => '1523' -+ }, -+ '5' => { -+ 'name' => 'final_icm_addr', -+ 'offset' => '16', -+ 'type' => '1571' -+ }, -+ '6' => { -+ 'name' => 'flow_tag', -+ 'offset' => '24', -+ 'type' => '1554' -+ }, -+ '7' => { -+ 'name' => 'ctr_id', -+ 'offset' => '28', -+ 'type' => '1554' -+ }, -+ '8' => { -+ 'name' => 'gvmi', -+ 'offset' => '32', -+ 'type' => '1542' -+ }, -+ '9' => { -+ 'name' => 'hit_gvmi', -+ 'offset' => '34', -+ 'type' => '1542' -+ } -+ }, -+ 'Name' => 'struct dr_ste_actions_attr', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '248791' => { -+ 'Header' => undef, -+ 'Line' => '474', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'smac_47_16', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'bitfield' => '16', -+ 'name' => 'ethertype', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '10' => { -+ 'bitfield' => '1', -+ 'name' => 'frag', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '11' => { -+ 'bitfield' => '1', -+ 'name' => 'svlan_tag', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '12' => { -+ 'bitfield' => '1', -+ 'name' => 'cvlan_tag', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '13' => { -+ 'bitfield' => '2', -+ 'name' => 'ip_ecn', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '14' => { -+ 'bitfield' => '6', -+ 'name' => 'ip_dscp', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '15' => { -+ 'bitfield' => '8', -+ 'name' => 'ip_protocol', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '16' => { -+ 'bitfield' => '16', -+ 'name' => 'tcp_dport', -+ 'offset' => '20', -+ 'type' => '1554' -+ }, -+ '17' => { -+ 'bitfield' => '16', -+ 'name' => 'tcp_sport', -+ 'offset' => '20', -+ 'type' => '1554' -+ }, -+ '18' => { -+ 'bitfield' => '8', -+ 'name' => 'ip_ttl_hoplimit', -+ 'offset' => '24', -+ 'type' => '1554' -+ }, -+ '19' => { -+ 'bitfield' => '16', -+ 'name' => 'udp_dport', -+ 'offset' => '24', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'bitfield' => '16', -+ 'name' => 'smac_15_0', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '20' => { -+ 'bitfield' => '16', -+ 'name' => 'udp_sport', -+ 'offset' => '28', -+ 'type' => '1554' -+ }, -+ '21' => { -+ 'name' => 'src_ip_127_96', -+ 'offset' => '32', -+ 'type' => '1554' -+ }, -+ '22' => { -+ 'name' => 'src_ip_95_64', -+ 'offset' => '36', -+ 'type' => '1554' -+ }, -+ '23' => { -+ 'name' => 'src_ip_63_32', -+ 'offset' => '40', -+ 'type' => '1554' -+ }, -+ '24' => { -+ 'name' => 'src_ip_31_0', -+ 'offset' => '44', -+ 'type' => '1554' -+ }, -+ '25' => { -+ 'name' => 'dst_ip_127_96', -+ 'offset' => '48', -+ 'type' => '1554' -+ }, -+ '26' => { -+ 'name' => 'dst_ip_95_64', -+ 'offset' => '52', -+ 'type' => '1554' -+ }, -+ '27' => { -+ 'name' => 'dst_ip_63_32', -+ 'offset' => '56', -+ 'type' => '1554' -+ }, -+ '28' => { -+ 'name' => 'dst_ip_31_0', -+ 'offset' => '60', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'dmac_47_16', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'bitfield' => '12', -+ 'name' => 'first_vid', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '5' => { -+ 'bitfield' => '1', -+ 'name' => 'first_cfi', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '6' => { -+ 'bitfield' => '3', -+ 'name' => 'first_prio', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '7' => { -+ 'bitfield' => '16', -+ 'name' => 'dmac_15_0', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '8' => { -+ 'bitfield' => '9', -+ 'name' => 'tcp_flags', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '9' => { -+ 'bitfield' => '4', -+ 'name' => 'ip_version', -+ 'offset' => '16', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct dr_match_spec', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '249269' => { -+ 'Header' => undef, -+ 'Line' => '506', -+ 'Memb' => { -+ '0' => { -+ 'bitfield' => '24', -+ 'name' => 'source_sqn', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'bitfield' => '4', -+ 'name' => 'source_vhca_port', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '10' => { -+ 'bitfield' => '1', -+ 'name' => 'outer_second_cfi', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '11' => { -+ 'bitfield' => '3', -+ 'name' => 'outer_second_prio', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '12' => { -+ 'bitfield' => '16', -+ 'name' => 'gre_protocol', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '13' => { -+ 'bitfield' => '1', -+ 'name' => 'inner_second_svlan_tag', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '14' => { -+ 'bitfield' => '1', -+ 'name' => 'outer_second_svlan_tag', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '15' => { -+ 'bitfield' => '1', -+ 'name' => 'inner_second_cvlan_tag', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '16' => { -+ 'bitfield' => '1', -+ 'name' => 'outer_second_cvlan_tag', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '17' => { -+ 'bitfield' => '8', -+ 'name' => 'gre_key_l', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '18' => { -+ 'bitfield' => '24', -+ 'name' => 'gre_key_h', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '19' => { -+ 'bitfield' => '24', -+ 'name' => 'vxlan_vni', -+ 'offset' => '20', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'bitfield' => '1', -+ 'name' => 'gre_s_present', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '20' => { -+ 'bitfield' => '1', -+ 'name' => 'geneve_oam', -+ 'offset' => '20', -+ 'type' => '1554' -+ }, -+ '21' => { -+ 'bitfield' => '24', -+ 'name' => 'geneve_vni', -+ 'offset' => '24', -+ 'type' => '1554' -+ }, -+ '22' => { -+ 'bitfield' => '20', -+ 'name' => 'outer_ipv6_flow_label', -+ 'offset' => '28', -+ 'type' => '1554' -+ }, -+ '23' => { -+ 'bitfield' => '20', -+ 'name' => 'inner_ipv6_flow_label', -+ 'offset' => '32', -+ 'type' => '1554' -+ }, -+ '24' => { -+ 'bitfield' => '16', -+ 'name' => 'geneve_protocol_type', -+ 'offset' => '36', -+ 'type' => '1554' -+ }, -+ '25' => { -+ 'bitfield' => '6', -+ 'name' => 'geneve_opt_len', -+ 'offset' => '36', -+ 'type' => '1554' -+ }, -+ '26' => { -+ 'bitfield' => '24', -+ 'name' => 'bth_dst_qp', -+ 'offset' => '40', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'bitfield' => '1', -+ 'name' => 'gre_k_present', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'bitfield' => '1', -+ 'name' => 'gre_c_present', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '5' => { -+ 'bitfield' => '16', -+ 'name' => 'source_port', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '6' => { -+ 'bitfield' => '12', -+ 'name' => 'inner_second_vid', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '7' => { -+ 'bitfield' => '1', -+ 'name' => 'inner_second_cfi', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '8' => { -+ 'bitfield' => '3', -+ 'name' => 'inner_second_prio', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '9' => { -+ 'bitfield' => '12', -+ 'name' => 'outer_second_vid', -+ 'offset' => '8', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct dr_match_misc', -+ 'Size' => '44', -+ 'Type' => 'Struct' -+ }, -+ '249743' => { -+ 'Header' => undef, -+ 'Line' => '536', -+ 'Memb' => { -+ '0' => { -+ 'bitfield' => '8', -+ 'name' => 'outer_first_mpls_ttl', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'bitfield' => '1', -+ 'name' => 'outer_first_mpls_s_bos', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '10' => { -+ 'bitfield' => '3', -+ 'name' => 'outer_first_mpls_over_gre_exp', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '11' => { -+ 'bitfield' => '20', -+ 'name' => 'outer_first_mpls_over_gre_label', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '12' => { -+ 'bitfield' => '8', -+ 'name' => 'outer_first_mpls_over_udp_ttl', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '13' => { -+ 'bitfield' => '1', -+ 'name' => 'outer_first_mpls_over_udp_s_bos', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '14' => { -+ 'bitfield' => '3', -+ 'name' => 'outer_first_mpls_over_udp_exp', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '15' => { -+ 'bitfield' => '20', -+ 'name' => 'outer_first_mpls_over_udp_label', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '16' => { -+ 'name' => 'metadata_reg_c_7', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '17' => { -+ 'name' => 'metadata_reg_c_6', -+ 'offset' => '20', -+ 'type' => '1554' -+ }, -+ '18' => { -+ 'name' => 'metadata_reg_c_5', -+ 'offset' => '24', -+ 'type' => '1554' -+ }, -+ '19' => { -+ 'name' => 'metadata_reg_c_4', -+ 'offset' => '28', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'bitfield' => '3', -+ 'name' => 'outer_first_mpls_exp', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '20' => { -+ 'name' => 'metadata_reg_c_3', -+ 'offset' => '32', -+ 'type' => '1554' -+ }, -+ '21' => { -+ 'name' => 'metadata_reg_c_2', -+ 'offset' => '36', -+ 'type' => '1554' -+ }, -+ '22' => { -+ 'name' => 'metadata_reg_c_1', -+ 'offset' => '40', -+ 'type' => '1554' -+ }, -+ '23' => { -+ 'name' => 'metadata_reg_c_0', -+ 'offset' => '44', -+ 'type' => '1554' -+ }, -+ '24' => { -+ 'name' => 'metadata_reg_a', -+ 'offset' => '48', -+ 'type' => '1554' -+ }, -+ '25' => { -+ 'name' => 'metadata_reg_b', -+ 'offset' => '52', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'bitfield' => '20', -+ 'name' => 'outer_first_mpls_label', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'bitfield' => '8', -+ 'name' => 'inner_first_mpls_ttl', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '5' => { -+ 'bitfield' => '1', -+ 'name' => 'inner_first_mpls_s_bos', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '6' => { -+ 'bitfield' => '3', -+ 'name' => 'inner_first_mpls_exp', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '7' => { -+ 'bitfield' => '20', -+ 'name' => 'inner_first_mpls_label', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '8' => { -+ 'bitfield' => '8', -+ 'name' => 'outer_first_mpls_over_gre_ttl', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '9' => { -+ 'bitfield' => '1', -+ 'name' => 'outer_first_mpls_over_gre_s_bos', -+ 'offset' => '8', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct dr_match_misc2', -+ 'Size' => '56', -+ 'Type' => 'Struct' -+ }, -+ '250170' => { -+ 'Header' => undef, -+ 'Line' => '565', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'inner_tcp_seq_num', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'name' => 'outer_tcp_seq_num', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '10' => { -+ 'name' => 'icmpv6_type', -+ 'offset' => '33', -+ 'type' => '1530' -+ }, -+ '11' => { -+ 'name' => 'icmpv4_code', -+ 'offset' => '34', -+ 'type' => '1530' -+ }, -+ '12' => { -+ 'name' => 'icmpv4_type', -+ 'offset' => '35', -+ 'type' => '1530' -+ }, -+ '13' => { -+ 'name' => 'gtpu_teid', -+ 'offset' => '36', -+ 'type' => '1554' -+ }, -+ '14' => { -+ 'bitfield' => '8', -+ 'name' => 'gtpu_msg_type', -+ 'offset' => '40', -+ 'type' => '1554' -+ }, -+ '15' => { -+ 'bitfield' => '3', -+ 'name' => 'gtpu_flags', -+ 'offset' => '40', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'inner_tcp_ack_num', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'outer_tcp_ack_num', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'bitfield' => '24', -+ 'name' => 'outer_vxlan_gpe_vni', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '5' => { -+ 'bitfield' => '8', -+ 'name' => 'outer_vxlan_gpe_flags', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '6' => { -+ 'bitfield' => '8', -+ 'name' => 'outer_vxlan_gpe_next_protocol', -+ 'offset' => '20', -+ 'type' => '1554' -+ }, -+ '7' => { -+ 'name' => 'icmpv4_header_data', -+ 'offset' => '24', -+ 'type' => '1554' -+ }, -+ '8' => { -+ 'name' => 'icmpv6_header_data', -+ 'offset' => '28', -+ 'type' => '1554' -+ }, -+ '9' => { -+ 'name' => 'icmpv6_code', -+ 'offset' => '32', -+ 'type' => '1530' -+ } -+ }, -+ 'Name' => 'struct dr_match_misc3', -+ 'Size' => '44', -+ 'Type' => 'Struct' -+ }, -+ '250424' => { -+ 'Header' => undef, -+ 'Line' => '605', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'gvmi', -+ 'offset' => '0', -+ 'type' => '1542' -+ }, -+ '1' => { -+ 'name' => 'icm_address_rx', -+ 'offset' => '8', -+ 'type' => '1571' -+ }, -+ '2' => { -+ 'name' => 'icm_address_tx', -+ 'offset' => '16', -+ 'type' => '1571' -+ } -+ }, -+ 'Name' => 'struct dr_devx_vport_cap', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '250481' => { -+ 'Header' => undef, -+ 'Line' => '611', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'fl_rc_qp_when_roce_enabled', -+ 'offset' => '0', -+ 'type' => '1523' -+ } -+ }, -+ 'Name' => 'struct dr_devx_roce_cap', -+ 'Size' => '1', -+ 'Type' => 'Struct' -+ }, -+ '250510' => { -+ 'BaseType' => '250424', -+ 'Name' => 'struct dr_devx_vport_cap*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '250918' => { -+ 'Header' => undef, -+ 'Line' => '681', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'type', -+ 'offset' => '0', -+ 'type' => '1530' -+ }, -+ '1' => { -+ 'name' => 'level', -+ 'offset' => '1', -+ 'type' => '1530' -+ }, -+ '2' => { -+ 'name' => 'ft_dvo', -+ 'offset' => '8', -+ 'type' => '239698' -+ }, -+ '3' => { -+ 'name' => 'fg_dvo', -+ 'offset' => '16', -+ 'type' => '239698' -+ }, -+ '4' => { -+ 'name' => 'fte_dvo', -+ 'offset' => '24', -+ 'type' => '239698' -+ } -+ }, -+ 'Name' => 'struct dr_devx_tbl', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '251102' => { -+ 'Header' => undef, -+ 'Line' => '698', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'drop_icm_addr', -+ 'offset' => '0', -+ 'type' => '1571' -+ }, -+ '1' => { -+ 'name' => 'default_icm_addr', -+ 'offset' => '8', -+ 'type' => '1571' -+ }, -+ '2' => { -+ 'name' => 'ste_type', -+ 'offset' => '16', -+ 'type' => '247244' -+ } -+ }, -+ 'Name' => 'struct dr_domain_rx_tx', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '251159' => { -+ 'Header' => undef, -+ 'Line' => '704', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'supp_sw_steering', -+ 'offset' => '0', -+ 'type' => '1523' -+ }, -+ '1' => { -+ 'name' => 'max_inline_size', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'max_send_wr', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'max_log_sw_icm_sz', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'max_log_action_icm_sz', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '5' => { -+ 'name' => 'rx', -+ 'offset' => '24', -+ 'type' => '251102' -+ }, -+ '6' => { -+ 'name' => 'tx', -+ 'offset' => '48', -+ 'type' => '251102' -+ }, -+ '7' => { -+ 'name' => 'attr', -+ 'offset' => '72', -+ 'type' => '2459' -+ }, -+ '8' => { -+ 'name' => 'caps', -+ 'offset' => '304', -+ 'type' => '248094' -+ } -+ }, -+ 'Name' => 'struct dr_domain_info', -+ 'Size' => '408', -+ 'Type' => 'Struct' -+ }, -+ '251300' => { -+ 'Header' => undef, -+ 'Line' => '720', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'ctx', -+ 'offset' => '0', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'ste_ctx', -+ 'offset' => '8', -+ 'type' => '251504' -+ }, -+ '10' => { -+ 'name' => 'info', -+ 'offset' => '104', -+ 'type' => '251159' -+ }, -+ '11' => { -+ 'name' => 'tbl_list', -+ 'offset' => '512', -+ 'type' => '13868' -+ }, -+ '12' => { -+ 'name' => 'flags', -+ 'offset' => '528', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'offset' => '16', -+ 'type' => '5731' -+ }, -+ '3' => { -+ 'name' => 'uar', -+ 'offset' => '24', -+ 'type' => '251510' -+ }, -+ '4' => { -+ 'name' => 'type', -+ 'offset' => '32', -+ 'type' => '240143' -+ }, -+ '5' => { -+ 'name' => 'refcount', -+ 'offset' => '36', -+ 'type' => '128' -+ }, -+ '6' => { -+ 'name' => 'mutex', -+ 'offset' => '40', -+ 'type' => '225250' -+ }, -+ '7' => { -+ 'name' => 'ste_icm_pool', -+ 'offset' => '80', -+ 'type' => '251521' -+ }, -+ '8' => { -+ 'name' => 'action_icm_pool', -+ 'offset' => '88', -+ 'type' => '251521' -+ }, -+ '9' => { -+ 'name' => 'send_ring', -+ 'offset' => '96', -+ 'type' => '251709' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_dr_domain', -+ 'Size' => '536', -+ 'Type' => 'Struct' -+ }, -+ '251499' => { -+ 'Header' => undef, -+ 'Line' => '138', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'build_eth_l2_src_dst_init', -+ 'offset' => '0', -+ 'type' => '533669' -+ }, -+ '1' => { -+ 'name' => 'build_eth_l3_ipv6_src_init', -+ 'offset' => '8', -+ 'type' => '533669' -+ }, -+ '10' => { -+ 'name' => 'build_tnl_gre_init', -+ 'offset' => '80', -+ 'type' => '533669' -+ }, -+ '11' => { -+ 'name' => 'build_tnl_mpls_init', -+ 'offset' => '88', -+ 'type' => '533669' -+ }, -+ '12' => { -+ 'name' => 'build_icmp_init', -+ 'offset' => '96', -+ 'type' => '533703' -+ }, -+ '13' => { -+ 'name' => 'build_general_purpose_init', -+ 'offset' => '104', -+ 'type' => '533669' -+ }, -+ '14' => { -+ 'name' => 'build_eth_l4_misc_init', -+ 'offset' => '112', -+ 'type' => '533669' -+ }, -+ '15' => { -+ 'name' => 'build_tnl_vxlan_gpe_init', -+ 'offset' => '120', -+ 'type' => '533669' -+ }, -+ '16' => { -+ 'name' => 'build_tnl_geneve_init', -+ 'offset' => '128', -+ 'type' => '533669' -+ }, -+ '17' => { -+ 'name' => 'build_tnl_gtpu_init', -+ 'offset' => '136', -+ 'type' => '533669' -+ }, -+ '18' => { -+ 'name' => 'build_register_0_init', -+ 'offset' => '144', -+ 'type' => '533669' -+ }, -+ '19' => { -+ 'name' => 'build_register_1_init', -+ 'offset' => '152', -+ 'type' => '533669' -+ }, -+ '2' => { -+ 'name' => 'build_eth_l3_ipv6_dst_init', -+ 'offset' => '16', -+ 'type' => '533669' -+ }, -+ '20' => { -+ 'name' => 'build_src_gvmi_qpn_init', -+ 'offset' => '160', -+ 'type' => '533669' -+ }, -+ '21' => { -+ 'name' => 'ste_init', -+ 'offset' => '168', -+ 'type' => '533767' -+ }, -+ '22' => { -+ 'name' => 'set_next_lu_type', -+ 'offset' => '176', -+ 'type' => '533789' -+ }, -+ '23' => { -+ 'name' => 'get_next_lu_type', -+ 'offset' => '184', -+ 'type' => '533810' -+ }, -+ '24' => { -+ 'name' => 'set_miss_addr', -+ 'offset' => '192', -+ 'type' => '533832' -+ }, -+ '25' => { -+ 'name' => 'get_miss_addr', -+ 'offset' => '200', -+ 'type' => '533853' -+ }, -+ '26' => { -+ 'name' => 'set_hit_addr', -+ 'offset' => '208', -+ 'type' => '533880' -+ }, -+ '27' => { -+ 'name' => 'set_byte_mask', -+ 'offset' => '216', -+ 'type' => '533789' -+ }, -+ '28' => { -+ 'name' => 'get_byte_mask', -+ 'offset' => '224', -+ 'type' => '533810' -+ }, -+ '29' => { -+ 'name' => 'set_actions_rx', -+ 'offset' => '232', -+ 'type' => '533918' -+ }, -+ '3' => { -+ 'name' => 'build_eth_l3_ipv4_5_tuple_init', -+ 'offset' => '24', -+ 'type' => '533669' -+ }, -+ '30' => { -+ 'name' => 'set_actions_tx', -+ 'offset' => '240', -+ 'type' => '533918' -+ }, -+ '31' => { -+ 'name' => 'modify_field_arr_sz', -+ 'offset' => '248', -+ 'type' => '1554' -+ }, -+ '32' => { -+ 'name' => 'modify_field_arr', -+ 'offset' => '256', -+ 'type' => '265533' -+ }, -+ '33' => { -+ 'name' => 'set_action_set', -+ 'offset' => '264', -+ 'type' => '533961' -+ }, -+ '34' => { -+ 'name' => 'set_action_add', -+ 'offset' => '272', -+ 'type' => '533961' -+ }, -+ '35' => { -+ 'name' => 'set_action_copy', -+ 'offset' => '280', -+ 'type' => '534003' -+ }, -+ '36' => { -+ 'name' => 'set_action_decap_l3_list', -+ 'offset' => '288', -+ 'type' => '534050' -+ }, -+ '37' => { -+ 'name' => 'prepare_for_postsend', -+ 'offset' => '296', -+ 'type' => '534072' -+ }, -+ '4' => { -+ 'name' => 'build_eth_l2_src_init', -+ 'offset' => '32', -+ 'type' => '533669' -+ }, -+ '5' => { -+ 'name' => 'build_eth_l2_dst_init', -+ 'offset' => '40', -+ 'type' => '533669' -+ }, -+ '6' => { -+ 'name' => 'build_eth_l2_tnl_init', -+ 'offset' => '48', -+ 'type' => '533669' -+ }, -+ '7' => { -+ 'name' => 'build_eth_l3_ipv4_misc_init', -+ 'offset' => '56', -+ 'type' => '533669' -+ }, -+ '8' => { -+ 'name' => 'build_eth_ipv6_l3_l4_init', -+ 'offset' => '64', -+ 'type' => '533669' -+ }, -+ '9' => { -+ 'name' => 'build_mpls_init', -+ 'offset' => '72', -+ 'type' => '533669' -+ } -+ }, -+ 'Name' => 'struct dr_ste_ctx', -+ 'Size' => '304', -+ 'Type' => 'Struct' -+ }, -+ '251504' => { -+ 'BaseType' => '251499', -+ 'Name' => 'struct dr_ste_ctx*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '251510' => { -+ 'BaseType' => '15137', -+ 'Name' => 'struct mlx5dv_devx_uar*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '251516' => { -+ 'Header' => undef, -+ 'Line' => '38', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'icm_type', -+ 'offset' => '0', -+ 'type' => '388832' -+ }, -+ '1' => { -+ 'name' => 'dmn', -+ 'offset' => '8', -+ 'type' => '251764' -+ }, -+ '2' => { -+ 'name' => 'max_log_chunk_sz', -+ 'offset' => '16', -+ 'type' => '247081' -+ }, -+ '3' => { -+ 'name' => 'mutex', -+ 'offset' => '24', -+ 'type' => '369617' -+ }, -+ '4' => { -+ 'name' => 'buddy_mem_list', -+ 'offset' => '64', -+ 'type' => '13868' -+ }, -+ '5' => { -+ 'name' => 'hot_memory_size', -+ 'offset' => '80', -+ 'type' => '1571' -+ } -+ }, -+ 'Name' => 'struct dr_icm_pool', -+ 'Size' => '88', -+ 'Type' => 'Struct' -+ }, -+ '251521' => { -+ 'BaseType' => '251516', -+ 'Name' => 'struct dr_icm_pool*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '251527' => { -+ 'Header' => undef, -+ 'Line' => '1157', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'cq', -+ 'offset' => '0', -+ 'type' => '253949' -+ }, -+ '1' => { -+ 'name' => 'qp', -+ 'offset' => '48', -+ 'type' => '254074' -+ }, -+ '10' => { -+ 'name' => 'sync_buff', -+ 'offset' => '3168', -+ 'type' => '240736' -+ }, -+ '11' => { -+ 'name' => 'sync_mr', -+ 'offset' => '3232', -+ 'type' => '5539' -+ }, -+ '2' => { -+ 'name' => 'mr', -+ 'offset' => '56', -+ 'type' => '5539' -+ }, -+ '3' => { -+ 'name' => 'pending_wqe', -+ 'offset' => '64', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'signal_th', -+ 'offset' => '68', -+ 'type' => '1542' -+ }, -+ '5' => { -+ 'name' => 'max_post_send_size', -+ 'offset' => '72', -+ 'type' => '1554' -+ }, -+ '6' => { -+ 'name' => 'tx_head', -+ 'offset' => '76', -+ 'type' => '1554' -+ }, -+ '7' => { -+ 'name' => 'buf', -+ 'offset' => '80', -+ 'type' => '219' -+ }, -+ '8' => { -+ 'name' => 'buf_size', -+ 'offset' => '88', -+ 'type' => '1554' -+ }, -+ '9' => { -+ 'name' => 'wc', -+ 'offset' => '96', -+ 'type' => '254080' -+ } -+ }, -+ 'Name' => 'struct dr_send_ring', -+ 'Size' => '3240', -+ 'Type' => 'Struct' -+ }, -+ '251709' => { -+ 'BaseType' => '251527', -+ 'Name' => 'struct dr_send_ring*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '251715' => { -+ 'Header' => undef, -+ 'Line' => '736', -+ 'Memb' => { -+ '0' => { -+ 'name' => 's_anchor', -+ 'offset' => '0', -+ 'type' => '247724' -+ }, -+ '1' => { -+ 'name' => 'nic_dmn', -+ 'offset' => '8', -+ 'type' => '251758' -+ } -+ }, -+ 'Name' => 'struct dr_table_rx_tx', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '251758' => { -+ 'BaseType' => '251102', -+ 'Name' => 'struct dr_domain_rx_tx*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '251764' => { -+ 'BaseType' => '251300', -+ 'Name' => 'struct mlx5dv_dr_domain*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '251770' => { -+ 'Header' => undef, -+ 'Line' => '753', -+ 'Memb' => { -+ '0' => { -+ 'name' => 's_htbl', -+ 'offset' => '0', -+ 'type' => '247724' -+ }, -+ '1' => { -+ 'name' => 'e_anchor', -+ 'offset' => '8', -+ 'type' => '247724' -+ }, -+ '2' => { -+ 'name' => 'ste_builder', -+ 'offset' => '16', -+ 'type' => '251873' -+ }, -+ '3' => { -+ 'name' => 'num_of_builders', -+ 'offset' => '832', -+ 'type' => '1530' -+ }, -+ '4' => { -+ 'name' => 'default_icm_addr', -+ 'offset' => '840', -+ 'type' => '1571' -+ }, -+ '5' => { -+ 'name' => 'nic_tbl', -+ 'offset' => '848', -+ 'type' => '251889' -+ } -+ }, -+ 'Name' => 'struct dr_matcher_rx_tx', -+ 'Size' => '856', -+ 'Type' => 'Struct' -+ }, -+ '251873' => { -+ 'BaseType' => '247990', -+ 'Name' => 'struct dr_ste_build[17]', -+ 'Size' => '816', -+ 'Type' => 'Array' -+ }, -+ '251889' => { -+ 'BaseType' => '251715', -+ 'Name' => 'struct dr_table_rx_tx*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '251895' => { -+ 'Header' => undef, -+ 'Line' => '762', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'tbl', -+ 'offset' => '0', -+ 'type' => '240405' -+ }, -+ '1' => { -+ 'name' => 'rx', -+ 'offset' => '8', -+ 'type' => '251770' -+ }, -+ '2' => { -+ 'name' => 'tx', -+ 'offset' => '864', -+ 'type' => '251770' -+ }, -+ '3' => { -+ 'name' => 'matcher_list', -+ 'offset' => '1720', -+ 'type' => '13822' -+ }, -+ '4' => { -+ 'name' => 'prio', -+ 'offset' => '1736', -+ 'type' => '1542' -+ }, -+ '5' => { -+ 'name' => 'mask', -+ 'offset' => '1740', -+ 'type' => '248510' -+ }, -+ '6' => { -+ 'name' => 'match_criteria', -+ 'offset' => '2012', -+ 'type' => '1530' -+ }, -+ '7' => { -+ 'name' => 'refcount', -+ 'offset' => '2016', -+ 'type' => '128' -+ }, -+ '8' => { -+ 'name' => 'dv_matcher', -+ 'offset' => '2024', -+ 'type' => '252057' -+ }, -+ '9' => { -+ 'name' => 'rule_list', -+ 'offset' => '2032', -+ 'type' => '13868' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_dr_matcher', -+ 'Size' => '2048', -+ 'Type' => 'Struct' -+ }, -+ '252057' => { -+ 'BaseType' => '246895', -+ 'Name' => 'struct mlx5dv_flow_matcher*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '252063' => { -+ 'Header' => undef, -+ 'Line' => '783', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hw_field', -+ 'offset' => '0', -+ 'type' => '1542' -+ }, -+ '1' => { -+ 'name' => 'start', -+ 'offset' => '2', -+ 'type' => '1530' -+ }, -+ '2' => { -+ 'name' => 'end', -+ 'offset' => '3', -+ 'type' => '1530' -+ }, -+ '3' => { -+ 'name' => 'l3_type', -+ 'offset' => '4', -+ 'type' => '1530' -+ }, -+ '4' => { -+ 'name' => 'l4_type', -+ 'offset' => '5', -+ 'type' => '1530' -+ } -+ }, -+ 'Name' => 'struct dr_ste_action_modify_field', -+ 'Size' => '6', -+ 'Type' => 'Struct' -+ }, -+ '252148' => { -+ 'BaseType' => '252063', -+ 'Name' => 'struct dr_ste_action_modify_field const', -+ 'Size' => '6', -+ 'Type' => 'Const' -+ }, -+ '252153' => { -+ 'Header' => undef, -+ 'Line' => '791', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'ref_actions_num', -+ 'offset' => '0', -+ 'type' => '1542' -+ }, -+ '1' => { -+ 'name' => 'ref_actions', -+ 'offset' => '8', -+ 'type' => '240545' -+ }, -+ '2' => { -+ 'name' => 'devx_tbl', -+ 'offset' => '16', -+ 'type' => '252210' -+ } -+ }, -+ 'Name' => 'struct dr_devx_tbl_with_refs', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '252210' => { -+ 'BaseType' => '250918', -+ 'Name' => 'struct dr_devx_tbl*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '252216' => { -+ 'Header' => undef, -+ 'Line' => '797', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'devx_obj', -+ 'offset' => '0', -+ 'type' => '239698' -+ }, -+ '1' => { -+ 'name' => 'rx_icm_addr', -+ 'offset' => '8', -+ 'type' => '1571' -+ }, -+ '2' => { -+ 'name' => 'tx_icm_addr', -+ 'offset' => '16', -+ 'type' => '1571' -+ }, -+ '3' => { -+ 'name' => 'next_ft', -+ 'offset' => '24', -+ 'type' => '240405' -+ } -+ }, -+ 'Name' => 'struct dr_flow_sampler', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '252287' => { -+ 'Header' => undef, -+ 'Line' => '804', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'tbl', -+ 'offset' => '0', -+ 'type' => '240405' -+ }, -+ '1' => { -+ 'name' => 'matcher', -+ 'offset' => '8', -+ 'type' => '252372' -+ }, -+ '2' => { -+ 'name' => 'rule', -+ 'offset' => '16', -+ 'type' => '252441' -+ }, -+ '3' => { -+ 'name' => 'actions', -+ 'offset' => '24', -+ 'type' => '240545' -+ }, -+ '4' => { -+ 'name' => 'num_of_actions', -+ 'offset' => '32', -+ 'type' => '1542' -+ } -+ }, -+ 'Name' => 'struct dr_flow_sampler_restore_tbl', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '252372' => { -+ 'BaseType' => '251895', -+ 'Name' => 'struct mlx5dv_dr_matcher*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '252378' => { -+ 'Header' => undef, -+ 'Line' => '909', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'matcher', -+ 'offset' => '0', -+ 'type' => '252372' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '253432' -+ }, -+ '2' => { -+ 'name' => 'rule_actions_list', -+ 'offset' => '56', -+ 'type' => '13868' -+ }, -+ '3' => { -+ 'name' => 'rule_list', -+ 'offset' => '72', -+ 'type' => '13822' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_dr_rule', -+ 'Size' => '88', -+ 'Type' => 'Struct' -+ }, -+ '252441' => { -+ 'BaseType' => '252378', -+ 'Name' => 'struct mlx5dv_dr_rule*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '252447' => { -+ 'Header' => undef, -+ 'Line' => '821', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'chunk', -+ 'offset' => '0', -+ 'type' => '247972' -+ }, -+ '1' => { -+ 'name' => 'data', -+ 'offset' => '8', -+ 'type' => '6754' -+ }, -+ '2' => { -+ 'name' => 'data_size', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'num_of_actions', -+ 'offset' => '20', -+ 'type' => '1542' -+ }, -+ '4' => { -+ 'name' => 'index', -+ 'offset' => '24', -+ 'type' => '1554' -+ }, -+ '5' => { -+ 'name' => 'allow_rx', -+ 'offset' => '28', -+ 'type' => '1523' -+ }, -+ '6' => { -+ 'name' => 'allow_tx', -+ 'offset' => '29', -+ 'type' => '1523' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '252556' => { -+ 'Header' => undef, -+ 'Line' => '819', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'flow_action', -+ 'offset' => '0', -+ 'type' => '13076' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '252447' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Union' -+ }, -+ '252585' => { -+ 'Header' => undef, -+ 'Line' => '816', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dmn', -+ 'offset' => '0', -+ 'type' => '251764' -+ }, -+ '1' => { -+ 'name' => 'is_root_level', -+ 'offset' => '8', -+ 'type' => '1523' -+ }, -+ '2' => { -+ 'name' => 'unnamed0', -+ 'offset' => '16', -+ 'type' => '252556' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '252630' => { -+ 'Header' => undef, -+ 'Line' => '837', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dvo', -+ 'offset' => '0', -+ 'type' => '239698' -+ }, -+ '1' => { -+ 'name' => 'reformat_size', -+ 'offset' => '8', -+ 'type' => '1554' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '252669' => { -+ 'Header' => undef, -+ 'Line' => '835', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'flow_action', -+ 'offset' => '0', -+ 'type' => '13076' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '252630' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Union' -+ }, -+ '252698' => { -+ 'Header' => undef, -+ 'Line' => '832', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dmn', -+ 'offset' => '0', -+ 'type' => '251764' -+ }, -+ '1' => { -+ 'name' => 'is_root_level', -+ 'offset' => '8', -+ 'type' => '1523' -+ }, -+ '2' => { -+ 'name' => 'unnamed0', -+ 'offset' => '16', -+ 'type' => '252669' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '252743' => { -+ 'Header' => undef, -+ 'Line' => '843', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'next_ft', -+ 'offset' => '0', -+ 'type' => '240405' -+ }, -+ '1' => { -+ 'name' => 'devx_obj', -+ 'offset' => '8', -+ 'type' => '239698' -+ }, -+ '2' => { -+ 'name' => 'rx_icm_addr', -+ 'offset' => '16', -+ 'type' => '1571' -+ }, -+ '3' => { -+ 'name' => 'tx_icm_addr', -+ 'offset' => '24', -+ 'type' => '1571' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '252810' => { -+ 'Header' => undef, -+ 'Line' => '849', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dmn', -+ 'offset' => '0', -+ 'type' => '251764' -+ }, -+ '1' => { -+ 'name' => 'term_tbl', -+ 'offset' => '8', -+ 'type' => '252891' -+ }, -+ '2' => { -+ 'name' => 'sampler_default', -+ 'offset' => '16', -+ 'type' => '252897' -+ }, -+ '3' => { -+ 'name' => 'restore_tbl', -+ 'offset' => '24', -+ 'type' => '252903' -+ }, -+ '4' => { -+ 'name' => 'sampler_restore', -+ 'offset' => '32', -+ 'type' => '252897' -+ } -+ }, -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '252891' => { -+ 'BaseType' => '252153', -+ 'Name' => 'struct dr_devx_tbl_with_refs*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '252897' => { -+ 'BaseType' => '252216', -+ 'Name' => 'struct dr_flow_sampler*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '252903' => { -+ 'BaseType' => '252287', -+ 'Name' => 'struct dr_flow_sampler_restore_tbl*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '252909' => { -+ 'Header' => undef, -+ 'Line' => '857', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dmn', -+ 'offset' => '0', -+ 'type' => '251764' -+ }, -+ '1' => { -+ 'name' => 'actions_list', -+ 'offset' => '8', -+ 'type' => '13868' -+ }, -+ '2' => { -+ 'name' => 'devx_tbl', -+ 'offset' => '24', -+ 'type' => '252210' -+ }, -+ '3' => { -+ 'name' => 'rx_icm_addr', -+ 'offset' => '32', -+ 'type' => '1571' -+ }, -+ '4' => { -+ 'name' => 'tx_icm_addr', -+ 'offset' => '40', -+ 'type' => '1571' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '252990' => { -+ 'Header' => undef, -+ 'Line' => '864', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'devx_obj', -+ 'offset' => '0', -+ 'type' => '239698' -+ }, -+ '1' => { -+ 'name' => 'offset', -+ 'offset' => '8', -+ 'type' => '1554' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '253029' => { -+ 'Header' => undef, -+ 'Line' => '868', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dmn', -+ 'offset' => '0', -+ 'type' => '251764' -+ }, -+ '1' => { -+ 'name' => 'caps', -+ 'offset' => '8', -+ 'type' => '250510' -+ }, -+ '2' => { -+ 'name' => 'num', -+ 'offset' => '16', -+ 'type' => '1554' -+ } -+ }, -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '253082' => { -+ 'Header' => undef, -+ 'Line' => '875', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'devx_tir', -+ 'offset' => '0', -+ 'type' => '239698' -+ }, -+ '1' => { -+ 'name' => 'qp', -+ 'offset' => '0', -+ 'type' => '4522' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Union' -+ }, -+ '253118' => { -+ 'Header' => undef, -+ 'Line' => '873', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'is_qp', -+ 'offset' => '0', -+ 'type' => '1523' -+ }, -+ '1' => { -+ 'name' => 'unnamed0', -+ 'offset' => '8', -+ 'type' => '253082' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '253149' => { -+ 'Header' => undef, -+ 'Line' => '815', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rewrite', -+ 'offset' => '0', -+ 'type' => '252585' -+ }, -+ '1' => { -+ 'name' => 'reformat', -+ 'offset' => '0', -+ 'type' => '252698' -+ }, -+ '10' => { -+ 'name' => 'flow_tag', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'meter', -+ 'offset' => '0', -+ 'type' => '252743' -+ }, -+ '3' => { -+ 'name' => 'sampler', -+ 'offset' => '0', -+ 'type' => '252810' -+ }, -+ '4' => { -+ 'name' => 'dest_tbl', -+ 'offset' => '0', -+ 'type' => '240405' -+ }, -+ '5' => { -+ 'name' => 'dest_array', -+ 'offset' => '0', -+ 'type' => '252909' -+ }, -+ '6' => { -+ 'name' => 'ctr', -+ 'offset' => '0', -+ 'type' => '252990' -+ }, -+ '7' => { -+ 'name' => 'vport', -+ 'offset' => '0', -+ 'type' => '253029' -+ }, -+ '8' => { -+ 'name' => 'dest_qp', -+ 'offset' => '0', -+ 'type' => '253118' -+ }, -+ '9' => { -+ 'name' => 'devx_obj', -+ 'offset' => '0', -+ 'type' => '239698' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Union' -+ }, -+ '253346' => { -+ 'Header' => undef, -+ 'Line' => '904', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rule_members_list', -+ 'offset' => '0', -+ 'type' => '13868' -+ }, -+ '1' => { -+ 'name' => 'nic_matcher', -+ 'offset' => '16', -+ 'type' => '253389' -+ } -+ }, -+ 'Name' => 'struct dr_rule_rx_tx', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '253389' => { -+ 'BaseType' => '251770', -+ 'Name' => 'struct dr_matcher_rx_tx*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '253395' => { -+ 'Header' => undef, -+ 'Line' => '912', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rx', -+ 'offset' => '0', -+ 'type' => '253346' -+ }, -+ '1' => { -+ 'name' => 'tx', -+ 'offset' => '24', -+ 'type' => '253346' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '253432' => { -+ 'Header' => undef, -+ 'Line' => '911', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '253395' -+ }, -+ '1' => { -+ 'name' => 'flow', -+ 'offset' => '0', -+ 'type' => '12897' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Union' -+ }, -+ '253461' => { -+ 'Header' => undef, -+ 'Line' => '1192', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'bits', -+ 'offset' => '0', -+ 'type' => '254096' -+ }, -+ '1' => { -+ 'name' => 'num_free', -+ 'offset' => '8', -+ 'type' => '19415' -+ }, -+ '2' => { -+ 'name' => 'set_bit', -+ 'offset' => '16', -+ 'type' => '254096' -+ }, -+ '3' => { -+ 'name' => 'max_order', -+ 'offset' => '24', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'list_node', -+ 'offset' => '32', -+ 'type' => '13822' -+ }, -+ '5' => { -+ 'name' => 'icm_mr', -+ 'offset' => '48', -+ 'type' => '254113' -+ }, -+ '6' => { -+ 'name' => 'pool', -+ 'offset' => '56', -+ 'type' => '251521' -+ }, -+ '7' => { -+ 'name' => 'used_list', -+ 'offset' => '64', -+ 'type' => '13868' -+ }, -+ '8' => { -+ 'name' => 'used_memory', -+ 'offset' => '80', -+ 'type' => '314' -+ }, -+ '9' => { -+ 'name' => 'hot_list', -+ 'offset' => '88', -+ 'type' => '13868' -+ } -+ }, -+ 'Name' => 'struct dr_icm_buddy_mem', -+ 'Size' => '104', -+ 'Type' => 'Struct' -+ }, -+ '253616' => { -+ 'BaseType' => '253461', -+ 'Name' => 'struct dr_icm_buddy_mem*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '253622' => { -+ 'Header' => undef, -+ 'Line' => '1116', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wqe_head', -+ 'offset' => '0', -+ 'type' => '19415' -+ }, -+ '1' => { -+ 'name' => 'wqe_cnt', -+ 'offset' => '8', -+ 'type' => '59' -+ }, -+ '2' => { -+ 'name' => 'max_post', -+ 'offset' => '12', -+ 'type' => '59' -+ }, -+ '3' => { -+ 'name' => 'head', -+ 'offset' => '16', -+ 'type' => '59' -+ }, -+ '4' => { -+ 'name' => 'tail', -+ 'offset' => '20', -+ 'type' => '59' -+ }, -+ '5' => { -+ 'name' => 'cur_post', -+ 'offset' => '24', -+ 'type' => '59' -+ }, -+ '6' => { -+ 'name' => 'max_gs', -+ 'offset' => '28', -+ 'type' => '128' -+ }, -+ '7' => { -+ 'name' => 'wqe_shift', -+ 'offset' => '32', -+ 'type' => '128' -+ }, -+ '8' => { -+ 'name' => 'offset', -+ 'offset' => '36', -+ 'type' => '128' -+ }, -+ '9' => { -+ 'name' => 'qend', -+ 'offset' => '40', -+ 'type' => '219' -+ } -+ }, -+ 'Name' => 'struct dr_wq', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '253777' => { -+ 'Header' => undef, -+ 'Line' => '1129', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'buf', -+ 'offset' => '0', -+ 'type' => '18667' -+ }, -+ '1' => { -+ 'name' => 'sq', -+ 'offset' => '64', -+ 'type' => '253622' -+ }, -+ '10' => { -+ 'name' => 'db_umem', -+ 'offset' => '216', -+ 'type' => '253943' -+ }, -+ '2' => { -+ 'name' => 'rq', -+ 'offset' => '112', -+ 'type' => '253622' -+ }, -+ '3' => { -+ 'name' => 'sq_size', -+ 'offset' => '160', -+ 'type' => '128' -+ }, -+ '4' => { -+ 'name' => 'sq_start', -+ 'offset' => '168', -+ 'type' => '219' -+ }, -+ '5' => { -+ 'name' => 'max_inline_data', -+ 'offset' => '176', -+ 'type' => '128' -+ }, -+ '6' => { -+ 'name' => 'db', -+ 'offset' => '184', -+ 'type' => '14911' -+ }, -+ '7' => { -+ 'name' => 'obj', -+ 'offset' => '192', -+ 'type' => '239698' -+ }, -+ '8' => { -+ 'name' => 'uar', -+ 'offset' => '200', -+ 'type' => '251510' -+ }, -+ '9' => { -+ 'name' => 'buf_umem', -+ 'offset' => '208', -+ 'type' => '253943' -+ } -+ }, -+ 'Name' => 'struct dr_qp', -+ 'Size' => '224', -+ 'Type' => 'Struct' -+ }, -+ '253943' => { -+ 'BaseType' => '240029', -+ 'Name' => 'struct mlx5dv_devx_umem*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '253949' => { -+ 'Header' => undef, -+ 'Line' => '1143', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'buf', -+ 'offset' => '0', -+ 'type' => '6754' -+ }, -+ '1' => { -+ 'name' => 'cons_index', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'ncqe', -+ 'offset' => '12', -+ 'type' => '128' -+ }, -+ '3' => { -+ 'name' => 'qp', -+ 'offset' => '16', -+ 'type' => '254074' -+ }, -+ '4' => { -+ 'name' => 'db', -+ 'offset' => '24', -+ 'type' => '14911' -+ }, -+ '5' => { -+ 'name' => 'ibv_cq', -+ 'offset' => '32', -+ 'type' => '4320' -+ }, -+ '6' => { -+ 'name' => 'cqn', -+ 'offset' => '40', -+ 'type' => '1554' -+ }, -+ '7' => { -+ 'name' => 'cqe_sz', -+ 'offset' => '44', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct dr_cq', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '254074' => { -+ 'BaseType' => '253777', -+ 'Name' => 'struct dr_qp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '254080' => { -+ 'BaseType' => '5164', -+ 'Name' => 'struct ibv_wc[64]', -+ 'Size' => '3072', -+ 'Type' => 'Array' -+ }, -+ '254108' => { -+ 'Header' => undef, -+ 'Line' => '48', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'mr', -+ 'offset' => '0', -+ 'type' => '5539' -+ }, -+ '1' => { -+ 'name' => 'dm', -+ 'offset' => '8', -+ 'type' => '2411' -+ }, -+ '2' => { -+ 'name' => 'icm_start_addr', -+ 'offset' => '16', -+ 'type' => '1571' -+ } -+ }, -+ 'Name' => 'struct dr_icm_mr', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '254113' => { -+ 'BaseType' => '254108', -+ 'Name' => 'struct dr_icm_mr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '256222' => { -+ 'BaseType' => '256228', -+ 'Name' => 'struct mlx5dv_dr_action_dest_attr**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '256228' => { -+ 'BaseType' => '240701', -+ 'Name' => 'struct mlx5dv_dr_action_dest_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '258965' => { -+ 'BaseType' => '240411', -+ 'Name' => 'struct mlx5dv_dr_flow_sampler_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '261175' => { -+ 'BaseType' => '240181', -+ 'Name' => 'struct mlx5dv_dr_flow_meter_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '264971' => { -+ 'BaseType' => '1643', -+ 'Name' => '__be64*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '265533' => { -+ 'BaseType' => '252148', -+ 'Name' => 'struct dr_ste_action_modify_field const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '270243' => { -+ 'BaseType' => '239704', -+ 'Name' => 'struct mlx5dv_flow_action_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '271259' => { -+ 'BaseType' => '248608', -+ 'Name' => 'struct dr_ste_actions_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '28308' => { -+ 'BaseType' => '13868', -+ 'Name' => 'struct list_head*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '2993' => { -+ 'BaseType' => '244', -+ 'Name' => 'char[64]', -+ 'Size' => '64', -+ 'Type' => 'Array' -+ }, -+ '31362' => { -+ 'BaseType' => '1595', -+ 'Header' => undef, -+ 'Line' => '25', -+ 'Name' => '__be16', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '314' => { -+ 'BaseType' => '66', -+ 'Header' => undef, -+ 'Line' => '209', -+ 'Name' => 'size_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '314602' => { -+ 'BaseType' => '1530', -+ 'Name' => 'uint8_t[6]', -+ 'Size' => '6', -+ 'Type' => 'Array' -+ }, -+ '333' => { -+ 'Name' => 'unsigned long long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '367326' => { -+ 'BaseType' => '1542', -+ 'Name' => 'uint16_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '382735' => { -+ 'Header' => undef, -+ 'Line' => '62', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'MLX5_IB_UAPI_DM_TYPE_MEMIC', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'MLX5_IB_UAPI_DM_TYPE_STEERING_SW_ICM', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'MLX5_IB_UAPI_DM_TYPE_HEADER_MODIFY_SW_ICM', -+ 'value' => '2' -+ } -+ }, -+ 'Name' => 'enum mlx5_ib_uapi_dm_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '383361' => { -+ 'Header' => undef, -+ 'Line' => '467', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'type', -+ 'offset' => '0', -+ 'type' => '382735' -+ }, -+ '1' => { -+ 'name' => 'comp_mask', -+ 'offset' => '8', -+ 'type' => '1571' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_alloc_dm_attr', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '388832' => { -+ 'Header' => undef, -+ 'Line' => '82', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'DR_ICM_TYPE_STE', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'DR_ICM_TYPE_MODIFY_ACTION', -+ 'value' => '1' -+ } -+ }, -+ 'Name' => 'enum dr_icm_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '413576' => { -+ 'Header' => undef, -+ 'Line' => '314', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'type', -+ 'offset' => '0', -+ 'type' => '10233' -+ }, -+ '1' => { -+ 'name' => 'flags', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'priority', -+ 'offset' => '8', -+ 'type' => '1542' -+ }, -+ '3' => { -+ 'name' => 'match_criteria_enable', -+ 'offset' => '10', -+ 'type' => '1530' -+ }, -+ '4' => { -+ 'name' => 'match_mask', -+ 'offset' => '16', -+ 'type' => '239464' -+ }, -+ '5' => { -+ 'name' => 'comp_mask', -+ 'offset' => '24', -+ 'type' => '1571' -+ }, -+ '6' => { -+ 'name' => 'ft_type', -+ 'offset' => '32', -+ 'type' => '238822' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_flow_matcher_attr', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '4179' => { -+ 'Header' => undef, -+ 'Line' => '1439', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'channel', -+ 'offset' => '8', -+ 'type' => '9378' -+ }, -+ '2' => { -+ 'name' => 'cq_context', -+ 'offset' => '16', -+ 'type' => '219' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '24', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'cqe', -+ 'offset' => '28', -+ 'type' => '128' -+ }, -+ '5' => { -+ 'name' => 'mutex', -+ 'offset' => '32', -+ 'type' => '825' -+ }, -+ '6' => { -+ 'name' => 'cond', -+ 'offset' => '72', -+ 'type' => '899' -+ }, -+ '7' => { -+ 'name' => 'comp_events_completed', -+ 'offset' => '120', -+ 'type' => '1554' -+ }, -+ '8' => { -+ 'name' => 'async_events_completed', -+ 'offset' => '124', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct ibv_cq', -+ 'Size' => '128', -+ 'Type' => 'Struct' -+ }, -+ '4320' => { -+ 'BaseType' => '4179', -+ 'Name' => 'struct ibv_cq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '4326' => { -+ 'Header' => undef, -+ 'Line' => '1233', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'qp_context', -+ 'offset' => '8', -+ 'type' => '219' -+ }, -+ '10' => { -+ 'name' => 'mutex', -+ 'offset' => '64', -+ 'type' => '825' -+ }, -+ '11' => { -+ 'name' => 'cond', -+ 'offset' => '104', -+ 'type' => '899' -+ }, -+ '12' => { -+ 'name' => 'events_completed', -+ 'offset' => '152', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'offset' => '16', -+ 'type' => '5731' -+ }, -+ '3' => { -+ 'name' => 'send_cq', -+ 'offset' => '24', -+ 'type' => '4320' -+ }, -+ '4' => { -+ 'name' => 'recv_cq', -+ 'offset' => '32', -+ 'type' => '4320' -+ }, -+ '5' => { -+ 'name' => 'srq', -+ 'offset' => '40', -+ 'type' => '4640' -+ }, -+ '6' => { -+ 'name' => 'handle', -+ 'offset' => '48', -+ 'type' => '1554' -+ }, -+ '7' => { -+ 'name' => 'qp_num', -+ 'offset' => '52', -+ 'type' => '1554' -+ }, -+ '8' => { -+ 'name' => 'state', -+ 'offset' => '56', -+ 'type' => '7089' -+ }, -+ '9' => { -+ 'name' => 'qp_type', -+ 'offset' => '60', -+ 'type' => '6536' -+ } -+ }, -+ 'Name' => 'struct ibv_qp', -+ 'Size' => '160', -+ 'Type' => 'Struct' -+ }, -+ '45' => { -+ 'Name' => 'unsigned char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '4522' => { -+ 'BaseType' => '4326', -+ 'Name' => 'struct ibv_qp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '4528' => { -+ 'Header' => undef, -+ 'Line' => '1193', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'srq_context', -+ 'offset' => '8', -+ 'type' => '219' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'offset' => '16', -+ 'type' => '5731' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '24', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'mutex', -+ 'offset' => '32', -+ 'type' => '825' -+ }, -+ '5' => { -+ 'name' => 'cond', -+ 'offset' => '72', -+ 'type' => '899' -+ }, -+ '6' => { -+ 'name' => 'events_completed', -+ 'offset' => '120', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct ibv_srq', -+ 'Size' => '128', -+ 'Type' => 'Struct' -+ }, -+ '4640' => { -+ 'BaseType' => '4528', -+ 'Name' => 'struct ibv_srq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '4646' => { -+ 'Header' => undef, -+ 'Line' => '1215', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'wq_context', -+ 'offset' => '8', -+ 'type' => '219' -+ }, -+ '10' => { -+ 'name' => 'cond', -+ 'offset' => '96', -+ 'type' => '899' -+ }, -+ '11' => { -+ 'name' => 'events_completed', -+ 'offset' => '144', -+ 'type' => '1554' -+ }, -+ '12' => { -+ 'name' => 'comp_mask', -+ 'offset' => '148', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'offset' => '16', -+ 'type' => '5731' -+ }, -+ '3' => { -+ 'name' => 'cq', -+ 'offset' => '24', -+ 'type' => '4320' -+ }, -+ '4' => { -+ 'name' => 'wq_num', -+ 'offset' => '32', -+ 'type' => '1554' -+ }, -+ '5' => { -+ 'name' => 'handle', -+ 'offset' => '36', -+ 'type' => '1554' -+ }, -+ '6' => { -+ 'name' => 'state', -+ 'offset' => '40', -+ 'type' => '6273' -+ }, -+ '7' => { -+ 'name' => 'wq_type', -+ 'offset' => '44', -+ 'type' => '6122' -+ }, -+ '8' => { -+ 'name' => 'post_recv', -+ 'offset' => '48', -+ 'type' => '8510' -+ }, -+ '9' => { -+ 'name' => 'mutex', -+ 'offset' => '56', -+ 'type' => '825' -+ } -+ }, -+ 'Name' => 'struct ibv_wq', -+ 'Size' => '152', -+ 'Type' => 'Struct' -+ }, -+ '47677' => { -+ 'BaseType' => '1530', -+ 'Name' => 'uint8_t[4]', -+ 'Size' => '4', -+ 'Type' => 'Array' -+ }, -+ '48139' => { -+ 'Header' => undef, -+ 'Line' => '1180', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'nsec', -+ 'offset' => '0', -+ 'type' => '1571' -+ }, -+ '1' => { -+ 'name' => 'last_cycles', -+ 'offset' => '8', -+ 'type' => '1571' -+ }, -+ '2' => { -+ 'name' => 'frac', -+ 'offset' => '16', -+ 'type' => '1571' -+ }, -+ '3' => { -+ 'name' => 'mult', -+ 'offset' => '24', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'shift', -+ 'offset' => '28', -+ 'type' => '1554' -+ }, -+ '5' => { -+ 'name' => 'mask', -+ 'offset' => '32', -+ 'type' => '1571' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_clock_info', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '4841' => { -+ 'BaseType' => '4646', -+ 'Name' => 'struct ibv_wq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '4847' => { -+ 'Header' => undef, -+ 'Line' => '468', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WC_SUCCESS', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WC_LOC_LEN_ERR', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IBV_WC_REM_ACCESS_ERR', -+ 'value' => '10' -+ }, -+ '11' => { -+ 'name' => 'IBV_WC_REM_OP_ERR', -+ 'value' => '11' -+ }, -+ '12' => { -+ 'name' => 'IBV_WC_RETRY_EXC_ERR', -+ 'value' => '12' -+ }, -+ '13' => { -+ 'name' => 'IBV_WC_RNR_RETRY_EXC_ERR', -+ 'value' => '13' -+ }, -+ '14' => { -+ 'name' => 'IBV_WC_LOC_RDD_VIOL_ERR', -+ 'value' => '14' -+ }, -+ '15' => { -+ 'name' => 'IBV_WC_REM_INV_RD_REQ_ERR', -+ 'value' => '15' -+ }, -+ '16' => { -+ 'name' => 'IBV_WC_REM_ABORT_ERR', -+ 'value' => '16' -+ }, -+ '17' => { -+ 'name' => 'IBV_WC_INV_EECN_ERR', -+ 'value' => '17' -+ }, -+ '18' => { -+ 'name' => 'IBV_WC_INV_EEC_STATE_ERR', -+ 'value' => '18' -+ }, -+ '19' => { -+ 'name' => 'IBV_WC_FATAL_ERR', -+ 'value' => '19' -+ }, -+ '2' => { -+ 'name' => 'IBV_WC_LOC_QP_OP_ERR', -+ 'value' => '2' -+ }, -+ '20' => { -+ 'name' => 'IBV_WC_RESP_TIMEOUT_ERR', -+ 'value' => '20' -+ }, -+ '21' => { -+ 'name' => 'IBV_WC_GENERAL_ERR', -+ 'value' => '21' -+ }, -+ '22' => { -+ 'name' => 'IBV_WC_TM_ERR', -+ 'value' => '22' -+ }, -+ '23' => { -+ 'name' => 'IBV_WC_TM_RNDV_INCOMPLETE', -+ 'value' => '23' -+ }, -+ '3' => { -+ 'name' => 'IBV_WC_LOC_EEC_OP_ERR', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_WC_LOC_PROT_ERR', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_WC_WR_FLUSH_ERR', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_WC_MW_BIND_ERR', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_WC_BAD_RESP_ERR', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'IBV_WC_LOC_ACCESS_ERR', -+ 'value' => '8' -+ }, -+ '9' => { -+ 'name' => 'IBV_WC_REM_INV_REQ_ERR', -+ 'value' => '9' -+ } -+ }, -+ 'Name' => 'enum ibv_wc_status', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '5011' => { -+ 'Header' => undef, -+ 'Line' => '496', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WC_SEND', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WC_RDMA_WRITE', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IBV_WC_TM_ADD', -+ 'value' => '130' -+ }, -+ '11' => { -+ 'name' => 'IBV_WC_TM_DEL', -+ 'value' => '131' -+ }, -+ '12' => { -+ 'name' => 'IBV_WC_TM_SYNC', -+ 'value' => '132' -+ }, -+ '13' => { -+ 'name' => 'IBV_WC_TM_RECV', -+ 'value' => '133' -+ }, -+ '14' => { -+ 'name' => 'IBV_WC_TM_NO_TAG', -+ 'value' => '134' -+ }, -+ '15' => { -+ 'name' => 'IBV_WC_DRIVER1', -+ 'value' => '135' -+ }, -+ '2' => { -+ 'name' => 'IBV_WC_RDMA_READ', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_WC_COMP_SWAP', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_WC_FETCH_ADD', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_WC_BIND_MW', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_WC_LOCAL_INV', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_WC_TSO', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'IBV_WC_RECV', -+ 'value' => '128' -+ }, -+ '9' => { -+ 'name' => 'IBV_WC_RECV_RDMA_WITH_IMM', -+ 'value' => '129' -+ } -+ }, -+ 'Name' => 'enum ibv_wc_opcode', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '5127' => { -+ 'Header' => undef, -+ 'Line' => '577', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'imm_data', -+ 'offset' => '0', -+ 'type' => '1631' -+ }, -+ '1' => { -+ 'name' => 'invalidated_rkey', -+ 'offset' => '0', -+ 'type' => '1554' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Union' -+ }, -+ '5164' => { -+ 'Header' => undef, -+ 'Line' => '568', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '1571' -+ }, -+ '1' => { -+ 'name' => 'status', -+ 'offset' => '8', -+ 'type' => '4847' -+ }, -+ '10' => { -+ 'name' => 'slid', -+ 'offset' => '42', -+ 'type' => '1542' -+ }, -+ '11' => { -+ 'name' => 'sl', -+ 'offset' => '44', -+ 'type' => '1530' -+ }, -+ '12' => { -+ 'name' => 'dlid_path_bits', -+ 'offset' => '45', -+ 'type' => '1530' -+ }, -+ '2' => { -+ 'name' => 'opcode', -+ 'offset' => '12', -+ 'type' => '5011' -+ }, -+ '3' => { -+ 'name' => 'vendor_err', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'byte_len', -+ 'offset' => '20', -+ 'type' => '1554' -+ }, -+ '5' => { -+ 'name' => 'unnamed0', -+ 'offset' => '24', -+ 'type' => '5127' -+ }, -+ '6' => { -+ 'name' => 'qp_num', -+ 'offset' => '28', -+ 'type' => '1554' -+ }, -+ '7' => { -+ 'name' => 'src_qp', -+ 'offset' => '32', -+ 'type' => '1554' -+ }, -+ '8' => { -+ 'name' => 'wc_flags', -+ 'offset' => '36', -+ 'type' => '59' -+ }, -+ '9' => { -+ 'name' => 'pkey_index', -+ 'offset' => '40', -+ 'type' => '1542' -+ } -+ }, -+ 'Name' => 'struct ibv_wc', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '52' => { -+ 'Name' => 'unsigned short', -+ 'Size' => '2', -+ 'Type' => 'Intrinsic' -+ }, -+ '533669' => { -+ 'BaseType' => '533681', -+ 'Header' => undef, -+ 'Line' => '133', -+ 'Name' => 'dr_ste_builder_void_init', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '533681' => { -+ 'Name' => 'void(*)(struct dr_ste_build*, struct dr_match_param*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '248596' -+ }, -+ '1' => { -+ 'type' => '248504' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '533703' => { -+ 'BaseType' => '533715', -+ 'Header' => undef, -+ 'Line' => '136', -+ 'Name' => 'dr_ste_builder_int_init', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '533715' => { -+ 'Name' => 'int(*)(struct dr_ste_build*, struct dr_match_param*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '248596' -+ }, -+ '1' => { -+ 'type' => '248504' -+ } -+ }, -+ 'Return' => '128', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '533767' => { -+ 'Name' => 'void(*)(uint8_t*, uint16_t, uint8_t, uint16_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6754' -+ }, -+ '1' => { -+ 'type' => '1542' -+ }, -+ '2' => { -+ 'type' => '1530' -+ }, -+ '3' => { -+ 'type' => '1542' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '533789' => { -+ 'Name' => 'void(*)(uint8_t*, uint16_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6754' -+ }, -+ '1' => { -+ 'type' => '1542' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '533810' => { -+ 'Name' => 'uint16_t(*)(uint8_t*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6754' -+ } -+ }, -+ 'Return' => '1542', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '533832' => { -+ 'Name' => 'void(*)(uint8_t*, uint64_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6754' -+ }, -+ '1' => { -+ 'type' => '1571' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '533853' => { -+ 'Name' => 'uint64_t(*)(uint8_t*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6754' -+ } -+ }, -+ 'Return' => '1571', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '533880' => { -+ 'Name' => 'void(*)(uint8_t*, uint64_t, uint32_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6754' -+ }, -+ '1' => { -+ 'type' => '1571' -+ }, -+ '2' => { -+ 'type' => '1554' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '533918' => { -+ 'Name' => 'void(*)(uint8_t*, uint8_t*, struct dr_ste_actions_attr*, uint32_t*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6754' -+ }, -+ '1' => { -+ 'type' => '6754' -+ }, -+ '2' => { -+ 'type' => '271259' -+ }, -+ '3' => { -+ 'type' => '13725' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '533961' => { -+ 'Name' => 'void(*)(uint8_t*, uint8_t, uint8_t, uint8_t, uint32_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6754' -+ }, -+ '1' => { -+ 'type' => '1530' -+ }, -+ '2' => { -+ 'type' => '1530' -+ }, -+ '3' => { -+ 'type' => '1530' -+ }, -+ '4' => { -+ 'type' => '1554' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '534003' => { -+ 'Name' => 'void(*)(uint8_t*, uint8_t, uint8_t, uint8_t, uint8_t, uint8_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6754' -+ }, -+ '1' => { -+ 'type' => '1530' -+ }, -+ '2' => { -+ 'type' => '1530' -+ }, -+ '3' => { -+ 'type' => '1530' -+ }, -+ '4' => { -+ 'type' => '1530' -+ }, -+ '5' => { -+ 'type' => '1530' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '534050' => { -+ 'Name' => 'int(*)(void*, uint32_t, uint8_t*, uint32_t, uint16_t*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '219' -+ }, -+ '1' => { -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'type' => '6754' -+ }, -+ '3' => { -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'type' => '367326' -+ } -+ }, -+ 'Return' => '128', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '534072' => { -+ 'Name' => 'void(*)(uint8_t*, uint32_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '6754' -+ }, -+ '1' => { -+ 'type' => '1554' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '5352' => { -+ 'Header' => undef, -+ 'Line' => '602', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'mr', -+ 'offset' => '0', -+ 'type' => '5539' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'offset' => '8', -+ 'type' => '1571' -+ }, -+ '2' => { -+ 'name' => 'length', -+ 'offset' => '16', -+ 'type' => '1571' -+ }, -+ '3' => { -+ 'name' => 'mw_access_flags', -+ 'offset' => '24', -+ 'type' => '59' -+ } -+ }, -+ 'Name' => 'struct ibv_mw_bind_info', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '5422' => { -+ 'BaseType' => '5352', -+ 'Name' => 'struct ibv_mw_bind_info const', -+ 'Size' => '32', -+ 'Type' => 'Const' -+ }, -+ '5427' => { -+ 'Header' => undef, -+ 'Line' => '645', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'offset' => '8', -+ 'type' => '5731' -+ }, -+ '2' => { -+ 'name' => 'addr', -+ 'offset' => '16', -+ 'type' => '219' -+ }, -+ '3' => { -+ 'name' => 'length', -+ 'offset' => '24', -+ 'type' => '314' -+ }, -+ '4' => { -+ 'name' => 'handle', -+ 'offset' => '32', -+ 'type' => '1554' -+ }, -+ '5' => { -+ 'name' => 'lkey', -+ 'offset' => '36', -+ 'type' => '1554' -+ }, -+ '6' => { -+ 'name' => 'rkey', -+ 'offset' => '40', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct ibv_mr', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '5539' => { -+ 'BaseType' => '5427', -+ 'Name' => 'struct ibv_mr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '5545' => { -+ 'Header' => undef, -+ 'Line' => '609', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'handle', -+ 'offset' => '8', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct ibv_pd', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '5617' => { -+ 'Header' => undef, -+ 'Line' => '618', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ } -+ }, -+ 'Name' => 'struct ibv_td', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '5702' => { -+ 'Header' => undef, -+ 'Line' => '634', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ } -+ }, -+ 'Name' => 'struct ibv_xrcd', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '5731' => { -+ 'BaseType' => '5545', -+ 'Name' => 'struct ibv_pd*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '5737' => { -+ 'Header' => undef, -+ 'Line' => '655', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_MW_TYPE_1', -+ 'value' => '1' -+ }, -+ '1' => { -+ 'name' => 'IBV_MW_TYPE_2', -+ 'value' => '2' -+ } -+ }, -+ 'Name' => 'enum ibv_mw_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '5769' => { -+ 'Header' => undef, -+ 'Line' => '660', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'offset' => '8', -+ 'type' => '5731' -+ }, -+ '2' => { -+ 'name' => 'rkey', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '20', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'type', -+ 'offset' => '24', -+ 'type' => '5737' -+ } -+ }, -+ 'Name' => 'struct ibv_mw', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '59' => { -+ 'Name' => 'unsigned int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '6116' => { -+ 'BaseType' => '5702', -+ 'Name' => 'struct ibv_xrcd*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '6122' => { -+ 'Header' => undef, -+ 'Line' => '795', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WQT_RQ', -+ 'value' => '0' -+ } -+ }, -+ 'Name' => 'enum ibv_wq_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '6148' => { -+ 'Header' => undef, -+ 'Line' => '812', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wq_context', -+ 'offset' => '0', -+ 'type' => '219' -+ }, -+ '1' => { -+ 'name' => 'wq_type', -+ 'offset' => '8', -+ 'type' => '6122' -+ }, -+ '2' => { -+ 'name' => 'max_wr', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'max_sge', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'pd', -+ 'offset' => '24', -+ 'type' => '5731' -+ }, -+ '5' => { -+ 'name' => 'cq', -+ 'offset' => '32', -+ 'type' => '4320' -+ }, -+ '6' => { -+ 'name' => 'comp_mask', -+ 'offset' => '40', -+ 'type' => '1554' -+ }, -+ '7' => { -+ 'name' => 'create_flags', -+ 'offset' => '44', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct ibv_wq_init_attr', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '6273' => { -+ 'Header' => undef, -+ 'Line' => '823', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WQS_RESET', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WQS_RDY', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_WQS_ERR', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_WQS_UNKNOWN', -+ 'value' => '3' -+ } -+ }, -+ 'Name' => 'enum ibv_wq_state', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '6402' => { -+ 'Header' => undef, -+ 'Line' => '855', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'ind_tbl_handle', -+ 'offset' => '8', -+ 'type' => '128' -+ }, -+ '2' => { -+ 'name' => 'ind_tbl_num', -+ 'offset' => '12', -+ 'type' => '128' -+ }, -+ '3' => { -+ 'name' => 'comp_mask', -+ 'offset' => '16', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct ibv_rwq_ind_table', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '6536' => { -+ 'Header' => undef, -+ 'Line' => '876', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_QPT_RC', -+ 'value' => '2' -+ }, -+ '1' => { -+ 'name' => 'IBV_QPT_UC', -+ 'value' => '3' -+ }, -+ '2' => { -+ 'name' => 'IBV_QPT_UD', -+ 'value' => '4' -+ }, -+ '3' => { -+ 'name' => 'IBV_QPT_RAW_PACKET', -+ 'value' => '8' -+ }, -+ '4' => { -+ 'name' => 'IBV_QPT_XRC_SEND', -+ 'value' => '9' -+ }, -+ '5' => { -+ 'name' => 'IBV_QPT_XRC_RECV', -+ 'value' => '10' -+ }, -+ '6' => { -+ 'name' => 'IBV_QPT_DRIVER', -+ 'value' => '255' -+ } -+ }, -+ 'Name' => 'enum ibv_qp_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '6598' => { -+ 'Header' => undef, -+ 'Line' => '886', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'max_send_wr', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'name' => 'max_recv_wr', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'max_send_sge', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'max_recv_sge', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'max_inline_data', -+ 'offset' => '16', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_cap', -+ 'Size' => '20', -+ 'Type' => 'Struct' -+ }, -+ '66' => { -+ 'Name' => 'unsigned long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '6683' => { -+ 'Header' => undef, -+ 'Line' => '936', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rx_hash_function', -+ 'offset' => '0', -+ 'type' => '1530' -+ }, -+ '1' => { -+ 'name' => 'rx_hash_key_len', -+ 'offset' => '1', -+ 'type' => '1530' -+ }, -+ '2' => { -+ 'name' => 'rx_hash_key', -+ 'offset' => '8', -+ 'type' => '6754' -+ }, -+ '3' => { -+ 'name' => 'rx_hash_fields_mask', -+ 'offset' => '16', -+ 'type' => '1571' -+ } -+ }, -+ 'Name' => 'struct ibv_rx_hash_conf', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '6754' => { -+ 'BaseType' => '1530', -+ 'Name' => 'uint8_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '6760' => { -+ 'Header' => undef, -+ 'Line' => '945', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp_context', -+ 'offset' => '0', -+ 'type' => '219' -+ }, -+ '1' => { -+ 'name' => 'send_cq', -+ 'offset' => '8', -+ 'type' => '4320' -+ }, -+ '10' => { -+ 'name' => 'create_flags', -+ 'offset' => '80', -+ 'type' => '1554' -+ }, -+ '11' => { -+ 'name' => 'max_tso_header', -+ 'offset' => '84', -+ 'type' => '1542' -+ }, -+ '12' => { -+ 'name' => 'rwq_ind_tbl', -+ 'offset' => '88', -+ 'type' => '6998' -+ }, -+ '13' => { -+ 'name' => 'rx_hash_conf', -+ 'offset' => '96', -+ 'type' => '6683' -+ }, -+ '14' => { -+ 'name' => 'source_qpn', -+ 'offset' => '120', -+ 'type' => '1554' -+ }, -+ '15' => { -+ 'name' => 'send_ops_flags', -+ 'offset' => '128', -+ 'type' => '1571' -+ }, -+ '2' => { -+ 'name' => 'recv_cq', -+ 'offset' => '16', -+ 'type' => '4320' -+ }, -+ '3' => { -+ 'name' => 'srq', -+ 'offset' => '24', -+ 'type' => '4640' -+ }, -+ '4' => { -+ 'name' => 'cap', -+ 'offset' => '32', -+ 'type' => '6598' -+ }, -+ '5' => { -+ 'name' => 'qp_type', -+ 'offset' => '52', -+ 'type' => '6536' -+ }, -+ '6' => { -+ 'name' => 'sq_sig_all', -+ 'offset' => '56', -+ 'type' => '128' -+ }, -+ '7' => { -+ 'name' => 'comp_mask', -+ 'offset' => '60', -+ 'type' => '1554' -+ }, -+ '8' => { -+ 'name' => 'pd', -+ 'offset' => '64', -+ 'type' => '5731' -+ }, -+ '9' => { -+ 'name' => 'xrcd', -+ 'offset' => '72', -+ 'type' => '6116' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_init_attr_ex', -+ 'Size' => '136', -+ 'Type' => 'Struct' -+ }, -+ '6998' => { -+ 'BaseType' => '6402', -+ 'Name' => 'struct ibv_rwq_ind_table*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '7089' => { -+ 'Header' => undef, -+ 'Line' => '1014', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_QPS_RESET', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_QPS_INIT', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_QPS_RTR', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_QPS_RTS', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_QPS_SQD', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_QPS_SQE', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_QPS_ERR', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_QPS_UNKNOWN', -+ 'value' => '7' -+ } -+ }, -+ 'Name' => 'enum ibv_qp_state', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '7228' => { -+ 'Header' => undef, -+ 'Line' => '1067', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WR_RDMA_WRITE', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WR_RDMA_WRITE_WITH_IMM', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IBV_WR_TSO', -+ 'value' => '10' -+ }, -+ '11' => { -+ 'name' => 'IBV_WR_DRIVER1', -+ 'value' => '11' -+ }, -+ '2' => { -+ 'name' => 'IBV_WR_SEND', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_WR_SEND_WITH_IMM', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_WR_RDMA_READ', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_WR_ATOMIC_CMP_AND_SWP', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_WR_ATOMIC_FETCH_AND_ADD', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_WR_LOCAL_INV', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'IBV_WR_BIND_MW', -+ 'value' => '8' -+ }, -+ '9' => { -+ 'name' => 'IBV_WR_SEND_WITH_INV', -+ 'value' => '9' -+ } -+ }, -+ 'Name' => 'enum ibv_wr_opcode', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '7320' => { -+ 'Header' => undef, -+ 'Line' => '1090', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'addr', -+ 'offset' => '0', -+ 'type' => '219' -+ }, -+ '1' => { -+ 'name' => 'length', -+ 'offset' => '8', -+ 'type' => '314' -+ } -+ }, -+ 'Name' => 'struct ibv_data_buf', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '7363' => { -+ 'BaseType' => '7320', -+ 'Name' => 'struct ibv_data_buf const', -+ 'Size' => '16', -+ 'Type' => 'Const' -+ }, -+ '7368' => { -+ 'Header' => undef, -+ 'Line' => '1095', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'addr', -+ 'offset' => '0', -+ 'type' => '1571' -+ }, -+ '1' => { -+ 'name' => 'length', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'lkey', -+ 'offset' => '12', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct ibv_sge', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '7425' => { -+ 'BaseType' => '7368', -+ 'Name' => 'struct ibv_sge const', -+ 'Size' => '16', -+ 'Type' => 'Const' -+ }, -+ '7430' => { -+ 'Header' => undef, -+ 'Line' => '1111', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'imm_data', -+ 'offset' => '0', -+ 'type' => '1631' -+ }, -+ '1' => { -+ 'name' => 'invalidate_rkey', -+ 'offset' => '0', -+ 'type' => '1554' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Union' -+ }, -+ '743185' => { -+ 'Header' => undef, -+ 'Line' => '412', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'buf', -+ 'offset' => '0', -+ 'type' => '219' -+ }, -+ '1' => { -+ 'name' => 'wqe_cnt', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'stride', -+ 'offset' => '12', -+ 'type' => '1554' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '743238' => { -+ 'Header' => undef, -+ 'Line' => '417', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'buf', -+ 'offset' => '0', -+ 'type' => '219' -+ }, -+ '1' => { -+ 'name' => 'wqe_cnt', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'stride', -+ 'offset' => '12', -+ 'type' => '1554' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '743291' => { -+ 'Header' => undef, -+ 'Line' => '422', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'reg', -+ 'offset' => '0', -+ 'type' => '219' -+ }, -+ '1' => { -+ 'name' => 'size', -+ 'offset' => '8', -+ 'type' => '1554' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '743330' => { -+ 'Header' => undef, -+ 'Line' => '410', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dbrec', -+ 'offset' => '0', -+ 'type' => '14911' -+ }, -+ '1' => { -+ 'name' => 'sq', -+ 'offset' => '8', -+ 'type' => '743185' -+ }, -+ '10' => { -+ 'name' => 'tir_icm_addr', -+ 'offset' => '88', -+ 'type' => '1571' -+ }, -+ '2' => { -+ 'name' => 'rq', -+ 'offset' => '24', -+ 'type' => '743238' -+ }, -+ '3' => { -+ 'name' => 'bf', -+ 'offset' => '40', -+ 'type' => '743291' -+ }, -+ '4' => { -+ 'name' => 'comp_mask', -+ 'offset' => '56', -+ 'type' => '1571' -+ }, -+ '5' => { -+ 'name' => 'uar_mmap_offset', -+ 'offset' => '64', -+ 'type' => '1411' -+ }, -+ '6' => { -+ 'name' => 'tirn', -+ 'offset' => '72', -+ 'type' => '1554' -+ }, -+ '7' => { -+ 'name' => 'tisn', -+ 'offset' => '76', -+ 'type' => '1554' -+ }, -+ '8' => { -+ 'name' => 'rqn', -+ 'offset' => '80', -+ 'type' => '1554' -+ }, -+ '9' => { -+ 'name' => 'sqn', -+ 'offset' => '84', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_qp', -+ 'Size' => '96', -+ 'Type' => 'Struct' -+ }, -+ '743502' => { -+ 'Header' => undef, -+ 'Line' => '435', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'buf', -+ 'offset' => '0', -+ 'type' => '219' -+ }, -+ '1' => { -+ 'name' => 'dbrec', -+ 'offset' => '8', -+ 'type' => '14911' -+ }, -+ '2' => { -+ 'name' => 'cqe_cnt', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'cqe_size', -+ 'offset' => '20', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'cq_uar', -+ 'offset' => '24', -+ 'type' => '219' -+ }, -+ '5' => { -+ 'name' => 'cqn', -+ 'offset' => '32', -+ 'type' => '1554' -+ }, -+ '6' => { -+ 'name' => 'comp_mask', -+ 'offset' => '40', -+ 'type' => '1571' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_cq', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '743615' => { -+ 'Header' => undef, -+ 'Line' => '449', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'buf', -+ 'offset' => '0', -+ 'type' => '219' -+ }, -+ '1' => { -+ 'name' => 'dbrec', -+ 'offset' => '8', -+ 'type' => '14911' -+ }, -+ '2' => { -+ 'name' => 'stride', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'head', -+ 'offset' => '20', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'tail', -+ 'offset' => '24', -+ 'type' => '1554' -+ }, -+ '5' => { -+ 'name' => 'comp_mask', -+ 'offset' => '32', -+ 'type' => '1571' -+ }, -+ '6' => { -+ 'name' => 'srqn', -+ 'offset' => '40', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_srq', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '743728' => { -+ 'Header' => undef, -+ 'Line' => '459', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'buf', -+ 'offset' => '0', -+ 'type' => '219' -+ }, -+ '1' => { -+ 'name' => 'dbrec', -+ 'offset' => '8', -+ 'type' => '14911' -+ }, -+ '2' => { -+ 'name' => 'wqe_cnt', -+ 'offset' => '16', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'stride', -+ 'offset' => '20', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'comp_mask', -+ 'offset' => '24', -+ 'type' => '1571' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_rwq', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '743813' => { -+ 'Header' => undef, -+ 'Line' => '476', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'buf', -+ 'offset' => '0', -+ 'type' => '219' -+ }, -+ '1' => { -+ 'name' => 'length', -+ 'offset' => '8', -+ 'type' => '1571' -+ }, -+ '2' => { -+ 'name' => 'comp_mask', -+ 'offset' => '16', -+ 'type' => '1571' -+ }, -+ '3' => { -+ 'name' => 'remote_va', -+ 'offset' => '24', -+ 'type' => '1571' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_dm', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '743884' => { -+ 'Header' => undef, -+ 'Line' => '489', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'av', -+ 'offset' => '0', -+ 'type' => '744095' -+ }, -+ '1' => { -+ 'name' => 'comp_mask', -+ 'offset' => '8', -+ 'type' => '1571' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_ah', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '743926' => { -+ 'Header' => undef, -+ 'Line' => '830', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'key', -+ 'offset' => '0', -+ 'type' => '745599' -+ }, -+ '1' => { -+ 'name' => 'dqp_dct', -+ 'offset' => '8', -+ 'type' => '1631' -+ }, -+ '10' => { -+ 'name' => 'rgid', -+ 'offset' => '32', -+ 'type' => '225355' -+ }, -+ '2' => { -+ 'name' => 'stat_rate_sl', -+ 'offset' => '12', -+ 'type' => '1530' -+ }, -+ '3' => { -+ 'name' => 'fl_mlid', -+ 'offset' => '13', -+ 'type' => '1530' -+ }, -+ '4' => { -+ 'name' => 'rlid', -+ 'offset' => '14', -+ 'type' => '31362' -+ }, -+ '5' => { -+ 'name' => 'reserved0', -+ 'offset' => '16', -+ 'type' => '47677' -+ }, -+ '6' => { -+ 'name' => 'rmac', -+ 'offset' => '20', -+ 'type' => '314602' -+ }, -+ '7' => { -+ 'name' => 'tclass', -+ 'offset' => '26', -+ 'type' => '1530' -+ }, -+ '8' => { -+ 'name' => 'hop_limit', -+ 'offset' => '27', -+ 'type' => '1530' -+ }, -+ '9' => { -+ 'name' => 'grh_gid_fl', -+ 'offset' => '28', -+ 'type' => '1631' -+ } -+ }, -+ 'Name' => 'struct mlx5_wqe_av', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '744095' => { -+ 'BaseType' => '743926', -+ 'Name' => 'struct mlx5_wqe_av*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '744101' => { -+ 'Header' => undef, -+ 'Line' => '494', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'pdn', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'name' => 'comp_mask', -+ 'offset' => '8', -+ 'type' => '1571' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_pd', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '744144' => { -+ 'Header' => undef, -+ 'Line' => '500', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'in', -+ 'offset' => '0', -+ 'type' => '4522' -+ }, -+ '1' => { -+ 'name' => 'out', -+ 'offset' => '8', -+ 'type' => '744182' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '744182' => { -+ 'BaseType' => '743330', -+ 'Name' => 'struct mlx5dv_qp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '744188' => { -+ 'Header' => undef, -+ 'Line' => '504', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'in', -+ 'offset' => '0', -+ 'type' => '4320' -+ }, -+ '1' => { -+ 'name' => 'out', -+ 'offset' => '8', -+ 'type' => '744226' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '744226' => { -+ 'BaseType' => '743502', -+ 'Name' => 'struct mlx5dv_cq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '744232' => { -+ 'Header' => undef, -+ 'Line' => '508', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'in', -+ 'offset' => '0', -+ 'type' => '4640' -+ }, -+ '1' => { -+ 'name' => 'out', -+ 'offset' => '8', -+ 'type' => '744270' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '744270' => { -+ 'BaseType' => '743615', -+ 'Name' => 'struct mlx5dv_srq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '744276' => { -+ 'Header' => undef, -+ 'Line' => '512', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'in', -+ 'offset' => '0', -+ 'type' => '4841' -+ }, -+ '1' => { -+ 'name' => 'out', -+ 'offset' => '8', -+ 'type' => '744314' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '744314' => { -+ 'BaseType' => '743728', -+ 'Name' => 'struct mlx5dv_rwq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '744320' => { -+ 'Header' => undef, -+ 'Line' => '516', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'in', -+ 'offset' => '0', -+ 'type' => '2411' -+ }, -+ '1' => { -+ 'name' => 'out', -+ 'offset' => '8', -+ 'type' => '744358' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '744358' => { -+ 'BaseType' => '743813', -+ 'Name' => 'struct mlx5dv_dm*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '744364' => { -+ 'Header' => undef, -+ 'Line' => '520', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'in', -+ 'offset' => '0', -+ 'type' => '7681' -+ }, -+ '1' => { -+ 'name' => 'out', -+ 'offset' => '8', -+ 'type' => '744402' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '744402' => { -+ 'BaseType' => '743884', -+ 'Name' => 'struct mlx5dv_ah*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '744408' => { -+ 'Header' => undef, -+ 'Line' => '524', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'in', -+ 'offset' => '0', -+ 'type' => '5731' -+ }, -+ '1' => { -+ 'name' => 'out', -+ 'offset' => '8', -+ 'type' => '744446' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '744446' => { -+ 'BaseType' => '744101', -+ 'Name' => 'struct mlx5dv_pd*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '744452' => { -+ 'Header' => undef, -+ 'Line' => '499', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp', -+ 'offset' => '0', -+ 'type' => '744144' -+ }, -+ '1' => { -+ 'name' => 'cq', -+ 'offset' => '16', -+ 'type' => '744188' -+ }, -+ '2' => { -+ 'name' => 'srq', -+ 'offset' => '32', -+ 'type' => '744232' -+ }, -+ '3' => { -+ 'name' => 'rwq', -+ 'offset' => '48', -+ 'type' => '744276' -+ }, -+ '4' => { -+ 'name' => 'dm', -+ 'offset' => '64', -+ 'type' => '744320' -+ }, -+ '5' => { -+ 'name' => 'ah', -+ 'offset' => '80', -+ 'type' => '744364' -+ }, -+ '6' => { -+ 'name' => 'pd', -+ 'offset' => '96', -+ 'type' => '744408' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_obj', -+ 'Size' => '112', -+ 'Type' => 'Struct' -+ }, -+ '745560' => { -+ 'Header' => undef, -+ 'Line' => '832', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qkey', -+ 'offset' => '0', -+ 'type' => '1631' -+ }, -+ '1' => { -+ 'name' => 'reserved', -+ 'offset' => '4', -+ 'type' => '1631' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '745599' => { -+ 'Header' => undef, -+ 'Line' => '831', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qkey', -+ 'offset' => '0', -+ 'type' => '745560' -+ }, -+ '1' => { -+ 'name' => 'dc_key', -+ 'offset' => '0', -+ 'type' => '1643' -+ } -+ }, -+ 'Size' => '8', -+ 'Type' => 'Union' -+ }, -+ '7467' => { -+ 'Header' => undef, -+ 'Line' => '1116', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'remote_addr', -+ 'offset' => '0', -+ 'type' => '1571' -+ }, -+ '1' => { -+ 'name' => 'rkey', -+ 'offset' => '8', -+ 'type' => '1554' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '7506' => { -+ 'Header' => undef, -+ 'Line' => '1120', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'remote_addr', -+ 'offset' => '0', -+ 'type' => '1571' -+ }, -+ '1' => { -+ 'name' => 'compare_add', -+ 'offset' => '8', -+ 'type' => '1571' -+ }, -+ '2' => { -+ 'name' => 'swap', -+ 'offset' => '16', -+ 'type' => '1571' -+ }, -+ '3' => { -+ 'name' => 'rkey', -+ 'offset' => '24', -+ 'type' => '1554' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '7573' => { -+ 'Header' => undef, -+ 'Line' => '1126', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'ah', -+ 'offset' => '0', -+ 'type' => '7681' -+ }, -+ '1' => { -+ 'name' => 'remote_qpn', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'remote_qkey', -+ 'offset' => '12', -+ 'type' => '1554' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '7625' => { -+ 'Header' => undef, -+ 'Line' => '1626', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'offset' => '8', -+ 'type' => '5731' -+ }, -+ '2' => { -+ 'name' => 'handle', -+ 'offset' => '16', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct ibv_ah', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '7681' => { -+ 'BaseType' => '7625', -+ 'Name' => 'struct ibv_ah*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '7687' => { -+ 'Header' => undef, -+ 'Line' => '1115', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rdma', -+ 'offset' => '0', -+ 'type' => '7467' -+ }, -+ '1' => { -+ 'name' => 'atomic', -+ 'offset' => '0', -+ 'type' => '7506' -+ }, -+ '2' => { -+ 'name' => 'ud', -+ 'offset' => '0', -+ 'type' => '7573' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Union' -+ }, -+ '7736' => { -+ 'Header' => undef, -+ 'Line' => '1133', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'remote_srqn', -+ 'offset' => '0', -+ 'type' => '1554' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '7761' => { -+ 'Header' => undef, -+ 'Line' => '1132', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'xrc', -+ 'offset' => '0', -+ 'type' => '7736' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Union' -+ }, -+ '7785' => { -+ 'Header' => undef, -+ 'Line' => '1138', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'mw', -+ 'offset' => '0', -+ 'type' => '7837' -+ }, -+ '1' => { -+ 'name' => 'rkey', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'bind_info', -+ 'offset' => '16', -+ 'type' => '5352' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '7837' => { -+ 'BaseType' => '5769', -+ 'Name' => 'struct ibv_mw*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '7843' => { -+ 'Header' => undef, -+ 'Line' => '1143', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '219' -+ }, -+ '1' => { -+ 'name' => 'hdr_sz', -+ 'offset' => '8', -+ 'type' => '1542' -+ }, -+ '2' => { -+ 'name' => 'mss', -+ 'offset' => '10', -+ 'type' => '1542' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '7896' => { -+ 'Header' => undef, -+ 'Line' => '1137', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'bind_mw', -+ 'offset' => '0', -+ 'type' => '7785' -+ }, -+ '1' => { -+ 'name' => 'tso', -+ 'offset' => '0', -+ 'type' => '7843' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Union' -+ }, -+ '792618' => { -+ 'Header' => undef, -+ 'Line' => '124', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'version', -+ 'offset' => '0', -+ 'type' => '1530' -+ }, -+ '1' => { -+ 'name' => 'flags', -+ 'offset' => '8', -+ 'type' => '1571' -+ }, -+ '10' => { -+ 'name' => 'dc_odp_caps', -+ 'offset' => '84', -+ 'type' => '1554' -+ }, -+ '11' => { -+ 'name' => 'hca_core_clock', -+ 'offset' => '88', -+ 'type' => '219' -+ }, -+ '12' => { -+ 'name' => 'num_lag_ports', -+ 'offset' => '96', -+ 'type' => '1530' -+ }, -+ '2' => { -+ 'name' => 'comp_mask', -+ 'offset' => '16', -+ 'type' => '1571' -+ }, -+ '3' => { -+ 'name' => 'cqe_comp_caps', -+ 'offset' => '24', -+ 'type' => '14419' -+ }, -+ '4' => { -+ 'name' => 'sw_parsing_caps', -+ 'offset' => '32', -+ 'type' => '14459' -+ }, -+ '5' => { -+ 'name' => 'striding_rq_caps', -+ 'offset' => '40', -+ 'type' => '14499' -+ }, -+ '6' => { -+ 'name' => 'tunnel_offloads_caps', -+ 'offset' => '60', -+ 'type' => '1554' -+ }, -+ '7' => { -+ 'name' => 'max_dynamic_bfregs', -+ 'offset' => '64', -+ 'type' => '1554' -+ }, -+ '8' => { -+ 'name' => 'max_clock_info_update_nsec', -+ 'offset' => '72', -+ 'type' => '1571' -+ }, -+ '9' => { -+ 'name' => 'flow_action_flags', -+ 'offset' => '80', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_context', -+ 'Size' => '104', -+ 'Type' => 'Struct' -+ }, -+ '7933' => { -+ 'Header' => undef, -+ 'Line' => '1101', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '1571' -+ }, -+ '1' => { -+ 'name' => 'next', -+ 'offset' => '8', -+ 'type' => '8071' -+ }, -+ '2' => { -+ 'name' => 'sg_list', -+ 'offset' => '16', -+ 'type' => '8077' -+ }, -+ '3' => { -+ 'name' => 'num_sge', -+ 'offset' => '24', -+ 'type' => '128' -+ }, -+ '4' => { -+ 'name' => 'opcode', -+ 'offset' => '28', -+ 'type' => '7228' -+ }, -+ '5' => { -+ 'name' => 'send_flags', -+ 'offset' => '32', -+ 'type' => '59' -+ }, -+ '6' => { -+ 'name' => 'unnamed0', -+ 'offset' => '36', -+ 'type' => '7430' -+ }, -+ '7' => { -+ 'name' => 'wr', -+ 'offset' => '40', -+ 'type' => '7687' -+ }, -+ '8' => { -+ 'name' => 'qp_type', -+ 'offset' => '72', -+ 'type' => '7761' -+ }, -+ '9' => { -+ 'name' => 'unnamed1', -+ 'offset' => '80', -+ 'type' => '7896' -+ } -+ }, -+ 'Name' => 'struct ibv_send_wr', -+ 'Size' => '128', -+ 'Type' => 'Struct' -+ }, -+ '795457' => { -+ 'Header' => undef, -+ 'Line' => '1156', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'MLX5DV_CTX_ATTR_BUF_ALLOCATORS', -+ 'value' => '1' -+ } -+ }, -+ 'Name' => 'enum mlx5dv_set_ctx_attr_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '795741' => { -+ 'Header' => undef, -+ 'Line' => '1246', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'flags', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'name' => 'comp_mask', -+ 'offset' => '8', -+ 'type' => '1571' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_context_attr', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '8071' => { -+ 'BaseType' => '7933', -+ 'Name' => 'struct ibv_send_wr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '8077' => { -+ 'BaseType' => '7368', -+ 'Name' => 'struct ibv_sge*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '8083' => { -+ 'Header' => undef, -+ 'Line' => '1151', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '1571' -+ }, -+ '1' => { -+ 'name' => 'next', -+ 'offset' => '8', -+ 'type' => '8154' -+ }, -+ '2' => { -+ 'name' => 'sg_list', -+ 'offset' => '16', -+ 'type' => '8077' -+ }, -+ '3' => { -+ 'name' => 'num_sge', -+ 'offset' => '24', -+ 'type' => '128' -+ } -+ }, -+ 'Name' => 'struct ibv_recv_wr', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '8154' => { -+ 'BaseType' => '8083', -+ 'Name' => 'struct ibv_recv_wr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '817363' => { -+ 'BaseType' => '795741', -+ 'Name' => 'struct mlx5dv_context_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '823116' => { -+ 'BaseType' => '744452', -+ 'Name' => 'struct mlx5dv_obj*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '827969' => { -+ 'BaseType' => '792618', -+ 'Name' => 'struct mlx5dv_context*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '8422' => { -+ 'Header' => undef, -+ 'Line' => '1187', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '1571' -+ }, -+ '1' => { -+ 'name' => 'send_flags', -+ 'offset' => '8', -+ 'type' => '59' -+ }, -+ '2' => { -+ 'name' => 'bind_info', -+ 'offset' => '16', -+ 'type' => '5352' -+ } -+ }, -+ 'Name' => 'struct ibv_mw_bind', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '8504' => { -+ 'BaseType' => '8154', -+ 'Name' => 'struct ibv_recv_wr**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '8510' => { -+ 'Name' => 'int(*)(struct ibv_wq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '4841' -+ }, -+ '1' => { -+ 'type' => '8154' -+ }, -+ '2' => { -+ 'type' => '8504' -+ } -+ }, -+ 'Return' => '128', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '851253' => { -+ 'Header' => undef, -+ 'Line' => '213', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dc_type', -+ 'offset' => '0', -+ 'type' => '14618' -+ }, -+ '1' => { -+ 'name' => 'dct_access_key', -+ 'offset' => '8', -+ 'type' => '1571' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_dc_init_attr', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '851324' => { -+ 'Header' => undef, -+ 'Line' => '223', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '1571' -+ }, -+ '1' => { -+ 'name' => 'create_flags', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'dc_init_attr', -+ 'offset' => '16', -+ 'type' => '851253' -+ }, -+ '3' => { -+ 'name' => 'send_ops_flags', -+ 'offset' => '32', -+ 'type' => '1571' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_qp_init_attr', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '8516' => { -+ 'Header' => undef, -+ 'Line' => '1250', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp_base', -+ 'offset' => '0', -+ 'type' => '4326' -+ }, -+ '1' => { -+ 'name' => 'comp_mask', -+ 'offset' => '160', -+ 'type' => '1571' -+ }, -+ '10' => { -+ 'name' => 'wr_rdma_write_imm', -+ 'offset' => '232', -+ 'type' => '9067' -+ }, -+ '11' => { -+ 'name' => 'wr_send', -+ 'offset' => '240', -+ 'type' => '9084' -+ }, -+ '12' => { -+ 'name' => 'wr_send_imm', -+ 'offset' => '248', -+ 'type' => '9106' -+ }, -+ '13' => { -+ 'name' => 'wr_send_inv', -+ 'offset' => '256', -+ 'type' => '9008' -+ }, -+ '14' => { -+ 'name' => 'wr_send_tso', -+ 'offset' => '264', -+ 'type' => '9138' -+ }, -+ '15' => { -+ 'name' => 'wr_set_ud_addr', -+ 'offset' => '272', -+ 'type' => '9170' -+ }, -+ '16' => { -+ 'name' => 'wr_set_xrc_srqn', -+ 'offset' => '280', -+ 'type' => '9008' -+ }, -+ '17' => { -+ 'name' => 'wr_set_inline_data', -+ 'offset' => '288', -+ 'type' => '9197' -+ }, -+ '18' => { -+ 'name' => 'wr_set_inline_data_list', -+ 'offset' => '296', -+ 'type' => '9230' -+ }, -+ '19' => { -+ 'name' => 'wr_set_sge', -+ 'offset' => '304', -+ 'type' => '9262' -+ }, -+ '2' => { -+ 'name' => 'wr_id', -+ 'offset' => '168', -+ 'type' => '1571' -+ }, -+ '20' => { -+ 'name' => 'wr_set_sge_list', -+ 'offset' => '312', -+ 'type' => '9295' -+ }, -+ '21' => { -+ 'name' => 'wr_start', -+ 'offset' => '320', -+ 'type' => '9084' -+ }, -+ '22' => { -+ 'name' => 'wr_complete', -+ 'offset' => '328', -+ 'type' => '9316' -+ }, -+ '23' => { -+ 'name' => 'wr_abort', -+ 'offset' => '336', -+ 'type' => '9084' -+ }, -+ '3' => { -+ 'name' => 'wr_flags', -+ 'offset' => '176', -+ 'type' => '59' -+ }, -+ '4' => { -+ 'name' => 'wr_atomic_cmp_swp', -+ 'offset' => '184', -+ 'type' => '8916' -+ }, -+ '5' => { -+ 'name' => 'wr_atomic_fetch_add', -+ 'offset' => '192', -+ 'type' => '8948' -+ }, -+ '6' => { -+ 'name' => 'wr_bind_mw', -+ 'offset' => '200', -+ 'type' => '8986' -+ }, -+ '7' => { -+ 'name' => 'wr_local_inv', -+ 'offset' => '208', -+ 'type' => '9008' -+ }, -+ '8' => { -+ 'name' => 'wr_rdma_read', -+ 'offset' => '216', -+ 'type' => '9035' -+ }, -+ '9' => { -+ 'name' => 'wr_rdma_write', -+ 'offset' => '224', -+ 'type' => '9035' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_ex', -+ 'Size' => '344', -+ 'Type' => 'Struct' -+ }, -+ '8910' => { -+ 'BaseType' => '8516', -+ 'Name' => 'struct ibv_qp_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '8916' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint64_t, uint64_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '8910' -+ }, -+ '1' => { -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'type' => '1571' -+ }, -+ '3' => { -+ 'type' => '1571' -+ }, -+ '4' => { -+ 'type' => '1571' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '8948' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint64_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '8910' -+ }, -+ '1' => { -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'type' => '1571' -+ }, -+ '3' => { -+ 'type' => '1571' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '8980' => { -+ 'BaseType' => '5422', -+ 'Name' => 'struct ibv_mw_bind_info const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '8986' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, struct ibv_mw*, uint32_t, struct ibv_mw_bind_info const*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '8910' -+ }, -+ '1' => { -+ 'type' => '7837' -+ }, -+ '2' => { -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'type' => '8980' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '90' => { -+ 'Name' => 'signed char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '9008' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '8910' -+ }, -+ '1' => { -+ 'type' => '1554' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '9035' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '8910' -+ }, -+ '1' => { -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'type' => '1571' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '9067' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, __be32)', -+ 'Param' => { -+ '0' => { -+ 'type' => '8910' -+ }, -+ '1' => { -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'type' => '1571' -+ }, -+ '3' => { -+ 'type' => '1631' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '9084' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '8910' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '9106' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, __be32)', -+ 'Param' => { -+ '0' => { -+ 'type' => '8910' -+ }, -+ '1' => { -+ 'type' => '1631' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '911' => { -+ 'BaseType' => '135', -+ 'Header' => undef, -+ 'Line' => '103', -+ 'Name' => 'pthread_spinlock_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '9138' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, void*, uint16_t, uint16_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '8910' -+ }, -+ '1' => { -+ 'type' => '219' -+ }, -+ '2' => { -+ 'type' => '1542' -+ }, -+ '3' => { -+ 'type' => '1542' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '9170' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, struct ibv_ah*, uint32_t, uint32_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '8910' -+ }, -+ '1' => { -+ 'type' => '7681' -+ }, -+ '2' => { -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'type' => '1554' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '9197' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, void*, size_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '8910' -+ }, -+ '1' => { -+ 'type' => '219' -+ }, -+ '2' => { -+ 'type' => '314' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '9224' => { -+ 'BaseType' => '7363', -+ 'Name' => 'struct ibv_data_buf const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '923' => { -+ 'Header' => undef, -+ 'Line' => '49', -+ 'Memb' => { -+ '0' => { -+ 'name' => '_flags', -+ 'offset' => '0', -+ 'type' => '128' -+ }, -+ '1' => { -+ 'name' => '_IO_read_ptr', -+ 'offset' => '8', -+ 'type' => '233' -+ }, -+ '10' => { -+ 'name' => '_IO_backup_base', -+ 'offset' => '80', -+ 'type' => '233' -+ }, -+ '11' => { -+ 'name' => '_IO_save_end', -+ 'offset' => '88', -+ 'type' => '233' -+ }, -+ '12' => { -+ 'name' => '_markers', -+ 'offset' => '96', -+ 'type' => '1339' -+ }, -+ '13' => { -+ 'name' => '_chain', -+ 'offset' => '104', -+ 'type' => '1345' -+ }, -+ '14' => { -+ 'name' => '_fileno', -+ 'offset' => '112', -+ 'type' => '128' -+ }, -+ '15' => { -+ 'name' => '_flags2', -+ 'offset' => '116', -+ 'type' => '128' -+ }, -+ '16' => { -+ 'name' => '_old_offset', -+ 'offset' => '120', -+ 'type' => '171' -+ }, -+ '17' => { -+ 'name' => '_cur_column', -+ 'offset' => '128', -+ 'type' => '52' -+ }, -+ '18' => { -+ 'name' => '_vtable_offset', -+ 'offset' => '130', -+ 'type' => '90' -+ }, -+ '19' => { -+ 'name' => '_shortbuf', -+ 'offset' => '131', -+ 'type' => '1351' -+ }, -+ '2' => { -+ 'name' => '_IO_read_end', -+ 'offset' => '16', -+ 'type' => '233' -+ }, -+ '20' => { -+ 'name' => '_lock', -+ 'offset' => '136', -+ 'type' => '1367' -+ }, -+ '21' => { -+ 'name' => '_offset', -+ 'offset' => '144', -+ 'type' => '183' -+ }, -+ '22' => { -+ 'name' => '_codecvt', -+ 'offset' => '152', -+ 'type' => '1378' -+ }, -+ '23' => { -+ 'name' => '_wide_data', -+ 'offset' => '160', -+ 'type' => '1389' -+ }, -+ '24' => { -+ 'name' => '_freeres_list', -+ 'offset' => '168', -+ 'type' => '1345' -+ }, -+ '25' => { -+ 'name' => '_freeres_buf', -+ 'offset' => '176', -+ 'type' => '219' -+ }, -+ '26' => { -+ 'name' => '__pad5', -+ 'offset' => '184', -+ 'type' => '314' -+ }, -+ '27' => { -+ 'name' => '_mode', -+ 'offset' => '192', -+ 'type' => '128' -+ }, -+ '28' => { -+ 'name' => '_unused2', -+ 'offset' => '196', -+ 'type' => '1395' -+ }, -+ '3' => { -+ 'name' => '_IO_read_base', -+ 'offset' => '24', -+ 'type' => '233' -+ }, -+ '4' => { -+ 'name' => '_IO_write_base', -+ 'offset' => '32', -+ 'type' => '233' -+ }, -+ '5' => { -+ 'name' => '_IO_write_ptr', -+ 'offset' => '40', -+ 'type' => '233' -+ }, -+ '6' => { -+ 'name' => '_IO_write_end', -+ 'offset' => '48', -+ 'type' => '233' -+ }, -+ '7' => { -+ 'name' => '_IO_buf_base', -+ 'offset' => '56', -+ 'type' => '233' -+ }, -+ '8' => { -+ 'name' => '_IO_buf_end', -+ 'offset' => '64', -+ 'type' => '233' -+ }, -+ '9' => { -+ 'name' => '_IO_save_base', -+ 'offset' => '72', -+ 'type' => '233' -+ } -+ }, -+ 'Name' => 'struct _IO_FILE', -+ 'Size' => '216', -+ 'Type' => 'Struct' -+ }, -+ '9230' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, size_t, struct ibv_data_buf const*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '8910' -+ }, -+ '1' => { -+ 'type' => '314' -+ }, -+ '2' => { -+ 'type' => '9224' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '9262' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint32_t)', -+ 'Param' => { -+ '0' => { -+ 'type' => '8910' -+ }, -+ '1' => { -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'type' => '1571' -+ }, -+ '3' => { -+ 'type' => '1554' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '9289' => { -+ 'BaseType' => '7425', -+ 'Name' => 'struct ibv_sge const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '9295' => { -+ 'Name' => 'void(*)(struct ibv_qp_ex*, size_t, struct ibv_sge const*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '8910' -+ }, -+ '1' => { -+ 'type' => '314' -+ }, -+ '2' => { -+ 'type' => '9289' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '9316' => { -+ 'Name' => 'int(*)(struct ibv_qp_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '8910' -+ } -+ }, -+ 'Return' => '128', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '9322' => { -+ 'Header' => undef, -+ 'Line' => '1433', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'fd', -+ 'offset' => '8', -+ 'type' => '128' -+ }, -+ '2' => { -+ 'name' => 'refcnt', -+ 'offset' => '12', -+ 'type' => '128' -+ } -+ }, -+ 'Name' => 'struct ibv_comp_channel', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '936471' => { -+ 'BaseType' => '152', -+ 'Header' => undef, -+ 'Line' => '193', -+ 'Name' => '__ssize_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '936554' => { -+ 'BaseType' => '936471', -+ 'Header' => undef, -+ 'Line' => '108', -+ 'Name' => 'ssize_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '9378' => { -+ 'BaseType' => '9322', -+ 'Name' => 'struct ibv_comp_channel*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '9384' => { -+ 'Header' => undef, -+ 'Line' => '1452', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct ibv_poll_cq_attr', -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '9413' => { -+ 'Header' => undef, -+ 'Line' => '1456', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'tag', -+ 'offset' => '0', -+ 'type' => '1571' -+ }, -+ '1' => { -+ 'name' => 'priv', -+ 'offset' => '8', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct ibv_wc_tm_info', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '9456' => { -+ 'Header' => undef, -+ 'Line' => '1461', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '2375' -+ }, -+ '1' => { -+ 'name' => 'channel', -+ 'offset' => '8', -+ 'type' => '9378' -+ }, -+ '10' => { -+ 'name' => 'status', -+ 'offset' => '132', -+ 'type' => '4847' -+ }, -+ '11' => { -+ 'name' => 'wr_id', -+ 'offset' => '136', -+ 'type' => '1571' -+ }, -+ '12' => { -+ 'name' => 'start_poll', -+ 'offset' => '144', -+ 'type' => '9928' -+ }, -+ '13' => { -+ 'name' => 'next_poll', -+ 'offset' => '152', -+ 'type' => '9949' -+ }, -+ '14' => { -+ 'name' => 'end_poll', -+ 'offset' => '160', -+ 'type' => '9966' -+ }, -+ '15' => { -+ 'name' => 'read_opcode', -+ 'offset' => '168', -+ 'type' => '9987' -+ }, -+ '16' => { -+ 'name' => 'read_vendor_err', -+ 'offset' => '176', -+ 'type' => '10008' -+ }, -+ '17' => { -+ 'name' => 'read_byte_len', -+ 'offset' => '184', -+ 'type' => '10008' -+ }, -+ '18' => { -+ 'name' => 'read_imm_data', -+ 'offset' => '192', -+ 'type' => '10029' -+ }, -+ '19' => { -+ 'name' => 'read_qp_num', -+ 'offset' => '200', -+ 'type' => '10008' -+ }, -+ '2' => { -+ 'name' => 'cq_context', -+ 'offset' => '16', -+ 'type' => '219' -+ }, -+ '20' => { -+ 'name' => 'read_src_qp', -+ 'offset' => '208', -+ 'type' => '10008' -+ }, -+ '21' => { -+ 'name' => 'read_wc_flags', -+ 'offset' => '216', -+ 'type' => '10050' -+ }, -+ '22' => { -+ 'name' => 'read_slid', -+ 'offset' => '224', -+ 'type' => '10008' -+ }, -+ '23' => { -+ 'name' => 'read_sl', -+ 'offset' => '232', -+ 'type' => '10071' -+ }, -+ '24' => { -+ 'name' => 'read_dlid_path_bits', -+ 'offset' => '240', -+ 'type' => '10071' -+ }, -+ '25' => { -+ 'name' => 'read_completion_ts', -+ 'offset' => '248', -+ 'type' => '10092' -+ }, -+ '26' => { -+ 'name' => 'read_cvlan', -+ 'offset' => '256', -+ 'type' => '10113' -+ }, -+ '27' => { -+ 'name' => 'read_flow_tag', -+ 'offset' => '264', -+ 'type' => '10008' -+ }, -+ '28' => { -+ 'name' => 'read_tm_info', -+ 'offset' => '272', -+ 'type' => '10141' -+ }, -+ '29' => { -+ 'name' => 'read_completion_wallclock_ns', -+ 'offset' => '280', -+ 'type' => '10092' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '24', -+ 'type' => '1554' -+ }, -+ '4' => { -+ 'name' => 'cqe', -+ 'offset' => '28', -+ 'type' => '128' -+ }, -+ '5' => { -+ 'name' => 'mutex', -+ 'offset' => '32', -+ 'type' => '825' -+ }, -+ '6' => { -+ 'name' => 'cond', -+ 'offset' => '72', -+ 'type' => '899' -+ }, -+ '7' => { -+ 'name' => 'comp_events_completed', -+ 'offset' => '120', -+ 'type' => '1554' -+ }, -+ '8' => { -+ 'name' => 'async_events_completed', -+ 'offset' => '124', -+ 'type' => '1554' -+ }, -+ '9' => { -+ 'name' => 'comp_mask', -+ 'offset' => '128', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct ibv_cq_ex', -+ 'Size' => '288', -+ 'Type' => 'Struct' -+ }, -+ '97' => { -+ 'BaseType' => '45', -+ 'Header' => undef, -+ 'Line' => '38', -+ 'Name' => '__uint8_t', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ }, -+ '972804' => { -+ 'Header' => undef, -+ 'Line' => '57', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '1619' -+ }, -+ '1' => { -+ 'name' => 'out_data', -+ 'offset' => '8', -+ 'type' => '972846' -+ } -+ }, -+ 'Name' => 'struct mlx5_ib_uapi_devx_async_cmd_hdr', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '972846' => { -+ 'BaseType' => '1583', -+ 'Name' => '__u8[]', -+ 'Size' => '8', -+ 'Type' => 'Array' -+ }, -+ '972898' => { -+ 'Header' => undef, -+ 'Line' => '68', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'MLX5_IB_UAPI_DEVX_CR_EV_CH_FLAGS_OMIT_DATA', -+ 'value' => '1' -+ } -+ }, -+ 'Name' => 'enum mlx5_ib_uapi_devx_create_event_channel_flags', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '972923' => { -+ 'Header' => undef, -+ 'Line' => '72', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'cookie', -+ 'offset' => '0', -+ 'type' => '1619' -+ }, -+ '1' => { -+ 'name' => 'out_data', -+ 'offset' => '8', -+ 'type' => '972846' -+ } -+ }, -+ 'Name' => 'struct mlx5_ib_uapi_devx_async_event_hdr', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '973275' => { -+ 'Header' => undef, -+ 'Line' => '164', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '1571' -+ }, -+ '1' => { -+ 'name' => 'cqe_comp_res_format', -+ 'offset' => '8', -+ 'type' => '1530' -+ }, -+ '2' => { -+ 'name' => 'flags', -+ 'offset' => '12', -+ 'type' => '1554' -+ }, -+ '3' => { -+ 'name' => 'cqe_size', -+ 'offset' => '16', -+ 'type' => '1542' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_cq_init_attr', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '973421' => { -+ 'Header' => undef, -+ 'Line' => '188', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'pd', -+ 'offset' => '0', -+ 'type' => '5731' -+ }, -+ '1' => { -+ 'name' => 'create_flags', -+ 'offset' => '8', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'max_entries', -+ 'offset' => '12', -+ 'type' => '1542' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_mkey_init_attr', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '974006' => { -+ 'Header' => undef, -+ 'Line' => '300', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '1571' -+ }, -+ '1' => { -+ 'name' => 'action_flags', -+ 'offset' => '8', -+ 'type' => '1554' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_flow_action_esp', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '974765' => { -+ 'Header' => undef, -+ 'Line' => '544', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'single_stride_log_num_of_bytes', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'name' => 'single_wqe_log_num_of_strides', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'two_byte_shift_en', -+ 'offset' => '8', -+ 'type' => '1530' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_striding_rq_init_attr', -+ 'Size' => '12', -+ 'Type' => 'Struct' -+ }, -+ '974822' => { -+ 'Header' => undef, -+ 'Line' => '550', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '1571' -+ }, -+ '1' => { -+ 'name' => 'striding_rq_attrs', -+ 'offset' => '8', -+ 'type' => '974765' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_wq_init_attr', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '975986' => { -+ 'Header' => undef, -+ 'Line' => '1290', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'page_id', -+ 'offset' => '0', -+ 'type' => '1554' -+ }, -+ '1' => { -+ 'name' => 'length', -+ 'offset' => '4', -+ 'type' => '1554' -+ }, -+ '2' => { -+ 'name' => 'mmap_off', -+ 'offset' => '8', -+ 'type' => '1411' -+ }, -+ '3' => { -+ 'name' => 'comp_mask', -+ 'offset' => '16', -+ 'type' => '1571' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_var', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '976057' => { -+ 'Header' => undef, -+ 'Line' => '1327', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'fd', -+ 'offset' => '0', -+ 'type' => '128' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_devx_cmd_comp', -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '976085' => { -+ 'Header' => undef, -+ 'Line' => '1343', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'fd', -+ 'offset' => '0', -+ 'type' => '128' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_devx_event_channel', -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '976113' => { -+ 'Header' => undef, -+ 'Line' => '1574', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'index', -+ 'offset' => '0', -+ 'type' => '1542' -+ } -+ }, -+ 'Name' => 'struct mlx5dv_pp', -+ 'Size' => '2', -+ 'Type' => 'Struct' -+ }, -+ '9916' => { -+ 'BaseType' => '9456', -+ 'Name' => 'struct ibv_cq_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '9922' => { -+ 'BaseType' => '9384', -+ 'Name' => 'struct ibv_poll_cq_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '9928' => { -+ 'Name' => 'int(*)(struct ibv_cq_ex*, struct ibv_poll_cq_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '9916' -+ }, -+ '1' => { -+ 'type' => '9922' -+ } -+ }, -+ 'Return' => '128', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '9949' => { -+ 'Name' => 'int(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '9916' -+ } -+ }, -+ 'Return' => '128', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '9966' => { -+ 'Name' => 'void(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '9916' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '998354' => { -+ 'BaseType' => '976113', -+ 'Name' => 'struct mlx5dv_pp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '9987' => { -+ 'Name' => 'enum ibv_wc_opcode(*)(struct ibv_cq_ex*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '9916' -+ } -+ }, -+ 'Return' => '5011', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ } -+ }, -+ 'UndefinedSymbols' => { -+ 'libmlx5.so.1.16.32.0' => { -+ '_ITM_deregisterTMCloneTable' => 0, -+ '_ITM_registerTMCloneTable' => 0, -+ '__cxa_finalize@GLIBC_2.2.5' => 0, -+ '__errno_location@GLIBC_2.2.5' => 0, -+ '__fprintf_chk@GLIBC_2.3.4' => 0, -+ '__gmon_start__' => 0, -+ '__memcpy_chk@GLIBC_2.3.4' => 0, -+ '__snprintf_chk@GLIBC_2.3.4' => 0, -+ '__sprintf_chk@GLIBC_2.3.4' => 0, -+ '__stack_chk_fail@GLIBC_2.4' => 0, -+ '_verbs_init_and_alloc_context@IBVERBS_PRIVATE_25' => 0, -+ 'abort@GLIBC_2.2.5' => 0, -+ 'calloc@GLIBC_2.2.5' => 0, -+ 'close@GLIBC_2.2.5' => 0, -+ 'execute_ioctl@IBVERBS_PRIVATE_25' => 0, -+ 'fclose@GLIBC_2.2.5' => 0, -+ 'fcntl@GLIBC_2.2.5' => 0, -+ 'fgets@GLIBC_2.2.5' => 0, -+ 'fopen@GLIBC_2.2.5' => 0, -+ 'free@GLIBC_2.2.5' => 0, -+ 'fwrite@GLIBC_2.2.5' => 0, -+ 'getenv@GLIBC_2.2.5' => 0, -+ 'gethostname@GLIBC_2.2.5' => 0, -+ 'getpid@GLIBC_2.2.5' => 0, -+ 'getrandom@GLIBC_2.25' => 0, -+ 'ibv_alloc_pd@IBVERBS_1.1' => 0, -+ 'ibv_cmd_advise_mr@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_alloc_dm@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_alloc_mw@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_alloc_pd@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_attach_mcast@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_close_xrcd@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_ah@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_counters@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_cq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_cq_ex@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_flow@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_flow_action_esp@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_qp_ex2@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_qp_ex@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_rwq_ind_table@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_srq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_srq_ex@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_create_wq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_dealloc_mw@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_dealloc_pd@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_dereg_mr@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_ah@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_counters@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_cq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_flow@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_flow_action@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_qp@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_rwq_ind_table@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_srq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_destroy_wq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_detach_mcast@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_free_dm@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_get_context@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_modify_cq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_modify_flow_action_esp@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_modify_qp@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_modify_qp_ex@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_modify_srq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_modify_wq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_open_qp@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_open_xrcd@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_query_context@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_query_device@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_query_device_ex@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_query_mr@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_query_port@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_query_qp@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_query_srq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_read_counters@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_reg_dm_mr@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_reg_mr@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_rereg_mr@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_cmd_resize_cq@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_create_cq@IBVERBS_1.1' => 0, -+ 'ibv_dealloc_pd@IBVERBS_1.1' => 0, -+ 'ibv_dereg_mr@IBVERBS_1.1' => 0, -+ 'ibv_destroy_cq@IBVERBS_1.1' => 0, -+ 'ibv_dofork_range@IBVERBS_1.1' => 0, -+ 'ibv_dontfork_range@IBVERBS_1.1' => 0, -+ 'ibv_get_device_name@IBVERBS_1.1' => 0, -+ 'ibv_query_device@IBVERBS_1.1' => 0, -+ 'ibv_query_gid_type@IBVERBS_PRIVATE_25' => 0, -+ 'ibv_query_port@IBVERBS_1.1' => 0, -+ 'ibv_reg_mr@IBVERBS_1.1' => 0, -+ 'ibv_resolve_eth_l2_from_gid@IBVERBS_1.1' => 0, -+ 'malloc@GLIBC_2.2.5' => 0, -+ 'memcmp@GLIBC_2.2.5' => 0, -+ 'memcpy@GLIBC_2.14' => 0, -+ 'memset@GLIBC_2.2.5' => 0, -+ 'mmap@GLIBC_2.2.5' => 0, -+ 'munmap@GLIBC_2.2.5' => 0, -+ 'posix_memalign@GLIBC_2.2.5' => 0, -+ 'pthread_mutex_destroy@GLIBC_2.2.5' => 0, -+ 'pthread_mutex_init@GLIBC_2.2.5' => 0, -+ 'pthread_mutex_lock@GLIBC_2.2.5' => 0, -+ 'pthread_mutex_unlock@GLIBC_2.2.5' => 0, -+ 'pthread_spin_destroy@GLIBC_2.2.5' => 0, -+ 'pthread_spin_init@GLIBC_2.2.5' => 0, -+ 'pthread_spin_lock@GLIBC_2.2.5' => 0, -+ 'pthread_spin_unlock@GLIBC_2.2.5' => 0, -+ 'rand_r@GLIBC_2.2.5' => 0, -+ 'read@GLIBC_2.2.5' => 0, -+ 'sched_getaffinity@GLIBC_2.3.4' => 0, -+ 'shmat@GLIBC_2.2.5' => 0, -+ 'shmctl@GLIBC_2.2.5' => 0, -+ 'shmdt@GLIBC_2.2.5' => 0, -+ 'shmget@GLIBC_2.2.5' => 0, -+ 'sleep@GLIBC_2.2.5' => 0, -+ 'stderr@GLIBC_2.2.5' => 0, -+ 'strcasecmp@GLIBC_2.2.5' => 0, -+ 'strchr@GLIBC_2.2.5' => 0, -+ 'strerror@GLIBC_2.2.5' => 0, -+ 'strncpy@GLIBC_2.2.5' => 0, -+ 'strrchr@GLIBC_2.2.5' => 0, -+ 'strtol@GLIBC_2.2.5' => 0, -+ 'strtoul@GLIBC_2.2.5' => 0, -+ 'sysconf@GLIBC_2.2.5' => 0, -+ 'time@GLIBC_2.2.5' => 0, -+ 'verbs_allow_disassociate_destroy@IBVERBS_PRIVATE_25' => 0, -+ 'verbs_init_cq@IBVERBS_PRIVATE_25' => 0, -+ 'verbs_open_device@IBVERBS_PRIVATE_25' => 0, -+ 'verbs_register_driver_25@IBVERBS_PRIVATE_25' => 0, -+ 'verbs_set_ops@IBVERBS_PRIVATE_25' => 0, -+ 'verbs_uninit_context@IBVERBS_PRIVATE_25' => 0 -+ } -+ }, -+ 'WordSize' => '8' -+ }; -diff --git a/ABI/rdmacm.dump b/ABI/rdmacm.dump -new file mode 100644 -index 000000000000..805e7c5cf42f ---- /dev/null -+++ b/ABI/rdmacm.dump -@@ -0,0 +1,5606 @@ -+$VAR1 = { -+ 'ABI_DUMPER_VERSION' => '1.1', -+ 'ABI_DUMP_VERSION' => '3.5', -+ 'Arch' => 'x86_64', -+ 'GccVersion' => '10.2.0', -+ 'Headers' => {}, -+ 'Language' => 'C', -+ 'LibraryName' => 'librdmacm.so.1.3.32.0', -+ 'LibraryVersion' => 'rdmacm', -+ 'MissedOffsets' => '1', -+ 'MissedRegs' => '1', -+ 'NameSpaces' => {}, -+ 'Needed' => { -+ 'ld-linux-x86-64.so.2' => 1, -+ 'libc.so.6' => 1, -+ 'libibverbs.so.1' => 1, -+ 'libnl-3.so.200' => 1, -+ 'libpthread.so.0' => 1 -+ }, -+ 'Sources' => {}, -+ 'SymbolInfo' => { -+ '105878' => { -+ 'Header' => undef, -+ 'Line' => '4103', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'buf', -+ 'type' => '1829' -+ }, -+ '2' => { -+ 'name' => 'count', -+ 'type' => '45' -+ }, -+ '3' => { -+ 'name' => 'offset', -+ 'type' => '79838' -+ }, -+ '4' => { -+ 'name' => 'flags', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '45', -+ 'ShortName' => 'riowrite' -+ }, -+ '107679' => { -+ 'Header' => undef, -+ 'Line' => '4056', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'buf', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'len', -+ 'type' => '45' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'riounmap' -+ }, -+ '108224' => { -+ 'Header' => undef, -+ 'Line' => '4007', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'buf', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'len', -+ 'type' => '45' -+ }, -+ '3' => { -+ 'name' => 'prot', -+ 'type' => '130' -+ }, -+ '4' => { -+ 'name' => 'flags', -+ 'type' => '130' -+ }, -+ '5' => { -+ 'name' => 'offset', -+ 'type' => '79838' -+ } -+ }, -+ 'Return' => '79838', -+ 'ShortName' => 'riomap' -+ }, -+ '109460' => { -+ 'Header' => undef, -+ 'Line' => '3950', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'cmd', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'type' => '-1' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rfcntl' -+ }, -+ '109932' => { -+ 'Header' => undef, -+ 'Line' => '3805', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'level', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'name' => 'optname', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'optval', -+ 'type' => '71' -+ }, -+ '4' => { -+ 'name' => 'optlen', -+ 'type' => '13784' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rgetsockopt' -+ }, -+ '110934' => { -+ 'Header' => undef, -+ 'Line' => '3624', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'level', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'name' => 'optname', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'optval', -+ 'type' => '1829' -+ }, -+ '4' => { -+ 'name' => 'optlen', -+ 'type' => '1068' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rsetsockopt' -+ }, -+ '112596' => { -+ 'Header' => undef, -+ 'Line' => '3582', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'type' => '1823' -+ }, -+ '2' => { -+ 'name' => 'addrlen', -+ 'type' => '13784' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rgetsockname' -+ }, -+ '113315' => { -+ 'Header' => undef, -+ 'Line' => '3567', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'type' => '1823' -+ }, -+ '2' => { -+ 'name' => 'addrlen', -+ 'type' => '13784' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rgetpeername' -+ }, -+ '113565' => { -+ 'Header' => undef, -+ 'Line' => '3529', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rclose' -+ }, -+ '114158' => { -+ 'Header' => undef, -+ 'Line' => '3458', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'how', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rshutdown' -+ }, -+ '114897' => { -+ 'Header' => undef, -+ 'Line' => '3427', -+ 'Param' => { -+ '0' => { -+ 'name' => 'nfds', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'readfds', -+ 'type' => '115865' -+ }, -+ '2' => { -+ 'name' => 'writefds', -+ 'type' => '115865' -+ }, -+ '3' => { -+ 'name' => 'exceptfds', -+ 'type' => '115865' -+ }, -+ '4' => { -+ 'name' => 'timeout', -+ 'type' => '115871' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rselect' -+ }, -+ '11601' => { -+ 'Header' => undef, -+ 'Line' => '748', -+ 'Param' => { -+ '0' => { -+ 'name' => 'node', -+ 'type' => '745' -+ }, -+ '1' => { -+ 'name' => 'service', -+ 'type' => '745' -+ }, -+ '2' => { -+ 'name' => 'hints', -+ 'type' => '7283' -+ }, -+ '3' => { -+ 'name' => 'res', -+ 'type' => '7277' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_getaddrinfo' -+ }, -+ '116236' => { -+ 'Header' => undef, -+ 'Line' => '3312', -+ 'Param' => { -+ '0' => { -+ 'name' => 'fds', -+ 'type' => '98643' -+ }, -+ '1' => { -+ 'name' => 'nfds', -+ 'type' => '97405' -+ }, -+ '2' => { -+ 'name' => 'timeout', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rpoll' -+ }, -+ '120242' => { -+ 'Header' => undef, -+ 'Line' => '3009', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'iov', -+ 'type' => '120381' -+ }, -+ '2' => { -+ 'name' => 'iovcnt', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '727', -+ 'ShortName' => 'rwritev' -+ }, -+ '120387' => { -+ 'Header' => undef, -+ 'Line' => '3004', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'buf', -+ 'type' => '1829' -+ }, -+ '2' => { -+ 'name' => 'count', -+ 'type' => '45' -+ } -+ }, -+ 'Return' => '727', -+ 'ShortName' => 'rwrite' -+ }, -+ '120526' => { -+ 'Header' => undef, -+ 'Line' => '2996', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'msg', -+ 'type' => '120692' -+ }, -+ '2' => { -+ 'name' => 'flags', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '727', -+ 'ShortName' => 'rsendmsg' -+ }, -+ '122187' => { -+ 'Header' => undef, -+ 'Line' => '2855', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'buf', -+ 'type' => '1829' -+ }, -+ '2' => { -+ 'name' => 'len', -+ 'type' => '45' -+ }, -+ '3' => { -+ 'name' => 'flags', -+ 'type' => '130' -+ }, -+ '4' => { -+ 'name' => 'dest_addr', -+ 'type' => '81695' -+ }, -+ '5' => { -+ 'name' => 'addrlen', -+ 'type' => '1068' -+ } -+ }, -+ 'Return' => '727', -+ 'ShortName' => 'rsendto' -+ }, -+ '122999' => { -+ 'Header' => undef, -+ 'Line' => '2766', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'buf', -+ 'type' => '1829' -+ }, -+ '2' => { -+ 'name' => 'len', -+ 'type' => '45' -+ }, -+ '3' => { -+ 'name' => 'flags', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '727', -+ 'ShortName' => 'rsend' -+ }, -+ '127305' => { -+ 'Header' => undef, -+ 'Line' => '2608', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'iov', -+ 'type' => '120381' -+ }, -+ '2' => { -+ 'name' => 'iovcnt', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '727', -+ 'ShortName' => 'rreadv' -+ }, -+ '127512' => { -+ 'Header' => undef, -+ 'Line' => '2603', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'buf', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'count', -+ 'type' => '45' -+ } -+ }, -+ 'Return' => '727', -+ 'ShortName' => 'rread' -+ }, -+ '127651' => { -+ 'Header' => undef, -+ 'Line' => '2595', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'msg', -+ 'type' => '127913' -+ }, -+ '2' => { -+ 'name' => 'flags', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '727', -+ 'ShortName' => 'rrecvmsg' -+ }, -+ '127990' => { -+ 'Header' => undef, -+ 'Line' => '2563', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'buf', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'len', -+ 'type' => '45' -+ }, -+ '3' => { -+ 'name' => 'flags', -+ 'type' => '130' -+ }, -+ '4' => { -+ 'name' => 'src_addr', -+ 'type' => '1823' -+ }, -+ '5' => { -+ 'name' => 'addrlen', -+ 'type' => '13784' -+ } -+ }, -+ 'Return' => '727', -+ 'ShortName' => 'rrecvfrom' -+ }, -+ '128579' => { -+ 'Header' => undef, -+ 'Line' => '2492', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'buf', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'len', -+ 'type' => '45' -+ }, -+ '3' => { -+ 'name' => 'flags', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '727', -+ 'ShortName' => 'rrecv' -+ }, -+ '137804' => { -+ 'Header' => undef, -+ 'Line' => '1691', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'type' => '81695' -+ }, -+ '2' => { -+ 'name' => 'addrlen', -+ 'type' => '1068' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rconnect' -+ }, -+ '143705' => { -+ 'Header' => undef, -+ 'Line' => '1330', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'type' => '1823' -+ }, -+ '2' => { -+ 'name' => 'addrlen', -+ 'type' => '13784' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'raccept' -+ }, -+ '144304' => { -+ 'Header' => undef, -+ 'Line' => '1239', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'backlog', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rlisten' -+ }, -+ '144766' => { -+ 'Header' => undef, -+ 'Line' => '1216', -+ 'Param' => { -+ '0' => { -+ 'name' => 'socket', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'type' => '81695' -+ }, -+ '2' => { -+ 'name' => 'addrlen', -+ 'type' => '1068' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rbind' -+ }, -+ '145169' => { -+ 'Header' => undef, -+ 'Line' => '1174', -+ 'Param' => { -+ '0' => { -+ 'name' => 'domain', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'type', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'name' => 'protocol', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rsocket' -+ }, -+ '38246' => { -+ 'Header' => undef, -+ 'Line' => '2905', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'ece', -+ 'type' => '33249' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_get_remote_ece' -+ }, -+ '38394' => { -+ 'Header' => undef, -+ 'Line' => '2891', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'ece', -+ 'type' => '33249' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_set_local_ece' -+ }, -+ '38542' => { -+ 'Header' => undef, -+ 'Line' => '2886', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ } -+ }, -+ 'Return' => '1966', -+ 'ShortName' => 'rdma_get_dst_port' -+ }, -+ '38622' => { -+ 'Header' => undef, -+ 'Line' => '2881', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ } -+ }, -+ 'Return' => '1966', -+ 'ShortName' => 'rdma_get_src_port' -+ }, -+ '39506' => { -+ 'Header' => undef, -+ 'Line' => '2829', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'rdma_destroy_ep' -+ }, -+ '39661' => { -+ 'Header' => undef, -+ 'Line' => '2765', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '40634' -+ }, -+ '1' => { -+ 'name' => 'res', -+ 'type' => '3060' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'type' => '22162' -+ }, -+ '3' => { -+ 'name' => 'qp_init_attr', -+ 'type' => '33231' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_create_ep' -+ }, -+ '40735' => { -+ 'Header' => undef, -+ 'Line' => '2681', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'channel', -+ 'type' => '31789' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_migrate_id' -+ }, -+ '41369' => { -+ 'Header' => undef, -+ 'Line' => '735', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'level', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'name' => 'optname', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'optval', -+ 'type' => '71' -+ }, -+ '4' => { -+ 'name' => 'optlen', -+ 'type' => '45' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_set_option' -+ }, -+ '41743' => { -+ 'Header' => undef, -+ 'Line' => '2619', -+ 'Param' => { -+ '0' => { -+ 'name' => 'event', -+ 'type' => '31091' -+ } -+ }, -+ 'Return' => '745', -+ 'ShortName' => 'rdma_event_str' -+ }, -+ '41794' => { -+ 'Header' => undef, -+ 'Line' => '2474', -+ 'Param' => { -+ '0' => { -+ 'name' => 'channel', -+ 'type' => '31789' -+ }, -+ '1' => { -+ 'name' => 'event', -+ 'type' => '45677' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_get_cm_event' -+ }, -+ '45689' => { -+ 'Header' => undef, -+ 'Line' => '2462', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_establish' -+ }, -+ '46936' => { -+ 'Header' => undef, -+ 'Line' => '2225', -+ 'Param' => { -+ '0' => { -+ 'name' => 'event', -+ 'type' => '31873' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_ack_cm_event' -+ }, -+ '47036' => { -+ 'Header' => undef, -+ 'Line' => '2157', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'type' => '1823' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_leave_multicast' -+ }, -+ '47866' => { -+ 'Header' => undef, -+ 'Line' => '2144', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'type' => '1823' -+ }, -+ '2' => { -+ 'name' => 'context', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_join_multicast' -+ }, -+ '48115' => { -+ 'Header' => undef, -+ 'Line' => '2120', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'mc_join_attr', -+ 'type' => '48407' -+ }, -+ '2' => { -+ 'name' => 'context', -+ 'type' => '71' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_join_multicast_ex' -+ }, -+ '49649' => { -+ 'Header' => undef, -+ 'Line' => '2025', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_disconnect' -+ }, -+ '50409' => { -+ 'Header' => undef, -+ 'Line' => '1992', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'event', -+ 'type' => '20297' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_notify' -+ }, -+ '50719' => { -+ 'Header' => undef, -+ 'Line' => '1985', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'private_data', -+ 'type' => '1829' -+ }, -+ '2' => { -+ 'name' => 'private_data_len', -+ 'type' => '756' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_reject_ece' -+ }, -+ '50861' => { -+ 'Header' => undef, -+ 'Line' => '524', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'private_data', -+ 'type' => '1829' -+ }, -+ '2' => { -+ 'name' => 'private_data_len', -+ 'type' => '756' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_reject' -+ }, -+ '51469' => { -+ 'Header' => undef, -+ 'Line' => '506', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'conn_param', -+ 'type' => '45946' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_accept' -+ }, -+ '52546' => { -+ 'Header' => undef, -+ 'Line' => '485', -+ 'Param' => { -+ '0' => { -+ 'name' => 'listen', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'id', -+ 'type' => '40634' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_get_request' -+ }, -+ '52972' => { -+ 'Header' => undef, -+ 'Line' => '480', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'backlog', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_listen' -+ }, -+ '53319' => { -+ 'Header' => undef, -+ 'Line' => '442', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'conn_param', -+ 'type' => '45946' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_connect' -+ }, -+ '54308' => { -+ 'Header' => undef, -+ 'Line' => '424', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'rdma_destroy_qp' -+ }, -+ '54394' => { -+ 'Header' => undef, -+ 'Line' => '408', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'type' => '22162' -+ }, -+ '2' => { -+ 'name' => 'qp_init_attr', -+ 'type' => '33231' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_create_qp' -+ }, -+ '54713' => { -+ 'Header' => undef, -+ 'Line' => '1622', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '30440' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_create_qp_ex' -+ }, -+ '56521' => { -+ 'Header' => undef, -+ 'Line' => '1560', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'rdma_destroy_srq' -+ }, -+ '56607' => { -+ 'Header' => undef, -+ 'Line' => '1541', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'type' => '22162' -+ }, -+ '2' => { -+ 'name' => 'attr', -+ 'type' => '33237' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_create_srq' -+ }, -+ '56921' => { -+ 'Header' => undef, -+ 'Line' => '1496', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'type' => '30504' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_create_srq_ex' -+ }, -+ '58424' => { -+ 'Header' => undef, -+ 'Line' => '1243', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'qp_attr', -+ 'type' => '33243' -+ }, -+ '2' => { -+ 'name' => 'qp_attr_mask', -+ 'type' => '58782' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_init_qp_attr' -+ }, -+ '58820' => { -+ 'Header' => undef, -+ 'Line' => '385', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'timeout_ms', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_resolve_route' -+ }, -+ '59529' => { -+ 'Header' => undef, -+ 'Line' => '368', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'src_addr', -+ 'type' => '1823' -+ }, -+ '2' => { -+ 'name' => 'dst_addr', -+ 'type' => '1823' -+ }, -+ '3' => { -+ 'name' => 'timeout_ms', -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_resolve_addr' -+ }, -+ '61244' => { -+ 'Header' => undef, -+ 'Line' => '343', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'type' => '1823' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_bind_addr' -+ }, -+ '64757' => { -+ 'Header' => undef, -+ 'Line' => '325', -+ 'Param' => { -+ '0' => { -+ 'name' => 'id', -+ 'type' => '32149' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_destroy_id' -+ }, -+ '65338' => { -+ 'Header' => undef, -+ 'Line' => '272', -+ 'Param' => { -+ '0' => { -+ 'name' => 'channel', -+ 'type' => '31789' -+ }, -+ '1' => { -+ 'name' => 'id', -+ 'type' => '40634' -+ }, -+ '2' => { -+ 'name' => 'context', -+ 'type' => '71' -+ }, -+ '3' => { -+ 'name' => 'ps', -+ 'type' => '10903' -+ } -+ }, -+ 'Return' => '130', -+ 'ShortName' => 'rdma_create_id' -+ }, -+ '68323' => { -+ 'Header' => undef, -+ 'Line' => '588', -+ 'Param' => { -+ '0' => { -+ 'name' => 'channel', -+ 'type' => '31789' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'rdma_destroy_event_channel' -+ }, -+ '68410' => { -+ 'Header' => undef, -+ 'Line' => '567', -+ 'Return' => '31789', -+ 'ShortName' => 'rdma_create_event_channel' -+ }, -+ '68571' => { -+ 'Header' => undef, -+ 'Line' => '543', -+ 'Param' => { -+ '0' => { -+ 'name' => 'list', -+ 'type' => '69067' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'rdma_free_devices' -+ }, -+ '69073' => { -+ 'Header' => undef, -+ 'Line' => '494', -+ 'Param' => { -+ '0' => { -+ 'name' => 'num_devices', -+ 'type' => '58782' -+ } -+ }, -+ 'Return' => '69067', -+ 'ShortName' => 'rdma_get_devices' -+ }, -+ '9119' => { -+ 'Header' => undef, -+ 'Line' => '752', -+ 'Param' => { -+ '0' => { -+ 'name' => 'res', -+ 'type' => '3060' -+ } -+ }, -+ 'Return' => '1', -+ 'ShortName' => 'rdma_freeaddrinfo' -+ } -+ }, -+ 'SymbolVersion' => { -+ 'raccept' => 'raccept@@RDMACM_1.0', -+ 'rbind' => 'rbind@@RDMACM_1.0', -+ 'rclose' => 'rclose@@RDMACM_1.0', -+ 'rconnect' => 'rconnect@@RDMACM_1.0', -+ 'rdma_accept' => 'rdma_accept@@RDMACM_1.0', -+ 'rdma_ack_cm_event' => 'rdma_ack_cm_event@@RDMACM_1.0', -+ 'rdma_bind_addr' => 'rdma_bind_addr@@RDMACM_1.0', -+ 'rdma_connect' => 'rdma_connect@@RDMACM_1.0', -+ 'rdma_create_ep' => 'rdma_create_ep@@RDMACM_1.0', -+ 'rdma_create_event_channel' => 'rdma_create_event_channel@@RDMACM_1.0', -+ 'rdma_create_id' => 'rdma_create_id@@RDMACM_1.0', -+ 'rdma_create_qp' => 'rdma_create_qp@@RDMACM_1.0', -+ 'rdma_create_qp_ex' => 'rdma_create_qp_ex@@RDMACM_1.0', -+ 'rdma_create_srq' => 'rdma_create_srq@@RDMACM_1.0', -+ 'rdma_create_srq_ex' => 'rdma_create_srq_ex@@RDMACM_1.0', -+ 'rdma_destroy_ep' => 'rdma_destroy_ep@@RDMACM_1.0', -+ 'rdma_destroy_event_channel' => 'rdma_destroy_event_channel@@RDMACM_1.0', -+ 'rdma_destroy_id' => 'rdma_destroy_id@@RDMACM_1.0', -+ 'rdma_destroy_qp' => 'rdma_destroy_qp@@RDMACM_1.0', -+ 'rdma_destroy_srq' => 'rdma_destroy_srq@@RDMACM_1.0', -+ 'rdma_disconnect' => 'rdma_disconnect@@RDMACM_1.0', -+ 'rdma_establish' => 'rdma_establish@@RDMACM_1.2', -+ 'rdma_event_str' => 'rdma_event_str@@RDMACM_1.0', -+ 'rdma_free_devices' => 'rdma_free_devices@@RDMACM_1.0', -+ 'rdma_freeaddrinfo' => 'rdma_freeaddrinfo@@RDMACM_1.0', -+ 'rdma_get_cm_event' => 'rdma_get_cm_event@@RDMACM_1.0', -+ 'rdma_get_devices' => 'rdma_get_devices@@RDMACM_1.0', -+ 'rdma_get_dst_port' => 'rdma_get_dst_port@@RDMACM_1.0', -+ 'rdma_get_remote_ece' => 'rdma_get_remote_ece@@RDMACM_1.3', -+ 'rdma_get_request' => 'rdma_get_request@@RDMACM_1.0', -+ 'rdma_get_src_port' => 'rdma_get_src_port@@RDMACM_1.0', -+ 'rdma_getaddrinfo' => 'rdma_getaddrinfo@@RDMACM_1.0', -+ 'rdma_init_qp_attr' => 'rdma_init_qp_attr@@RDMACM_1.2', -+ 'rdma_join_multicast' => 'rdma_join_multicast@@RDMACM_1.0', -+ 'rdma_join_multicast_ex' => 'rdma_join_multicast_ex@@RDMACM_1.1', -+ 'rdma_leave_multicast' => 'rdma_leave_multicast@@RDMACM_1.0', -+ 'rdma_listen' => 'rdma_listen@@RDMACM_1.0', -+ 'rdma_migrate_id' => 'rdma_migrate_id@@RDMACM_1.0', -+ 'rdma_notify' => 'rdma_notify@@RDMACM_1.0', -+ 'rdma_reject' => 'rdma_reject@@RDMACM_1.0', -+ 'rdma_reject_ece' => 'rdma_reject_ece@@RDMACM_1.3', -+ 'rdma_resolve_addr' => 'rdma_resolve_addr@@RDMACM_1.0', -+ 'rdma_resolve_route' => 'rdma_resolve_route@@RDMACM_1.0', -+ 'rdma_set_local_ece' => 'rdma_set_local_ece@@RDMACM_1.3', -+ 'rdma_set_option' => 'rdma_set_option@@RDMACM_1.0', -+ 'rfcntl' => 'rfcntl@@RDMACM_1.0', -+ 'rgetpeername' => 'rgetpeername@@RDMACM_1.0', -+ 'rgetsockname' => 'rgetsockname@@RDMACM_1.0', -+ 'rgetsockopt' => 'rgetsockopt@@RDMACM_1.0', -+ 'riomap' => 'riomap@@RDMACM_1.0', -+ 'riounmap' => 'riounmap@@RDMACM_1.0', -+ 'riowrite' => 'riowrite@@RDMACM_1.0', -+ 'rlisten' => 'rlisten@@RDMACM_1.0', -+ 'rpoll' => 'rpoll@@RDMACM_1.0', -+ 'rread' => 'rread@@RDMACM_1.0', -+ 'rreadv' => 'rreadv@@RDMACM_1.0', -+ 'rrecv' => 'rrecv@@RDMACM_1.0', -+ 'rrecvfrom' => 'rrecvfrom@@RDMACM_1.0', -+ 'rrecvmsg' => 'rrecvmsg@@RDMACM_1.0', -+ 'rselect' => 'rselect@@RDMACM_1.0', -+ 'rsend' => 'rsend@@RDMACM_1.0', -+ 'rsendmsg' => 'rsendmsg@@RDMACM_1.0', -+ 'rsendto' => 'rsendto@@RDMACM_1.0', -+ 'rsetsockopt' => 'rsetsockopt@@RDMACM_1.0', -+ 'rshutdown' => 'rshutdown@@RDMACM_1.0', -+ 'rsocket' => 'rsocket@@RDMACM_1.0', -+ 'rwrite' => 'rwrite@@RDMACM_1.0', -+ 'rwritev' => 'rwritev@@RDMACM_1.0' -+ }, -+ 'Symbols' => { -+ 'librdmacm.so.1.3.32.0' => { -+ 'raccept@@RDMACM_1.0' => 1, -+ 'rbind@@RDMACM_1.0' => 1, -+ 'rclose@@RDMACM_1.0' => 1, -+ 'rconnect@@RDMACM_1.0' => 1, -+ 'rdma_accept@@RDMACM_1.0' => 1, -+ 'rdma_ack_cm_event@@RDMACM_1.0' => 1, -+ 'rdma_bind_addr@@RDMACM_1.0' => 1, -+ 'rdma_connect@@RDMACM_1.0' => 1, -+ 'rdma_create_ep@@RDMACM_1.0' => 1, -+ 'rdma_create_event_channel@@RDMACM_1.0' => 1, -+ 'rdma_create_id@@RDMACM_1.0' => 1, -+ 'rdma_create_qp@@RDMACM_1.0' => 1, -+ 'rdma_create_qp_ex@@RDMACM_1.0' => 1, -+ 'rdma_create_srq@@RDMACM_1.0' => 1, -+ 'rdma_create_srq_ex@@RDMACM_1.0' => 1, -+ 'rdma_destroy_ep@@RDMACM_1.0' => 1, -+ 'rdma_destroy_event_channel@@RDMACM_1.0' => 1, -+ 'rdma_destroy_id@@RDMACM_1.0' => 1, -+ 'rdma_destroy_qp@@RDMACM_1.0' => 1, -+ 'rdma_destroy_srq@@RDMACM_1.0' => 1, -+ 'rdma_disconnect@@RDMACM_1.0' => 1, -+ 'rdma_establish@@RDMACM_1.2' => 1, -+ 'rdma_event_str@@RDMACM_1.0' => 1, -+ 'rdma_free_devices@@RDMACM_1.0' => 1, -+ 'rdma_freeaddrinfo@@RDMACM_1.0' => 1, -+ 'rdma_get_cm_event@@RDMACM_1.0' => 1, -+ 'rdma_get_devices@@RDMACM_1.0' => 1, -+ 'rdma_get_dst_port@@RDMACM_1.0' => 1, -+ 'rdma_get_remote_ece@@RDMACM_1.3' => 1, -+ 'rdma_get_request@@RDMACM_1.0' => 1, -+ 'rdma_get_src_port@@RDMACM_1.0' => 1, -+ 'rdma_getaddrinfo@@RDMACM_1.0' => 1, -+ 'rdma_init_qp_attr@@RDMACM_1.2' => 1, -+ 'rdma_join_multicast@@RDMACM_1.0' => 1, -+ 'rdma_join_multicast_ex@@RDMACM_1.1' => 1, -+ 'rdma_leave_multicast@@RDMACM_1.0' => 1, -+ 'rdma_listen@@RDMACM_1.0' => 1, -+ 'rdma_migrate_id@@RDMACM_1.0' => 1, -+ 'rdma_notify@@RDMACM_1.0' => 1, -+ 'rdma_reject@@RDMACM_1.0' => 1, -+ 'rdma_reject_ece@@RDMACM_1.3' => 1, -+ 'rdma_resolve_addr@@RDMACM_1.0' => 1, -+ 'rdma_resolve_route@@RDMACM_1.0' => 1, -+ 'rdma_set_local_ece@@RDMACM_1.3' => 1, -+ 'rdma_set_option@@RDMACM_1.0' => 1, -+ 'rfcntl@@RDMACM_1.0' => 1, -+ 'rgetpeername@@RDMACM_1.0' => 1, -+ 'rgetsockname@@RDMACM_1.0' => 1, -+ 'rgetsockopt@@RDMACM_1.0' => 1, -+ 'riomap@@RDMACM_1.0' => 1, -+ 'riounmap@@RDMACM_1.0' => 1, -+ 'riowrite@@RDMACM_1.0' => 1, -+ 'rlisten@@RDMACM_1.0' => 1, -+ 'rpoll@@RDMACM_1.0' => 1, -+ 'rread@@RDMACM_1.0' => 1, -+ 'rreadv@@RDMACM_1.0' => 1, -+ 'rrecv@@RDMACM_1.0' => 1, -+ 'rrecvfrom@@RDMACM_1.0' => 1, -+ 'rrecvmsg@@RDMACM_1.0' => 1, -+ 'rselect@@RDMACM_1.0' => 1, -+ 'rsend@@RDMACM_1.0' => 1, -+ 'rsendmsg@@RDMACM_1.0' => 1, -+ 'rsendto@@RDMACM_1.0' => 1, -+ 'rsetsockopt@@RDMACM_1.0' => 1, -+ 'rshutdown@@RDMACM_1.0' => 1, -+ 'rsocket@@RDMACM_1.0' => 1, -+ 'rwrite@@RDMACM_1.0' => 1, -+ 'rwritev@@RDMACM_1.0' => 1 -+ } -+ }, -+ 'Target' => 'unix', -+ 'TypeInfo' => { -+ '-1' => { -+ 'Name' => '...', -+ 'Type' => 'Intrinsic' -+ }, -+ '1' => { -+ 'Name' => 'void', -+ 'Type' => 'Intrinsic' -+ }, -+ '1068' => { -+ 'BaseType' => '227', -+ 'Header' => undef, -+ 'Line' => '33', -+ 'Name' => 'socklen_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '10812' => { -+ 'Header' => undef, -+ 'Line' => '876', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_QPT_RC', -+ 'value' => '2' -+ }, -+ '1' => { -+ 'name' => 'IBV_QPT_UC', -+ 'value' => '3' -+ }, -+ '2' => { -+ 'name' => 'IBV_QPT_UD', -+ 'value' => '4' -+ }, -+ '3' => { -+ 'name' => 'IBV_QPT_RAW_PACKET', -+ 'value' => '8' -+ }, -+ '4' => { -+ 'name' => 'IBV_QPT_XRC_SEND', -+ 'value' => '9' -+ }, -+ '5' => { -+ 'name' => 'IBV_QPT_XRC_RECV', -+ 'value' => '10' -+ }, -+ '6' => { -+ 'name' => 'IBV_QPT_DRIVER', -+ 'value' => '255' -+ } -+ }, -+ 'Name' => 'enum ibv_qp_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '10903' => { -+ 'Header' => undef, -+ 'Line' => '69', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'RDMA_PS_IPOIB', -+ 'value' => '2' -+ }, -+ '1' => { -+ 'name' => 'RDMA_PS_TCP', -+ 'value' => '262' -+ }, -+ '2' => { -+ 'name' => 'RDMA_PS_UDP', -+ 'value' => '273' -+ }, -+ '3' => { -+ 'name' => 'RDMA_PS_IB', -+ 'value' => '319' -+ } -+ }, -+ 'Name' => 'enum rdma_port_space', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '111' => { -+ 'Name' => 'short', -+ 'Size' => '2', -+ 'Type' => 'Intrinsic' -+ }, -+ '1157' => { -+ 'BaseType' => '85', -+ 'Header' => undef, -+ 'Line' => '28', -+ 'Name' => 'sa_family_t', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '115871' => { -+ 'BaseType' => '79874', -+ 'Name' => 'struct timeval*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '1169' => { -+ 'Header' => undef, -+ 'Line' => '178', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'sa_family', -+ 'offset' => '0', -+ 'type' => '1157' -+ }, -+ '1' => { -+ 'name' => 'sa_data', -+ 'offset' => '2', -+ 'type' => '1209' -+ } -+ }, -+ 'Name' => 'struct sockaddr', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '118' => { -+ 'BaseType' => '85', -+ 'Header' => undef, -+ 'Line' => '40', -+ 'Name' => '__uint16_t', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '120381' => { -+ 'BaseType' => '80670', -+ 'Name' => 'struct iovec const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '120692' => { -+ 'BaseType' => '81189', -+ 'Name' => 'struct msghdr const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '1209' => { -+ 'BaseType' => '215', -+ 'Name' => 'char[14]', -+ 'Size' => '14', -+ 'Type' => 'Array' -+ }, -+ '127913' => { -+ 'BaseType' => '81076', -+ 'Name' => 'struct msghdr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '130' => { -+ 'Name' => 'int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '1314' => { -+ 'BaseType' => '780', -+ 'Header' => undef, -+ 'Line' => '30', -+ 'Name' => 'in_addr_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '1326' => { -+ 'Header' => undef, -+ 'Line' => '31', -+ 'Memb' => { -+ '0' => { -+ 'name' => 's_addr', -+ 'offset' => '0', -+ 'type' => '1314' -+ } -+ }, -+ 'Name' => 'struct in_addr', -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '137' => { -+ 'BaseType' => '64', -+ 'Header' => undef, -+ 'Line' => '42', -+ 'Name' => '__uint32_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '13784' => { -+ 'BaseType' => '1068', -+ 'Name' => 'socklen_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '149' => { -+ 'Name' => 'long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '15022' => { -+ 'BaseType' => '149', -+ 'Header' => undef, -+ 'Line' => '160', -+ 'Name' => '__time_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '1525' => { -+ 'BaseType' => '768', -+ 'Header' => undef, -+ 'Line' => '119', -+ 'Name' => 'in_port_t', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '1537' => { -+ 'Header' => undef, -+ 'Line' => '214', -+ 'Memb' => { -+ '0' => { -+ 'name' => '__u6_addr8', -+ 'offset' => '0', -+ 'type' => '1583' -+ }, -+ '1' => { -+ 'name' => '__u6_addr16', -+ 'offset' => '0', -+ 'type' => '1599' -+ }, -+ '2' => { -+ 'name' => '__u6_addr32', -+ 'offset' => '0', -+ 'type' => '1615' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Union' -+ }, -+ '156' => { -+ 'BaseType' => '57', -+ 'Header' => undef, -+ 'Line' => '45', -+ 'Name' => '__uint64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '1583' => { -+ 'BaseType' => '756', -+ 'Name' => 'uint8_t[16]', -+ 'Size' => '16', -+ 'Type' => 'Array' -+ }, -+ '15984' => { -+ 'Header' => undef, -+ 'Line' => '191', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'ss_family', -+ 'offset' => '0', -+ 'type' => '1157' -+ }, -+ '1' => { -+ 'name' => '__ss_padding', -+ 'offset' => '2', -+ 'type' => '16037' -+ }, -+ '2' => { -+ 'name' => '__ss_align', -+ 'offset' => '120', -+ 'type' => '57' -+ } -+ }, -+ 'Name' => 'struct sockaddr_storage', -+ 'Size' => '128', -+ 'Type' => 'Struct' -+ }, -+ '1599' => { -+ 'BaseType' => '768', -+ 'Name' => 'uint16_t[8]', -+ 'Size' => '16', -+ 'Type' => 'Array' -+ }, -+ '16037' => { -+ 'BaseType' => '215', -+ 'Name' => 'char[118]', -+ 'Size' => '118', -+ 'Type' => 'Array' -+ }, -+ '1615' => { -+ 'BaseType' => '780', -+ 'Name' => 'uint32_t[4]', -+ 'Size' => '16', -+ 'Type' => 'Array' -+ }, -+ '1631' => { -+ 'Header' => undef, -+ 'Line' => '212', -+ 'Memb' => { -+ '0' => { -+ 'name' => '__in6_u', -+ 'offset' => '0', -+ 'type' => '1537' -+ } -+ }, -+ 'Name' => 'struct in6_addr', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '1658' => { -+ 'Header' => undef, -+ 'Line' => '238', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'sin_family', -+ 'offset' => '0', -+ 'type' => '1157' -+ }, -+ '1' => { -+ 'name' => 'sin_port', -+ 'offset' => '2', -+ 'type' => '1525' -+ }, -+ '2' => { -+ 'name' => 'sin_addr', -+ 'offset' => '4', -+ 'type' => '1326' -+ }, -+ '3' => { -+ 'name' => 'sin_zero', -+ 'offset' => '8', -+ 'type' => '1724' -+ } -+ }, -+ 'Name' => 'struct sockaddr_in', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '168' => { -+ 'BaseType' => '149', -+ 'Header' => undef, -+ 'Line' => '152', -+ 'Name' => '__off_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '1724' => { -+ 'BaseType' => '78', -+ 'Name' => 'unsigned char[8]', -+ 'Size' => '8', -+ 'Type' => 'Array' -+ }, -+ '1740' => { -+ 'Header' => undef, -+ 'Line' => '253', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'sin6_family', -+ 'offset' => '0', -+ 'type' => '1157' -+ }, -+ '1' => { -+ 'name' => 'sin6_port', -+ 'offset' => '2', -+ 'type' => '1525' -+ }, -+ '2' => { -+ 'name' => 'sin6_flowinfo', -+ 'offset' => '4', -+ 'type' => '780' -+ }, -+ '3' => { -+ 'name' => 'sin6_addr', -+ 'offset' => '8', -+ 'type' => '1631' -+ }, -+ '4' => { -+ 'name' => 'sin6_scope_id', -+ 'offset' => '24', -+ 'type' => '780' -+ } -+ }, -+ 'Name' => 'struct sockaddr_in6', -+ 'Size' => '28', -+ 'Type' => 'Struct' -+ }, -+ '18062' => { -+ 'Header' => undef, -+ 'Line' => '94', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_NODE_UNKNOWN', -+ 'value' => '18446744073709551615 (-1)' -+ }, -+ '1' => { -+ 'name' => 'IBV_NODE_CA', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_NODE_SWITCH', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_NODE_ROUTER', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_NODE_RNIC', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_NODE_USNIC', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_NODE_USNIC_UDP', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_NODE_UNSPECIFIED', -+ 'value' => '7' -+ } -+ }, -+ 'Name' => 'enum ibv_node_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '18129' => { -+ 'Header' => undef, -+ 'Line' => '105', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_TRANSPORT_UNKNOWN', -+ 'value' => '18446744073709551615 (-1)' -+ }, -+ '1' => { -+ 'name' => 'IBV_TRANSPORT_IB', -+ 'value' => '0' -+ }, -+ '2' => { -+ 'name' => 'IBV_TRANSPORT_IWARP', -+ 'value' => '1' -+ }, -+ '3' => { -+ 'name' => 'IBV_TRANSPORT_USNIC', -+ 'value' => '2' -+ }, -+ '4' => { -+ 'name' => 'IBV_TRANSPORT_USNIC_UDP', -+ 'value' => '3' -+ }, -+ '5' => { -+ 'name' => 'IBV_TRANSPORT_UNSPECIFIED', -+ 'value' => '4' -+ } -+ }, -+ 'Name' => 'enum ibv_transport_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '1823' => { -+ 'BaseType' => '1169', -+ 'Name' => 'struct sockaddr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '1829' => { -+ 'BaseType' => '1840', -+ 'Name' => 'void const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '18340' => { -+ 'Header' => undef, -+ 'Line' => '1966', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'device', -+ 'offset' => '0', -+ 'type' => '27446' -+ }, -+ '1' => { -+ 'name' => 'ops', -+ 'offset' => '8', -+ 'type' => '27635' -+ }, -+ '2' => { -+ 'name' => 'cmd_fd', -+ 'offset' => '264', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'async_fd', -+ 'offset' => '268', -+ 'type' => '130' -+ }, -+ '4' => { -+ 'name' => 'num_comp_vectors', -+ 'offset' => '272', -+ 'type' => '130' -+ }, -+ '5' => { -+ 'name' => 'mutex', -+ 'offset' => '280', -+ 'type' => '15632' -+ }, -+ '6' => { -+ 'name' => 'abi_compat', -+ 'offset' => '320', -+ 'type' => '71' -+ } -+ }, -+ 'Name' => 'struct ibv_context', -+ 'Size' => '328', -+ 'Type' => 'Struct' -+ }, -+ '1840' => { -+ 'BaseType' => '1', -+ 'Name' => 'void const', -+ 'Type' => 'Const' -+ }, -+ '18459' => { -+ 'BaseType' => '18340', -+ 'Name' => 'struct ibv_context*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '19077' => { -+ 'BaseType' => '215', -+ 'Name' => 'char[64]', -+ 'Size' => '64', -+ 'Type' => 'Array' -+ }, -+ '192' => { -+ 'BaseType' => '149', -+ 'Header' => undef, -+ 'Line' => '193', -+ 'Name' => '__ssize_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '1930' => { -+ 'BaseType' => '85', -+ 'Header' => undef, -+ 'Line' => '24', -+ 'Name' => '__u16', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '1942' => { -+ 'BaseType' => '64', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => '__u32', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '1954' => { -+ 'BaseType' => '980', -+ 'Header' => undef, -+ 'Line' => '31', -+ 'Name' => '__u64', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '1966' => { -+ 'BaseType' => '1930', -+ 'Header' => undef, -+ 'Line' => '25', -+ 'Name' => '__be16', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '1978' => { -+ 'BaseType' => '1942', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => '__be32', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '19834' => { -+ 'Header' => undef, -+ 'Line' => '350', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_MTU_256', -+ 'value' => '1' -+ }, -+ '1' => { -+ 'name' => 'IBV_MTU_512', -+ 'value' => '2' -+ }, -+ '2' => { -+ 'name' => 'IBV_MTU_1024', -+ 'value' => '3' -+ }, -+ '3' => { -+ 'name' => 'IBV_MTU_2048', -+ 'value' => '4' -+ }, -+ '4' => { -+ 'name' => 'IBV_MTU_4096', -+ 'value' => '5' -+ } -+ }, -+ 'Name' => 'enum ibv_mtu', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '1990' => { -+ 'BaseType' => '1954', -+ 'Header' => undef, -+ 'Line' => '29', -+ 'Name' => '__be64', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '20297' => { -+ 'Header' => undef, -+ 'Line' => '434', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_EVENT_CQ_ERR', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_EVENT_QP_FATAL', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IBV_EVENT_PORT_ERR', -+ 'value' => '10' -+ }, -+ '11' => { -+ 'name' => 'IBV_EVENT_LID_CHANGE', -+ 'value' => '11' -+ }, -+ '12' => { -+ 'name' => 'IBV_EVENT_PKEY_CHANGE', -+ 'value' => '12' -+ }, -+ '13' => { -+ 'name' => 'IBV_EVENT_SM_CHANGE', -+ 'value' => '13' -+ }, -+ '14' => { -+ 'name' => 'IBV_EVENT_SRQ_ERR', -+ 'value' => '14' -+ }, -+ '15' => { -+ 'name' => 'IBV_EVENT_SRQ_LIMIT_REACHED', -+ 'value' => '15' -+ }, -+ '16' => { -+ 'name' => 'IBV_EVENT_QP_LAST_WQE_REACHED', -+ 'value' => '16' -+ }, -+ '17' => { -+ 'name' => 'IBV_EVENT_CLIENT_REREGISTER', -+ 'value' => '17' -+ }, -+ '18' => { -+ 'name' => 'IBV_EVENT_GID_CHANGE', -+ 'value' => '18' -+ }, -+ '19' => { -+ 'name' => 'IBV_EVENT_WQ_FATAL', -+ 'value' => '19' -+ }, -+ '2' => { -+ 'name' => 'IBV_EVENT_QP_REQ_ERR', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_EVENT_QP_ACCESS_ERR', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_EVENT_COMM_EST', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_EVENT_SQ_DRAINED', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_EVENT_PATH_MIG', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_EVENT_PATH_MIG_ERR', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'IBV_EVENT_DEVICE_FATAL', -+ 'value' => '8' -+ }, -+ '9' => { -+ 'name' => 'IBV_EVENT_PORT_ACTIVE', -+ 'value' => '9' -+ } -+ }, -+ 'Name' => 'enum ibv_event_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '204' => { -+ 'BaseType' => '215', -+ 'Name' => 'char*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '20437' => { -+ 'Header' => undef, -+ 'Line' => '1439', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '18459' -+ }, -+ '1' => { -+ 'name' => 'channel', -+ 'offset' => '8', -+ 'type' => '26073' -+ }, -+ '2' => { -+ 'name' => 'cq_context', -+ 'offset' => '16', -+ 'type' => '71' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '24', -+ 'type' => '780' -+ }, -+ '4' => { -+ 'name' => 'cqe', -+ 'offset' => '28', -+ 'type' => '130' -+ }, -+ '5' => { -+ 'name' => 'mutex', -+ 'offset' => '32', -+ 'type' => '15632' -+ }, -+ '6' => { -+ 'name' => 'cond', -+ 'offset' => '72', -+ 'type' => '15706' -+ }, -+ '7' => { -+ 'name' => 'comp_events_completed', -+ 'offset' => '120', -+ 'type' => '780' -+ }, -+ '8' => { -+ 'name' => 'async_events_completed', -+ 'offset' => '124', -+ 'type' => '780' -+ } -+ }, -+ 'Name' => 'struct ibv_cq', -+ 'Size' => '128', -+ 'Type' => 'Struct' -+ }, -+ '20578' => { -+ 'BaseType' => '20437', -+ 'Name' => 'struct ibv_cq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '20584' => { -+ 'Header' => undef, -+ 'Line' => '1233', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '18459' -+ }, -+ '1' => { -+ 'name' => 'qp_context', -+ 'offset' => '8', -+ 'type' => '71' -+ }, -+ '10' => { -+ 'name' => 'mutex', -+ 'offset' => '64', -+ 'type' => '15632' -+ }, -+ '11' => { -+ 'name' => 'cond', -+ 'offset' => '104', -+ 'type' => '15706' -+ }, -+ '12' => { -+ 'name' => 'events_completed', -+ 'offset' => '152', -+ 'type' => '780' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'offset' => '16', -+ 'type' => '22162' -+ }, -+ '3' => { -+ 'name' => 'send_cq', -+ 'offset' => '24', -+ 'type' => '20578' -+ }, -+ '4' => { -+ 'name' => 'recv_cq', -+ 'offset' => '32', -+ 'type' => '20578' -+ }, -+ '5' => { -+ 'name' => 'srq', -+ 'offset' => '40', -+ 'type' => '20898' -+ }, -+ '6' => { -+ 'name' => 'handle', -+ 'offset' => '48', -+ 'type' => '780' -+ }, -+ '7' => { -+ 'name' => 'qp_num', -+ 'offset' => '52', -+ 'type' => '780' -+ }, -+ '8' => { -+ 'name' => 'state', -+ 'offset' => '56', -+ 'type' => '24169' -+ }, -+ '9' => { -+ 'name' => 'qp_type', -+ 'offset' => '60', -+ 'type' => '10812' -+ } -+ }, -+ 'Name' => 'struct ibv_qp', -+ 'Size' => '160', -+ 'Type' => 'Struct' -+ }, -+ '20780' => { -+ 'BaseType' => '20584', -+ 'Name' => 'struct ibv_qp*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '20786' => { -+ 'Header' => undef, -+ 'Line' => '1193', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '18459' -+ }, -+ '1' => { -+ 'name' => 'srq_context', -+ 'offset' => '8', -+ 'type' => '71' -+ }, -+ '2' => { -+ 'name' => 'pd', -+ 'offset' => '16', -+ 'type' => '22162' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '24', -+ 'type' => '780' -+ }, -+ '4' => { -+ 'name' => 'mutex', -+ 'offset' => '32', -+ 'type' => '15632' -+ }, -+ '5' => { -+ 'name' => 'cond', -+ 'offset' => '72', -+ 'type' => '15706' -+ }, -+ '6' => { -+ 'name' => 'events_completed', -+ 'offset' => '120', -+ 'type' => '780' -+ } -+ }, -+ 'Name' => 'struct ibv_srq', -+ 'Size' => '128', -+ 'Type' => 'Struct' -+ }, -+ '20898' => { -+ 'BaseType' => '20786', -+ 'Name' => 'struct ibv_srq*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '21105' => { -+ 'Header' => undef, -+ 'Line' => '468', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WC_SUCCESS', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WC_LOC_LEN_ERR', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IBV_WC_REM_ACCESS_ERR', -+ 'value' => '10' -+ }, -+ '11' => { -+ 'name' => 'IBV_WC_REM_OP_ERR', -+ 'value' => '11' -+ }, -+ '12' => { -+ 'name' => 'IBV_WC_RETRY_EXC_ERR', -+ 'value' => '12' -+ }, -+ '13' => { -+ 'name' => 'IBV_WC_RNR_RETRY_EXC_ERR', -+ 'value' => '13' -+ }, -+ '14' => { -+ 'name' => 'IBV_WC_LOC_RDD_VIOL_ERR', -+ 'value' => '14' -+ }, -+ '15' => { -+ 'name' => 'IBV_WC_REM_INV_RD_REQ_ERR', -+ 'value' => '15' -+ }, -+ '16' => { -+ 'name' => 'IBV_WC_REM_ABORT_ERR', -+ 'value' => '16' -+ }, -+ '17' => { -+ 'name' => 'IBV_WC_INV_EECN_ERR', -+ 'value' => '17' -+ }, -+ '18' => { -+ 'name' => 'IBV_WC_INV_EEC_STATE_ERR', -+ 'value' => '18' -+ }, -+ '19' => { -+ 'name' => 'IBV_WC_FATAL_ERR', -+ 'value' => '19' -+ }, -+ '2' => { -+ 'name' => 'IBV_WC_LOC_QP_OP_ERR', -+ 'value' => '2' -+ }, -+ '20' => { -+ 'name' => 'IBV_WC_RESP_TIMEOUT_ERR', -+ 'value' => '20' -+ }, -+ '21' => { -+ 'name' => 'IBV_WC_GENERAL_ERR', -+ 'value' => '21' -+ }, -+ '22' => { -+ 'name' => 'IBV_WC_TM_ERR', -+ 'value' => '22' -+ }, -+ '23' => { -+ 'name' => 'IBV_WC_TM_RNDV_INCOMPLETE', -+ 'value' => '23' -+ }, -+ '3' => { -+ 'name' => 'IBV_WC_LOC_EEC_OP_ERR', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_WC_LOC_PROT_ERR', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_WC_WR_FLUSH_ERR', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_WC_MW_BIND_ERR', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_WC_BAD_RESP_ERR', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'IBV_WC_LOC_ACCESS_ERR', -+ 'value' => '8' -+ }, -+ '9' => { -+ 'name' => 'IBV_WC_REM_INV_REQ_ERR', -+ 'value' => '9' -+ } -+ }, -+ 'Name' => 'enum ibv_wc_status', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '21269' => { -+ 'Header' => undef, -+ 'Line' => '496', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WC_SEND', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WC_RDMA_WRITE', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IBV_WC_TM_ADD', -+ 'value' => '130' -+ }, -+ '11' => { -+ 'name' => 'IBV_WC_TM_DEL', -+ 'value' => '131' -+ }, -+ '12' => { -+ 'name' => 'IBV_WC_TM_SYNC', -+ 'value' => '132' -+ }, -+ '13' => { -+ 'name' => 'IBV_WC_TM_RECV', -+ 'value' => '133' -+ }, -+ '14' => { -+ 'name' => 'IBV_WC_TM_NO_TAG', -+ 'value' => '134' -+ }, -+ '15' => { -+ 'name' => 'IBV_WC_DRIVER1', -+ 'value' => '135' -+ }, -+ '2' => { -+ 'name' => 'IBV_WC_RDMA_READ', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_WC_COMP_SWAP', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_WC_FETCH_ADD', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_WC_BIND_MW', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_WC_LOCAL_INV', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_WC_TSO', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'IBV_WC_RECV', -+ 'value' => '128' -+ }, -+ '9' => { -+ 'name' => 'IBV_WC_RECV_RDMA_WITH_IMM', -+ 'value' => '129' -+ } -+ }, -+ 'Name' => 'enum ibv_wc_opcode', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '215' => { -+ 'Name' => 'char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '21525' => { -+ 'Header' => undef, -+ 'Line' => '577', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'imm_data', -+ 'offset' => '0', -+ 'type' => '1978' -+ }, -+ '1' => { -+ 'name' => 'invalidated_rkey', -+ 'offset' => '0', -+ 'type' => '780' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Union' -+ }, -+ '21562' => { -+ 'Header' => undef, -+ 'Line' => '568', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '792' -+ }, -+ '1' => { -+ 'name' => 'status', -+ 'offset' => '8', -+ 'type' => '21105' -+ }, -+ '10' => { -+ 'name' => 'slid', -+ 'offset' => '42', -+ 'type' => '768' -+ }, -+ '11' => { -+ 'name' => 'sl', -+ 'offset' => '44', -+ 'type' => '756' -+ }, -+ '12' => { -+ 'name' => 'dlid_path_bits', -+ 'offset' => '45', -+ 'type' => '756' -+ }, -+ '2' => { -+ 'name' => 'opcode', -+ 'offset' => '12', -+ 'type' => '21269' -+ }, -+ '3' => { -+ 'name' => 'vendor_err', -+ 'offset' => '16', -+ 'type' => '780' -+ }, -+ '4' => { -+ 'name' => 'byte_len', -+ 'offset' => '20', -+ 'type' => '780' -+ }, -+ '5' => { -+ 'name' => 'unnamed0', -+ 'offset' => '24', -+ 'type' => '21525' -+ }, -+ '6' => { -+ 'name' => 'qp_num', -+ 'offset' => '28', -+ 'type' => '780' -+ }, -+ '7' => { -+ 'name' => 'src_qp', -+ 'offset' => '32', -+ 'type' => '780' -+ }, -+ '8' => { -+ 'name' => 'wc_flags', -+ 'offset' => '36', -+ 'type' => '64' -+ }, -+ '9' => { -+ 'name' => 'pkey_index', -+ 'offset' => '40', -+ 'type' => '768' -+ } -+ }, -+ 'Name' => 'struct ibv_wc', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '21750' => { -+ 'Header' => undef, -+ 'Line' => '602', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'mr', -+ 'offset' => '0', -+ 'type' => '21932' -+ }, -+ '1' => { -+ 'name' => 'addr', -+ 'offset' => '8', -+ 'type' => '792' -+ }, -+ '2' => { -+ 'name' => 'length', -+ 'offset' => '16', -+ 'type' => '792' -+ }, -+ '3' => { -+ 'name' => 'mw_access_flags', -+ 'offset' => '24', -+ 'type' => '64' -+ } -+ }, -+ 'Name' => 'struct ibv_mw_bind_info', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '21820' => { -+ 'Header' => undef, -+ 'Line' => '645', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '18459' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'offset' => '8', -+ 'type' => '22162' -+ }, -+ '2' => { -+ 'name' => 'addr', -+ 'offset' => '16', -+ 'type' => '71' -+ }, -+ '3' => { -+ 'name' => 'length', -+ 'offset' => '24', -+ 'type' => '45' -+ }, -+ '4' => { -+ 'name' => 'handle', -+ 'offset' => '32', -+ 'type' => '780' -+ }, -+ '5' => { -+ 'name' => 'lkey', -+ 'offset' => '36', -+ 'type' => '780' -+ }, -+ '6' => { -+ 'name' => 'rkey', -+ 'offset' => '40', -+ 'type' => '780' -+ } -+ }, -+ 'Name' => 'struct ibv_mr', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '21932' => { -+ 'BaseType' => '21820', -+ 'Name' => 'struct ibv_mr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '21938' => { -+ 'Header' => undef, -+ 'Line' => '609', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '18459' -+ }, -+ '1' => { -+ 'name' => 'handle', -+ 'offset' => '8', -+ 'type' => '780' -+ } -+ }, -+ 'Name' => 'struct ibv_pd', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '22133' => { -+ 'Header' => undef, -+ 'Line' => '634', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '18459' -+ } -+ }, -+ 'Name' => 'struct ibv_xrcd', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '22162' => { -+ 'BaseType' => '21938', -+ 'Name' => 'struct ibv_pd*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '22168' => { -+ 'Header' => undef, -+ 'Line' => '655', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_MW_TYPE_1', -+ 'value' => '1' -+ }, -+ '1' => { -+ 'name' => 'IBV_MW_TYPE_2', -+ 'value' => '2' -+ } -+ }, -+ 'Name' => 'enum ibv_mw_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '222' => { -+ 'BaseType' => '215', -+ 'Name' => 'char const', -+ 'Size' => '1', -+ 'Type' => 'Const' -+ }, -+ '22200' => { -+ 'Header' => undef, -+ 'Line' => '660', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '18459' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'offset' => '8', -+ 'type' => '22162' -+ }, -+ '2' => { -+ 'name' => 'rkey', -+ 'offset' => '16', -+ 'type' => '780' -+ }, -+ '3' => { -+ 'name' => 'handle', -+ 'offset' => '20', -+ 'type' => '780' -+ }, -+ '4' => { -+ 'name' => 'type', -+ 'offset' => '24', -+ 'type' => '22168' -+ } -+ }, -+ 'Name' => 'struct ibv_mw', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '22284' => { -+ 'Header' => undef, -+ 'Line' => '668', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dgid', -+ 'offset' => '0', -+ 'type' => '2345' -+ }, -+ '1' => { -+ 'name' => 'flow_label', -+ 'offset' => '16', -+ 'type' => '780' -+ }, -+ '2' => { -+ 'name' => 'sgid_index', -+ 'offset' => '20', -+ 'type' => '756' -+ }, -+ '3' => { -+ 'name' => 'hop_limit', -+ 'offset' => '21', -+ 'type' => '756' -+ }, -+ '4' => { -+ 'name' => 'traffic_class', -+ 'offset' => '22', -+ 'type' => '756' -+ } -+ }, -+ 'Name' => 'struct ibv_global_route', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '22369' => { -+ 'Header' => undef, -+ 'Line' => '737', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'grh', -+ 'offset' => '0', -+ 'type' => '22284' -+ }, -+ '1' => { -+ 'name' => 'dlid', -+ 'offset' => '24', -+ 'type' => '768' -+ }, -+ '2' => { -+ 'name' => 'sl', -+ 'offset' => '26', -+ 'type' => '756' -+ }, -+ '3' => { -+ 'name' => 'src_path_bits', -+ 'offset' => '27', -+ 'type' => '756' -+ }, -+ '4' => { -+ 'name' => 'static_rate', -+ 'offset' => '28', -+ 'type' => '756' -+ }, -+ '5' => { -+ 'name' => 'is_global', -+ 'offset' => '29', -+ 'type' => '756' -+ }, -+ '6' => { -+ 'name' => 'port_num', -+ 'offset' => '30', -+ 'type' => '756' -+ } -+ }, -+ 'Name' => 'struct ibv_ah_attr', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '22481' => { -+ 'Header' => undef, -+ 'Line' => '752', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'max_wr', -+ 'offset' => '0', -+ 'type' => '780' -+ }, -+ '1' => { -+ 'name' => 'max_sge', -+ 'offset' => '4', -+ 'type' => '780' -+ }, -+ '2' => { -+ 'name' => 'srq_limit', -+ 'offset' => '8', -+ 'type' => '780' -+ } -+ }, -+ 'Name' => 'struct ibv_srq_attr', -+ 'Size' => '12', -+ 'Type' => 'Struct' -+ }, -+ '22538' => { -+ 'Header' => undef, -+ 'Line' => '758', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'srq_context', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'offset' => '8', -+ 'type' => '22481' -+ } -+ }, -+ 'Name' => 'struct ibv_srq_init_attr', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '22581' => { -+ 'Header' => undef, -+ 'Line' => '763', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_SRQT_BASIC', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_SRQT_XRC', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_SRQT_TM', -+ 'value' => '2' -+ } -+ }, -+ 'Name' => 'enum ibv_srq_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '22675' => { -+ 'Header' => undef, -+ 'Line' => '778', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'max_num_tags', -+ 'offset' => '0', -+ 'type' => '780' -+ }, -+ '1' => { -+ 'name' => 'max_ops', -+ 'offset' => '4', -+ 'type' => '780' -+ } -+ }, -+ 'Name' => 'struct ibv_tm_cap', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '227' => { -+ 'BaseType' => '64', -+ 'Header' => undef, -+ 'Line' => '209', -+ 'Name' => '__socklen_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '22718' => { -+ 'Header' => undef, -+ 'Line' => '783', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'srq_context', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'attr', -+ 'offset' => '8', -+ 'type' => '22481' -+ }, -+ '2' => { -+ 'name' => 'comp_mask', -+ 'offset' => '20', -+ 'type' => '780' -+ }, -+ '3' => { -+ 'name' => 'srq_type', -+ 'offset' => '24', -+ 'type' => '22581' -+ }, -+ '4' => { -+ 'name' => 'pd', -+ 'offset' => '32', -+ 'type' => '22162' -+ }, -+ '5' => { -+ 'name' => 'xrcd', -+ 'offset' => '40', -+ 'type' => '22843' -+ }, -+ '6' => { -+ 'name' => 'cq', -+ 'offset' => '48', -+ 'type' => '20578' -+ }, -+ '7' => { -+ 'name' => 'tm_cap', -+ 'offset' => '56', -+ 'type' => '22675' -+ } -+ }, -+ 'Name' => 'struct ibv_srq_init_attr_ex', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '22843' => { -+ 'BaseType' => '22133', -+ 'Name' => 'struct ibv_xrcd*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '2309' => { -+ 'Header' => undef, -+ 'Line' => '66', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'subnet_prefix', -+ 'offset' => '0', -+ 'type' => '1990' -+ }, -+ '1' => { -+ 'name' => 'interface_id', -+ 'offset' => '8', -+ 'type' => '1990' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '23129' => { -+ 'Header' => undef, -+ 'Line' => '855', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '18459' -+ }, -+ '1' => { -+ 'name' => 'ind_tbl_handle', -+ 'offset' => '8', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'name' => 'ind_tbl_num', -+ 'offset' => '12', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'comp_mask', -+ 'offset' => '16', -+ 'type' => '780' -+ } -+ }, -+ 'Name' => 'struct ibv_rwq_ind_table', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '23325' => { -+ 'Header' => undef, -+ 'Line' => '886', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'max_send_wr', -+ 'offset' => '0', -+ 'type' => '780' -+ }, -+ '1' => { -+ 'name' => 'max_recv_wr', -+ 'offset' => '4', -+ 'type' => '780' -+ }, -+ '2' => { -+ 'name' => 'max_send_sge', -+ 'offset' => '8', -+ 'type' => '780' -+ }, -+ '3' => { -+ 'name' => 'max_recv_sge', -+ 'offset' => '12', -+ 'type' => '780' -+ }, -+ '4' => { -+ 'name' => 'max_inline_data', -+ 'offset' => '16', -+ 'type' => '780' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_cap', -+ 'Size' => '20', -+ 'Type' => 'Struct' -+ }, -+ '23410' => { -+ 'Header' => undef, -+ 'Line' => '894', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp_context', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'send_cq', -+ 'offset' => '8', -+ 'type' => '20578' -+ }, -+ '2' => { -+ 'name' => 'recv_cq', -+ 'offset' => '16', -+ 'type' => '20578' -+ }, -+ '3' => { -+ 'name' => 'srq', -+ 'offset' => '24', -+ 'type' => '20898' -+ }, -+ '4' => { -+ 'name' => 'cap', -+ 'offset' => '32', -+ 'type' => '23325' -+ }, -+ '5' => { -+ 'name' => 'qp_type', -+ 'offset' => '52', -+ 'type' => '10812' -+ }, -+ '6' => { -+ 'name' => 'sq_sig_all', -+ 'offset' => '56', -+ 'type' => '130' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_init_attr', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '2345' => { -+ 'Header' => undef, -+ 'Line' => '64', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'raw', -+ 'offset' => '0', -+ 'type' => '1583' -+ }, -+ '1' => { -+ 'name' => 'global', -+ 'offset' => '0', -+ 'type' => '2309' -+ } -+ }, -+ 'Name' => 'union ibv_gid', -+ 'Size' => '16', -+ 'Type' => 'Union' -+ }, -+ '23585' => { -+ 'Header' => undef, -+ 'Line' => '936', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rx_hash_function', -+ 'offset' => '0', -+ 'type' => '756' -+ }, -+ '1' => { -+ 'name' => 'rx_hash_key_len', -+ 'offset' => '1', -+ 'type' => '756' -+ }, -+ '2' => { -+ 'name' => 'rx_hash_key', -+ 'offset' => '8', -+ 'type' => '23656' -+ }, -+ '3' => { -+ 'name' => 'rx_hash_fields_mask', -+ 'offset' => '16', -+ 'type' => '792' -+ } -+ }, -+ 'Name' => 'struct ibv_rx_hash_conf', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '23656' => { -+ 'BaseType' => '756', -+ 'Name' => 'uint8_t*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '23662' => { -+ 'Header' => undef, -+ 'Line' => '945', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp_context', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'send_cq', -+ 'offset' => '8', -+ 'type' => '20578' -+ }, -+ '10' => { -+ 'name' => 'create_flags', -+ 'offset' => '80', -+ 'type' => '780' -+ }, -+ '11' => { -+ 'name' => 'max_tso_header', -+ 'offset' => '84', -+ 'type' => '768' -+ }, -+ '12' => { -+ 'name' => 'rwq_ind_tbl', -+ 'offset' => '88', -+ 'type' => '23900' -+ }, -+ '13' => { -+ 'name' => 'rx_hash_conf', -+ 'offset' => '96', -+ 'type' => '23585' -+ }, -+ '14' => { -+ 'name' => 'source_qpn', -+ 'offset' => '120', -+ 'type' => '780' -+ }, -+ '15' => { -+ 'name' => 'send_ops_flags', -+ 'offset' => '128', -+ 'type' => '792' -+ }, -+ '2' => { -+ 'name' => 'recv_cq', -+ 'offset' => '16', -+ 'type' => '20578' -+ }, -+ '3' => { -+ 'name' => 'srq', -+ 'offset' => '24', -+ 'type' => '20898' -+ }, -+ '4' => { -+ 'name' => 'cap', -+ 'offset' => '32', -+ 'type' => '23325' -+ }, -+ '5' => { -+ 'name' => 'qp_type', -+ 'offset' => '52', -+ 'type' => '10812' -+ }, -+ '6' => { -+ 'name' => 'sq_sig_all', -+ 'offset' => '56', -+ 'type' => '130' -+ }, -+ '7' => { -+ 'name' => 'comp_mask', -+ 'offset' => '60', -+ 'type' => '780' -+ }, -+ '8' => { -+ 'name' => 'pd', -+ 'offset' => '64', -+ 'type' => '22162' -+ }, -+ '9' => { -+ 'name' => 'xrcd', -+ 'offset' => '72', -+ 'type' => '22843' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_init_attr_ex', -+ 'Size' => '136', -+ 'Type' => 'Struct' -+ }, -+ '23900' => { -+ 'BaseType' => '23129', -+ 'Name' => 'struct ibv_rwq_ind_table*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '24169' => { -+ 'Header' => undef, -+ 'Line' => '1014', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_QPS_RESET', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_QPS_INIT', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_QPS_RTR', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_QPS_RTS', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_QPS_SQD', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_QPS_SQE', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_QPS_ERR', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_QPS_UNKNOWN', -+ 'value' => '7' -+ } -+ }, -+ 'Name' => 'enum ibv_qp_state', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '24237' => { -+ 'Header' => undef, -+ 'Line' => '1025', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_MIG_MIGRATED', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_MIG_REARM', -+ 'value' => '1' -+ }, -+ '2' => { -+ 'name' => 'IBV_MIG_ARMED', -+ 'value' => '2' -+ } -+ }, -+ 'Name' => 'enum ibv_mig_state', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '24275' => { -+ 'Header' => undef, -+ 'Line' => '1031', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'qp_state', -+ 'offset' => '0', -+ 'type' => '24169' -+ }, -+ '1' => { -+ 'name' => 'cur_qp_state', -+ 'offset' => '4', -+ 'type' => '24169' -+ }, -+ '10' => { -+ 'name' => 'ah_attr', -+ 'offset' => '56', -+ 'type' => '22369' -+ }, -+ '11' => { -+ 'name' => 'alt_ah_attr', -+ 'offset' => '88', -+ 'type' => '22369' -+ }, -+ '12' => { -+ 'name' => 'pkey_index', -+ 'offset' => '120', -+ 'type' => '768' -+ }, -+ '13' => { -+ 'name' => 'alt_pkey_index', -+ 'offset' => '122', -+ 'type' => '768' -+ }, -+ '14' => { -+ 'name' => 'en_sqd_async_notify', -+ 'offset' => '124', -+ 'type' => '756' -+ }, -+ '15' => { -+ 'name' => 'sq_draining', -+ 'offset' => '125', -+ 'type' => '756' -+ }, -+ '16' => { -+ 'name' => 'max_rd_atomic', -+ 'offset' => '126', -+ 'type' => '756' -+ }, -+ '17' => { -+ 'name' => 'max_dest_rd_atomic', -+ 'offset' => '127', -+ 'type' => '756' -+ }, -+ '18' => { -+ 'name' => 'min_rnr_timer', -+ 'offset' => '128', -+ 'type' => '756' -+ }, -+ '19' => { -+ 'name' => 'port_num', -+ 'offset' => '129', -+ 'type' => '756' -+ }, -+ '2' => { -+ 'name' => 'path_mtu', -+ 'offset' => '8', -+ 'type' => '19834' -+ }, -+ '20' => { -+ 'name' => 'timeout', -+ 'offset' => '130', -+ 'type' => '756' -+ }, -+ '21' => { -+ 'name' => 'retry_cnt', -+ 'offset' => '131', -+ 'type' => '756' -+ }, -+ '22' => { -+ 'name' => 'rnr_retry', -+ 'offset' => '132', -+ 'type' => '756' -+ }, -+ '23' => { -+ 'name' => 'alt_port_num', -+ 'offset' => '133', -+ 'type' => '756' -+ }, -+ '24' => { -+ 'name' => 'alt_timeout', -+ 'offset' => '134', -+ 'type' => '756' -+ }, -+ '25' => { -+ 'name' => 'rate_limit', -+ 'offset' => '136', -+ 'type' => '780' -+ }, -+ '3' => { -+ 'name' => 'path_mig_state', -+ 'offset' => '12', -+ 'type' => '24237' -+ }, -+ '4' => { -+ 'name' => 'qkey', -+ 'offset' => '16', -+ 'type' => '780' -+ }, -+ '5' => { -+ 'name' => 'rq_psn', -+ 'offset' => '20', -+ 'type' => '780' -+ }, -+ '6' => { -+ 'name' => 'sq_psn', -+ 'offset' => '24', -+ 'type' => '780' -+ }, -+ '7' => { -+ 'name' => 'dest_qp_num', -+ 'offset' => '28', -+ 'type' => '780' -+ }, -+ '8' => { -+ 'name' => 'qp_access_flags', -+ 'offset' => '32', -+ 'type' => '64' -+ }, -+ '9' => { -+ 'name' => 'cap', -+ 'offset' => '36', -+ 'type' => '23325' -+ } -+ }, -+ 'Name' => 'struct ibv_qp_attr', -+ 'Size' => '144', -+ 'Type' => 'Struct' -+ }, -+ '24725' => { -+ 'Header' => undef, -+ 'Line' => '1067', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'IBV_WR_RDMA_WRITE', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'IBV_WR_RDMA_WRITE_WITH_IMM', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'IBV_WR_TSO', -+ 'value' => '10' -+ }, -+ '11' => { -+ 'name' => 'IBV_WR_DRIVER1', -+ 'value' => '11' -+ }, -+ '2' => { -+ 'name' => 'IBV_WR_SEND', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'IBV_WR_SEND_WITH_IMM', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'IBV_WR_RDMA_READ', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'IBV_WR_ATOMIC_CMP_AND_SWP', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'IBV_WR_ATOMIC_FETCH_AND_ADD', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'IBV_WR_LOCAL_INV', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'IBV_WR_BIND_MW', -+ 'value' => '8' -+ }, -+ '9' => { -+ 'name' => 'IBV_WR_SEND_WITH_INV', -+ 'value' => '9' -+ } -+ }, -+ 'Name' => 'enum ibv_wr_opcode', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '24817' => { -+ 'Header' => undef, -+ 'Line' => '1095', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'addr', -+ 'offset' => '0', -+ 'type' => '792' -+ }, -+ '1' => { -+ 'name' => 'length', -+ 'offset' => '8', -+ 'type' => '780' -+ }, -+ '2' => { -+ 'name' => 'lkey', -+ 'offset' => '12', -+ 'type' => '780' -+ } -+ }, -+ 'Name' => 'struct ibv_sge', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '24874' => { -+ 'Header' => undef, -+ 'Line' => '1111', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'imm_data', -+ 'offset' => '0', -+ 'type' => '1978' -+ }, -+ '1' => { -+ 'name' => 'invalidate_rkey', -+ 'offset' => '0', -+ 'type' => '780' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Union' -+ }, -+ '24911' => { -+ 'Header' => undef, -+ 'Line' => '1116', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'remote_addr', -+ 'offset' => '0', -+ 'type' => '792' -+ }, -+ '1' => { -+ 'name' => 'rkey', -+ 'offset' => '8', -+ 'type' => '780' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '24950' => { -+ 'Header' => undef, -+ 'Line' => '1120', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'remote_addr', -+ 'offset' => '0', -+ 'type' => '792' -+ }, -+ '1' => { -+ 'name' => 'compare_add', -+ 'offset' => '8', -+ 'type' => '792' -+ }, -+ '2' => { -+ 'name' => 'swap', -+ 'offset' => '16', -+ 'type' => '792' -+ }, -+ '3' => { -+ 'name' => 'rkey', -+ 'offset' => '24', -+ 'type' => '780' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '25017' => { -+ 'Header' => undef, -+ 'Line' => '1126', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'ah', -+ 'offset' => '0', -+ 'type' => '25125' -+ }, -+ '1' => { -+ 'name' => 'remote_qpn', -+ 'offset' => '8', -+ 'type' => '780' -+ }, -+ '2' => { -+ 'name' => 'remote_qkey', -+ 'offset' => '12', -+ 'type' => '780' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '25069' => { -+ 'Header' => undef, -+ 'Line' => '1626', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '18459' -+ }, -+ '1' => { -+ 'name' => 'pd', -+ 'offset' => '8', -+ 'type' => '22162' -+ }, -+ '2' => { -+ 'name' => 'handle', -+ 'offset' => '16', -+ 'type' => '780' -+ } -+ }, -+ 'Name' => 'struct ibv_ah', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '25125' => { -+ 'BaseType' => '25069', -+ 'Name' => 'struct ibv_ah*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '25131' => { -+ 'Header' => undef, -+ 'Line' => '1115', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'rdma', -+ 'offset' => '0', -+ 'type' => '24911' -+ }, -+ '1' => { -+ 'name' => 'atomic', -+ 'offset' => '0', -+ 'type' => '24950' -+ }, -+ '2' => { -+ 'name' => 'ud', -+ 'offset' => '0', -+ 'type' => '25017' -+ } -+ }, -+ 'Size' => '32', -+ 'Type' => 'Union' -+ }, -+ '25180' => { -+ 'Header' => undef, -+ 'Line' => '1133', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'remote_srqn', -+ 'offset' => '0', -+ 'type' => '780' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '25205' => { -+ 'Header' => undef, -+ 'Line' => '1132', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'xrc', -+ 'offset' => '0', -+ 'type' => '25180' -+ } -+ }, -+ 'Size' => '4', -+ 'Type' => 'Union' -+ }, -+ '25229' => { -+ 'Header' => undef, -+ 'Line' => '1138', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'mw', -+ 'offset' => '0', -+ 'type' => '25281' -+ }, -+ '1' => { -+ 'name' => 'rkey', -+ 'offset' => '8', -+ 'type' => '780' -+ }, -+ '2' => { -+ 'name' => 'bind_info', -+ 'offset' => '16', -+ 'type' => '21750' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '25281' => { -+ 'BaseType' => '22200', -+ 'Name' => 'struct ibv_mw*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '25287' => { -+ 'Header' => undef, -+ 'Line' => '1143', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'hdr', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'hdr_sz', -+ 'offset' => '8', -+ 'type' => '768' -+ }, -+ '2' => { -+ 'name' => 'mss', -+ 'offset' => '10', -+ 'type' => '768' -+ } -+ }, -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '25340' => { -+ 'Header' => undef, -+ 'Line' => '1137', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'bind_mw', -+ 'offset' => '0', -+ 'type' => '25229' -+ }, -+ '1' => { -+ 'name' => 'tso', -+ 'offset' => '0', -+ 'type' => '25287' -+ } -+ }, -+ 'Size' => '48', -+ 'Type' => 'Union' -+ }, -+ '25377' => { -+ 'Header' => undef, -+ 'Line' => '1101', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '792' -+ }, -+ '1' => { -+ 'name' => 'next', -+ 'offset' => '8', -+ 'type' => '25515' -+ }, -+ '2' => { -+ 'name' => 'sg_list', -+ 'offset' => '16', -+ 'type' => '25521' -+ }, -+ '3' => { -+ 'name' => 'num_sge', -+ 'offset' => '24', -+ 'type' => '130' -+ }, -+ '4' => { -+ 'name' => 'opcode', -+ 'offset' => '28', -+ 'type' => '24725' -+ }, -+ '5' => { -+ 'name' => 'send_flags', -+ 'offset' => '32', -+ 'type' => '64' -+ }, -+ '6' => { -+ 'name' => 'unnamed0', -+ 'offset' => '36', -+ 'type' => '24874' -+ }, -+ '7' => { -+ 'name' => 'wr', -+ 'offset' => '40', -+ 'type' => '25131' -+ }, -+ '8' => { -+ 'name' => 'qp_type', -+ 'offset' => '72', -+ 'type' => '25205' -+ }, -+ '9' => { -+ 'name' => 'unnamed1', -+ 'offset' => '80', -+ 'type' => '25340' -+ } -+ }, -+ 'Name' => 'struct ibv_send_wr', -+ 'Size' => '128', -+ 'Type' => 'Struct' -+ }, -+ '25515' => { -+ 'BaseType' => '25377', -+ 'Name' => 'struct ibv_send_wr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '25521' => { -+ 'BaseType' => '24817', -+ 'Name' => 'struct ibv_sge*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '25527' => { -+ 'Header' => undef, -+ 'Line' => '1151', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '792' -+ }, -+ '1' => { -+ 'name' => 'next', -+ 'offset' => '8', -+ 'type' => '25598' -+ }, -+ '2' => { -+ 'name' => 'sg_list', -+ 'offset' => '16', -+ 'type' => '25521' -+ }, -+ '3' => { -+ 'name' => 'num_sge', -+ 'offset' => '24', -+ 'type' => '130' -+ } -+ }, -+ 'Name' => 'struct ibv_recv_wr', -+ 'Size' => '32', -+ 'Type' => 'Struct' -+ }, -+ '25598' => { -+ 'BaseType' => '25527', -+ 'Name' => 'struct ibv_recv_wr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '25866' => { -+ 'Header' => undef, -+ 'Line' => '1187', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'wr_id', -+ 'offset' => '0', -+ 'type' => '792' -+ }, -+ '1' => { -+ 'name' => 'send_flags', -+ 'offset' => '8', -+ 'type' => '64' -+ }, -+ '2' => { -+ 'name' => 'bind_info', -+ 'offset' => '16', -+ 'type' => '21750' -+ } -+ }, -+ 'Name' => 'struct ibv_mw_bind', -+ 'Size' => '48', -+ 'Type' => 'Struct' -+ }, -+ '25948' => { -+ 'BaseType' => '25598', -+ 'Name' => 'struct ibv_recv_wr**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '25960' => { -+ 'Header' => undef, -+ 'Line' => '1418', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'vendor_id', -+ 'offset' => '0', -+ 'type' => '780' -+ }, -+ '1' => { -+ 'name' => 'options', -+ 'offset' => '4', -+ 'type' => '780' -+ }, -+ '2' => { -+ 'name' => 'comp_mask', -+ 'offset' => '8', -+ 'type' => '780' -+ } -+ }, -+ 'Name' => 'struct ibv_ece', -+ 'Size' => '12', -+ 'Type' => 'Struct' -+ }, -+ '26017' => { -+ 'Header' => undef, -+ 'Line' => '1433', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'context', -+ 'offset' => '0', -+ 'type' => '18459' -+ }, -+ '1' => { -+ 'name' => 'fd', -+ 'offset' => '8', -+ 'type' => '130' -+ }, -+ '2' => { -+ 'name' => 'refcnt', -+ 'offset' => '12', -+ 'type' => '130' -+ } -+ }, -+ 'Name' => 'struct ibv_comp_channel', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '26073' => { -+ 'BaseType' => '26017', -+ 'Name' => 'struct ibv_comp_channel*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '27383' => { -+ 'Header' => undef, -+ 'Line' => '1899', -+ 'Memb' => { -+ '0' => { -+ 'name' => '_dummy1', -+ 'offset' => '0', -+ 'type' => '27567' -+ }, -+ '1' => { -+ 'name' => '_dummy2', -+ 'offset' => '8', -+ 'type' => '27584' -+ } -+ }, -+ 'Name' => 'struct _ibv_device_ops', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '27446' => { -+ 'BaseType' => '27452', -+ 'Name' => 'struct ibv_device*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '27452' => { -+ 'Header' => undef, -+ 'Line' => '1909', -+ 'Memb' => { -+ '0' => { -+ 'name' => '_ops', -+ 'offset' => '0', -+ 'type' => '27383' -+ }, -+ '1' => { -+ 'name' => 'node_type', -+ 'offset' => '16', -+ 'type' => '18062' -+ }, -+ '2' => { -+ 'name' => 'transport_type', -+ 'offset' => '20', -+ 'type' => '18129' -+ }, -+ '3' => { -+ 'name' => 'name', -+ 'offset' => '24', -+ 'type' => '19077' -+ }, -+ '4' => { -+ 'name' => 'dev_name', -+ 'offset' => '88', -+ 'type' => '19077' -+ }, -+ '5' => { -+ 'name' => 'dev_path', -+ 'offset' => '152', -+ 'type' => '27619' -+ }, -+ '6' => { -+ 'name' => 'ibdev_path', -+ 'offset' => '408', -+ 'type' => '27619' -+ } -+ }, -+ 'Name' => 'struct ibv_device', -+ 'Size' => '664', -+ 'Type' => 'Struct' -+ }, -+ '27567' => { -+ 'Name' => 'struct ibv_context*(*)(struct ibv_device*, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '27446' -+ }, -+ '1' => { -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '18459', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '27584' => { -+ 'Name' => 'void(*)(struct ibv_context*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '18459' -+ } -+ }, -+ 'Return' => '1', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '27619' => { -+ 'BaseType' => '215', -+ 'Name' => 'char[256]', -+ 'Size' => '256', -+ 'Type' => 'Array' -+ }, -+ '27635' => { -+ 'Header' => undef, -+ 'Line' => '1924', -+ 'Memb' => { -+ '0' => { -+ 'name' => '_compat_query_device', -+ 'offset' => '0', -+ 'type' => '28104' -+ }, -+ '1' => { -+ 'name' => '_compat_query_port', -+ 'offset' => '8', -+ 'type' => '28146' -+ }, -+ '10' => { -+ 'name' => '_compat_create_cq', -+ 'offset' => '80', -+ 'type' => '28104' -+ }, -+ '11' => { -+ 'name' => 'poll_cq', -+ 'offset' => '88', -+ 'type' => '28267' -+ }, -+ '12' => { -+ 'name' => 'req_notify_cq', -+ 'offset' => '96', -+ 'type' => '28293' -+ }, -+ '13' => { -+ 'name' => '_compat_cq_event', -+ 'offset' => '104', -+ 'type' => '28104' -+ }, -+ '14' => { -+ 'name' => '_compat_resize_cq', -+ 'offset' => '112', -+ 'type' => '28104' -+ }, -+ '15' => { -+ 'name' => '_compat_destroy_cq', -+ 'offset' => '120', -+ 'type' => '28104' -+ }, -+ '16' => { -+ 'name' => '_compat_create_srq', -+ 'offset' => '128', -+ 'type' => '28104' -+ }, -+ '17' => { -+ 'name' => '_compat_modify_srq', -+ 'offset' => '136', -+ 'type' => '28104' -+ }, -+ '18' => { -+ 'name' => '_compat_query_srq', -+ 'offset' => '144', -+ 'type' => '28104' -+ }, -+ '19' => { -+ 'name' => '_compat_destroy_srq', -+ 'offset' => '152', -+ 'type' => '28104' -+ }, -+ '2' => { -+ 'name' => '_compat_alloc_pd', -+ 'offset' => '16', -+ 'type' => '28104' -+ }, -+ '20' => { -+ 'name' => 'post_srq_recv', -+ 'offset' => '160', -+ 'type' => '28324' -+ }, -+ '21' => { -+ 'name' => '_compat_create_qp', -+ 'offset' => '168', -+ 'type' => '28104' -+ }, -+ '22' => { -+ 'name' => '_compat_query_qp', -+ 'offset' => '176', -+ 'type' => '28104' -+ }, -+ '23' => { -+ 'name' => '_compat_modify_qp', -+ 'offset' => '184', -+ 'type' => '28104' -+ }, -+ '24' => { -+ 'name' => '_compat_destroy_qp', -+ 'offset' => '192', -+ 'type' => '28104' -+ }, -+ '25' => { -+ 'name' => 'post_send', -+ 'offset' => '200', -+ 'type' => '28361' -+ }, -+ '26' => { -+ 'name' => 'post_recv', -+ 'offset' => '208', -+ 'type' => '28392' -+ }, -+ '27' => { -+ 'name' => '_compat_create_ah', -+ 'offset' => '216', -+ 'type' => '28104' -+ }, -+ '28' => { -+ 'name' => '_compat_destroy_ah', -+ 'offset' => '224', -+ 'type' => '28104' -+ }, -+ '29' => { -+ 'name' => '_compat_attach_mcast', -+ 'offset' => '232', -+ 'type' => '28104' -+ }, -+ '3' => { -+ 'name' => '_compat_dealloc_pd', -+ 'offset' => '24', -+ 'type' => '28104' -+ }, -+ '30' => { -+ 'name' => '_compat_detach_mcast', -+ 'offset' => '240', -+ 'type' => '28104' -+ }, -+ '31' => { -+ 'name' => '_compat_async_event', -+ 'offset' => '248', -+ 'type' => '28104' -+ }, -+ '4' => { -+ 'name' => '_compat_reg_mr', -+ 'offset' => '32', -+ 'type' => '28104' -+ }, -+ '5' => { -+ 'name' => '_compat_rereg_mr', -+ 'offset' => '40', -+ 'type' => '28104' -+ }, -+ '6' => { -+ 'name' => '_compat_dereg_mr', -+ 'offset' => '48', -+ 'type' => '28104' -+ }, -+ '7' => { -+ 'name' => 'alloc_mw', -+ 'offset' => '56', -+ 'type' => '28172' -+ }, -+ '8' => { -+ 'name' => 'bind_mw', -+ 'offset' => '64', -+ 'type' => '28209' -+ }, -+ '9' => { -+ 'name' => 'dealloc_mw', -+ 'offset' => '72', -+ 'type' => '28230' -+ } -+ }, -+ 'Name' => 'struct ibv_context_ops', -+ 'Size' => '256', -+ 'Type' => 'Struct' -+ }, -+ '28104' => { -+ 'Name' => 'void*(*)()', -+ 'Return' => '71', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '28135' => { -+ 'BaseType' => '28141', -+ 'Name' => 'struct _compat_ibv_port_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '28141' => { -+ 'Name' => 'struct _compat_ibv_port_attr', -+ 'Type' => 'Struct' -+ }, -+ '28146' => { -+ 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct _compat_ibv_port_attr*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '18459' -+ }, -+ '1' => { -+ 'type' => '756' -+ }, -+ '2' => { -+ 'type' => '28135' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '28172' => { -+ 'Name' => 'struct ibv_mw*(*)(struct ibv_pd*, enum ibv_mw_type)', -+ 'Param' => { -+ '0' => { -+ 'type' => '22162' -+ }, -+ '1' => { -+ 'type' => '22168' -+ } -+ }, -+ 'Return' => '25281', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '28203' => { -+ 'BaseType' => '25866', -+ 'Name' => 'struct ibv_mw_bind*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '28209' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_mw*, struct ibv_mw_bind*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '20780' -+ }, -+ '1' => { -+ 'type' => '25281' -+ }, -+ '2' => { -+ 'type' => '28203' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '28230' => { -+ 'Name' => 'int(*)(struct ibv_mw*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '25281' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '28261' => { -+ 'BaseType' => '21562', -+ 'Name' => 'struct ibv_wc*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '28267' => { -+ 'Name' => 'int(*)(struct ibv_cq*, int, struct ibv_wc*)', -+ 'Param' => { -+ '0' => { -+ 'type' => '20578' -+ }, -+ '1' => { -+ 'type' => '130' -+ }, -+ '2' => { -+ 'type' => '28261' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '28293' => { -+ 'Name' => 'int(*)(struct ibv_cq*, int)', -+ 'Param' => { -+ '0' => { -+ 'type' => '20578' -+ }, -+ '1' => { -+ 'type' => '130' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '28324' => { -+ 'Name' => 'int(*)(struct ibv_srq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '20898' -+ }, -+ '1' => { -+ 'type' => '25598' -+ }, -+ '2' => { -+ 'type' => '25948' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '28355' => { -+ 'BaseType' => '25515', -+ 'Name' => 'struct ibv_send_wr**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '28361' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_send_wr*, struct ibv_send_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '20780' -+ }, -+ '1' => { -+ 'type' => '25515' -+ }, -+ '2' => { -+ 'type' => '28355' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '28392' => { -+ 'Name' => 'int(*)(struct ibv_qp*, struct ibv_recv_wr*, struct ibv_recv_wr**)', -+ 'Param' => { -+ '0' => { -+ 'type' => '20780' -+ }, -+ '1' => { -+ 'type' => '25598' -+ }, -+ '2' => { -+ 'type' => '25948' -+ } -+ }, -+ 'Return' => '130', -+ 'Size' => '8', -+ 'Type' => 'FuncPtr' -+ }, -+ '2846' => { -+ 'Header' => undef, -+ 'Line' => '182', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'ai_flags', -+ 'offset' => '0', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'ai_family', -+ 'offset' => '4', -+ 'type' => '130' -+ }, -+ '10' => { -+ 'name' => 'ai_route_len', -+ 'offset' => '56', -+ 'type' => '45' -+ }, -+ '11' => { -+ 'name' => 'ai_route', -+ 'offset' => '64', -+ 'type' => '71' -+ }, -+ '12' => { -+ 'name' => 'ai_connect_len', -+ 'offset' => '72', -+ 'type' => '45' -+ }, -+ '13' => { -+ 'name' => 'ai_connect', -+ 'offset' => '80', -+ 'type' => '71' -+ }, -+ '14' => { -+ 'name' => 'ai_next', -+ 'offset' => '88', -+ 'type' => '3060' -+ }, -+ '2' => { -+ 'name' => 'ai_qp_type', -+ 'offset' => '8', -+ 'type' => '130' -+ }, -+ '3' => { -+ 'name' => 'ai_port_space', -+ 'offset' => '12', -+ 'type' => '130' -+ }, -+ '4' => { -+ 'name' => 'ai_src_len', -+ 'offset' => '16', -+ 'type' => '1068' -+ }, -+ '5' => { -+ 'name' => 'ai_dst_len', -+ 'offset' => '20', -+ 'type' => '1068' -+ }, -+ '6' => { -+ 'name' => 'ai_src_addr', -+ 'offset' => '24', -+ 'type' => '1823' -+ }, -+ '7' => { -+ 'name' => 'ai_dst_addr', -+ 'offset' => '32', -+ 'type' => '1823' -+ }, -+ '8' => { -+ 'name' => 'ai_src_canonname', -+ 'offset' => '40', -+ 'type' => '204' -+ }, -+ '9' => { -+ 'name' => 'ai_dst_canonname', -+ 'offset' => '48', -+ 'type' => '204' -+ } -+ }, -+ 'Name' => 'struct rdma_addrinfo', -+ 'Size' => '96', -+ 'Type' => 'Struct' -+ }, -+ '30440' => { -+ 'BaseType' => '23662', -+ 'Name' => 'struct ibv_qp_init_attr_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '30504' => { -+ 'BaseType' => '22718', -+ 'Name' => 'struct ibv_srq_init_attr_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '3055' => { -+ 'BaseType' => '2846', -+ 'Name' => 'struct rdma_addrinfo const', -+ 'Size' => '96', -+ 'Type' => 'Const' -+ }, -+ '30569' => { -+ 'Header' => undef, -+ 'Line' => '40', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dgid', -+ 'offset' => '0', -+ 'type' => '2345' -+ }, -+ '1' => { -+ 'name' => 'sgid', -+ 'offset' => '16', -+ 'type' => '2345' -+ }, -+ '10' => { -+ 'name' => 'pkey', -+ 'offset' => '54', -+ 'type' => '1966' -+ }, -+ '11' => { -+ 'name' => 'sl', -+ 'offset' => '56', -+ 'type' => '756' -+ }, -+ '12' => { -+ 'name' => 'mtu_selector', -+ 'offset' => '57', -+ 'type' => '756' -+ }, -+ '13' => { -+ 'name' => 'mtu', -+ 'offset' => '58', -+ 'type' => '756' -+ }, -+ '14' => { -+ 'name' => 'rate_selector', -+ 'offset' => '59', -+ 'type' => '756' -+ }, -+ '15' => { -+ 'name' => 'rate', -+ 'offset' => '60', -+ 'type' => '756' -+ }, -+ '16' => { -+ 'name' => 'packet_life_time_selector', -+ 'offset' => '61', -+ 'type' => '756' -+ }, -+ '17' => { -+ 'name' => 'packet_life_time', -+ 'offset' => '62', -+ 'type' => '756' -+ }, -+ '18' => { -+ 'name' => 'preference', -+ 'offset' => '63', -+ 'type' => '756' -+ }, -+ '2' => { -+ 'name' => 'dlid', -+ 'offset' => '32', -+ 'type' => '1966' -+ }, -+ '3' => { -+ 'name' => 'slid', -+ 'offset' => '34', -+ 'type' => '1966' -+ }, -+ '4' => { -+ 'name' => 'raw_traffic', -+ 'offset' => '36', -+ 'type' => '130' -+ }, -+ '5' => { -+ 'name' => 'flow_label', -+ 'offset' => '40', -+ 'type' => '1978' -+ }, -+ '6' => { -+ 'name' => 'hop_limit', -+ 'offset' => '44', -+ 'type' => '756' -+ }, -+ '7' => { -+ 'name' => 'traffic_class', -+ 'offset' => '45', -+ 'type' => '756' -+ }, -+ '8' => { -+ 'name' => 'reversible', -+ 'offset' => '48', -+ 'type' => '130' -+ }, -+ '9' => { -+ 'name' => 'numb_path', -+ 'offset' => '52', -+ 'type' => '756' -+ } -+ }, -+ 'Name' => 'struct ibv_sa_path_rec', -+ 'Size' => '64', -+ 'Type' => 'Struct' -+ }, -+ '3060' => { -+ 'BaseType' => '2846', -+ 'Name' => 'struct rdma_addrinfo*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '31091' => { -+ 'Header' => undef, -+ 'Line' => '50', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'RDMA_CM_EVENT_ADDR_RESOLVED', -+ 'value' => '0' -+ }, -+ '1' => { -+ 'name' => 'RDMA_CM_EVENT_ADDR_ERROR', -+ 'value' => '1' -+ }, -+ '10' => { -+ 'name' => 'RDMA_CM_EVENT_DISCONNECTED', -+ 'value' => '10' -+ }, -+ '11' => { -+ 'name' => 'RDMA_CM_EVENT_DEVICE_REMOVAL', -+ 'value' => '11' -+ }, -+ '12' => { -+ 'name' => 'RDMA_CM_EVENT_MULTICAST_JOIN', -+ 'value' => '12' -+ }, -+ '13' => { -+ 'name' => 'RDMA_CM_EVENT_MULTICAST_ERROR', -+ 'value' => '13' -+ }, -+ '14' => { -+ 'name' => 'RDMA_CM_EVENT_ADDR_CHANGE', -+ 'value' => '14' -+ }, -+ '15' => { -+ 'name' => 'RDMA_CM_EVENT_TIMEWAIT_EXIT', -+ 'value' => '15' -+ }, -+ '2' => { -+ 'name' => 'RDMA_CM_EVENT_ROUTE_RESOLVED', -+ 'value' => '2' -+ }, -+ '3' => { -+ 'name' => 'RDMA_CM_EVENT_ROUTE_ERROR', -+ 'value' => '3' -+ }, -+ '4' => { -+ 'name' => 'RDMA_CM_EVENT_CONNECT_REQUEST', -+ 'value' => '4' -+ }, -+ '5' => { -+ 'name' => 'RDMA_CM_EVENT_CONNECT_RESPONSE', -+ 'value' => '5' -+ }, -+ '6' => { -+ 'name' => 'RDMA_CM_EVENT_CONNECT_ERROR', -+ 'value' => '6' -+ }, -+ '7' => { -+ 'name' => 'RDMA_CM_EVENT_UNREACHABLE', -+ 'value' => '7' -+ }, -+ '8' => { -+ 'name' => 'RDMA_CM_EVENT_REJECTED', -+ 'value' => '8' -+ }, -+ '9' => { -+ 'name' => 'RDMA_CM_EVENT_ESTABLISHED', -+ 'value' => '9' -+ } -+ }, -+ 'Name' => 'enum rdma_cm_event_type', -+ 'Size' => '4', -+ 'Type' => 'Enum' -+ }, -+ '31252' => { -+ 'Header' => undef, -+ 'Line' => '88', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'sgid', -+ 'offset' => '0', -+ 'type' => '2345' -+ }, -+ '1' => { -+ 'name' => 'dgid', -+ 'offset' => '16', -+ 'type' => '2345' -+ }, -+ '2' => { -+ 'name' => 'pkey', -+ 'offset' => '32', -+ 'type' => '1966' -+ } -+ }, -+ 'Name' => 'struct rdma_ib_addr', -+ 'Size' => '40', -+ 'Type' => 'Struct' -+ }, -+ '31305' => { -+ 'Header' => undef, -+ 'Line' => '95', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'src_addr', -+ 'offset' => '0', -+ 'type' => '1169' -+ }, -+ '1' => { -+ 'name' => 'src_sin', -+ 'offset' => '0', -+ 'type' => '1658' -+ }, -+ '2' => { -+ 'name' => 'src_sin6', -+ 'offset' => '0', -+ 'type' => '1740' -+ }, -+ '3' => { -+ 'name' => 'src_storage', -+ 'offset' => '0', -+ 'type' => '15984' -+ } -+ }, -+ 'Size' => '128', -+ 'Type' => 'Union' -+ }, -+ '31363' => { -+ 'Header' => undef, -+ 'Line' => '101', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'dst_addr', -+ 'offset' => '0', -+ 'type' => '1169' -+ }, -+ '1' => { -+ 'name' => 'dst_sin', -+ 'offset' => '0', -+ 'type' => '1658' -+ }, -+ '2' => { -+ 'name' => 'dst_sin6', -+ 'offset' => '0', -+ 'type' => '1740' -+ }, -+ '3' => { -+ 'name' => 'dst_storage', -+ 'offset' => '0', -+ 'type' => '15984' -+ } -+ }, -+ 'Size' => '128', -+ 'Type' => 'Union' -+ }, -+ '31421' => { -+ 'Header' => undef, -+ 'Line' => '107', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'ibaddr', -+ 'offset' => '0', -+ 'type' => '31252' -+ } -+ }, -+ 'Size' => '40', -+ 'Type' => 'Union' -+ }, -+ '31443' => { -+ 'Header' => undef, -+ 'Line' => '94', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'unnamed0', -+ 'offset' => '0', -+ 'type' => '31305' -+ }, -+ '1' => { -+ 'name' => 'unnamed1', -+ 'offset' => '128', -+ 'type' => '31363' -+ }, -+ '2' => { -+ 'name' => 'addr', -+ 'offset' => '256', -+ 'type' => '31421' -+ } -+ }, -+ 'Name' => 'struct rdma_addr', -+ 'Size' => '296', -+ 'Type' => 'Struct' -+ }, -+ '31484' => { -+ 'Header' => undef, -+ 'Line' => '112', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'addr', -+ 'offset' => '0', -+ 'type' => '31443' -+ }, -+ '1' => { -+ 'name' => 'path_rec', -+ 'offset' => '296', -+ 'type' => '31540' -+ }, -+ '2' => { -+ 'name' => 'num_paths', -+ 'offset' => '304', -+ 'type' => '130' -+ } -+ }, -+ 'Name' => 'struct rdma_route', -+ 'Size' => '312', -+ 'Type' => 'Struct' -+ }, -+ '31540' => { -+ 'BaseType' => '30569', -+ 'Name' => 'struct ibv_sa_path_rec*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '31546' => { -+ 'Header' => undef, -+ 'Line' => '118', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'fd', -+ 'offset' => '0', -+ 'type' => '130' -+ } -+ }, -+ 'Name' => 'struct rdma_event_channel', -+ 'Size' => '4', -+ 'Type' => 'Struct' -+ }, -+ '31572' => { -+ 'Header' => undef, -+ 'Line' => '122', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'verbs', -+ 'offset' => '0', -+ 'type' => '18459' -+ }, -+ '1' => { -+ 'name' => 'channel', -+ 'offset' => '8', -+ 'type' => '31789' -+ }, -+ '10' => { -+ 'name' => 'recv_cq_channel', -+ 'offset' => '376', -+ 'type' => '26073' -+ }, -+ '11' => { -+ 'name' => 'recv_cq', -+ 'offset' => '384', -+ 'type' => '20578' -+ }, -+ '12' => { -+ 'name' => 'srq', -+ 'offset' => '392', -+ 'type' => '20898' -+ }, -+ '13' => { -+ 'name' => 'pd', -+ 'offset' => '400', -+ 'type' => '22162' -+ }, -+ '14' => { -+ 'name' => 'qp_type', -+ 'offset' => '408', -+ 'type' => '10812' -+ }, -+ '2' => { -+ 'name' => 'context', -+ 'offset' => '16', -+ 'type' => '71' -+ }, -+ '3' => { -+ 'name' => 'qp', -+ 'offset' => '24', -+ 'type' => '20780' -+ }, -+ '4' => { -+ 'name' => 'route', -+ 'offset' => '32', -+ 'type' => '31484' -+ }, -+ '5' => { -+ 'name' => 'ps', -+ 'offset' => '344', -+ 'type' => '10903' -+ }, -+ '6' => { -+ 'name' => 'port_num', -+ 'offset' => '348', -+ 'type' => '756' -+ }, -+ '7' => { -+ 'name' => 'event', -+ 'offset' => '352', -+ 'type' => '31873' -+ }, -+ '8' => { -+ 'name' => 'send_cq_channel', -+ 'offset' => '360', -+ 'type' => '26073' -+ }, -+ '9' => { -+ 'name' => 'send_cq', -+ 'offset' => '368', -+ 'type' => '20578' -+ } -+ }, -+ 'Name' => 'struct rdma_cm_id', -+ 'Size' => '416', -+ 'Type' => 'Struct' -+ }, -+ '31789' => { -+ 'BaseType' => '31546', -+ 'Name' => 'struct rdma_event_channel*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '31795' => { -+ 'Header' => undef, -+ 'Line' => '166', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'id', -+ 'offset' => '0', -+ 'type' => '32149' -+ }, -+ '1' => { -+ 'name' => 'listen_id', -+ 'offset' => '8', -+ 'type' => '32149' -+ }, -+ '2' => { -+ 'name' => 'event', -+ 'offset' => '16', -+ 'type' => '31091' -+ }, -+ '3' => { -+ 'name' => 'status', -+ 'offset' => '20', -+ 'type' => '130' -+ }, -+ '4' => { -+ 'name' => 'param', -+ 'offset' => '24', -+ 'type' => '32116' -+ } -+ }, -+ 'Name' => 'struct rdma_cm_event', -+ 'Size' => '80', -+ 'Type' => 'Struct' -+ }, -+ '31873' => { -+ 'BaseType' => '31795', -+ 'Name' => 'struct rdma_cm_event*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '31906' => { -+ 'Header' => undef, -+ 'Line' => '145', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'private_data', -+ 'offset' => '0', -+ 'type' => '1829' -+ }, -+ '1' => { -+ 'name' => 'private_data_len', -+ 'offset' => '8', -+ 'type' => '756' -+ }, -+ '2' => { -+ 'name' => 'responder_resources', -+ 'offset' => '9', -+ 'type' => '756' -+ }, -+ '3' => { -+ 'name' => 'initiator_depth', -+ 'offset' => '10', -+ 'type' => '756' -+ }, -+ '4' => { -+ 'name' => 'flow_control', -+ 'offset' => '11', -+ 'type' => '756' -+ }, -+ '5' => { -+ 'name' => 'retry_count', -+ 'offset' => '12', -+ 'type' => '756' -+ }, -+ '6' => { -+ 'name' => 'rnr_retry_count', -+ 'offset' => '13', -+ 'type' => '756' -+ }, -+ '7' => { -+ 'name' => 'srq', -+ 'offset' => '14', -+ 'type' => '756' -+ }, -+ '8' => { -+ 'name' => 'qp_num', -+ 'offset' => '16', -+ 'type' => '780' -+ } -+ }, -+ 'Name' => 'struct rdma_conn_param', -+ 'Size' => '24', -+ 'Type' => 'Struct' -+ }, -+ '32037' => { -+ 'Header' => undef, -+ 'Line' => '158', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'private_data', -+ 'offset' => '0', -+ 'type' => '1829' -+ }, -+ '1' => { -+ 'name' => 'private_data_len', -+ 'offset' => '8', -+ 'type' => '756' -+ }, -+ '2' => { -+ 'name' => 'ah_attr', -+ 'offset' => '16', -+ 'type' => '22369' -+ }, -+ '3' => { -+ 'name' => 'qp_num', -+ 'offset' => '48', -+ 'type' => '780' -+ }, -+ '4' => { -+ 'name' => 'qkey', -+ 'offset' => '52', -+ 'type' => '780' -+ } -+ }, -+ 'Name' => 'struct rdma_ud_param', -+ 'Size' => '56', -+ 'Type' => 'Struct' -+ }, -+ '32116' => { -+ 'Header' => undef, -+ 'Line' => '171', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'conn', -+ 'offset' => '0', -+ 'type' => '31906' -+ }, -+ '1' => { -+ 'name' => 'ud', -+ 'offset' => '0', -+ 'type' => '32037' -+ } -+ }, -+ 'Size' => '56', -+ 'Type' => 'Union' -+ }, -+ '32149' => { -+ 'BaseType' => '31572', -+ 'Name' => 'struct rdma_cm_id*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '32444' => { -+ 'Header' => undef, -+ 'Line' => '214', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'comp_mask', -+ 'offset' => '0', -+ 'type' => '780' -+ }, -+ '1' => { -+ 'name' => 'join_flags', -+ 'offset' => '4', -+ 'type' => '780' -+ }, -+ '2' => { -+ 'name' => 'addr', -+ 'offset' => '8', -+ 'type' => '1823' -+ } -+ }, -+ 'Name' => 'struct rdma_cm_join_mc_attr_ex', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '33231' => { -+ 'BaseType' => '23410', -+ 'Name' => 'struct ibv_qp_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '33237' => { -+ 'BaseType' => '22538', -+ 'Name' => 'struct ibv_srq_init_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '33243' => { -+ 'BaseType' => '24275', -+ 'Name' => 'struct ibv_qp_attr*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '33249' => { -+ 'BaseType' => '25960', -+ 'Name' => 'struct ibv_ece*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '40634' => { -+ 'BaseType' => '32149', -+ 'Name' => 'struct rdma_cm_id**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '45' => { -+ 'BaseType' => '57', -+ 'Header' => undef, -+ 'Line' => '209', -+ 'Name' => 'size_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '45677' => { -+ 'BaseType' => '31873', -+ 'Name' => 'struct rdma_cm_event**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '45946' => { -+ 'BaseType' => '31906', -+ 'Name' => 'struct rdma_conn_param*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '48407' => { -+ 'BaseType' => '32444', -+ 'Name' => 'struct rdma_cm_join_mc_attr_ex*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '57' => { -+ 'Name' => 'unsigned long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '58782' => { -+ 'BaseType' => '130', -+ 'Name' => 'int*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '64' => { -+ 'Name' => 'unsigned int', -+ 'Size' => '4', -+ 'Type' => 'Intrinsic' -+ }, -+ '69067' => { -+ 'BaseType' => '18459', -+ 'Name' => 'struct ibv_context**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '71' => { -+ 'BaseType' => '1', -+ 'Name' => 'void*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '727' => { -+ 'BaseType' => '192', -+ 'Header' => undef, -+ 'Line' => '77', -+ 'Name' => 'ssize_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '7277' => { -+ 'BaseType' => '3060', -+ 'Name' => 'struct rdma_addrinfo**', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '7283' => { -+ 'BaseType' => '3055', -+ 'Name' => 'struct rdma_addrinfo const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '745' => { -+ 'BaseType' => '222', -+ 'Name' => 'char const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '756' => { -+ 'BaseType' => '99', -+ 'Header' => undef, -+ 'Line' => '24', -+ 'Name' => 'uint8_t', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ }, -+ '768' => { -+ 'BaseType' => '118', -+ 'Header' => undef, -+ 'Line' => '25', -+ 'Name' => 'uint16_t', -+ 'Size' => '2', -+ 'Type' => 'Typedef' -+ }, -+ '78' => { -+ 'Name' => 'unsigned char', -+ 'Size' => '1', -+ 'Type' => 'Intrinsic' -+ }, -+ '780' => { -+ 'BaseType' => '137', -+ 'Header' => undef, -+ 'Line' => '26', -+ 'Name' => 'uint32_t', -+ 'Size' => '4', -+ 'Type' => 'Typedef' -+ }, -+ '792' => { -+ 'BaseType' => '156', -+ 'Header' => undef, -+ 'Line' => '27', -+ 'Name' => 'uint64_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '79770' => { -+ 'BaseType' => '149', -+ 'Header' => undef, -+ 'Line' => '162', -+ 'Name' => '__suseconds_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '79838' => { -+ 'BaseType' => '168', -+ 'Header' => undef, -+ 'Line' => '85', -+ 'Name' => 'off_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '79874' => { -+ 'Header' => undef, -+ 'Line' => '8', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'tv_sec', -+ 'offset' => '0', -+ 'type' => '15022' -+ }, -+ '1' => { -+ 'name' => 'tv_usec', -+ 'offset' => '8', -+ 'type' => '79770' -+ } -+ }, -+ 'Name' => 'struct timeval', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '80630' => { -+ 'Header' => undef, -+ 'Line' => '26', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'iov_base', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'iov_len', -+ 'offset' => '8', -+ 'type' => '45' -+ } -+ }, -+ 'Name' => 'struct iovec', -+ 'Size' => '16', -+ 'Type' => 'Struct' -+ }, -+ '80670' => { -+ 'BaseType' => '80630', -+ 'Name' => 'struct iovec const', -+ 'Size' => '16', -+ 'Type' => 'Const' -+ }, -+ '80816' => { -+ 'BaseType' => '1169', -+ 'Name' => 'struct sockaddr const', -+ 'Size' => '16', -+ 'Type' => 'Const' -+ }, -+ '81076' => { -+ 'Header' => undef, -+ 'Line' => '257', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'msg_name', -+ 'offset' => '0', -+ 'type' => '71' -+ }, -+ '1' => { -+ 'name' => 'msg_namelen', -+ 'offset' => '8', -+ 'type' => '1068' -+ }, -+ '2' => { -+ 'name' => 'msg_iov', -+ 'offset' => '16', -+ 'type' => '81194' -+ }, -+ '3' => { -+ 'name' => 'msg_iovlen', -+ 'offset' => '24', -+ 'type' => '45' -+ }, -+ '4' => { -+ 'name' => 'msg_control', -+ 'offset' => '32', -+ 'type' => '71' -+ }, -+ '5' => { -+ 'name' => 'msg_controllen', -+ 'offset' => '40', -+ 'type' => '45' -+ }, -+ '6' => { -+ 'name' => 'msg_flags', -+ 'offset' => '48', -+ 'type' => '130' -+ } -+ }, -+ 'Name' => 'struct msghdr', -+ 'Size' => '56', -+ 'Type' => 'Struct' -+ }, -+ '81189' => { -+ 'BaseType' => '81076', -+ 'Name' => 'struct msghdr const', -+ 'Size' => '56', -+ 'Type' => 'Const' -+ }, -+ '81194' => { -+ 'BaseType' => '80630', -+ 'Name' => 'struct iovec*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '81695' => { -+ 'BaseType' => '80816', -+ 'Name' => 'struct sockaddr const*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '85' => { -+ 'Name' => 'unsigned short', -+ 'Size' => '2', -+ 'Type' => 'Intrinsic' -+ }, -+ '97405' => { -+ 'BaseType' => '57', -+ 'Header' => undef, -+ 'Line' => '33', -+ 'Name' => 'nfds_t', -+ 'Size' => '8', -+ 'Type' => 'Typedef' -+ }, -+ '97417' => { -+ 'Header' => undef, -+ 'Line' => '36', -+ 'Memb' => { -+ '0' => { -+ 'name' => 'fd', -+ 'offset' => '0', -+ 'type' => '130' -+ }, -+ '1' => { -+ 'name' => 'events', -+ 'offset' => '4', -+ 'type' => '111' -+ }, -+ '2' => { -+ 'name' => 'revents', -+ 'offset' => '6', -+ 'type' => '111' -+ } -+ }, -+ 'Name' => 'struct pollfd', -+ 'Size' => '8', -+ 'Type' => 'Struct' -+ }, -+ '980' => { -+ 'Name' => 'unsigned long long', -+ 'Size' => '8', -+ 'Type' => 'Intrinsic' -+ }, -+ '98643' => { -+ 'BaseType' => '97417', -+ 'Name' => 'struct pollfd*', -+ 'Size' => '8', -+ 'Type' => 'Pointer' -+ }, -+ '99' => { -+ 'BaseType' => '78', -+ 'Header' => undef, -+ 'Line' => '38', -+ 'Name' => '__uint8_t', -+ 'Size' => '1', -+ 'Type' => 'Typedef' -+ } -+ }, -+ 'UndefinedSymbols' => { -+ 'librdmacm.so.1.3.32.0' => { -+ '_ITM_deregisterTMCloneTable' => 0, -+ '_ITM_registerTMCloneTable' => 0, -+ '__asprintf_chk@GLIBC_2.8' => 0, -+ '__cxa_finalize@GLIBC_2.2.5' => 0, -+ '__errno_location@GLIBC_2.2.5' => 0, -+ '__fdelt_chk@GLIBC_2.15' => 0, -+ '__fxstat@GLIBC_2.2.5' => 0, -+ '__gmon_start__' => 0, -+ '__isoc99_fscanf@GLIBC_2.7' => 0, -+ '__memcpy_chk@GLIBC_2.3.4' => 0, -+ '__stack_chk_fail@GLIBC_2.4' => 0, -+ '__syslog_chk@GLIBC_2.4' => 0, -+ '__tls_get_addr@GLIBC_2.3' => 0, -+ 'bind@GLIBC_2.2.5' => 0, -+ 'calloc@GLIBC_2.2.5' => 0, -+ 'clock_gettime@GLIBC_2.17' => 0, -+ 'close@GLIBC_2.2.5' => 0, -+ 'connect@GLIBC_2.2.5' => 0, -+ 'epoll_create@GLIBC_2.3.2' => 0, -+ 'epoll_ctl@GLIBC_2.3.2' => 0, -+ 'epoll_wait@GLIBC_2.3.2' => 0, -+ 'eventfd@GLIBC_2.7' => 0, -+ 'fclose@GLIBC_2.2.5' => 0, -+ 'fcntl@GLIBC_2.2.5' => 0, -+ 'fopen@GLIBC_2.2.5' => 0, -+ 'free@GLIBC_2.2.5' => 0, -+ 'freeaddrinfo@GLIBC_2.2.5' => 0, -+ 'getaddrinfo@GLIBC_2.2.5' => 0, -+ 'getpeername@GLIBC_2.2.5' => 0, -+ 'getrandom@GLIBC_2.25' => 0, -+ 'getsockname@GLIBC_2.2.5' => 0, -+ 'ibv_ack_cq_events@IBVERBS_1.1' => 0, -+ 'ibv_alloc_pd@IBVERBS_1.1' => 0, -+ 'ibv_attach_mcast@IBVERBS_1.1' => 0, -+ 'ibv_close_device@IBVERBS_1.1' => 0, -+ 'ibv_copy_ah_attr_from_kern@IBVERBS_1.1' => 0, -+ 'ibv_copy_path_rec_from_kern@IBVERBS_1.0' => 0, -+ 'ibv_copy_qp_attr_from_kern@IBVERBS_1.0' => 0, -+ 'ibv_create_ah@IBVERBS_1.1' => 0, -+ 'ibv_create_comp_channel@IBVERBS_1.0' => 0, -+ 'ibv_create_cq@IBVERBS_1.1' => 0, -+ 'ibv_create_qp@IBVERBS_1.1' => 0, -+ 'ibv_create_srq@IBVERBS_1.1' => 0, -+ 'ibv_dealloc_pd@IBVERBS_1.1' => 0, -+ 'ibv_dereg_mr@IBVERBS_1.1' => 0, -+ 'ibv_destroy_ah@IBVERBS_1.1' => 0, -+ 'ibv_destroy_comp_channel@IBVERBS_1.0' => 0, -+ 'ibv_destroy_cq@IBVERBS_1.1' => 0, -+ 'ibv_destroy_qp@IBVERBS_1.1' => 0, -+ 'ibv_destroy_srq@IBVERBS_1.1' => 0, -+ 'ibv_detach_mcast@IBVERBS_1.1' => 0, -+ 'ibv_free_device_list@IBVERBS_1.1' => 0, -+ 'ibv_get_cq_event@IBVERBS_1.1' => 0, -+ 'ibv_get_device_guid@IBVERBS_1.1' => 0, -+ 'ibv_get_device_index@IBVERBS_1.9' => 0, -+ 'ibv_get_device_list@IBVERBS_1.1' => 0, -+ 'ibv_get_pkey_index@IBVERBS_1.5' => 0, -+ 'ibv_get_sysfs_path@IBVERBS_1.0' => 0, -+ 'ibv_modify_qp@IBVERBS_1.1' => 0, -+ 'ibv_open_device@IBVERBS_1.1' => 0, -+ 'ibv_query_device@IBVERBS_1.1' => 0, -+ 'ibv_query_ece@IBVERBS_1.10' => 0, -+ 'ibv_query_gid@IBVERBS_1.1' => 0, -+ 'ibv_query_port@IBVERBS_1.1' => 0, -+ 'ibv_read_sysfs_file@IBVERBS_1.0' => 0, -+ 'ibv_reg_mr@IBVERBS_1.1' => 0, -+ 'ibv_set_ece@IBVERBS_1.10' => 0, -+ 'in6addr_any@GLIBC_2.2.5' => 0, -+ 'in6addr_loopback@GLIBC_2.2.5' => 0, -+ 'inotify_add_watch@GLIBC_2.4' => 0, -+ 'inotify_init1@GLIBC_2.9' => 0, -+ 'malloc@GLIBC_2.2.5' => 0, -+ 'memcmp@GLIBC_2.2.5' => 0, -+ 'memcpy@GLIBC_2.14' => 0, -+ 'memset@GLIBC_2.2.5' => 0, -+ 'nl_connect' => 0, -+ 'nl_recvmsgs_default' => 0, -+ 'nl_send_auto' => 0, -+ 'nl_send_simple' => 0, -+ 'nl_socket_alloc' => 0, -+ 'nl_socket_disable_auto_ack' => 0, -+ 'nl_socket_disable_msg_peek' => 0, -+ 'nl_socket_free' => 0, -+ 'nl_socket_modify_cb' => 0, -+ 'nl_socket_modify_err_cb' => 0, -+ 'nla_get_string' => 0, -+ 'nla_get_u64' => 0, -+ 'nla_put' => 0, -+ 'nlmsg_alloc_simple' => 0, -+ 'nlmsg_free' => 0, -+ 'nlmsg_hdr' => 0, -+ 'nlmsg_parse' => 0, -+ 'open@GLIBC_2.2.5' => 0, -+ 'poll@GLIBC_2.2.5' => 0, -+ 'pthread_cond_destroy@GLIBC_2.3.2' => 0, -+ 'pthread_cond_init@GLIBC_2.3.2' => 0, -+ 'pthread_cond_signal@GLIBC_2.3.2' => 0, -+ 'pthread_cond_wait@GLIBC_2.3.2' => 0, -+ 'pthread_create@GLIBC_2.2.5' => 0, -+ 'pthread_join@GLIBC_2.2.5' => 0, -+ 'pthread_mutex_destroy@GLIBC_2.2.5' => 0, -+ 'pthread_mutex_init@GLIBC_2.2.5' => 0, -+ 'pthread_mutex_lock@GLIBC_2.2.5' => 0, -+ 'pthread_mutex_unlock@GLIBC_2.2.5' => 0, -+ 'qsort@GLIBC_2.2.5' => 0, -+ 'rand_r@GLIBC_2.2.5' => 0, -+ 'read@GLIBC_2.2.5' => 0, -+ 'recv@GLIBC_2.2.5' => 0, -+ 'recvfrom@GLIBC_2.2.5' => 0, -+ 'sched_yield@GLIBC_2.2.5' => 0, -+ 'sem_destroy@GLIBC_2.2.5' => 0, -+ 'sem_init@GLIBC_2.2.5' => 0, -+ 'sem_post@GLIBC_2.2.5' => 0, -+ 'sem_wait@GLIBC_2.2.5' => 0, -+ 'send@GLIBC_2.2.5' => 0, -+ 'sendmsg@GLIBC_2.2.5' => 0, -+ 'setsockopt@GLIBC_2.2.5' => 0, -+ 'shutdown@GLIBC_2.2.5' => 0, -+ 'snprintf@GLIBC_2.2.5' => 0, -+ 'socket@GLIBC_2.2.5' => 0, -+ 'socketpair@GLIBC_2.2.5' => 0, -+ 'strdup@GLIBC_2.2.5' => 0, -+ 'strlen@GLIBC_2.2.5' => 0, -+ 'strtol@GLIBC_2.2.5' => 0, -+ 'tdelete@GLIBC_2.2.5' => 0, -+ 'tdestroy@GLIBC_2.2.5' => 0, -+ 'tfind@GLIBC_2.2.5' => 0, -+ 'time@GLIBC_2.2.5' => 0, -+ 'timerfd_create@GLIBC_2.8' => 0, -+ 'timerfd_settime@GLIBC_2.8' => 0, -+ 'tsearch@GLIBC_2.2.5' => 0, -+ 'write@GLIBC_2.2.5' => 0 -+ } -+ }, -+ 'WordSize' => '8' -+ }; --- -2.25.4 - diff --git a/SOURCES/0001-Fix-cmd_fd-leak-in-mlx5_alloc_context.patch b/SOURCES/0001-Fix-cmd_fd-leak-in-mlx5_alloc_context.patch deleted file mode 100644 index ca42280..0000000 --- a/SOURCES/0001-Fix-cmd_fd-leak-in-mlx5_alloc_context.patch +++ /dev/null @@ -1,91 +0,0 @@ -From 169d050bc82a519fdc28f83bb685d86804383f0b Mon Sep 17 00:00:00 2001 -From: Greg Inozemtsev -Date: Tue, 27 Oct 2020 11:24:30 -0700 -Subject: [PATCH] Fix cmd_fd leak in mlx5_alloc_context -MIME-Version: 1.0 -Content-Type: text/plain; charset=UTF-8 -Content-Transfer-Encoding: 8bit - -The alloc_context function is supposed to take ownership of cmd_fd -and close it if it fails and returns NULL. This was not done in -early exit error paths from mlx5_init_context (called from mlx5_alloc_context). - -Fixes: cb3be404fadc (“mlx5: Refactor mlx5_alloc_context()”) -Signed-off-by: Greg Inozemtsev ---- - providers/mlx5/mlx5.c | 28 ++++++++++++++-------------- - 1 file changed, 14 insertions(+), 14 deletions(-) - -diff --git a/providers/mlx5/mlx5.c b/providers/mlx5/mlx5.c -index 0a091f5b6b49..551560d561fe 100644 ---- a/providers/mlx5/mlx5.c -+++ b/providers/mlx5/mlx5.c -@@ -1334,25 +1334,14 @@ static void mlx5_uninit_context(struct mlx5_context *context) - } - - static struct mlx5_context *mlx5_init_context(struct ibv_device *ibdev, -- int cmd_fd, -- void *private_data) -+ int cmd_fd) - { -- struct mlx5dv_context_attr *ctx_attr = private_data; - struct mlx5_device *mdev = to_mdev(ibdev); - struct mlx5_context *context; - int low_lat_uuars; - int tot_uuars; - int ret; - -- if (ctx_attr && ctx_attr->comp_mask) { -- errno = EINVAL; -- return NULL; -- } -- -- ret = get_uar_info(mdev, &tot_uuars, &low_lat_uuars); -- if (ret) -- return NULL; -- - context = verbs_init_and_alloc_context(ibdev, cmd_fd, context, ibv_ctx, - RDMA_DRIVER_MLX5); - if (!context) -@@ -1365,6 +1354,12 @@ static struct mlx5_context *mlx5_init_context(struct ibv_device *ibdev, - strcpy(context->hostname, "host_unknown"); - - mlx5_single_threaded = single_threaded_app(); -+ -+ ret = get_uar_info(mdev, &tot_uuars, &low_lat_uuars); -+ if (ret) { -+ mlx5_uninit_context(context); -+ return NULL; -+ } - context->tot_uuars = tot_uuars; - context->low_lat_uuars = low_lat_uuars; - -@@ -1569,10 +1564,15 @@ static struct verbs_context *mlx5_alloc_context(struct ibv_device *ibdev, - bool always_devx = false; - int ret; - -- context = mlx5_init_context(ibdev, cmd_fd, NULL); -+ context = mlx5_init_context(ibdev, cmd_fd); - if (!context) - return NULL; - -+ if (ctx_attr && ctx_attr->comp_mask) { -+ errno = EINVAL; -+ goto err; -+ } -+ - req.total_num_bfregs = context->tot_uuars; - req.num_low_latency_bfregs = context->low_lat_uuars; - req.max_cqe_version = MLX5_CQE_VERSION_V1; -@@ -1627,7 +1627,7 @@ static struct verbs_context *mlx5_import_context(struct ibv_device *ibdev, - struct mlx5_context *mctx; - int ret; - -- mctx = mlx5_init_context(ibdev, cmd_fd, NULL); -+ mctx = mlx5_init_context(ibdev, cmd_fd); - if (!mctx) - return NULL; - --- -2.25.4 - diff --git a/SOURCES/0001-Only-load-i40iw-for-i40e-device-with-specific-PCI-ID.patch b/SOURCES/0001-Only-load-i40iw-for-i40e-device-with-specific-PCI-ID.patch new file mode 100644 index 0000000..2d1fb74 --- /dev/null +++ b/SOURCES/0001-Only-load-i40iw-for-i40e-device-with-specific-PCI-ID.patch @@ -0,0 +1,71 @@ +From 23408827f9f74062a43041154a78505bc9fa4ed8 Mon Sep 17 00:00:00 2001 +From: Honggang Li +Date: Tue, 3 Nov 2020 20:21:33 +0800 +Subject: [PATCH] Only load i40iw for i40e device with specific PCI IDs + +This a redhat specific hack to handle i40iw huge memory consuming issue. + +rdma-core upstream maintainer believes this issue should be handled in +kernel space with i40e/i40iw driver. Unfortunately, Intel does not care +about this request. + + +Just for the record, why is it a bad idea to use the original udev +rule that contained "kmod load i40iw". Two reasons: +a) for most i40e NICs i40iw is irrelevant and we have the memory issue +that Intel won't fix. +b) if i40iw is loaded you can no longer unload i40e by itself. +$ modprobe i40iw +$ modprobe -r i40e +modprobe: FATAL: Module i40e is in use. + +Bad user experience and we may break existing user scripts! +Even worse unloading i40iw unloads i40e implicitly. +Also i40iw is going away upstream at some point. + + +Resolves: bz1850462 + +Signed-off-by: Honggang Li +--- + kernel-boot/rdma-hw-modules.rules | 20 +++++++++++++++++++- + 1 file changed, 19 insertions(+), 1 deletion(-) + +diff --git a/kernel-boot/rdma-hw-modules.rules b/kernel-boot/rdma-hw-modules.rules +index 95eaf7206702..541516eb98f4 100644 +--- a/kernel-boot/rdma-hw-modules.rules ++++ b/kernel-boot/rdma-hw-modules.rules +@@ -11,11 +11,29 @@ ENV{ID_NET_DRIVER}=="be2net", RUN{builtin}+="kmod load ocrdma" + ENV{ID_NET_DRIVER}=="bnxt_en", RUN{builtin}+="kmod load bnxt_re" + ENV{ID_NET_DRIVER}=="cxgb4", RUN{builtin}+="kmod load iw_cxgb4" + ENV{ID_NET_DRIVER}=="hns", RUN{builtin}+="kmod load hns_roce" +-ENV{ID_NET_DRIVER}=="i40e", RUN{builtin}+="kmod load i40iw" + ENV{ID_NET_DRIVER}=="mlx4_en", RUN{builtin}+="kmod load mlx4_ib" + ENV{ID_NET_DRIVER}=="mlx5_core", RUN{builtin}+="kmod load mlx5_ib" + ENV{ID_NET_DRIVER}=="qede", RUN{builtin}+="kmod load qedr" + ++# Because most of X722 don't support RDMA, only load i40iw for X722 with specific device IDs. ++#define I40E_INTEL_VENDOR_ID 0x8086 ++#define I40E_DEV_ID_X722 0x37CC ++#define I40E_DEV_ID_KX_X722 0x37CE ++#define I40E_DEV_ID_QSFP_X722 0x37CF ++#define I40E_DEV_ID_SFP_X722 0x37D0 ++#define I40E_DEV_ID_1G_BASE_T_X722 0x37D1 ++#define I40E_DEV_ID_10G_BASE_T_X722 0x37D2 ++#define I40E_DEV_ID_SFP_I_X722 0x37D3 ++#define I40E_DEV_ID_X722_VF 0x37CD ++ENV{ID_NET_DRIVER}=="i40e", ENV{ID_VENDOR_ID}=="0x8086", ENV{ID_MODEL_ID}=="0x37cc", RUN{builtin}+="kmod load i40iw" ++ENV{ID_NET_DRIVER}=="i40e", ENV{ID_VENDOR_ID}=="0x8086", ENV{ID_MODEL_ID}=="0x37ce", RUN{builtin}+="kmod load i40iw" ++ENV{ID_NET_DRIVER}=="i40e", ENV{ID_VENDOR_ID}=="0x8086", ENV{ID_MODEL_ID}=="0x37cf", RUN{builtin}+="kmod load i40iw" ++ENV{ID_NET_DRIVER}=="i40e", ENV{ID_VENDOR_ID}=="0x8086", ENV{ID_MODEL_ID}=="0x37d0", RUN{builtin}+="kmod load i40iw" ++ENV{ID_NET_DRIVER}=="i40e", ENV{ID_VENDOR_ID}=="0x8086", ENV{ID_MODEL_ID}=="0x37d1", RUN{builtin}+="kmod load i40iw" ++ENV{ID_NET_DRIVER}=="i40e", ENV{ID_VENDOR_ID}=="0x8086", ENV{ID_MODEL_ID}=="0x37d2", RUN{builtin}+="kmod load i40iw" ++ENV{ID_NET_DRIVER}=="i40e", ENV{ID_VENDOR_ID}=="0x8086", ENV{ID_MODEL_ID}=="0x37d3", RUN{builtin}+="kmod load i40iw" ++ENV{ID_NET_DRIVER}=="i40e", ENV{ID_VENDOR_ID}=="0x8086", ENV{ID_MODEL_ID}=="0x37cd", RUN{builtin}+="kmod load i40iw" ++ + # The user must explicitly load these modules via /etc/modules-load.d/ or otherwise + # rxe + +-- +2.25.4 + diff --git a/SOURCES/0001-bnxt_re-Fix-reported-error-code-from-create_cq.patch b/SOURCES/0001-bnxt_re-Fix-reported-error-code-from-create_cq.patch deleted file mode 100644 index 80b8f5b..0000000 --- a/SOURCES/0001-bnxt_re-Fix-reported-error-code-from-create_cq.patch +++ /dev/null @@ -1,36 +0,0 @@ -From ef1a51192eb44e7f23d3c5b63a80c0b8b6358660 Mon Sep 17 00:00:00 2001 -From: Kamal Heib -Date: Thu, 14 Jan 2021 12:34:39 +0200 -Subject: [PATCH] bnxt_re: Fix reported error code from create_cq - -[ Upstream commit 9a1c8f63344c5b7eb911332501d48fd6b14edde1 ] - -Report EINVAL when trying to call bnxt_re_create_cq() with number of CQEs -out of the supported range. - -Fixes: fa8dce26b88c ("libbnxt_re: Add support for CQ and QP management") -Signed-off-by: Kamal Heib -Signed-off-by: Nicolas Morey-Chaisemartin ---- - providers/bnxt_re/verbs.c | 4 +++- - 1 file changed, 3 insertions(+), 1 deletion(-) - -diff --git a/providers/bnxt_re/verbs.c b/providers/bnxt_re/verbs.c -index 03237e7f8103..20902ab5c020 100644 ---- a/providers/bnxt_re/verbs.c -+++ b/providers/bnxt_re/verbs.c -@@ -173,8 +173,10 @@ struct ibv_cq *bnxt_re_create_cq(struct ibv_context *ibvctx, int ncqe, - struct bnxt_re_context *cntx = to_bnxt_re_context(ibvctx); - struct bnxt_re_dev *dev = to_bnxt_re_dev(ibvctx->device); - -- if (ncqe > dev->max_cq_depth) -+ if (!ncqe || ncqe > dev->max_cq_depth) { -+ errno = EINVAL; - return NULL; -+ } - - cq = calloc(1, sizeof(*cq)); - if (!cq) --- -2.25.4 - diff --git a/SOURCES/0001-cxgb4-Fix-reported-error-code-from-create_cq.patch b/SOURCES/0001-cxgb4-Fix-reported-error-code-from-create_cq.patch deleted file mode 100644 index 46e90f5..0000000 --- a/SOURCES/0001-cxgb4-Fix-reported-error-code-from-create_cq.patch +++ /dev/null @@ -1,36 +0,0 @@ -From 5dee0eaa3a3c1f6b8e960038384e16ae730d201e Mon Sep 17 00:00:00 2001 -From: Kamal Heib -Date: Thu, 24 Dec 2020 16:11:16 +0200 -Subject: [PATCH] cxgb4: Fix reported error code from create_cq - -[ Upstream commit 8f85e04863e379d798c88a68eee5e34341961eff ] - -Report EINVAL when trying to call c4iw_create_cq() with number of CQEs -out of the supported range. - -Fixes: d6e6ae69be5e ("Add libcxgb4 files.") -Signed-off-by: Kamal Heib -Signed-off-by: Nicolas Morey-Chaisemartin ---- - providers/cxgb4/verbs.c | 5 +++++ - 1 file changed, 5 insertions(+) - -diff --git a/providers/cxgb4/verbs.c b/providers/cxgb4/verbs.c -index 32bae6906a15..d0e366f0fca4 100644 ---- a/providers/cxgb4/verbs.c -+++ b/providers/cxgb4/verbs.c -@@ -171,6 +171,11 @@ struct ibv_cq *c4iw_create_cq(struct ibv_context *context, int cqe, - struct c4iw_dev *dev = to_c4iw_dev(context->device); - int ret; - -+ if (!cqe || cqe > T4_MAX_CQ_DEPTH) { -+ errno = EINVAL; -+ return NULL; -+ } -+ - chp = calloc(1, sizeof *chp); - if (!chp) { - return NULL; --- -2.25.4 - diff --git a/SOURCES/0001-efa-Flush-write-combining-writes-before-writing-to-t.patch b/SOURCES/0001-efa-Flush-write-combining-writes-before-writing-to-t.patch deleted file mode 100644 index 93679fc..0000000 --- a/SOURCES/0001-efa-Flush-write-combining-writes-before-writing-to-t.patch +++ /dev/null @@ -1,86 +0,0 @@ -From 4b7203f835727e9314ef42db682b578730783d7d Mon Sep 17 00:00:00 2001 -From: Gal Pressman -Date: Wed, 11 Nov 2020 14:21:13 +0200 -Subject: [PATCH] efa: Flush write combining writes before writing to the LLQ - -[ Upstream commit 9a0d3830da11a187fb6bffe4f6f361560a0b2f40 ] - -An mmio_wc_start() is needed before writing to the LLQ memory in order -to prevent the WQEs copy (WC memory) from being reordered relative to -other mmio writes, such as tx doorbells (NC memory). - -This prevents the provider to issue more than max_tx_batch LLQ writes -between two doorbells. This is especially relevant when the user calls -the _post API with more WQEs than max_tx_batch. - -Fixes: 7aad28d11981 ("efa: Respect maximum TX doorbell batch") -Signed-off-by: Shadi Ammouri -Signed-off-by: Gal Pressman -Signed-off-by: Nicolas Morey-Chaisemartin ---- - providers/efa/verbs.c | 14 +++++++++++--- - 1 file changed, 11 insertions(+), 3 deletions(-) - -diff --git a/providers/efa/verbs.c b/providers/efa/verbs.c -index e179ff24e911..e80660d1907f 100644 ---- a/providers/efa/verbs.c -+++ b/providers/efa/verbs.c -@@ -1389,7 +1389,6 @@ static inline void efa_rq_ring_doorbell(struct efa_rq *rq, uint16_t pc) - - static inline void efa_sq_ring_doorbell(struct efa_sq *sq, uint16_t pc) - { -- mmio_flush_writes(); - mmio_write32(sq->wq.db, pc); - } - -@@ -1510,15 +1509,19 @@ int efa_post_send(struct ibv_qp *ibvqp, struct ibv_send_wr *wr, - - if (curbatch == qp->sq.max_batch_wr) { - curbatch = 0; -+ mmio_flush_writes(); - efa_sq_ring_doorbell(&qp->sq, qp->sq.wq.pc); -+ mmio_wc_start(); - } - - wr = wr->next; - } - - ring_db: -- if (curbatch) -+ if (curbatch) { -+ mmio_flush_writes(); - efa_sq_ring_doorbell(&qp->sq, qp->sq.wq.pc); -+ } - - /* - * Not using mmio_wc_spinunlock as the doorbell write should be done -@@ -1774,6 +1777,7 @@ static int efa_send_wr_complete(struct ibv_qp_ex *ibvqpx) - pc = qp->sq.wq.pc - qp->sq.num_wqe_pending; - sq_desc_idx = pc & qp->sq.wq.desc_mask; - -+ /* mmio_wc_start() comes from efa_send_wr_start() */ - while (qp->sq.num_wqe_pending) { - num_wqe_to_copy = min3(qp->sq.num_wqe_pending, - qp->sq.wq.wqe_cnt - sq_desc_idx, -@@ -1792,13 +1796,17 @@ static int efa_send_wr_complete(struct ibv_qp_ex *ibvqpx) - qp->sq.wq.desc_mask; - - if (curbatch == max_txbatch) { -+ mmio_flush_writes(); - efa_sq_ring_doorbell(&qp->sq, pc); - curbatch = 0; -+ mmio_wc_start(); - } - } - -- if (curbatch) -+ if (curbatch) { -+ mmio_flush_writes(); - efa_sq_ring_doorbell(&qp->sq, qp->sq.wq.pc); -+ } - out: - /* - * Not using mmio_wc_spinunlock as the doorbell write should be done --- -2.25.4 - diff --git a/SOURCES/0001-infiniband-diags-specify-the-HCA-name-and-Port-numbe.patch b/SOURCES/0001-infiniband-diags-specify-the-HCA-name-and-Port-numbe.patch deleted file mode 100644 index e0c700c..0000000 --- a/SOURCES/0001-infiniband-diags-specify-the-HCA-name-and-Port-numbe.patch +++ /dev/null @@ -1,78 +0,0 @@ -From a2c4768ff2f0516791b80640894c5abd4eab14bf Mon Sep 17 00:00:00 2001 -From: Honggang Li -Date: Tue, 27 Oct 2020 17:06:43 +0800 -Subject: [PATCH] infiniband-diags: specify the HCA name and Port number when - run ibportstate - -A host, from which execute the enable/disable/reset command, may be -connected to multiple InfiniBand fabrics. When the HCA name and -Port number were not specified, the libibumad library will pick up the -first active port it was found, which may not be wanted. Recommend to -specific the HCA name and Port number when run ibportstate. - -On the other hand, HCA port may be locally changed without the -knowledge of the Subnet Manager. When locally enable a disabled HCA -port, the HCA name and Port number must be specified. - -Signed-off-by: Honggang Li ---- - infiniband-diags/ibportstate.c | 4 ++-- - infiniband-diags/man/ibportstate.8.in.rst | 13 +++++++------ - 2 files changed, 9 insertions(+), 8 deletions(-) - -diff --git a/infiniband-diags/ibportstate.c b/infiniband-diags/ibportstate.c -index 17d1e1503834..7f3afb83bdb3 100644 ---- a/infiniband-diags/ibportstate.c -+++ b/infiniband-diags/ibportstate.c -@@ -401,8 +401,8 @@ int main(int argc, char **argv) - "\twidth, query, down, arm, active, vls, mtu, lid, smlid, lmc,\n" - "\tmkey, mkeylease, mkeyprot\n"; - const char *usage_examples[] = { -- "3 1 disable\t\t\t# by lid", -- "-G 0x2C9000100D051 1 enable\t# by guid", -+ "-C qib0 -P 1 3 1 disable # by CA name, CA Port Number, lid, physical port number", -+ "-C qib0 -P 1 3 1 enable # by CA name, CA Port Number, lid, physical port number", - "-D 0 1\t\t\t# (query) by direct route", - "3 1 reset\t\t\t# by lid", - "3 1 speed 1\t\t\t# by lid", -diff --git a/infiniband-diags/man/ibportstate.8.in.rst b/infiniband-diags/man/ibportstate.8.in.rst -index da1de4c76317..309a3d191c51 100644 ---- a/infiniband-diags/man/ibportstate.8.in.rst -+++ b/infiniband-diags/man/ibportstate.8.in.rst -@@ -22,8 +22,9 @@ DESCRIPTION - ibportstate allows the port state and port physical state of an IB port - to be queried (in addition to link width and speed being validated - relative to the peer port when the port queried is a switch port), --or a switch port to be disabled, enabled, or reset. It --also allows the link speed/width enabled on any IB port to be adjusted. -+or a switch port to be disabled, enabled, or reset. InfiniBand HCA port -+state may be changed locally without the knowledge of the Subnet Manager. -+It also allows the link speed/width enabled on any IB port to be adjusted. - - OPTIONS - ======= -@@ -34,8 +35,8 @@ OPTIONS - mkey, mkeylease, mkeyprot - (Default is query) - -- **enable, disable, and reset** are only allowed on switch ports (An -- error is indicated if attempted on CA or router ports) -+ **enable, disable, and reset** change or reset a switch or HCA port state -+ (You must specify the CA name and Port number when locally change CA port state.) - - **off** change the port state to disable. - -@@ -114,8 +115,8 @@ EXAMPLES - ======== - - :: -- ibportstate 3 1 disable # by lid -- ibportstate -G 0x2C9000100D051 1 enable # by guid -+ ibportstate -C qib0 -P 1 3 1 disable # by CA name, CA Port Number, lid, physical port number -+ ibportstate -C qib0 -P 1 3 1 enable # by CA name, CA Port Number, lid, physical port number - ibportstate -D 0 1 # (query) by direct route - ibportstate 3 1 reset # by lid - ibportstate 3 1 speed 1 # by lid --- -2.25.4 - diff --git a/SOURCES/0001-libqedr-Fix-reported-error-code-from-create_cq.patch b/SOURCES/0001-libqedr-Fix-reported-error-code-from-create_cq.patch deleted file mode 100644 index 422eb51..0000000 --- a/SOURCES/0001-libqedr-Fix-reported-error-code-from-create_cq.patch +++ /dev/null @@ -1,34 +0,0 @@ -From da998d0d6eb8ca6fbe7848cf4c0808797ab9c882 Mon Sep 17 00:00:00 2001 -From: Kamal Heib -Date: Wed, 23 Dec 2020 18:49:52 +0200 -Subject: [PATCH] libqedr: Fix reported error code from create_cq - -[ Upstream commit e8b5a1d673f1eb2d93fb9fe09759fa03a6cf8aad ] - -Report EINVAL when trying to call qelr_create_cq() with number of CQEs -bigger than the supported max_cqes, also fix the printed range. - -Fixes: c0965e4fe6fe ("libqedr (qelr) verbs") -Signed-off-by: Kamal Heib -Signed-off-by: Nicolas Morey-Chaisemartin ---- - providers/qedr/qelr_verbs.c | 3 ++- - 1 file changed, 2 insertions(+), 1 deletion(-) - -diff --git a/providers/qedr/qelr_verbs.c b/providers/qedr/qelr_verbs.c -index e75d508f100b..631c9f844a95 100644 ---- a/providers/qedr/qelr_verbs.c -+++ b/providers/qedr/qelr_verbs.c -@@ -231,7 +231,8 @@ struct ibv_cq *qelr_create_cq(struct ibv_context *context, int cqe, - if (!cqe || cqe > cxt->max_cqes) { - DP_ERR(cxt->dbg_fp, - "create cq: failed. attempted to allocate %d cqes but valid range is 1...%d\n", -- cqe, cqe > cxt->max_cqes); -+ cqe, cxt->max_cqes); -+ errno = EINVAL; - return NULL; - } - --- -2.25.4 - diff --git a/SOURCES/0001-libqedr-Set-XRC-functions-only-in-RoCE-mode.patch b/SOURCES/0001-libqedr-Set-XRC-functions-only-in-RoCE-mode.patch deleted file mode 100644 index 51c8b02..0000000 --- a/SOURCES/0001-libqedr-Set-XRC-functions-only-in-RoCE-mode.patch +++ /dev/null @@ -1,75 +0,0 @@ -From fd10f4c26b1ff052ea3b893247d1b6d06d01e36a Mon Sep 17 00:00:00 2001 -From: Kamal Heib -Date: Tue, 10 Nov 2020 16:59:59 +0200 -Subject: [PATCH] libqedr: Set XRC functions only in RoCE mode - -As libqedr support both RoCE and iWarp, make sure to set the XRC -functions only in RoCE mode, also change the assignment of the XRC -functions to use verbs_set_ops(). - -Fixes: cae4a99ae679 ("libqedr: add support for XRC-SRQ's.") -Signed-off-by: Kamal Heib ---- - providers/qedr/qelr_main.c | 18 ++++++++++-------- - 1 file changed, 10 insertions(+), 8 deletions(-) - -diff --git a/providers/qedr/qelr_main.c b/providers/qedr/qelr_main.c -index bdfaa930f0c6..e31cd452906f 100644 ---- a/providers/qedr/qelr_main.c -+++ b/providers/qedr/qelr_main.c -@@ -113,6 +113,14 @@ static const struct verbs_context_ops qelr_ctx_ops = { - .free_context = qelr_free_context, - }; - -+static const struct verbs_context_ops qelr_ctx_roce_ops = { -+ .close_xrcd = qelr_close_xrcd, -+ .create_qp_ex = qelr_create_qp_ex, -+ .create_srq_ex = qelr_create_srq_ex, -+ .get_srq_num = qelr_get_srq_num, -+ .open_xrcd = qelr_open_xrcd, -+}; -+ - static void qelr_uninit_device(struct verbs_device *verbs_device) - { - struct qelr_device *dev = get_qelr_dev(&verbs_device->device); -@@ -171,7 +179,6 @@ static struct verbs_context *qelr_alloc_context(struct ibv_device *ibdev, - int cmd_fd, - void *private_data) - { -- struct verbs_context *v_ctx; - struct qelr_devctx *ctx; - struct qelr_alloc_context cmd = {}; - struct qelr_alloc_context_resp resp; -@@ -181,7 +188,6 @@ static struct verbs_context *qelr_alloc_context(struct ibv_device *ibdev, - if (!ctx) - return NULL; - -- v_ctx = &ctx->ibv_ctx; - memset(&resp, 0, sizeof(resp)); - - qelr_open_debug_file(ctx); -@@ -194,6 +200,8 @@ static struct verbs_context *qelr_alloc_context(struct ibv_device *ibdev, - goto cmd_err; - - verbs_set_ops(&ctx->ibv_ctx, &qelr_ctx_ops); -+ if (IS_ROCE(ibdev)) -+ verbs_set_ops(&ctx->ibv_ctx, &qelr_ctx_roce_ops); - - ctx->srq_table = calloc(QELR_MAX_SRQ_ID, sizeof(*ctx->srq_table)); - if (!ctx->srq_table) { -@@ -252,12 +260,6 @@ static struct verbs_context *qelr_alloc_context(struct ibv_device *ibdev, - goto cmd_err; - } - -- v_ctx->create_qp_ex = qelr_create_qp_ex; -- v_ctx->open_xrcd = qelr_open_xrcd; -- v_ctx->close_xrcd = qelr_close_xrcd; -- v_ctx->create_srq_ex = qelr_create_srq_ex; -- v_ctx->get_srq_num = qelr_get_srq_num; -- - return &ctx->ibv_ctx; - - cmd_err: --- -2.25.4 - diff --git a/SOURCES/0001-librdmacm-Don-t-overwrite-errno-returned-from-libibv.patch b/SOURCES/0001-librdmacm-Don-t-overwrite-errno-returned-from-libibv.patch deleted file mode 100644 index 63f8122..0000000 --- a/SOURCES/0001-librdmacm-Don-t-overwrite-errno-returned-from-libibv.patch +++ /dev/null @@ -1,52 +0,0 @@ -From ea4c14b07e9856cdbb70fc09771ae9373d39391c Mon Sep 17 00:00:00 2001 -From: Xiao Yang -Date: Wed, 16 Dec 2020 17:22:52 +0800 -Subject: [PATCH] librdmacm: Don't overwrite errno returned from libibverbs - -[ Upstream commit 11bf28021e62235f312e3132013e3736e4e835e0 ] - -Some functions reports fixed ENOMEM when getting any failure, so -it's hard for user to know which actual error happens on them. - -Fixes: 663098bfc3ac ("Rename librdmacm") -Signed-off-by: Xiao Yang -Signed-off-by: Leon Romanovsky -Signed-off-by: Nicolas Morey-Chaisemartin ---- - librdmacm/cma.c | 6 +++--- - 1 file changed, 3 insertions(+), 3 deletions(-) - -diff --git a/librdmacm/cma.c b/librdmacm/cma.c -index 6e3956558471..2e7d019a3f7f 100644 ---- a/librdmacm/cma.c -+++ b/librdmacm/cma.c -@@ -635,7 +635,7 @@ static int ucma_get_device(struct cma_id_private *id_priv, __be64 guid, - if (!cma_dev->pd) - cma_dev->pd = ibv_alloc_pd(cma_dev->verbs); - if (!cma_dev->pd) { -- ret = ERR(ENOMEM); -+ ret = -1; - goto out; - } - -@@ -1490,7 +1490,7 @@ static int ucma_create_cqs(struct rdma_cm_id *id, uint32_t send_size, uint32_t r - return 0; - err: - ucma_destroy_cqs(id); -- return ERR(ENOMEM); -+ return -1; - } - - int rdma_create_srq_ex(struct rdma_cm_id *id, struct ibv_srq_init_attr_ex *attr) -@@ -1662,7 +1662,7 @@ int rdma_create_qp_ex(struct rdma_cm_id *id, - attr->srq = id->srq; - qp = ibv_create_qp_ex(id->verbs, attr); - if (!qp) { -- ret = ERR(ENOMEM); -+ ret = -1; - goto err1; - } - --- -2.25.4 - diff --git a/SOURCES/0001-mlx5-Consider-single-threaded-mode-for-shared-UAR.patch b/SOURCES/0001-mlx5-Consider-single-threaded-mode-for-shared-UAR.patch deleted file mode 100644 index 313e9fa..0000000 --- a/SOURCES/0001-mlx5-Consider-single-threaded-mode-for-shared-UAR.patch +++ /dev/null @@ -1,33 +0,0 @@ -From 0d9ed0f09b92f730ae3a755415c4e68e62c4cf99 Mon Sep 17 00:00:00 2001 -From: Yishai Hadas -Date: Wed, 9 Dec 2020 09:15:39 +0200 -Subject: [PATCH] mlx5: Consider single threaded mode for shared UAR - -[ Upstream commit 9d6cbd2858d237d1d56bada430eca032074204c8 ] - -In case application uses a single threaded mode even a UAR that is -shared between QPs doesn't need to take a lock. - -Fixes: 7fdcd258bc5f ("mlx5: Move to fully dynamic UAR mode including QPs") -Signed-off-by: Yishai Hadas -Signed-off-by: Nicolas Morey-Chaisemartin ---- - providers/mlx5/verbs.c | 2 +- - 1 file changed, 1 insertion(+), 1 deletion(-) - -diff --git a/providers/mlx5/verbs.c b/providers/mlx5/verbs.c -index 7907218295f6..cc93dc4c366e 100644 ---- a/providers/mlx5/verbs.c -+++ b/providers/mlx5/verbs.c -@@ -326,7 +326,7 @@ static void mlx5_insert_dyn_uuars(struct mlx5_context *ctx, - if (!bf_uar->dyn_alloc_uar) - bf->bfreg_dyn_index = (ctx->curr_legacy_dyn_sys_uar_page - 1) * num_bfregs_per_page + j; - bf->dyn_alloc_uar = bf_uar->dyn_alloc_uar; -- bf->need_lock = bf_uar->qp_shared; -+ bf->need_lock = bf_uar->qp_shared && !mlx5_single_threaded; - mlx5_spinlock_init(&bf->lock, bf->need_lock); - if (j != 0) { - bf->uar = bf_uar->uar; --- -2.25.4 - diff --git a/SOURCES/0001-mlx5-DR-Avoid-ICM-depletion-on-multiple-domains.patch b/SOURCES/0001-mlx5-DR-Avoid-ICM-depletion-on-multiple-domains.patch deleted file mode 100644 index 89e7a73..0000000 --- a/SOURCES/0001-mlx5-DR-Avoid-ICM-depletion-on-multiple-domains.patch +++ /dev/null @@ -1,75 +0,0 @@ -From dadee6df65387ba0fea0d78cc9c99af0350d3c37 Mon Sep 17 00:00:00 2001 -From: Alex Vesker -Date: Tue, 8 Dec 2020 18:41:02 +0200 -Subject: [PATCH] mlx5: DR, Avoid ICM depletion on multiple domains - -[ Upstream commit 951fdedd1ad580a0281e9bca22477942f5256c69 ] - -When running multiple domains on the same PF, large ICM allocation can -deplete all of the resource and lead to failures on other domains, this -was seen on ConnectX6DX devices with limited size of action ICM (modify -header). -The solution is take into consideration the total available resource -size. - -Fixes: c86f095752f2 ("mlx5: DR, Increase ICM action memory allocation size up-to 8MB") -Signed-off-by: Alex Vesker -Signed-off-by: Erez Shitrit -Signed-off-by: Yishai Hadas -Signed-off-by: Nicolas Morey-Chaisemartin ---- - providers/mlx5/dr_domain.c | 26 ++++++++++++++++++++------ - 1 file changed, 20 insertions(+), 6 deletions(-) - -diff --git a/providers/mlx5/dr_domain.c b/providers/mlx5/dr_domain.c -index 0a4b565ef85b..1a999965cb9b 100644 ---- a/providers/mlx5/dr_domain.c -+++ b/providers/mlx5/dr_domain.c -@@ -283,24 +283,38 @@ static void dr_domain_caps_uninit(struct mlx5dv_dr_domain *dmn) - - static int dr_domain_check_icm_memory_caps(struct mlx5dv_dr_domain *dmn) - { -+ uint32_t max_req_bytes_log, max_req_chunks_log; -+ -+ /* Check for minimum ICM log byte size requirements */ - if (dmn->info.caps.log_modify_hdr_icm_size < DR_CHUNK_SIZE_4K + - DR_MODIFY_ACTION_LOG_SIZE) { - errno = ENOMEM; - return errno; - } - -- dmn->info.max_log_action_icm_sz = min_t(uint32_t, -- DR_CHUNK_SIZE_1024K, -- dmn->info.caps.log_modify_hdr_icm_size -- - DR_MODIFY_ACTION_LOG_SIZE); -- - if (dmn->info.caps.log_icm_size < DR_CHUNK_SIZE_1024K + - DR_STE_LOG_SIZE) { - errno = ENOMEM; - return errno; - } - -- dmn->info.max_log_sw_icm_sz = DR_CHUNK_SIZE_1024K; -+ /* Current code tries to use large allocations to improve our internal -+ * memory allocation (less DMs and less FW calls). -+ * When creating multiple domains on the same PF, we want to make sure -+ * we don't deplete all of the ICM resources on a single domain. -+ * To provide some functionality with a limited resource we will use -+ * up to 1/8 of the total available size allowing opening a domain -+ * of each type. -+ */ -+ max_req_bytes_log = dmn->info.caps.log_modify_hdr_icm_size - 3; -+ max_req_chunks_log = max_req_bytes_log - DR_MODIFY_ACTION_LOG_SIZE; -+ dmn->info.max_log_action_icm_sz = -+ min_t(uint32_t, DR_CHUNK_SIZE_1024K, max_req_chunks_log); -+ -+ max_req_bytes_log = dmn->info.caps.log_icm_size - 3; -+ max_req_chunks_log = max_req_bytes_log - DR_STE_LOG_SIZE; -+ dmn->info.max_log_sw_icm_sz = -+ min_t(uint32_t, DR_CHUNK_SIZE_1024K, max_req_chunks_log); - - return 0; - } --- -2.25.4 - diff --git a/SOURCES/0001-mlx5-DR-Create-NC-UAR-as-default-but-fall-back-to-WC.patch b/SOURCES/0001-mlx5-DR-Create-NC-UAR-as-default-but-fall-back-to-WC.patch deleted file mode 100644 index 3b3c479..0000000 --- a/SOURCES/0001-mlx5-DR-Create-NC-UAR-as-default-but-fall-back-to-WC.patch +++ /dev/null @@ -1,41 +0,0 @@ -From 297cc2c6323514a69b57aeeb5207cf63e5e2549b Mon Sep 17 00:00:00 2001 -From: Bodong Wang -Date: Tue, 27 Oct 2020 08:59:02 -0500 -Subject: [PATCH] mlx5: DR, Create NC UAR as default but fall-back to WC if - failed - -[ Upstream commit 40b8e48792a423da1ceeaf58ac8eee81e9e0194a ] - -Some devices may only support either NC or WC UAR. To handle such -devices, first try to create NC, then try WC if NC failed. - -Fixes: 84ac5272a05a ("mlx5: Enhance mlx5dv_devx_alloc_uar() functionality") -Signed-off-by: Bodong Wang -Signed-off-by: Yishai Hadas -Signed-off-by: Nicolas Morey-Chaisemartin ---- - providers/mlx5/dr_domain.c | 8 +++++++- - 1 file changed, 7 insertions(+), 1 deletion(-) - -diff --git a/providers/mlx5/dr_domain.c b/providers/mlx5/dr_domain.c -index b47c5841f624..5b8739dca2ef 100644 ---- a/providers/mlx5/dr_domain.c -+++ b/providers/mlx5/dr_domain.c -@@ -50,7 +50,13 @@ static int dr_domain_init_resources(struct mlx5dv_dr_domain *dmn) - return ret; - } - -- dmn->uar = mlx5dv_devx_alloc_uar(dmn->ctx, 0); -+ dmn->uar = mlx5dv_devx_alloc_uar(dmn->ctx, -+ MLX5_IB_UAPI_UAR_ALLOC_TYPE_NC); -+ -+ if (!dmn->uar) -+ dmn->uar = mlx5dv_devx_alloc_uar(dmn->ctx, -+ MLX5_IB_UAPI_UAR_ALLOC_TYPE_BF); -+ - if (!dmn->uar) { - dr_dbg(dmn, "Can't allocate UAR\n"); - goto clean_pd; --- -2.25.4 - diff --git a/SOURCES/0001-mlx5-DR-Fix-incorrect-use-of-fl_roce_enabled-capabil.patch b/SOURCES/0001-mlx5-DR-Fix-incorrect-use-of-fl_roce_enabled-capabil.patch deleted file mode 100644 index 3e1dca8..0000000 --- a/SOURCES/0001-mlx5-DR-Fix-incorrect-use-of-fl_roce_enabled-capabil.patch +++ /dev/null @@ -1,176 +0,0 @@ -From 2432a74c845b7b529cb6e9044140b9445922a7ae Mon Sep 17 00:00:00 2001 -From: Alex Vesker -Date: Wed, 28 Oct 2020 12:26:32 +0200 -Subject: [PATCH] mlx5: DR, Fix incorrect use of fl_roce_enabled capability - -[ Upstream commit 2337d6790ad21b1d0c5373cf2aa6f8e70a510434 ] - -Creating a FL QP should be allowed only when RoCE is enabled (roce_en) -and FL is supported with RoCE enabled. Previously we relied on the -general HCA cap whether RoCE is enabled and ignored its real state. -Creating a FL QP even if RoCE is disabled which could results in a -failure to modify QP. - -Fixes: 6724f6530d3e ("mlx5: DR, Query RoCE capabilities") -Signed-off-by: Alex Vesker -Signed-off-by: Yishai Hadas -Signed-off-by: Nicolas Morey-Chaisemartin ---- - providers/mlx5/dr_devx.c | 24 ++++++++++++++++++++++++ - providers/mlx5/dr_domain.c | 2 +- - providers/mlx5/dr_send.c | 8 +++++++- - providers/mlx5/mlx5_ifc.h | 29 +++++++++++++++++++++++++++++ - providers/mlx5/mlx5dv_dr.h | 2 ++ - 5 files changed, 63 insertions(+), 2 deletions(-) - -diff --git a/providers/mlx5/dr_devx.c b/providers/mlx5/dr_devx.c -index cd0f8bbc2e44..74a8155b8777 100644 ---- a/providers/mlx5/dr_devx.c -+++ b/providers/mlx5/dr_devx.c -@@ -66,6 +66,26 @@ int dr_devx_query_esw_vport_context(struct ibv_context *ctx, - return 0; - } - -+static int dr_devx_query_nic_vport_context(struct ibv_context *ctx, -+ bool *roce_en) -+{ -+ uint32_t out[DEVX_ST_SZ_DW(query_nic_vport_context_out)] = {}; -+ uint32_t in[DEVX_ST_SZ_DW(query_nic_vport_context_in)] = {}; -+ int err; -+ -+ DEVX_SET(query_nic_vport_context_in, in, opcode, -+ MLX5_CMD_OP_QUERY_NIC_VPORT_CONTEXT); -+ err = mlx5dv_devx_general_cmd(ctx, in, sizeof(in), out, sizeof(out)); -+ if (err) { -+ dr_dbg_ctx(ctx, "Query nic vport context failed %d\n", err); -+ return err; -+ } -+ -+ *roce_en = DEVX_GET(query_nic_vport_context_out, out, -+ nic_vport_context.roce_en); -+ return 0; -+} -+ - int dr_devx_query_gvmi(struct ibv_context *ctx, bool other_vport, - uint16_t vport_number, uint16_t *gvmi) - { -@@ -225,6 +245,10 @@ int dr_devx_query_device(struct ibv_context *ctx, struct dr_devx_caps *caps) - - /* RoCE caps */ - if (roce) { -+ err = dr_devx_query_nic_vport_context(ctx, &caps->roce_caps.roce_en); -+ if (err) -+ return err; -+ - DEVX_SET(query_hca_cap_in, in, opcode, MLX5_CMD_OP_QUERY_HCA_CAP); - DEVX_SET(query_hca_cap_in, in, op_mod, - MLX5_SET_HCA_CAP_OP_MOD_ROCE | -diff --git a/providers/mlx5/dr_domain.c b/providers/mlx5/dr_domain.c -index 916283e505aa..b47c5841f624 100644 ---- a/providers/mlx5/dr_domain.c -+++ b/providers/mlx5/dr_domain.c -@@ -199,7 +199,7 @@ static int dr_domain_caps_init(struct ibv_context *ctx, - * force-loopback. - */ - if ((dmn->type != MLX5DV_DR_DOMAIN_TYPE_FDB) && -- !dmn->info.caps.roce_caps.fl_rc_qp_when_roce_enabled) -+ !dr_send_allow_fl(&dmn->info.caps)) - return 0; - - ret = dr_domain_query_fdb_caps(ctx, dmn); -diff --git a/providers/mlx5/dr_send.c b/providers/mlx5/dr_send.c -index dfda549d7f01..67ab1c7eb40f 100644 ---- a/providers/mlx5/dr_send.c -+++ b/providers/mlx5/dr_send.c -@@ -820,6 +820,12 @@ int dr_send_postsend_action(struct mlx5dv_dr_domain *dmn, - return ret; - } - -+bool dr_send_allow_fl(struct dr_devx_caps *caps) -+{ -+ return (caps->roce_caps.roce_en && -+ caps->roce_caps.fl_rc_qp_when_roce_enabled); -+} -+ - static int dr_prepare_qp_to_rts(struct mlx5dv_dr_domain *dmn) - { - struct dr_devx_qp_rts_attr rts_attr = {}; -@@ -844,7 +850,7 @@ static int dr_prepare_qp_to_rts(struct mlx5dv_dr_domain *dmn) - rtr_attr.port_num = port; - - /* Enable force-loopback on the QP */ -- if (dmn->info.caps.roce_caps.fl_rc_qp_when_roce_enabled) { -+ if (dr_send_allow_fl(&dmn->info.caps)) { - rtr_attr.fl = true; - } else { - ret = dr_devx_query_gid(dmn->ctx, port, gid_index, &rtr_attr.dgid_attr); -diff --git a/providers/mlx5/mlx5_ifc.h b/providers/mlx5/mlx5_ifc.h -index 815207a435a8..58b7da23b3aa 100644 ---- a/providers/mlx5/mlx5_ifc.h -+++ b/providers/mlx5/mlx5_ifc.h -@@ -49,6 +49,7 @@ enum { - MLX5_CMD_OP_RTS2RTS_QP = 0x505, - MLX5_CMD_OP_QUERY_QP = 0x50b, - MLX5_CMD_OP_QUERY_ESW_VPORT_CONTEXT = 0x752, -+ MLX5_CMD_OP_QUERY_NIC_VPORT_CONTEXT = 0x754, - MLX5_CMD_OP_QUERY_ROCE_ADDRESS = 0x760, - MLX5_CMD_OP_QUERY_LAG = 0x842, - MLX5_CMD_OP_CREATE_TIR = 0x900, -@@ -1963,6 +1964,34 @@ struct mlx5_ifc_query_esw_vport_context_in_bits { - u8 reserved_at_60[0x20]; - }; - -+struct mlx5_ifc_nic_vport_context_bits { -+ u8 reserved_at_0[0x1f]; -+ u8 roce_en[0x1]; -+ -+ u8 reserved_at_20[0x7e0]; -+}; -+ -+struct mlx5_ifc_query_nic_vport_context_out_bits { -+ u8 status[0x8]; -+ u8 reserved_at_8[0x18]; -+ -+ u8 syndrome[0x20]; -+ -+ u8 reserved_at_40[0x40]; -+ -+ struct mlx5_ifc_nic_vport_context_bits nic_vport_context; -+}; -+ -+struct mlx5_ifc_query_nic_vport_context_in_bits { -+ u8 opcode[0x10]; -+ u8 reserved_at_10[0x10]; -+ -+ u8 reserved_at_20[0x10]; -+ u8 op_mod[0x10]; -+ -+ u8 reserved_at_40[0x40]; -+}; -+ - enum { - MLX5_QPC_ST_RC = 0x0, - }; -diff --git a/providers/mlx5/mlx5dv_dr.h b/providers/mlx5/mlx5dv_dr.h -index 22e99eef4f32..a130211c15f5 100644 ---- a/providers/mlx5/mlx5dv_dr.h -+++ b/providers/mlx5/mlx5dv_dr.h -@@ -582,6 +582,7 @@ struct dr_devx_vport_cap { - }; - - struct dr_devx_roce_cap { -+ bool roce_en; - bool fl_rc_qp_when_roce_enabled; - }; - -@@ -1032,6 +1033,7 @@ struct dr_send_ring { - int dr_send_ring_alloc(struct mlx5dv_dr_domain *dmn); - void dr_send_ring_free(struct dr_send_ring *send_ring); - int dr_send_ring_force_drain(struct mlx5dv_dr_domain *dmn); -+bool dr_send_allow_fl(struct dr_devx_caps *caps); - int dr_send_postsend_ste(struct mlx5dv_dr_domain *dmn, struct dr_ste *ste, - uint8_t *data, uint16_t size, uint16_t offset); - int dr_send_postsend_htbl(struct mlx5dv_dr_domain *dmn, struct dr_ste_htbl *htbl, --- -2.25.4 - diff --git a/SOURCES/0001-mlx5-Fix-wqe-size-parameter-in-wqe-signature-calcula.patch b/SOURCES/0001-mlx5-Fix-wqe-size-parameter-in-wqe-signature-calcula.patch deleted file mode 100644 index a31dbd1..0000000 --- a/SOURCES/0001-mlx5-Fix-wqe-size-parameter-in-wqe-signature-calcula.patch +++ /dev/null @@ -1,38 +0,0 @@ -From e99103602f477c84f31b79779f7544d6e1704593 Mon Sep 17 00:00:00 2001 -From: Michael Guralnik -Date: Mon, 19 Oct 2020 09:00:56 +0300 -Subject: [PATCH] mlx5: Fix wqe size parameter in wqe signature calculation - -[ Upstream commit 8cde184624d192f7cd61247eec92a3a9b4ba7c7f ] - -WQE signature calculation requires passing the size of the WQE as a -parameter. - -Current code passes the qpn_ds field as the WQE size which is wrong. -Fixing to extract from the qpn_ds the WQE size in bytes and use it for -the signature calculations. - -Fixes: 8c4791ae2395 ("libmlx5: First version of libmlx5") -Signed-off-by: Michael Guralnik -Signed-off-by: Yishai Hadas -Signed-off-by: Nicolas Morey-Chaisemartin ---- - providers/mlx5/qp.c | 2 +- - 1 file changed, 1 insertion(+), 1 deletion(-) - -diff --git a/providers/mlx5/qp.c b/providers/mlx5/qp.c -index 077346d63137..13d7bcccc39d 100644 ---- a/providers/mlx5/qp.c -+++ b/providers/mlx5/qp.c -@@ -368,7 +368,7 @@ static int set_data_inl_seg(struct mlx5_qp *qp, struct ibv_send_wr *wr, - - static uint8_t wq_sig(struct mlx5_wqe_ctrl_seg *ctrl) - { -- return calc_sig(ctrl, be32toh(ctrl->qpn_ds)); -+ return calc_sig(ctrl, (be32toh(ctrl->qpn_ds) & 0x3f) << 4); - } - - #ifdef MLX5_DEBUG --- -2.25.4 - diff --git a/SOURCES/0001-pyverbs-Add-mlx5dv-CQ-support.patch b/SOURCES/0001-pyverbs-Add-mlx5dv-CQ-support.patch deleted file mode 100644 index cb39deb..0000000 --- a/SOURCES/0001-pyverbs-Add-mlx5dv-CQ-support.patch +++ /dev/null @@ -1,57 +0,0 @@ -From 4707d4b89fcdab09d568a823ddf521f835a47fe9 Mon Sep 17 00:00:00 2001 -From: Ido Kalir -Date: Thu, 6 Aug 2020 14:44:31 +0300 -Subject: [PATCH] pyverbs: Add mlx5dv CQ support - -Support the creation of QPInitAttr and QPInitAttrEx with a DV CQ -instance. - -Signed-off-by: Ido Kalir -Signed-off-by: Edward Srouji ---- - pyverbs/qp.pyx | 8 ++++---- - 1 file changed, 4 insertions(+), 4 deletions(-) - -diff --git a/pyverbs/qp.pyx b/pyverbs/qp.pyx -index 24dfc667227a..09d1c55af835 100644 ---- a/pyverbs/qp.pyx -+++ b/pyverbs/qp.pyx -@@ -119,7 +119,7 @@ cdef class QPInitAttr(PyverbsObject): - if scq is not None: - if type(scq) is CQ: - self.attr.send_cq = (scq).cq -- elif type(scq) is CQEX: -+ elif isinstance(scq, CQEX): - self.attr.send_cq = (scq).ibv_cq - else: - raise PyverbsUserError('Expected CQ/CQEX, got {t}'.\ -@@ -129,7 +129,7 @@ cdef class QPInitAttr(PyverbsObject): - if rcq is not None: - if type(rcq) is CQ: - self.attr.recv_cq = (rcq).cq -- elif type(rcq) is CQEX: -+ elif isinstance(rcq, CQEX): - self.attr.recv_cq = (rcq).ibv_cq - else: - raise PyverbsUserError('Expected CQ/CQEX, got {t}'.\ -@@ -282,7 +282,7 @@ cdef class QPInitAttrEx(PyverbsObject): - if scq is not None: - if type(scq) is CQ: - self.attr.send_cq = (scq).cq -- elif type(scq) is CQEX: -+ elif isinstance(scq, CQEX): - self.attr.send_cq = (scq).ibv_cq - else: - raise PyverbsUserError('Expected CQ/CQEX, got {t}'.\ -@@ -292,7 +292,7 @@ cdef class QPInitAttrEx(PyverbsObject): - if rcq is not None: - if type(rcq) is CQ: - self.attr.recv_cq = (rcq).cq -- elif type(rcq) is CQEX: -+ elif isinstance(rcq, CQEX): - self.attr.recv_cq = (rcq).ibv_cq - else: - raise PyverbsUserError('Expected CQ/CQEX, got {t}'.\ --- -2.25.4 - diff --git a/SOURCES/0001-qedr-fix-USE_AFTER_FREE-issue.patch b/SOURCES/0001-qedr-fix-USE_AFTER_FREE-issue.patch deleted file mode 100644 index 9ed4ea5..0000000 --- a/SOURCES/0001-qedr-fix-USE_AFTER_FREE-issue.patch +++ /dev/null @@ -1,41 +0,0 @@ -From 9f25aebffc4f6d4d9b7ccf3e1ba911b997fb9ab4 Mon Sep 17 00:00:00 2001 -From: Honggang Li -Date: Sun, 15 Nov 2020 17:53:47 +0800 -Subject: [PATCH] qedr: fix USE_AFTER_FREE issue - -[ Upstream commit 56137398dbd58f876cb6238da9babb9500ac38b4 ] - -Issue was detected by Coverity. - -Error: USE_AFTER_FREE (CWE-416): [#def10] -rdma-core-33.0/providers/qedr/qelr_verbs.c:2678: freed_arg: "free" frees "srq". -rdma-core-33.0/providers/qedr/qelr_verbs.c:2680: pass_freed_arg: Passing freed pointer "srq" as an argument to "fprintf". -|# 2678| free(srq); -|# 2679| err0: -|# 2680|-> DP_ERR(cxt->dbg_fp, -|# 2681| "create srq: failed to create %p. rc=%d\n", srq, rc); -|# 2682| return NULL; - -Fixes: cae4a99ae679 ("libqedr: add support for XRC-SRQ's.") -Signed-off-by: Honggang Li -Signed-off-by: Nicolas Morey-Chaisemartin ---- - providers/qedr/qelr_verbs.c | 2 +- - 1 file changed, 1 insertion(+), 1 deletion(-) - -diff --git a/providers/qedr/qelr_verbs.c b/providers/qedr/qelr_verbs.c -index 4e77a1976a91..e75d508f100b 100644 ---- a/providers/qedr/qelr_verbs.c -+++ b/providers/qedr/qelr_verbs.c -@@ -2678,7 +2678,7 @@ err1: - free(srq); - err0: - DP_ERR(cxt->dbg_fp, -- "create srq: failed to create %p. rc=%d\n", srq, rc); -+ "create srq: failed to create. rc=%d\n", rc); - return NULL; - } - --- -2.25.4 - diff --git a/SOURCES/0001-rdma_server-Add-s-option-in-rdma_server-s-manual.patch b/SOURCES/0001-rdma_server-Add-s-option-in-rdma_server-s-manual.patch deleted file mode 100644 index fd50a89..0000000 --- a/SOURCES/0001-rdma_server-Add-s-option-in-rdma_server-s-manual.patch +++ /dev/null @@ -1,34 +0,0 @@ -From d83d397af58977f9c8af3fecff6e86ce76634e4b Mon Sep 17 00:00:00 2001 -From: Xiao Yang -Date: Fri, 11 Dec 2020 14:59:10 +0800 -Subject: [PATCH] rdma_server: Add '-s' option in rdma_server's manual - -[ Upstream commit e0ec9a5204eaefeddb35de580d610e066d6a9022 ] - -Fixes: 519d8d7aa965 ("librdmacm: Add command line option to specify server") -Fixes: cdea72a1e7e6 ("librdmacm: Change server default address to any address.") -Signed-off-by: Xiao Yang -Signed-off-by: Leon Romanovsky -Signed-off-by: Nicolas Morey-Chaisemartin ---- - librdmacm/man/rdma_server.1 | 4 ++++ - 1 file changed, 4 insertions(+) - -diff --git a/librdmacm/man/rdma_server.1 b/librdmacm/man/rdma_server.1 -index ada2564983f3..f83633e0b195 100644 ---- a/librdmacm/man/rdma_server.1 -+++ b/librdmacm/man/rdma_server.1 -@@ -13,6 +13,10 @@ two nodes. This example is intended to provide a very simple coding - example of how to use RDMA. - .SH "OPTIONS" - .TP -+\-s server_address -+Specifies the address that the rdma_server listens on. By default the -+server listens on any address(0.0.0.0). -+.TP - \-p port - Changes the port number that the server listens on. By default the server - listens on port 7471. --- -2.25.4 - diff --git a/SOURCES/0001-srp_daemon-Fix-systemd-dependency.patch b/SOURCES/0001-srp_daemon-Fix-systemd-dependency.patch deleted file mode 100644 index f885577..0000000 --- a/SOURCES/0001-srp_daemon-Fix-systemd-dependency.patch +++ /dev/null @@ -1,34 +0,0 @@ -From d3122072034c574cadbd74df60bfe6c2464a9924 Mon Sep 17 00:00:00 2001 -From: Nicolas Morey-Chaisemartin -Date: Mon, 11 Jan 2021 10:51:01 +0100 -Subject: [PATCH] srp_daemon: Fix systemd dependency - -[ Upstream commit 460f4368ece1652bcf9c4d282e331e9422c02841 ] - -remote-fs-pre.target is a passive target that is not loaded without someone -actively requiring it. Even is remote-fs.target is active. -This means that srp_daemon will not get started at boot -unless another service explicitely requires remote-fs-pre.target. - -This solves the issue by having the srp_daemon service wanted by -the multi-user.target. - -Fixes: 1c7fe513e3e9 (srp_daemon: One systemd service per port) -Signed-off-by: Nicolas Morey-Chaisemartin ---- - srp_daemon/srp_daemon.service.in | 2 +- - 1 file changed, 1 insertion(+), 1 deletion(-) - -diff --git a/srp_daemon/srp_daemon.service.in b/srp_daemon/srp_daemon.service.in -index 188b7e1a3712..bdd70db566af 100644 ---- a/srp_daemon/srp_daemon.service.in -+++ b/srp_daemon/srp_daemon.service.in -@@ -16,4 +16,4 @@ ProtectKernelModules=yes - RestrictRealtime=yes - - [Install] --WantedBy=remote-fs-pre.target -+WantedBy=multi-user.target --- -2.25.4 - diff --git a/SOURCES/0001-tests-Add-mlx5-CQ-tests.patch b/SOURCES/0001-tests-Add-mlx5-CQ-tests.patch deleted file mode 100644 index 8996513..0000000 --- a/SOURCES/0001-tests-Add-mlx5-CQ-tests.patch +++ /dev/null @@ -1,358 +0,0 @@ -From 8aae7abe241c81ef7d461940d7bb7f2973172b99 Mon Sep 17 00:00:00 2001 -From: Ido Kalir -Date: Tue, 18 Aug 2020 16:03:05 +0300 -Subject: [PATCH] tests: Add mlx5 CQ tests - -Add tests for mlx5dv CQ, including traffic and some bad creation flows. - -Signed-off-by: Ido Kalir -Signed-off-by: Edward Srouji ---- - tests/CMakeLists.txt | 1 + - tests/base.py | 28 ++++- - tests/mlx5_base.py | 6 +- - tests/test_mlx5_cq.py | 237 ++++++++++++++++++++++++++++++++++++++++++ - 4 files changed, 269 insertions(+), 3 deletions(-) - create mode 100644 tests/test_mlx5_cq.py - -diff --git a/tests/CMakeLists.txt b/tests/CMakeLists.txt -index 4de98d08a81e..ce3b5ef25b81 100644 ---- a/tests/CMakeLists.txt -+++ b/tests/CMakeLists.txt -@@ -14,6 +14,7 @@ rdma_python_test(tests - test_cqex.py - test_device.py - test_efadv.py -+ test_mlx5_cq.py - test_mlx5_dc.py - test_mlx5_lag_affinity.py - test_mlx5_pp.py -diff --git a/tests/base.py b/tests/base.py -index 3eb5f5db9648..1ca52f0ce5bf 100644 ---- a/tests/base.py -+++ b/tests/base.py -@@ -104,6 +104,29 @@ class RDMATestCase(unittest.TestCase): - self.gid_index = gid_index - self.pkey_index = pkey_index - self.ip_addr = None -+ self.pre_environment = {} -+ -+ def set_env_variable(self, var, value): -+ """ -+ Set environment variable. The current value for each variable is stored -+ and is set back at the end of the test. -+ :param var: The name of the environment variable -+ :param value: The requested new value of this environment variable -+ """ -+ if var not in self.pre_environment.keys(): -+ self.pre_environment[var] = os.environ.get(var) -+ os.environ[var] = value -+ -+ def tearDown(self): -+ """ -+ Restore the previous environment variables values before ending the test. -+ """ -+ for k, v in self.pre_environment.items(): -+ if v is None: -+ os.environ.pop(k) -+ else: -+ os.environ[k] = v -+ super().tearDown() - - def is_eth_and_has_roce_hw_bug(self): - """ -@@ -256,7 +279,7 @@ class TrafficResources(BaseResources): - needed for traffic. - """ - def __init__(self, dev_name, ib_port, gid_index, with_srq=False, -- qp_count=1): -+ qp_count=1, msg_size=1024): - """ - Initializes a TrafficResources object with the given values and creates - basic RDMA resources. -@@ -265,11 +288,12 @@ class TrafficResources(BaseResources): - :param gid_index: Which GID index to use - :param with_srq: If True, create SRQ and attach to QPs - :param qp_count: Number of QPs to create -+ :param msg_size: Size of resource msg. If None, use 1024 as default. - """ - super(TrafficResources, self).__init__(dev_name=dev_name, - ib_port=ib_port, - gid_index=gid_index) -- self.msg_size = 1024 -+ self.msg_size = msg_size - self.num_msgs = 1000 - self.port_attr = None - self.mr = None -diff --git a/tests/mlx5_base.py b/tests/mlx5_base.py -index 099906f35129..a4202bae6a0d 100644 ---- a/tests/mlx5_base.py -+++ b/tests/mlx5_base.py -@@ -18,8 +18,9 @@ from pyverbs.mr import MR - - class Mlx5DcResources(TrafficResources): - def __init__(self, dev_name, ib_port, gid_index, send_ops_flags, -- qp_count=1): -+ qp_count=1, create_flags=0): - self.send_ops_flags = send_ops_flags -+ self.create_flags = create_flags - super().__init__(dev_name, ib_port, gid_index, with_srq=True, - qp_count=qp_count) - -@@ -77,7 +78,10 @@ class Mlx5DcResources(TrafficResources): - try: - for _ in range(self.qp_count): - comp_mask = dve.MLX5DV_QP_INIT_ATTR_MASK_DC -+ if self.create_flags: -+ comp_mask |= dve.MLX5DV_QP_INIT_ATTR_MASK_QP_CREATE_FLAGS - attr = Mlx5DVQPInitAttr(comp_mask=comp_mask, -+ create_flags=self.create_flags, - dc_init_attr=Mlx5DVDCInitAttr()) - qp = Mlx5QP(self.ctx, qp_init_attr, attr) - self.qps.append(qp) -diff --git a/tests/test_mlx5_cq.py b/tests/test_mlx5_cq.py -new file mode 100644 -index 000000000000..1f757c27345e ---- /dev/null -+++ b/tests/test_mlx5_cq.py -@@ -0,0 +1,237 @@ -+import unittest -+import errno -+ -+from pyverbs.providers.mlx5.mlx5dv import Mlx5Context, Mlx5DVContextAttr, \ -+ Mlx5DVCQInitAttr, Mlx5CQ, context_flags_to_str -+from pyverbs.pyverbs_error import PyverbsRDMAError, PyverbsUserError -+from tests.base import RDMATestCase, RCResources -+import pyverbs.providers.mlx5.mlx5_enums as dve -+from tests.mlx5_base import Mlx5DcResources -+from pyverbs.cq import CqInitAttrEx -+import pyverbs.enums as e -+import tests.utils as u -+ -+ -+def create_dv_cq(res): -+ """ -+ Create Mlx5 DV CQ. -+ :param res: An instance of BaseResources. -+ :return: None -+ """ -+ dvcq_init_attr = Mlx5DVCQInitAttr() -+ if res.cqe_comp_res_format: -+ dvcq_init_attr.cqe_comp_res_format = res.cqe_comp_res_format -+ dvcq_init_attr.comp_mask |= dve.MLX5DV_CQ_INIT_ATTR_MASK_COMPRESSED_CQE -+ if res.flags: -+ dvcq_init_attr.flags = res.flags -+ dvcq_init_attr.comp_mask |= dve.MLX5DV_CQ_INIT_ATTR_MASK_FLAGS -+ if res.cqe_size: -+ dvcq_init_attr.cqe_size = res.cqe_size -+ dvcq_init_attr.comp_mask |= dve.MLX5DV_CQ_INIT_ATTR_MASK_CQE_SIZE -+ try: -+ res.cq = Mlx5CQ(res.ctx, CqInitAttrEx(), dvcq_init_attr) -+ except PyverbsRDMAError as ex: -+ if ex.error_code == errno.EOPNOTSUPP: -+ raise unittest.SkipTest('Create Mlx5DV CQ is not supported') -+ raise ex -+ -+ -+class Mlx5CQRes(RCResources): -+ def __init__(self, dev_name, ib_port, gid_index, cqe_comp_res_format=None, -+ flags=None, cqe_size=None, msg_size=1024, requested_dev_cap=None): -+ """ -+ Initialize Mlx5 DV CQ resources based on RC resources that include RC -+ QP. -+ :param dev_name: Device name to be used -+ :param ib_port: IB port of the device to use -+ :param gid_index: Which GID index to use -+ :param cqe_comp_res_format: Type of compression to use -+ :param flags: DV CQ specific flags -+ :param cqe_size: The CQE size -+ :param msg_size: The resource msg size -+ :param requested_dev_cap: A necessary device cap. If it's not supported -+ by the device, the test will be skipped. -+ """ -+ self.cqe_comp_res_format = cqe_comp_res_format -+ self.flags = flags -+ self.cqe_size = cqe_size -+ self.requested_dev_cap = requested_dev_cap -+ super().__init__(dev_name, ib_port, gid_index, msg_size=msg_size) -+ -+ def create_context(self): -+ mlx5dv_attr = Mlx5DVContextAttr() -+ try: -+ self.ctx = Mlx5Context(mlx5dv_attr, name=self.dev_name) -+ except PyverbsUserError as ex: -+ raise unittest.SkipTest(f'Could not open mlx5 context ({ex})') -+ except PyverbsRDMAError: -+ raise unittest.SkipTest('Opening mlx5 context is not supported') -+ if self.requested_dev_cap: -+ if not self.ctx.query_mlx5_device().flags & self.requested_dev_cap: -+ miss_caps = context_flags_to_str(self.requested_dev_cap) -+ raise unittest.SkipTest(f'Device caps doesn\'t support {miss_caps}') -+ -+ def create_cq(self): -+ create_dv_cq(self) -+ -+ -+class Mlx5DvCqDcRes(Mlx5DcResources): -+ def __init__(self, dev_name, ib_port, gid_index, cqe_comp_res_format=None, -+ flags=None, cqe_size=None, create_flags=None): -+ """ -+ Initialize Mlx5 DV CQ resources based on RC resources that include RC -+ QP. -+ :param dev_name: Device name to be used -+ :param ib_port: IB port of the device to use -+ :param gid_index: Which GID index to use -+ :param cqe_comp_res_format: Type of compression to use -+ :param flags: DV CQ specific flags -+ :param cqe_size: The CQ's CQe size -+ :param create_flags: DV QP specific flags -+ """ -+ self.cqe_comp_res_format = cqe_comp_res_format -+ self.flags = flags -+ self.cqe_size = cqe_size -+ super().__init__(dev_name, ib_port, gid_index, -+ send_ops_flags=e.IBV_QP_EX_WITH_SEND, -+ create_flags=create_flags) -+ -+ def create_cq(self): -+ create_dv_cq(self) -+ -+ -+class DvCqTest(RDMATestCase): -+ def setUp(self): -+ super().setUp() -+ self.iters = 10 -+ self.server = None -+ self.client = None -+ self.traffic_args = None -+ -+ def create_players(self, resource, **resource_arg): -+ """ -+ Init DV CQ tests resources. -+ :param resource: The RDMA resources to use. -+ :param resource_arg: Dict of args that specify the resource specific -+ attributes. -+ :return: None -+ """ -+ self.client = resource(**self.dev_info, **resource_arg) -+ self.server = resource(**self.dev_info, **resource_arg) -+ self.client.pre_run(self.server.psns, self.server.qps_num) -+ self.server.pre_run(self.client.psns, self.client.qps_num) -+ if resource == Mlx5DvCqDcRes: -+ self.client.remote_dct_num = self.server.dct_qp.qp_num -+ self.server.remote_dct_num = self.client.dct_qp.qp_num -+ self.traffic_args = {'client': self.client, 'server': self.server, -+ 'iters': self.iters, 'gid_idx': self.gid_index, -+ 'port': self.ib_port} -+ -+ def test_dv_cq_traffic(self): -+ """ -+ Run SEND traffic using DC CQ. -+ """ -+ self.create_players(Mlx5CQRes) -+ u.traffic(**self.traffic_args, is_cq_ex=True) -+ -+ def test_dv_cq_compression_flags(self): -+ """ -+ Create DV CQ with different types of CQE compression formats. The test -+ also does bad flow and try to use more than one compression formats. -+ """ -+ # Create DV CQ with all legal compression flags. -+ for comp_type in [dve.MLX5DV_CQE_RES_FORMAT_CSUM_STRIDX, -+ dve.MLX5DV_CQE_RES_FORMAT_CSUM, -+ dve.MLX5DV_CQE_RES_FORMAT_HASH]: -+ self.create_players(Mlx5CQRes, cqe_comp_res_format=comp_type, -+ requested_dev_cap=dve.MLX5DV_CONTEXT_FLAGS_CQE_128B_COMP) -+ u.traffic(**self.traffic_args, is_cq_ex=True) -+ -+ # Try to create DV CQ with more than one compression flags. -+ cqe_multi_format = dve.MLX5DV_CQE_RES_FORMAT_HASH | \ -+ dve.MLX5DV_CQE_RES_FORMAT_CSUM -+ with self.assertRaises(PyverbsRDMAError) as ex: -+ self.create_players(Mlx5CQRes, cqe_comp_res_format=cqe_multi_format) -+ self.assertEqual(ex.exception.error_code, errno.EINVAL) -+ -+ def test_dv_cq_padding(self): -+ """ -+ Create DV CQ with padding flag. -+ """ -+ self.create_players(Mlx5CQRes, cqe_size=128, -+ flags=dve.MLX5DV_CQ_INIT_ATTR_FLAGS_CQE_PAD, -+ requested_dev_cap=dve.MLX5DV_CONTEXT_FLAGS_CQE_128B_PAD) -+ u.traffic(**self.traffic_args, is_cq_ex=True) -+ -+ def test_dv_cq_padding_not_aligned_cqe_size(self): -+ """ -+ Create DV CQ with padding flag when CQE size is not 128B. The creation -+ should fail because padding is supported only with CQE size of 128B. -+ """ -+ # Padding flag works only when the cqe size is 128. -+ with self.assertRaises(PyverbsRDMAError) as ex: -+ self.create_players(Mlx5CQRes, cqe_size=64, -+ flags=dve.MLX5DV_CQ_INIT_ATTR_FLAGS_CQE_PAD, -+ requested_dev_cap=dve.MLX5DV_CONTEXT_FLAGS_CQE_128B_PAD) -+ self.assertEqual(ex.exception.error_code, errno.EINVAL) -+ -+ def test_dv_cq_cqe_size_128(self): -+ """ -+ Test multiple sizes of msg using CQE size of 128B. -+ """ -+ msg_sizes = [60, # Lower than 64B -+ 70, # In range of 64B - 128B -+ 140] # Bigger than 128B -+ for size in msg_sizes: -+ self.create_players(Mlx5CQRes, cqe_size=128, msg_size=size) -+ u.traffic(**self.traffic_args, is_cq_ex=True) -+ -+ def test_dv_cq_cqe_size_64(self): -+ """ -+ Test multiple sizes of msg using CQE size of 64B. -+ """ -+ msg_sizes = [16, # Lower than 32B -+ 60, # In range of 32B - 64B -+ 70] # Bigger than 64B -+ for size in msg_sizes: -+ self.create_players(Mlx5CQRes, cqe_size=64, msg_size=size) -+ u.traffic(**self.traffic_args, is_cq_ex=True) -+ -+ def test_dv_cq_cqe_size_with_bad_size(self): -+ """ -+ Create CQ with ilegal cqe_size value. -+ """ -+ # Set the CQE size in the CQE creation. -+ with self.assertRaises(PyverbsRDMAError) as ex: -+ self.create_players(Mlx5CQRes, cqe_size=100) -+ self.assertEqual(ex.exception.error_code, errno.EINVAL) -+ -+ # Set the CQE size using the environment value. -+ self.set_env_variable('MLX5_CQE_SIZE', '100') -+ with self.assertRaises(PyverbsRDMAError) as ex: -+ self.create_players(Mlx5CQRes) -+ self.assertEqual(ex.exception.error_code, errno.EINVAL) -+ -+ def test_dv_cq_cqe_size_environment_var(self): -+ """ -+ Create DV CQs with all the legal cqe_size values using the environment -+ variable mechanism. -+ """ -+ for cqe_size in ['64', '128']: -+ self.set_env_variable('MLX5_CQE_SIZE', cqe_size) -+ self.create_players(Mlx5CQRes) -+ -+ def test_scatter_to_cqe_control_by_qp(self): -+ """ -+ Create QP with specific SCATTER_TO_CQE flags. The test set different -+ values in the scatter2cqe environment variable and create the QP with -+ enable/disable flags. The QP should ignore the environment variable -+ value and behave according to the specific creation flag. -+ """ -+ for s2c_env_val in ['0', '1']: -+ for qp_s2c_value in [dve.MLX5DV_QP_CREATE_DISABLE_SCATTER_TO_CQE, -+ dve.MLX5DV_QP_CREATE_ALLOW_SCATTER_TO_CQE]: -+ self.set_env_variable('MLX5_SCATTER_TO_CQE', s2c_env_val) -+ self.create_players(Mlx5DvCqDcRes, create_flags=qp_s2c_value) -+ u.traffic(**self.traffic_args, new_send=True, -+ send_op=e.IBV_QP_EX_WITH_SEND, is_cq_ex=True) --- -2.25.4 - diff --git a/SOURCES/0001-tests-Check-CQE-compression-cap-before-using-it.patch b/SOURCES/0001-tests-Check-CQE-compression-cap-before-using-it.patch deleted file mode 100644 index 075fc09..0000000 --- a/SOURCES/0001-tests-Check-CQE-compression-cap-before-using-it.patch +++ /dev/null @@ -1,45 +0,0 @@ -From 7be6d311d4bbdb93d06abfc9888e9f805d2d6fdb Mon Sep 17 00:00:00 2001 -From: Edward Srouji -Date: Wed, 30 Dec 2020 17:03:34 +0200 -Subject: [PATCH] tests: Check CQE compression cap before using it - -Add a CQE compression capability check in mlx5 CQ tests before creating -a CQ. If the device does not support the requested CQE compression type, -the test will be skipped. - -Fixes: 8aae7abe241c ("tests: Add mlx5 CQ tests") -Signed-off-by: Edward Srouji -Reviewed-by: Ido Kalir ---- - tests/test_mlx5_cq.py | 8 +++++++- - 1 file changed, 7 insertions(+), 1 deletion(-) - -diff --git a/tests/test_mlx5_cq.py b/tests/test_mlx5_cq.py -index 1f757c27345e..8be568c54262 100644 ---- a/tests/test_mlx5_cq.py -+++ b/tests/test_mlx5_cq.py -@@ -2,7 +2,7 @@ import unittest - import errno - - from pyverbs.providers.mlx5.mlx5dv import Mlx5Context, Mlx5DVContextAttr, \ -- Mlx5DVCQInitAttr, Mlx5CQ, context_flags_to_str -+ Mlx5DVCQInitAttr, Mlx5CQ, context_flags_to_str, cqe_comp_to_str - from pyverbs.pyverbs_error import PyverbsRDMAError, PyverbsUserError - from tests.base import RDMATestCase, RCResources - import pyverbs.providers.mlx5.mlx5_enums as dve -@@ -22,6 +22,12 @@ def create_dv_cq(res): - if res.cqe_comp_res_format: - dvcq_init_attr.cqe_comp_res_format = res.cqe_comp_res_format - dvcq_init_attr.comp_mask |= dve.MLX5DV_CQ_INIT_ATTR_MASK_COMPRESSED_CQE -+ # Check CQE compression capability -+ cqe_comp_caps = res.ctx.query_mlx5_device().cqe_comp_caps -+ if not (cqe_comp_caps['supported_format'] & res.cqe_comp_res_format) or \ -+ not cqe_comp_caps['max_num']: -+ cqe_comp_str = cqe_comp_to_str(res.cqe_comp_res_format) -+ raise unittest.SkipTest(f'CQE compression {cqe_comp_str} is not supported') - if res.flags: - dvcq_init_attr.flags = res.flags - dvcq_init_attr.comp_mask |= dve.MLX5DV_CQ_INIT_ATTR_MASK_FLAGS --- -2.25.4 - diff --git a/SOURCES/0001-udaddy-Fix-create_reply_ah-error-flow.patch b/SOURCES/0001-udaddy-Fix-create_reply_ah-error-flow.patch deleted file mode 100644 index 3062ecc..0000000 --- a/SOURCES/0001-udaddy-Fix-create_reply_ah-error-flow.patch +++ /dev/null @@ -1,71 +0,0 @@ -From 8bb25f86ea1976bc8dcc009be37e7c779d131811 Mon Sep 17 00:00:00 2001 -From: Patrisious Haddad -Date: Mon, 26 Oct 2020 10:38:13 +0200 -Subject: [PATCH] udaddy: Fix create_reply_ah error flow - -[ Upstream commit 2213fe559b74d4281f9d42e425dfbd7e0f582a67 ] - -Return error in case create_reply_ah() fails to create AH. - -Fixes: a7eb7efbf69f ("r8077: Add support for UD QPs to the RDMA CM library, along with a goofy test program") -Signed-off-by: Patrisious Haddad -Signed-off-by: Yishai Hadas -Signed-off-by: Nicolas Morey-Chaisemartin ---- - librdmacm/examples/udaddy.c | 19 ++++++++++++++----- - 1 file changed, 14 insertions(+), 5 deletions(-) - -diff --git a/librdmacm/examples/udaddy.c b/librdmacm/examples/udaddy.c -index 9283caa4971f..9f911289da3c 100644 ---- a/librdmacm/examples/udaddy.c -+++ b/librdmacm/examples/udaddy.c -@@ -449,23 +449,27 @@ static void destroy_nodes(void) - free(test.nodes); - } - --static void create_reply_ah(struct cmatest_node *node, struct ibv_wc *wc) -+static int create_reply_ah(struct cmatest_node *node, struct ibv_wc *wc) - { - struct ibv_qp_attr attr; - struct ibv_qp_init_attr init_attr; - - node->ah = ibv_create_ah_from_wc(node->pd, wc, node->mem, - node->cma_id->port_num); -+ if (!node->ah) -+ return -1; - node->remote_qpn = be32toh(wc->imm_data); - -- ibv_query_qp(node->cma_id->qp, &attr, IBV_QP_QKEY, &init_attr); -+ if (ibv_query_qp(node->cma_id->qp, &attr, IBV_QP_QKEY, &init_attr)) -+ return -1; - node->remote_qkey = attr.qkey; -+ return 0; - } - - static int poll_cqs(void) - { - struct ibv_wc wc[8]; -- int done, i, ret; -+ int done, i, ret, rc; - - for (i = 0; i < connections; i++) { - if (!test.nodes[i].connected) -@@ -478,8 +482,13 @@ static int poll_cqs(void) - return ret; - } - -- if (ret && !test.nodes[i].ah) -- create_reply_ah(&test.nodes[i], wc); -+ if (ret && !test.nodes[i].ah) { -+ rc = create_reply_ah(&test.nodes[i], wc); -+ if (rc) { -+ printf("udaddy: failed to create reply AH\n"); -+ return rc; -+ } -+ } - } - } - return 0; --- -2.25.4 - diff --git a/SOURCES/0001-verbs-Replace-SQ-with-RQ-in-max_recv_sge-s-documents.patch b/SOURCES/0001-verbs-Replace-SQ-with-RQ-in-max_recv_sge-s-documents.patch deleted file mode 100644 index 3f292ed..0000000 --- a/SOURCES/0001-verbs-Replace-SQ-with-RQ-in-max_recv_sge-s-documents.patch +++ /dev/null @@ -1,48 +0,0 @@ -From e86cfd2fcf1a48bebb6055d8016469aa75a17768 Mon Sep 17 00:00:00 2001 -From: Xiao Yang -Date: Thu, 14 Jan 2021 13:23:37 +0800 -Subject: [PATCH] verbs: Replace SQ with RQ in max_recv_sge's documents - -[ Upstream commit 380acc92201e6a038258403a36291671b6041ebe ] - -Fix copy/paste mistake. - -Fixes: 9845a77c8812 ("Add remaining libibverbs manpages") -Fixes: 058c67977dad ("XRC man pages") -Signed-off-by: Xiao Yang -Signed-off-by: Leon Romanovsky -Signed-off-by: Nicolas Morey-Chaisemartin ---- - libibverbs/man/ibv_create_qp.3 | 2 +- - libibverbs/man/ibv_create_qp_ex.3 | 2 +- - 2 files changed, 2 insertions(+), 2 deletions(-) - -diff --git a/libibverbs/man/ibv_create_qp.3 b/libibverbs/man/ibv_create_qp.3 -index 1cdf247445d2..dfbd245fa7b7 100644 ---- a/libibverbs/man/ibv_create_qp.3 -+++ b/libibverbs/man/ibv_create_qp.3 -@@ -40,7 +40,7 @@ struct ibv_qp_cap { - uint32_t max_send_wr; /* Requested max number of outstanding WRs in the SQ */ - uint32_t max_recv_wr; /* Requested max number of outstanding WRs in the RQ */ - uint32_t max_send_sge; /* Requested max number of scatter/gather (s/g) elements in a WR in the SQ */ --uint32_t max_recv_sge; /* Requested max number of s/g elements in a WR in the SQ */ -+uint32_t max_recv_sge; /* Requested max number of s/g elements in a WR in the RQ */ - uint32_t max_inline_data;/* Requested max number of data (bytes) that can be posted inline to the SQ, otherwise 0 */ - .in -8 - }; -diff --git a/libibverbs/man/ibv_create_qp_ex.3 b/libibverbs/man/ibv_create_qp_ex.3 -index 277e9fa05e61..309281262ac6 100644 ---- a/libibverbs/man/ibv_create_qp_ex.3 -+++ b/libibverbs/man/ibv_create_qp_ex.3 -@@ -49,7 +49,7 @@ struct ibv_qp_cap { - uint32_t max_send_wr; /* Requested max number of outstanding WRs in the SQ */ - uint32_t max_recv_wr; /* Requested max number of outstanding WRs in the RQ */ - uint32_t max_send_sge; /* Requested max number of scatter/gather (s/g) elements in a WR in the SQ */ --uint32_t max_recv_sge; /* Requested max number of s/g elements in a WR in the SQ */ -+uint32_t max_recv_sge; /* Requested max number of s/g elements in a WR in the RQ */ - uint32_t max_inline_data;/* Requested max number of data (bytes) that can be posted inline to the SQ, otherwise 0 */ - .in -8 - }; --- -2.25.4 - diff --git a/SOURCES/0001-verbs-Update-the-type-of-some-variables-in-documents.patch b/SOURCES/0001-verbs-Update-the-type-of-some-variables-in-documents.patch deleted file mode 100644 index fe90690..0000000 --- a/SOURCES/0001-verbs-Update-the-type-of-some-variables-in-documents.patch +++ /dev/null @@ -1,122 +0,0 @@ -From 7472c8b823221507f83052037750dd48fdeabff3 Mon Sep 17 00:00:00 2001 -From: Xiao Yang -Date: Mon, 11 Jan 2021 16:57:24 +0800 -Subject: [PATCH] verbs: Update the type of some variables in documents - -[ Upstream commit 503ee09888b8454de502d88821b9d872faebe75a ] - -The type of some variables has been changed from int to -unsigned int thus update the corresponding documents. - -Fixes: 8fe7f12f1723 ("verbs: Bitwise flag values should be unsigned") -Signed-off-by: Xiao Yang -Signed-off-by: Leon Romanovsky -Signed-off-by: Nicolas Morey-Chaisemartin ---- - libibverbs/man/ibv_bind_mw.3 | 4 ++-- - libibverbs/man/ibv_create_cq_ex.3 | 2 +- - libibverbs/man/ibv_modify_qp.3 | 2 +- - libibverbs/man/ibv_poll_cq.3 | 2 +- - libibverbs/man/ibv_post_send.3 | 4 ++-- - libibverbs/man/ibv_query_qp.3 | 2 +- - 6 files changed, 8 insertions(+), 8 deletions(-) - -diff --git a/libibverbs/man/ibv_bind_mw.3 b/libibverbs/man/ibv_bind_mw.3 -index af309d000dea..6b995af7b436 100644 ---- a/libibverbs/man/ibv_bind_mw.3 -+++ b/libibverbs/man/ibv_bind_mw.3 -@@ -28,7 +28,7 @@ is an ibv_mw_bind struct, as defined in . - struct ibv_mw_bind { - .in +8 - uint64_t wr_id; /* User defined WR ID */ --int send_flags; /* Use ibv_send_flags */ -+unsigned int send_flags; /* Use ibv_send_flags */ - struct ibv_mw_bind_info bind_info; /* MW bind information */ - .in -8 - } -@@ -40,7 +40,7 @@ struct ibv_mw_bind_info { - struct ibv_mr *mr; /* The MR to bind the MW to */ - uint64_t addr; /* The address the MW should start at */ - uint64_t length; /* The length (in bytes) the MW should span */ --int mw_access_flags; /* Access flags to the MW. Use ibv_access_flags */ -+unsigned int mw_access_flags; /* Access flags to the MW. Use ibv_access_flags */ - .in -8 - }; - .fi -diff --git a/libibverbs/man/ibv_create_cq_ex.3 b/libibverbs/man/ibv_create_cq_ex.3 -index 0f05693ec3bb..81eb37b96e75 100644 ---- a/libibverbs/man/ibv_create_cq_ex.3 -+++ b/libibverbs/man/ibv_create_cq_ex.3 -@@ -122,7 +122,7 @@ Below members and functions are used in order to poll the current completion. Th - .BI "uint32_t ibv_wc_read_src_qp(struct ibv_cq_ex " "*cq"); \c - Get the source QP number field from the current completion. - --.BI "int ibv_wc_read_wc_flags(struct ibv_cq_ex " "*cq"); \c -+.BI "unsigned int ibv_wc_read_wc_flags(struct ibv_cq_ex " "*cq"); \c - Get the QP flags field from the current completion. - - .BI "uint16_t ibv_wc_read_pkey_index(struct ibv_cq_ex " "*cq"); \c -diff --git a/libibverbs/man/ibv_modify_qp.3 b/libibverbs/man/ibv_modify_qp.3 -index fd8596491e2f..a8cd19acdf54 100644 ---- a/libibverbs/man/ibv_modify_qp.3 -+++ b/libibverbs/man/ibv_modify_qp.3 -@@ -32,7 +32,7 @@ uint32_t qkey; /* Q_Key for the QP (valid only - uint32_t rq_psn; /* PSN for receive queue (valid only for RC/UC QPs) */ - uint32_t sq_psn; /* PSN for send queue (valid only for RC/UC QPs) */ - uint32_t dest_qp_num; /* Destination QP number (valid only for RC/UC QPs) */ --int qp_access_flags; /* Mask of enabled remote access operations (valid only for RC/UC QPs) */ -+unsigned int qp_access_flags; /* Mask of enabled remote access operations (valid only for RC/UC QPs) */ - struct ibv_qp_cap cap; /* QP capabilities (valid if HCA supports QP resizing) */ - struct ibv_ah_attr ah_attr; /* Primary path address vector (valid only for RC/UC QPs) */ - struct ibv_ah_attr alt_ah_attr; /* Alternate path address vector (valid only for RC/UC QPs) */ -diff --git a/libibverbs/man/ibv_poll_cq.3 b/libibverbs/man/ibv_poll_cq.3 -index 957fd151495a..823865808202 100644 ---- a/libibverbs/man/ibv_poll_cq.3 -+++ b/libibverbs/man/ibv_poll_cq.3 -@@ -39,7 +39,7 @@ uint32_t invalidated_rkey; /* Local RKey that was invalidated */ - }; - uint32_t qp_num; /* Local QP number of completed WR */ - uint32_t src_qp; /* Source QP number (remote QP number) of completed WR (valid only for UD QPs) */ --int wc_flags; /* Flags of the completed WR */ -+unsigned int wc_flags; /* Flags of the completed WR */ - uint16_t pkey_index; /* P_Key index (valid only for GSI QPs) */ - uint16_t slid; /* Source LID */ - uint8_t sl; /* Service Level */ -diff --git a/libibverbs/man/ibv_post_send.3 b/libibverbs/man/ibv_post_send.3 -index 4fb99f7ccde0..2c488b090578 100644 ---- a/libibverbs/man/ibv_post_send.3 -+++ b/libibverbs/man/ibv_post_send.3 -@@ -34,7 +34,7 @@ struct ibv_send_wr *next; /* Pointer to next WR in list, N - struct ibv_sge *sg_list; /* Pointer to the s/g array */ - int num_sge; /* Size of the s/g array */ - enum ibv_wr_opcode opcode; /* Operation type */ --int send_flags; /* Flags of the WR properties */ -+unsigned int send_flags; /* Flags of the WR properties */ - union { - .in +8 - __be32 imm_data; /* Immediate data (in network byte order) */ -@@ -103,7 +103,7 @@ struct ibv_mw_bind_info { - struct ibv_mr *mr; /* The Memory region (MR) to bind the MW to */ - uint64_t addr; /* The address the MW should start at */ - uint64_t length; /* The length (in bytes) the MW should span */ --int mw_access_flags; /* Access flags to the MW. Use ibv_access_flags */ -+unsigned int mw_access_flags; /* Access flags to the MW. Use ibv_access_flags */ - .in -8 - }; - .fi -diff --git a/libibverbs/man/ibv_query_qp.3 b/libibverbs/man/ibv_query_qp.3 -index 907bc56a52ef..05242def03b9 100644 ---- a/libibverbs/man/ibv_query_qp.3 -+++ b/libibverbs/man/ibv_query_qp.3 -@@ -37,7 +37,7 @@ uint32_t qkey; /* Q_Key of the QP (valid only for - uint32_t rq_psn; /* PSN for receive queue (valid only for RC/UC QPs) */ - uint32_t sq_psn; /* PSN for send queue (valid only for RC/UC QPs) */ - uint32_t dest_qp_num; /* Destination QP number (valid only for RC/UC QPs) */ --int qp_access_flags; /* Mask of enabled remote access operations (valid only for RC/UC QPs) */ -+unsigned int qp_access_flags; /* Mask of enabled remote access operations (valid only for RC/UC QPs) */ - struct ibv_qp_cap cap; /* QP capabilities */ - struct ibv_ah_attr ah_attr; /* Primary path address vector (valid only for RC/UC QPs) */ - struct ibv_ah_attr alt_ah_attr; /* Alternate path address vector (valid only for RC/UC QPs) */ --- -2.25.4 - diff --git a/SOURCES/udev-keep-NAME_KERNEL-as-default-interface-naming-co.patch b/SOURCES/udev-keep-NAME_KERNEL-as-default-interface-naming-co.patch index 7eeb5ab..d7276ad 100644 --- a/SOURCES/udev-keep-NAME_KERNEL-as-default-interface-naming-co.patch +++ b/SOURCES/udev-keep-NAME_KERNEL-as-default-interface-naming-co.patch @@ -1,24 +1,31 @@ -From fd9b3596cb1a21e54ffb102392178b6bd5d14c78 Mon Sep 17 00:00:00 2001 +From 538cd05b34bf15076ce40273926d7580d421a670 Mon Sep 17 00:00:00 2001 From: Jarod Wilson Date: Wed, 21 Aug 2019 17:09:13 -0400 -Subject: [PATCH rdma-core] udev: keep NAME_KERNEL as default interface - naming convention +Subject: [PATCH] udev: keep NAME_KERNEL as default interface naming convention Signed-off-by: Jarod Wilson --- - kernel-boot/rdma-persistent-naming.rules | 2 +- - 1 file changed, 1 insertion(+), 1 deletion(-) + kernel-boot/rdma-persistent-naming.rules | 4 ++-- + 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/kernel-boot/rdma-persistent-naming.rules b/kernel-boot/rdma-persistent-naming.rules -index 9b61e163..a561746b 100644 +index 6f9c53a5..b5413edb 100644 --- a/kernel-boot/rdma-persistent-naming.rules +++ b/kernel-boot/rdma-persistent-naming.rules -@@ -25,4 +25,4 @@ +@@ -26,10 +26,10 @@ # Device type = RoCE # mlx5_0 -> rocex525400c0fe123455 # -ACTION=="add", SUBSYSTEM=="infiniband", PROGRAM="rdma_rename %k NAME_FALLBACK" +ACTION=="add", SUBSYSTEM=="infiniband", PROGRAM="rdma_rename %k NAME_KERNEL" + + # Example: + # * NAME_FIXED + # fixed name for specific board_id + # +-#ACTION=="add", ATTR{board_id}=="MSF0010110035", SUBSYSTEM=="infiniband", PROGRAM="rdma_rename %k NAME_FIXED myib" +\ No newline at end of file ++#ACTION=="add", ATTR{board_id}=="MSF0010110035", SUBSYSTEM=="infiniband", PROGRAM="rdma_rename %k NAME_FIXED myib" -- -2.20.1 +2.30.1 diff --git a/SPECS/rdma-core.spec b/SPECS/rdma-core.spec index 172ec7c..c07e120 100644 --- a/SPECS/rdma-core.spec +++ b/SPECS/rdma-core.spec @@ -1,6 +1,6 @@ Name: rdma-core -Version: 32.0 -Release: 4%{?dist} +Version: 35.0 +Release: 1%{?dist} Summary: RDMA core userspace libraries and daemons # Almost everything is licensed under the OFA dual GPLv2, 2 Clause BSD license @@ -17,33 +17,9 @@ Source1: ibdev2netdev Source2: rxe_cfg Source3: rxe_cfg.8.gz Patch3: udev-keep-NAME_KERNEL-as-default-interface-naming-co.patch -# stable-v32 patch -Patch101: 0001-ABI-Files.patch -# Bug fixes applied after upstream v32.0 -Patch201: 0001-Fix-cmd_fd-leak-in-mlx5_alloc_context.patch -Patch202: 0001-mlx5-Fix-wqe-size-parameter-in-wqe-signature-calcula.patch -Patch203: 0001-mlx5-DR-Fix-incorrect-use-of-fl_roce_enabled-capabil.patch -Patch204: 0001-mlx5-DR-Create-NC-UAR-as-default-but-fall-back-to-WC.patch -Patch205: 0001-efa-Flush-write-combining-writes-before-writing-to-t.patch -Patch206: 0001-udaddy-Fix-create_reply_ah-error-flow.patch -Patch207: 0001-infiniband-diags-specify-the-HCA-name-and-Port-numbe.patch -Patch208: 0001-libqedr-Set-XRC-functions-only-in-RoCE-mode.patch -Patch209: 0001-qedr-fix-USE_AFTER_FREE-issue.patch -Patch210: 0001-mlx5-Consider-single-threaded-mode-for-shared-UAR.patch -Patch211: 0001-rdma_server-Add-s-option-in-rdma_server-s-manual.patch -Patch212: 0001-librdmacm-Don-t-overwrite-errno-returned-from-libibv.patch -Patch213: 0001-libqedr-Fix-reported-error-code-from-create_cq.patch -Patch214: 0001-cxgb4-Fix-reported-error-code-from-create_cq.patch -Patch215: 0001-verbs-Update-the-type-of-some-variables-in-documents.patch -Patch216: 0001-verbs-Replace-SQ-with-RQ-in-max_recv_sge-s-documents.patch -Patch217: 0001-bnxt_re-Fix-reported-error-code-from-create_cq.patch -Patch218: 0001-mlx5-DR-Avoid-ICM-depletion-on-multiple-domains.patch -Patch219: 0001-srp_daemon-Fix-systemd-dependency.patch -Patch220: 0001-pyverbs-Add-mlx5dv-CQ-support.patch -Patch221: 0001-tests-Add-mlx5-CQ-tests.patch -Patch222: 0001-tests-Check-CQE-compression-cap-before-using-it.patch # RHEL specific patch for OPA ibacm plugin Patch300: 0001-ibacm-acm.c-load-plugin-while-it-is-soft-link.patch +Patch301: 0001-Only-load-i40iw-for-i40e-device-with-specific-PCI-ID.patch # Do not build static libs by default. %define with_static %{?_with_static: 1} %{?!_with_static: 0} @@ -285,30 +261,8 @@ easy, object-oriented access to IB verbs. %prep %setup -q %patch3 -p1 -%patch101 -p1 -%patch201 -p1 -%patch202 -p1 -%patch203 -p1 -%patch204 -p1 -%patch205 -p1 -%patch206 -p1 -%patch207 -p1 -%patch208 -p1 -%patch209 -p1 -%patch210 -p1 -%patch211 -p1 -%patch212 -p1 -%patch213 -p1 -%patch214 -p1 -%patch215 -p1 -%patch216 -p1 -%patch217 -p1 -%patch218 -p1 -%patch219 -p1 -%patch220 -p1 -%patch221 -p1 -%patch222 -p1 %patch300 -p1 +%patch301 -p1 %build @@ -694,6 +648,10 @@ fi %endif %changelog +* Fri May 14 2021 Honggang Li - 35.0-1 +- Update to upstream v35 release for features and fixes +- Resolves: bz1915311 + * Thu Jan 28 2021 Honggang Li - 32.0-4 - Update to upstream stable release v32.1 - Fix mlx5 pyverbs CQ test