libvirt/SOURCES/libvirt-tests-Add-capabilit...

24816 lines
494 KiB
Diff

From 2166e637bd0b124581058dfc660cd951484748c1 Mon Sep 17 00:00:00 2001
Message-Id: <2166e637bd0b124581058dfc660cd951484748c1@dist-git>
From: Andrea Bolognani <abologna@redhat.com>
Date: Tue, 4 Dec 2018 16:46:17 +0100
Subject: [PATCH] tests: Add capabilities data for QEMU 3.1.0 on ppc64
MIME-Version: 1.0
Content-Type: text/plain; charset=UTF-8
Content-Transfer-Encoding: 8bit
Signed-off-by: Andrea Bolognani <abologna@redhat.com>
(cherry picked from commit e95bcd8d187b2fe0d92816f81ca05a15f81ca111)
No conflicts, but
tests/qemucapabilitiesdata/caps_3.1.0.ppc64.replies
tests/qemucapabilitiesdata/caps_3.1.0.ppc64.xml
tests/qemucaps2xmloutdata/caps_3.1.0.ppc64.xml
had to be tweaked because we're missing
22d8f55b21 qemu: capabilities: Detect active block commit via QMP schema probing if possible
f2019083de qemu: capabilities: Always assume QEMU_CAPS_ADD_FD
4168e33755 qemu: remove leftover property probing
b37b41f868 qemu: Don't duplicate binary name in capabilities
21b18ea5d9 qemu: add memory-backend-memfd capability check
a6fd5b596a qemu: check memory-backend-memfd.hugetlb capability
9aec374b01 qemu: Detect whether iothread polling is supported
downstream.
https://bugzilla.redhat.com/show_bug.cgi?id=1647822
Signed-off-by: Andrea Bolognani <abologna@redhat.com>
Reviewed-by: Ján Tomko <jtomko@redhat.com>
---
.../caps_3.1.0.ppc64.replies | 23616 ++++++++++++++++
.../qemucapabilitiesdata/caps_3.1.0.ppc64.xml | 1081 +
tests/qemucapabilitiestest.c | 1 +
.../qemucaps2xmloutdata/caps_3.1.0.ppc64.xml | 28 +
tests/qemucaps2xmltest.c | 1 +
5 files changed, 24727 insertions(+)
create mode 100644 tests/qemucapabilitiesdata/caps_3.1.0.ppc64.replies
create mode 100644 tests/qemucapabilitiesdata/caps_3.1.0.ppc64.xml
create mode 100644 tests/qemucaps2xmloutdata/caps_3.1.0.ppc64.xml
diff --git a/tests/qemucapabilitiesdata/caps_3.1.0.ppc64.replies b/tests/qemucapabilitiesdata/caps_3.1.0.ppc64.replies
new file mode 100644
index 0000000000..576e0b69e9
--- /dev/null
+++ b/tests/qemucapabilitiesdata/caps_3.1.0.ppc64.replies
@@ -0,0 +1,23616 @@
+{
+ "execute": "qmp_capabilities",
+ "id": "libvirt-1"
+}
+
+{
+ "return": {
+ },
+ "id": "libvirt-1"
+}
+
+{
+ "execute": "query-version",
+ "id": "libvirt-2"
+}
+
+{
+ "return": {
+ "qemu": {
+ "micro": 91,
+ "minor": 0,
+ "major": 3
+ },
+ "package": "v3.1.0-rc1-74-g3c035a41dc"
+ },
+ "id": "libvirt-2"
+}
+
+{
+ "execute": "query-target",
+ "id": "libvirt-3"
+}
+
+{
+ "return": {
+ "arch": "ppc64"
+ },
+ "id": "libvirt-3"
+}
+
+{
+ "execute": "query-commands",
+ "id": "libvirt-4"
+}
+
+{
+ "return": [
+ {
+ "name": "netdev_add"
+ },
+ {
+ "name": "device_add"
+ },
+ {
+ "name": "query-qmp-schema"
+ },
+ {
+ "name": "set-numa-node"
+ },
+ {
+ "name": "query-vm-generation-id"
+ },
+ {
+ "name": "query-hotpluggable-cpus"
+ },
+ {
+ "name": "xen-load-devices-state"
+ },
+ {
+ "name": "query-acpi-ospm-status"
+ },
+ {
+ "name": "query-memory-devices"
+ },
+ {
+ "name": "query-memdev"
+ },
+ {
+ "name": "query-command-line-options"
+ },
+ {
+ "name": "query-target"
+ },
+ {
+ "name": "query-fdsets"
+ },
+ {
+ "name": "remove-fd"
+ },
+ {
+ "name": "add-fd"
+ },
+ {
+ "name": "query-cpu-definitions"
+ },
+ {
+ "name": "query-memory-size-summary"
+ },
+ {
+ "name": "query-machines"
+ },
+ {
+ "name": "closefd"
+ },
+ {
+ "name": "getfd"
+ },
+ {
+ "name": "object-del"
+ },
+ {
+ "name": "object-add"
+ },
+ {
+ "name": "query-dump-guest-memory-capability"
+ },
+ {
+ "name": "query-dump"
+ },
+ {
+ "name": "dump-guest-memory"
+ },
+ {
+ "name": "device_del"
+ },
+ {
+ "name": "xen-set-global-dirty-log"
+ },
+ {
+ "name": "qom-list-properties"
+ },
+ {
+ "name": "device-list-properties"
+ },
+ {
+ "name": "qom-list-types"
+ },
+ {
+ "name": "change"
+ },
+ {
+ "name": "qom-set"
+ },
+ {
+ "name": "qom-get"
+ },
+ {
+ "name": "qom-list"
+ },
+ {
+ "name": "human-monitor-command"
+ },
+ {
+ "name": "balloon"
+ },
+ {
+ "name": "inject-nmi"
+ },
+ {
+ "name": "system_wakeup"
+ },
+ {
+ "name": "x-exit-preconfig"
+ },
+ {
+ "name": "cont"
+ },
+ {
+ "name": "pmemsave"
+ },
+ {
+ "name": "memsave"
+ },
+ {
+ "name": "cpu-add"
+ },
+ {
+ "name": "system_powerdown"
+ },
+ {
+ "name": "system_reset"
+ },
+ {
+ "name": "stop"
+ },
+ {
+ "name": "quit"
+ },
+ {
+ "name": "query-pci"
+ },
+ {
+ "name": "query-balloon"
+ },
+ {
+ "name": "query-iothreads"
+ },
+ {
+ "name": "query-cpus-fast"
+ },
+ {
+ "name": "query-cpus"
+ },
+ {
+ "name": "query-events"
+ },
+ {
+ "name": "query-uuid"
+ },
+ {
+ "name": "query-kvm"
+ },
+ {
+ "name": "query-name"
+ },
+ {
+ "name": "add_client"
+ },
+ {
+ "name": "query-commands"
+ },
+ {
+ "name": "query-version"
+ },
+ {
+ "name": "qmp_capabilities"
+ },
+ {
+ "name": "trace-event-set-state"
+ },
+ {
+ "name": "trace-event-get-state"
+ },
+ {
+ "name": "transaction"
+ },
+ {
+ "name": "migrate-pause"
+ },
+ {
+ "name": "migrate-recover"
+ },
+ {
+ "name": "query-colo-status"
+ },
+ {
+ "name": "xen-colo-do-checkpoint"
+ },
+ {
+ "name": "query-xen-replication-status"
+ },
+ {
+ "name": "xen-set-replication"
+ },
+ {
+ "name": "xen-save-devices-state"
+ },
+ {
+ "name": "migrate-incoming"
+ },
+ {
+ "name": "migrate"
+ },
+ {
+ "name": "query-migrate-cache-size"
+ },
+ {
+ "name": "migrate-set-cache-size"
+ },
+ {
+ "name": "migrate_set_speed"
+ },
+ {
+ "name": "migrate_set_downtime"
+ },
+ {
+ "name": "migrate-continue"
+ },
+ {
+ "name": "migrate_cancel"
+ },
+ {
+ "name": "x-colo-lost-heartbeat"
+ },
+ {
+ "name": "migrate-start-postcopy"
+ },
+ {
+ "name": "client_migrate_info"
+ },
+ {
+ "name": "query-migrate-parameters"
+ },
+ {
+ "name": "migrate-set-parameters"
+ },
+ {
+ "name": "query-migrate-capabilities"
+ },
+ {
+ "name": "migrate-set-capabilities"
+ },
+ {
+ "name": "query-migrate"
+ },
+ {
+ "name": "input-send-event"
+ },
+ {
+ "name": "send-key"
+ },
+ {
+ "name": "query-mice"
+ },
+ {
+ "name": "change-vnc-password"
+ },
+ {
+ "name": "query-vnc-servers"
+ },
+ {
+ "name": "query-vnc"
+ },
+ {
+ "name": "screendump"
+ },
+ {
+ "name": "expire_password"
+ },
+ {
+ "name": "set_password"
+ },
+ {
+ "name": "query-tpm"
+ },
+ {
+ "name": "query-tpm-types"
+ },
+ {
+ "name": "query-tpm-models"
+ },
+ {
+ "name": "query-rocker-of-dpa-groups"
+ },
+ {
+ "name": "query-rocker-of-dpa-flows"
+ },
+ {
+ "name": "query-rocker-ports"
+ },
+ {
+ "name": "query-rocker"
+ },
+ {
+ "name": "query-rx-filter"
+ },
+ {
+ "name": "netdev_del"
+ },
+ {
+ "name": "set_link"
+ },
+ {
+ "name": "chardev-send-break"
+ },
+ {
+ "name": "chardev-remove"
+ },
+ {
+ "name": "chardev-change"
+ },
+ {
+ "name": "chardev-add"
+ },
+ {
+ "name": "ringbuf-read"
+ },
+ {
+ "name": "ringbuf-write"
+ },
+ {
+ "name": "query-chardev-backends"
+ },
+ {
+ "name": "query-chardev"
+ },
+ {
+ "name": "nbd-server-stop"
+ },
+ {
+ "name": "x-nbd-server-add-bitmap"
+ },
+ {
+ "name": "nbd-server-remove"
+ },
+ {
+ "name": "nbd-server-add"
+ },
+ {
+ "name": "nbd-server-start"
+ },
+ {
+ "name": "eject"
+ },
+ {
+ "name": "blockdev-snapshot-delete-internal-sync"
+ },
+ {
+ "name": "blockdev-snapshot-internal-sync"
+ },
+ {
+ "name": "query-pr-managers"
+ },
+ {
+ "name": "x-blockdev-set-iothread"
+ },
+ {
+ "name": "x-blockdev-change"
+ },
+ {
+ "name": "block-set-write-threshold"
+ },
+ {
+ "name": "blockdev-change-medium"
+ },
+ {
+ "name": "blockdev-insert-medium"
+ },
+ {
+ "name": "blockdev-remove-medium"
+ },
+ {
+ "name": "blockdev-close-tray"
+ },
+ {
+ "name": "blockdev-open-tray"
+ },
+ {
+ "name": "blockdev-create"
+ },
+ {
+ "name": "blockdev-del"
+ },
+ {
+ "name": "blockdev-add"
+ },
+ {
+ "name": "block-job-finalize"
+ },
+ {
+ "name": "block-job-dismiss"
+ },
+ {
+ "name": "block-job-complete"
+ },
+ {
+ "name": "block-job-resume"
+ },
+ {
+ "name": "block-job-pause"
+ },
+ {
+ "name": "block-job-cancel"
+ },
+ {
+ "name": "block-job-set-speed"
+ },
+ {
+ "name": "block-stream"
+ },
+ {
+ "name": "block_set_io_throttle"
+ },
+ {
+ "name": "blockdev-mirror"
+ },
+ {
+ "name": "x-debug-block-dirty-bitmap-sha256"
+ },
+ {
+ "name": "x-block-dirty-bitmap-merge"
+ },
+ {
+ "name": "x-block-dirty-bitmap-disable"
+ },
+ {
+ "name": "x-block-dirty-bitmap-enable"
+ },
+ {
+ "name": "block-dirty-bitmap-clear"
+ },
+ {
+ "name": "block-dirty-bitmap-remove"
+ },
+ {
+ "name": "block-dirty-bitmap-add"
+ },
+ {
+ "name": "drive-mirror"
+ },
+ {
+ "name": "query-named-block-nodes"
+ },
+ {
+ "name": "blockdev-backup"
+ },
+ {
+ "name": "drive-backup"
+ },
+ {
+ "name": "block-commit"
+ },
+ {
+ "name": "change-backing-file"
+ },
+ {
+ "name": "blockdev-snapshot"
+ },
+ {
+ "name": "blockdev-snapshot-sync"
+ },
+ {
+ "name": "block_resize"
+ },
+ {
+ "name": "block_passwd"
+ },
+ {
+ "name": "query-block-jobs"
+ },
+ {
+ "name": "query-blockstats"
+ },
+ {
+ "name": "query-block"
+ },
+ {
+ "name": "x-block-latency-histogram-set"
+ },
+ {
+ "name": "query-jobs"
+ },
+ {
+ "name": "job-finalize"
+ },
+ {
+ "name": "job-dismiss"
+ },
+ {
+ "name": "job-complete"
+ },
+ {
+ "name": "job-cancel"
+ },
+ {
+ "name": "job-resume"
+ },
+ {
+ "name": "job-pause"
+ },
+ {
+ "name": "watchdog-set-action"
+ },
+ {
+ "name": "query-status"
+ }
+ ],
+ "id": "libvirt-4"
+}
+
+{
+ "execute": "add-fd",
+ "arguments": {
+ "fdset-id": 0,
+ "opaque": "/dev/null"
+ },
+ "id": "libvirt-5"
+}
+
+{
+ "return": {
+ "fd": 19,
+ "fdset-id": 0
+ },
+ "id": "libvirt-5"
+}
+
+{
+ "execute": "block-commit",
+ "arguments": {
+ "device": "bogus"
+ },
+ "id": "libvirt-6"
+}
+
+{
+ "id": "libvirt-6",
+ "error": {
+ "class": "DeviceNotFound",
+ "desc": "Device 'bogus' not found"
+ }
+}
+
+{
+ "execute": "query-kvm",
+ "id": "libvirt-7"
+}
+
+{
+ "return": {
+ "enabled": true,
+ "present": true
+ },
+ "id": "libvirt-7"
+}
+
+{
+ "execute": "query-events",
+ "id": "libvirt-8"
+}
+
+{
+ "return": [
+ {
+ "name": "COMMAND_DROPPED"
+ },
+ {
+ "name": "RTC_CHANGE"
+ },
+ {
+ "name": "ACPI_DEVICE_OST"
+ },
+ {
+ "name": "MEM_UNPLUG_ERROR"
+ },
+ {
+ "name": "DUMP_COMPLETED"
+ },
+ {
+ "name": "DEVICE_DELETED"
+ },
+ {
+ "name": "BALLOON_CHANGE"
+ },
+ {
+ "name": "COLO_EXIT"
+ },
+ {
+ "name": "MIGRATION_PASS"
+ },
+ {
+ "name": "MIGRATION"
+ },
+ {
+ "name": "VNC_DISCONNECTED"
+ },
+ {
+ "name": "VNC_INITIALIZED"
+ },
+ {
+ "name": "VNC_CONNECTED"
+ },
+ {
+ "name": "SPICE_MIGRATE_COMPLETED"
+ },
+ {
+ "name": "SPICE_DISCONNECTED"
+ },
+ {
+ "name": "SPICE_INITIALIZED"
+ },
+ {
+ "name": "SPICE_CONNECTED"
+ },
+ {
+ "name": "NIC_RX_FILTER_CHANGED"
+ },
+ {
+ "name": "VSERPORT_CHANGE"
+ },
+ {
+ "name": "QUORUM_REPORT_BAD"
+ },
+ {
+ "name": "QUORUM_FAILURE"
+ },
+ {
+ "name": "PR_MANAGER_STATUS_CHANGED"
+ },
+ {
+ "name": "DEVICE_TRAY_MOVED"
+ },
+ {
+ "name": "BLOCK_WRITE_THRESHOLD"
+ },
+ {
+ "name": "BLOCK_JOB_PENDING"
+ },
+ {
+ "name": "BLOCK_JOB_READY"
+ },
+ {
+ "name": "BLOCK_JOB_ERROR"
+ },
+ {
+ "name": "BLOCK_JOB_CANCELLED"
+ },
+ {
+ "name": "BLOCK_JOB_COMPLETED"
+ },
+ {
+ "name": "BLOCK_IO_ERROR"
+ },
+ {
+ "name": "BLOCK_IMAGE_CORRUPTED"
+ },
+ {
+ "name": "JOB_STATUS_CHANGE"
+ },
+ {
+ "name": "GUEST_PANICKED"
+ },
+ {
+ "name": "WATCHDOG"
+ },
+ {
+ "name": "WAKEUP"
+ },
+ {
+ "name": "SUSPEND_DISK"
+ },
+ {
+ "name": "SUSPEND"
+ },
+ {
+ "name": "RESUME"
+ },
+ {
+ "name": "STOP"
+ },
+ {
+ "name": "RESET"
+ },
+ {
+ "name": "POWERDOWN"
+ },
+ {
+ "name": "SHUTDOWN"
+ }
+ ],
+ "id": "libvirt-8"
+}
+
+{
+ "execute": "qom-list-types",
+ "id": "libvirt-9"
+}
+
+{
+ "return": [
+ {
+ "name": "vhost-vsock-pci",
+ "parent": "virtio-pci"
+ },
+ {
+ "name": "scsi-generic",
+ "parent": "scsi-device"
+ },
+ {
+ "name": "qio-channel-tls",
+ "parent": "qio-channel"
+ },
+ {
+ "name": "scsi-hd",
+ "parent": "scsi-disk-base"
+ },
+ {
+ "name": "chardev-parallel",
+ "parent": "chardev"
+ },
+ {
+ "name": "mpc8568e-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "power7+_v2.1-spapr-cpu-core",
+ "parent": "spapr-cpu-core"
+ },
+ {
+ "name": "usb-bot",
+ "parent": "usb-storage-dev"
+ },
+ {
+ "name": "chardev-null",
+ "parent": "chardev"
+ },
+ {
+ "name": "40p-machine",
+ "parent": "machine"
+ },
+ {
+ "name": "power5+_v2.1-powerpc64-cpu",
+ "parent": "POWER5P-family-powerpc64-cpu"
+ },
+ {
+ "name": "isa-m48t59",
+ "parent": "isa-m48txx"
+ },
+ {
+ "name": "qemu-xhci",
+ "parent": "base-xhci"
+ },
+ {
+ "name": "lsi53c895a",
+ "parent": "pci-device"
+ },
+ {
+ "name": "750_v3.1-powerpc64-cpu",
+ "parent": "750-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc5200_v10-powerpc64-cpu",
+ "parent": "G2LE-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8533e_v10-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8343e-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "npe405h2-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "e300c2-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "403gb-powerpc64-cpu",
+ "parent": "403-family-powerpc64-cpu"
+ },
+ {
+ "name": "7447a_v1.1-powerpc64-cpu",
+ "parent": "7445-family-powerpc64-cpu"
+ },
+ {
+ "name": "power8e_v2.1-powerpc64-cpu",
+ "parent": "POWER8-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8555e_v11-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "pr-manager-helper",
+ "parent": "pr-manager"
+ },
+ {
+ "name": "dec-21154",
+ "parent": "pci-device"
+ },
+ {
+ "name": "750cxe_v3.1-powerpc64-cpu",
+ "parent": "750cx-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8541e_v11-powerpc64-cpu",
+ "parent": "e500v1-family-powerpc64-cpu"
+ },
+ {
+ "name": "401-powerpc64-cpu",
+ "parent": "401-family-powerpc64-cpu"
+ },
+ {
+ "name": "750cxr-powerpc64-cpu",
+ "parent": "750cx-family-powerpc64-cpu"
+ },
+ {
+ "name": "750cl_v2.0-powerpc64-cpu",
+ "parent": "750cl-family-powerpc64-cpu"
+ },
+ {
+ "name": "power8_v2.0-spapr-cpu-core",
+ "parent": "spapr-cpu-core"
+ },
+ {
+ "name": "745_v1.0-powerpc64-cpu",
+ "parent": "745-family-powerpc64-cpu"
+ },
+ {
+ "name": "ide-hd",
+ "parent": "ide-device"
+ },
+ {
+ "name": "at24c-eeprom",
+ "parent": "i2c-slave"
+ },
+ {
+ "name": "g2legp1-powerpc64-cpu",
+ "parent": "G2LE-family-powerpc64-cpu"
+ },
+ {
+ "name": "virtio-net-device",
+ "parent": "virtio-device"
+ },
+ {
+ "name": "ppc4xx-i2c",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "601_v0-powerpc64-cpu",
+ "parent": "601-family-powerpc64-cpu"
+ },
+ {
+ "name": "macio-newworld",
+ "parent": "macio"
+ },
+ {
+ "name": "chardev-pty",
+ "parent": "chardev"
+ },
+ {
+ "name": "ppc4xx-pcihost",
+ "parent": "pci-host-bridge"
+ },
+ {
+ "name": "spapr-vio-bus",
+ "parent": "bus"
+ },
+ {
+ "name": "ppc4xx-host-bridge",
+ "parent": "pci-device"
+ },
+ {
+ "name": "750fx_v2.1-powerpc64-cpu",
+ "parent": "750fx-family-powerpc64-cpu"
+ },
+ {
+ "name": "iop480-powerpc64-cpu",
+ "parent": "IOP480-family-powerpc64-cpu"
+ },
+ {
+ "name": "usb-audio",
+ "parent": "usb-device"
+ },
+ {
+ "name": "power7_v2.3-spapr-cpu-core",
+ "parent": "spapr-cpu-core"
+ },
+ {
+ "name": "970fx_v2.1-powerpc64-cpu",
+ "parent": "970-family-powerpc64-cpu"
+ },
+ {
+ "name": "SUNW,fdtwo",
+ "parent": "base-sysbus-fdc"
+ },
+ {
+ "name": "440epa-powerpc64-cpu",
+ "parent": "440EP-family-powerpc64-cpu"
+ },
+ {
+ "name": "isa-pcspk",
+ "parent": "isa-device"
+ },
+ {
+ "name": "dec-21154-p2p-bridge",
+ "parent": "base-pci-bridge"
+ },
+ {
+ "name": "pnv8-chip",
+ "parent": "pnv-chip"
+ },
+ {
+ "name": "7445_v3.3-powerpc64-cpu",
+ "parent": "7445-family-powerpc64-cpu"
+ },
+ {
+ "name": "603e_v4.1-powerpc64-cpu",
+ "parent": "603E-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc603-powerpc64-cpu",
+ "parent": "603E-family-powerpc64-cpu"
+ },
+ {
+ "name": "filter-rewriter",
+ "parent": "netfilter"
+ },
+ {
+ "name": "spapr-vscsi",
+ "parent": "vio-spapr-device"
+ },
+ {
+ "name": "loader",
+ "parent": "device"
+ },
+ {
+ "name": "xlnx.xps-ethernetlite",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "7410_v1.0-powerpc64-cpu",
+ "parent": "7410-family-powerpc64-cpu"
+ },
+ {
+ "name": "platform-bus-device",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "virtio-serial-pci",
+ "parent": "virtio-pci"
+ },
+ {
+ "name": "ics",
+ "parent": "ics-base"
+ },
+ {
+ "name": "750cxe_v2.4b-powerpc64-cpu",
+ "parent": "750cx-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8641-powerpc64-cpu",
+ "parent": "e600-family-powerpc64-cpu"
+ },
+ {
+ "name": "sysbus-sm501",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "icp",
+ "parent": "device"
+ },
+ {
+ "name": "virtio-crypto-device",
+ "parent": "virtio-device"
+ },
+ {
+ "name": "usb-host",
+ "parent": "usb-device"
+ },
+ {
+ "name": "mpc8379e-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "745_v2.5-powerpc64-cpu",
+ "parent": "745-family-powerpc64-cpu"
+ },
+ {
+ "name": "chardev-socket",
+ "parent": "chardev"
+ },
+ {
+ "name": "970fx_v3.1-powerpc64-cpu",
+ "parent": "970-family-powerpc64-cpu"
+ },
+ {
+ "name": "7447_v1.0-powerpc64-cpu",
+ "parent": "7445-family-powerpc64-cpu"
+ },
+ {
+ "name": "7457_v1.2-powerpc64-cpu",
+ "parent": "7455-family-powerpc64-cpu"
+ },
+ {
+ "name": "usb-bt-dongle",
+ "parent": "usb-device"
+ },
+ {
+ "name": "filter-redirector",
+ "parent": "netfilter"
+ },
+ {
+ "name": "mpc8567-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "xlnx,ps7-usb",
+ "parent": "sysbus-ehci-usb"
+ },
+ {
+ "name": "virtio-input-host-pci",
+ "parent": "virtio-input-pci"
+ },
+ {
+ "name": "xlnx.xps-intc",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "tegra2-ehci-usb",
+ "parent": "sysbus-ehci-usb"
+ },
+ {
+ "name": "mpc8378-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8xxx_gpio",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "e1000",
+ "parent": "e1000-base"
+ },
+ {
+ "name": "mpc8545e_v20-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "pseries-2.1-machine",
+ "parent": "spapr-machine"
+ },
+ {
+ "name": "pci-bridge",
+ "parent": "base-pci-bridge"
+ },
+ {
+ "name": "ich9-usb-uhci6",
+ "parent": "pci-uhci-usb"
+ },
+ {
+ "name": "ich9-usb-uhci5",
+ "parent": "pci-uhci-usb"
+ },
+ {
+ "name": "usb-mtp",
+ "parent": "usb-device"
+ },
+ {
+ "name": "ich9-usb-uhci3",
+ "parent": "pci-uhci-usb"
+ },
+ {
+ "name": "mpc8548e_v20-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "ich9-usb-uhci4",
+ "parent": "pci-uhci-usb"
+ },
+ {
+ "name": "sysbus-ahci",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "e500v2_v22-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "ich9-usb-uhci2",
+ "parent": "pci-uhci-usb"
+ },
+ {
+ "name": "ich9-usb-uhci1",
+ "parent": "pci-uhci-usb"
+ },
+ {
+ "name": "sysbus-m48t59",
+ "parent": "sysbus-m48txx"
+ },
+ {
+ "name": "tls-creds-psk",
+ "parent": "tls-creds"
+ },
+ {
+ "name": "i82559er",
+ "parent": "pci-device"
+ },
+ {
+ "name": "tpci200",
+ "parent": "pci-device"
+ },
+ {
+ "name": "memory-backend-file",
+ "parent": "memory-backend"
+ },
+ {
+ "name": "604r-powerpc64-cpu",
+ "parent": "604E-family-powerpc64-cpu"
+ },
+ {
+ "name": "vhost-scsi-pci",
+ "parent": "virtio-pci"
+ },
+ {
+ "name": "megasas",
+ "parent": "megasas-base"
+ },
+ {
+ "name": "uni-north-internal-pci-pcihost",
+ "parent": "pci-host-bridge"
+ },
+ {
+ "name": "container",
+ "parent": "object"
+ },
+ {
+ "name": "603e7v2-powerpc64-cpu",
+ "parent": "603E-family-powerpc64-cpu"
+ },
+ {
+ "name": "970mp_v1.1-powerpc64-cpu",
+ "parent": "970-family-powerpc64-cpu"
+ },
+ {
+ "name": "rtl8139",
+ "parent": "pci-device"
+ },
+ {
+ "name": "7450_v2.0-powerpc64-cpu",
+ "parent": "7450-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8544e_v11-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "chardev-hci",
+ "parent": "chardev"
+ },
+ {
+ "name": "power7+_v2.1-powerpc64-cpu",
+ "parent": "POWER7-family-powerpc64-cpu"
+ },
+ {
+ "name": "405gpc-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "7455_v2.1-powerpc64-cpu",
+ "parent": "7455-family-powerpc64-cpu"
+ },
+ {
+ "name": "7400_v2.8-powerpc64-cpu",
+ "parent": "7400-family-powerpc64-cpu"
+ },
+ {
+ "name": "u3-agp",
+ "parent": "pci-device"
+ },
+ {
+ "name": "401g2-powerpc64-cpu",
+ "parent": "401x2-family-powerpc64-cpu"
+ },
+ {
+ "name": "603e_v1.1-powerpc64-cpu",
+ "parent": "603E-family-powerpc64-cpu"
+ },
+ {
+ "name": "scsi-block",
+ "parent": "scsi-disk-base"
+ },
+ {
+ "name": "7451_v2.3-powerpc64-cpu",
+ "parent": "7450-family-powerpc64-cpu"
+ },
+ {
+ "name": "unimplemented-device",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "mpc8533e_v11-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "eTSEC",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "mac-dbdma",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "mpc8567e-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "uni-north-agp",
+ "parent": "pci-device"
+ },
+ {
+ "name": "scsi-cd",
+ "parent": "scsi-disk-base"
+ },
+ {
+ "name": "floppy-bus",
+ "parent": "bus"
+ },
+ {
+ "name": "accel",
+ "parent": "object"
+ },
+ {
+ "name": "740p-powerpc64-cpu",
+ "parent": "740-family-powerpc64-cpu"
+ },
+ {
+ "name": "power5+_v2.1-spapr-cpu-core",
+ "parent": "spapr-cpu-core"
+ },
+ {
+ "name": "ppc440-pcix-host",
+ "parent": "pci-host-bridge"
+ },
+ {
+ "name": "xlnx.xps-timer",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "virtio-vga",
+ "parent": "virtio-pci"
+ },
+ {
+ "name": "isa-serial",
+ "parent": "isa-device"
+ },
+ {
+ "name": "g2hip4-powerpc64-cpu",
+ "parent": "G2-family-powerpc64-cpu"
+ },
+ {
+ "name": "spapr-rng",
+ "parent": "device"
+ },
+ {
+ "name": "via-pmu",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "g2lels-powerpc64-cpu",
+ "parent": "G2LE-family-powerpc64-cpu"
+ },
+ {
+ "name": "virtio-serial-device",
+ "parent": "virtio-device"
+ },
+ {
+ "name": "970_v2.2-powerpc64-cpu",
+ "parent": "970-family-powerpc64-cpu"
+ },
+ {
+ "name": "gpio_i2c",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "pc-testdev",
+ "parent": "isa-device"
+ },
+ {
+ "name": "escc",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "mpc8349e-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "chardev-gdb",
+ "parent": "chardev"
+ },
+ {
+ "name": "fdc37m81x-superio",
+ "parent": "isa-superio"
+ },
+ {
+ "name": "icskvm",
+ "parent": "ics-base"
+ },
+ {
+ "name": "tls-creds-anon",
+ "parent": "tls-creds"
+ },
+ {
+ "name": "fw_cfg_mem",
+ "parent": "fw_cfg"
+ },
+ {
+ "name": "603e_v3-powerpc64-cpu",
+ "parent": "603E-family-powerpc64-cpu"
+ },
+ {
+ "name": "pseries-2.3-machine",
+ "parent": "spapr-machine"
+ },
+ {
+ "name": "taihu-machine",
+ "parent": "machine"
+ },
+ {
+ "name": "npe4gs3-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "icp-kvm",
+ "parent": "icp"
+ },
+ {
+ "name": "440epb-powerpc64-cpu",
+ "parent": "440EP-family-powerpc64-cpu"
+ },
+ {
+ "name": "usb-uas",
+ "parent": "usb-device"
+ },
+ {
+ "name": "virtio-balloon-pci",
+ "parent": "virtio-pci"
+ },
+ {
+ "name": "ide-cd",
+ "parent": "ide-device"
+ },
+ {
+ "name": "usb-ccid",
+ "parent": "usb-device"
+ },
+ {
+ "name": "chardev-udp",
+ "parent": "chardev"
+ },
+ {
+ "name": "7445_v3.4-powerpc64-cpu",
+ "parent": "7445-family-powerpc64-cpu"
+ },
+ {
+ "name": "pseries-2.2-machine",
+ "parent": "spapr-machine"
+ },
+ {
+ "name": "750p-powerpc64-cpu",
+ "parent": "750-family-powerpc64-cpu"
+ },
+ {
+ "name": "e6500-powerpc64-cpu",
+ "parent": "e6500-family-powerpc64-cpu"
+ },
+ {
+ "name": "sb16",
+ "parent": "isa-device"
+ },
+ {
+ "name": "iothread",
+ "parent": "object"
+ },
+ {
+ "name": "750gl-powerpc64-cpu",
+ "parent": "750gx-family-powerpc64-cpu"
+ },
+ {
+ "name": "bochs-display",
+ "parent": "pci-device"
+ },
+ {
+ "name": "745_v2.1-powerpc64-cpu",
+ "parent": "745-family-powerpc64-cpu"
+ },
+ {
+ "name": "7410_v1.1-powerpc64-cpu",
+ "parent": "7410-family-powerpc64-cpu"
+ },
+ {
+ "name": "755_v2.3-powerpc64-cpu",
+ "parent": "755-family-powerpc64-cpu"
+ },
+ {
+ "name": "vhost-vsock-device",
+ "parent": "virtio-device"
+ },
+ {
+ "name": "7450_v1.0-powerpc64-cpu",
+ "parent": "7450-family-powerpc64-cpu"
+ },
+ {
+ "name": "qio-channel-rdma",
+ "parent": "qio-channel"
+ },
+ {
+ "name": "750_v2.2-powerpc64-cpu",
+ "parent": "750-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8347at-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8544_v10-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "405ep-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "sdhci-pci",
+ "parent": "pci-device"
+ },
+ {
+ "name": "virtio-input-host-device",
+ "parent": "virtio-input-device"
+ },
+ {
+ "name": "stb03-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "virtio-rng-device",
+ "parent": "virtio-device"
+ },
+ {
+ "name": "cs4231a",
+ "parent": "isa-device"
+ },
+ {
+ "name": "745_v2.6-powerpc64-cpu",
+ "parent": "745-family-powerpc64-cpu"
+ },
+ {
+ "name": "heathrow",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "405lp-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "755_v2.8-powerpc64-cpu",
+ "parent": "755-family-powerpc64-cpu"
+ },
+ {
+ "name": "604e_v2.4-powerpc64-cpu",
+ "parent": "604E-family-powerpc64-cpu"
+ },
+ {
+ "name": "ivshmem-doorbell",
+ "parent": "ivshmem-common"
+ },
+ {
+ "name": "750cxe_v2.2-powerpc64-cpu",
+ "parent": "750cx-family-powerpc64-cpu"
+ },
+ {
+ "name": "750cx_v1.0-powerpc64-cpu",
+ "parent": "750cx-family-powerpc64-cpu"
+ },
+ {
+ "name": "7457a_v1.2-powerpc64-cpu",
+ "parent": "7455-family-powerpc64-cpu"
+ },
+ {
+ "name": "pnv-icp",
+ "parent": "icp"
+ },
+ {
+ "name": "mpc8568-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "isa-parallel",
+ "parent": "isa-device"
+ },
+ {
+ "name": "mpc8555_v10-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "460exb-powerpc64-cpu",
+ "parent": "460EX-family-powerpc64-cpu"
+ },
+ {
+ "name": "spapr-drc-cpu",
+ "parent": "spapr-drc-logical"
+ },
+ {
+ "name": "spapr-iommu-memory-region",
+ "parent": "qemu:iommu-memory-region"
+ },
+ {
+ "name": "mpc8343a-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "adlib",
+ "parent": "isa-device"
+ },
+ {
+ "name": "mpc8378e-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "602-powerpc64-cpu",
+ "parent": "602-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8545e_v21-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "dc390",
+ "parent": "am53c974"
+ },
+ {
+ "name": "hda-micro",
+ "parent": "hda-audio"
+ },
+ {
+ "name": "pci-bridge-seat",
+ "parent": "pci-bridge"
+ },
+ {
+ "name": "IDE",
+ "parent": "bus"
+ },
+ {
+ "name": "740_v3.0-powerpc64-cpu",
+ "parent": "740-family-powerpc64-cpu"
+ },
+ {
+ "name": "7441_v2.1-powerpc64-cpu",
+ "parent": "7440-family-powerpc64-cpu"
+ },
+ {
+ "name": "qemu,register",
+ "parent": "device"
+ },
+ {
+ "name": "pnv-psi",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "mpc5200_v11-powerpc64-cpu",
+ "parent": "G2LE-family-powerpc64-cpu"
+ },
+ {
+ "name": "fw_cfg_io",
+ "parent": "fw_cfg"
+ },
+ {
+ "name": "e300c3-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "403gc-powerpc64-cpu",
+ "parent": "403-family-powerpc64-cpu"
+ },
+ {
+ "name": "7447a_v1.2-powerpc64-cpu",
+ "parent": "7445-family-powerpc64-cpu"
+ },
+ {
+ "name": "sysbus-m48t08",
+ "parent": "sysbus-m48txx"
+ },
+ {
+ "name": "spapr-pci-host-bridge",
+ "parent": "pci-host-bridge"
+ },
+ {
+ "name": "memory-backend-ram",
+ "parent": "memory-backend"
+ },
+ {
+ "name": "am53c974",
+ "parent": "pci-device"
+ },
+ {
+ "name": "pseries-2.5-machine",
+ "parent": "spapr-machine"
+ },
+ {
+ "name": "mpc8548e_v10-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "750cx_v2.0-powerpc64-cpu",
+ "parent": "750cx-family-powerpc64-cpu"
+ },
+ {
+ "name": "virtio-blk-device",
+ "parent": "virtio-device"
+ },
+ {
+ "name": "chardev-pipe",
+ "parent": "chardev-fd"
+ },
+ {
+ "name": "qio-channel-file",
+ "parent": "qio-channel"
+ },
+ {
+ "name": "745_v1.1-powerpc64-cpu",
+ "parent": "745-family-powerpc64-cpu"
+ },
+ {
+ "name": "601_v1-powerpc64-cpu",
+ "parent": "601-family-powerpc64-cpu"
+ },
+ {
+ "name": "sysbus-m48t02",
+ "parent": "sysbus-m48txx"
+ },
+ {
+ "name": "uni-north-pci",
+ "parent": "pci-device"
+ },
+ {
+ "name": "740_v1.0-powerpc64-cpu",
+ "parent": "740-family-powerpc64-cpu"
+ },
+ {
+ "name": "qio-channel-websock",
+ "parent": "qio-channel"
+ },
+ {
+ "name": "pseries-2.4-machine",
+ "parent": "spapr-machine"
+ },
+ {
+ "name": "mc146818rtc",
+ "parent": "isa-device"
+ },
+ {
+ "name": "spapr-nvram",
+ "parent": "vio-spapr-device"
+ },
+ {
+ "name": "chardev-msmouse",
+ "parent": "chardev"
+ },
+ {
+ "name": "hda-output",
+ "parent": "hda-audio"
+ },
+ {
+ "name": "cryptodev-backend-builtin",
+ "parent": "cryptodev-backend"
+ },
+ {
+ "name": "mpc8347eat-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "750fx_v2.2-powerpc64-cpu",
+ "parent": "750fx-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc5200b_v20-powerpc64-cpu",
+ "parent": "G2LE-family-powerpc64-cpu"
+ },
+ {
+ "name": "603e_v1.2-powerpc64-cpu",
+ "parent": "603E-family-powerpc64-cpu"
+ },
+ {
+ "name": "stb25-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "mptsas1068",
+ "parent": "pci-device"
+ },
+ {
+ "name": "raven",
+ "parent": "pci-device"
+ },
+ {
+ "name": "VGA",
+ "parent": "pci-vga"
+ },
+ {
+ "name": "405gpr-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8349a-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "vfio-pci-nohotplug",
+ "parent": "vfio-pci"
+ },
+ {
+ "name": "mpc8533_v10-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "401f2-powerpc64-cpu",
+ "parent": "401x2-family-powerpc64-cpu"
+ },
+ {
+ "name": "i8257",
+ "parent": "isa-device"
+ },
+ {
+ "name": "440-xilinx-powerpc64-cpu",
+ "parent": "440x5-family-powerpc64-cpu"
+ },
+ {
+ "name": "power8e_v2.1-spapr-cpu-core",
+ "parent": "spapr-cpu-core"
+ },
+ {
+ "name": "pc87312",
+ "parent": "isa-superio"
+ },
+ {
+ "name": "qio-net-listener",
+ "parent": "object"
+ },
+ {
+ "name": "mpc8560_v20-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "edu",
+ "parent": "pci-device"
+ },
+ {
+ "name": "virtio-mouse-pci",
+ "parent": "virtio-input-hid-pci"
+ },
+ {
+ "name": "740_v2.0-powerpc64-cpu",
+ "parent": "740-family-powerpc64-cpu"
+ },
+ {
+ "name": "7455_v3.2-powerpc64-cpu",
+ "parent": "7455-family-powerpc64-cpu"
+ },
+ {
+ "name": "power8nvl_v1.0-powernv-cpu-core",
+ "parent": "powernv-cpu-core"
+ },
+ {
+ "name": "g2legp-powerpc64-cpu",
+ "parent": "G2LE-family-powerpc64-cpu"
+ },
+ {
+ "name": "vfio-pci-igd-lpc-bridge",
+ "parent": "pci-device"
+ },
+ {
+ "name": "spapr-vlan",
+ "parent": "vio-spapr-device"
+ },
+ {
+ "name": "603e_v4-powerpc64-cpu",
+ "parent": "603E-family-powerpc64-cpu"
+ },
+ {
+ "name": "HDA",
+ "parent": "bus"
+ },
+ {
+ "name": "chardev-ringbuf",
+ "parent": "chardev"
+ },
+ {
+ "name": "603e_v2.2-powerpc64-cpu",
+ "parent": "603E-family-powerpc64-cpu"
+ },
+ {
+ "name": "qio-channel-command",
+ "parent": "qio-channel"
+ },
+ {
+ "name": "7447_v1.1-powerpc64-cpu",
+ "parent": "7445-family-powerpc64-cpu"
+ },
+ {
+ "name": "pseries-2.8-machine",
+ "parent": "spapr-machine"
+ },
+ {
+ "name": "pcm3680_pci",
+ "parent": "pci-device"
+ },
+ {
+ "name": "floppy",
+ "parent": "device"
+ },
+ {
+ "name": "mioe3680_pci",
+ "parent": "pci-device"
+ },
+ {
+ "name": "qemu:memory-region",
+ "parent": "object"
+ },
+ {
+ "name": "macio-oldworld",
+ "parent": "macio"
+ },
+ {
+ "name": "adb-keyboard",
+ "parent": "adb-device"
+ },
+ {
+ "name": "ppc460ex-pcie-host",
+ "parent": "pcie-host-bridge"
+ },
+ {
+ "name": "i2c-ddc",
+ "parent": "i2c-slave"
+ },
+ {
+ "name": "pseries-2.7-machine",
+ "parent": "spapr-machine"
+ },
+ {
+ "name": "sysbus-ohci",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "7445_v1.0-powerpc64-cpu",
+ "parent": "7445-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8379-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "755_v2.4-powerpc64-cpu",
+ "parent": "755-family-powerpc64-cpu"
+ },
+ {
+ "name": "7450_v1.1-powerpc64-cpu",
+ "parent": "7450-family-powerpc64-cpu"
+ },
+ {
+ "name": "can-bus",
+ "parent": "object"
+ },
+ {
+ "name": "e600-powerpc64-cpu",
+ "parent": "e600-family-powerpc64-cpu"
+ },
+ {
+ "name": "405ez-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "x2vp20-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "pseries-2.6-machine",
+ "parent": "spapr-machine"
+ },
+ {
+ "name": "qtest-accel",
+ "parent": "accel"
+ },
+ {
+ "name": "mpc8548e_v21-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "stb04-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "750l_v2.0-powerpc64-cpu",
+ "parent": "750-family-powerpc64-cpu"
+ },
+ {
+ "name": "750fl-powerpc64-cpu",
+ "parent": "750fx-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8543e_v20-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "usb-serial",
+ "parent": "usb-serial-dev"
+ },
+ {
+ "name": "cirrus-vga",
+ "parent": "pci-device"
+ },
+ {
+ "name": "virtio-blk-pci",
+ "parent": "virtio-pci"
+ },
+ {
+ "name": "virtio-gpu-device",
+ "parent": "virtio-device"
+ },
+ {
+ "name": "mpc8541_v10-powerpc64-cpu",
+ "parent": "e500v1-family-powerpc64-cpu"
+ },
+ {
+ "name": "750gx_v1.0-powerpc64-cpu",
+ "parent": "750gx-family-powerpc64-cpu"
+ },
+ {
+ "name": "cryptodev-vhost-user",
+ "parent": "cryptodev-backend"
+ },
+ {
+ "name": "mpc8555_v11-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "vt82c686b-usb-uhci",
+ "parent": "pci-uhci-usb"
+ },
+ {
+ "name": "lsi53c810",
+ "parent": "lsi53c895a"
+ },
+ {
+ "name": "603e7t-powerpc64-cpu",
+ "parent": "603E-family-powerpc64-cpu"
+ },
+ {
+ "name": "uni-north-agp-pcihost",
+ "parent": "pci-host-bridge"
+ },
+ {
+ "name": "603-powerpc64-cpu",
+ "parent": "603-family-powerpc64-cpu"
+ },
+ {
+ "name": "System",
+ "parent": "bus"
+ },
+ {
+ "name": "405gpd-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "7400_v2.9-powerpc64-cpu",
+ "parent": "7400-family-powerpc64-cpu"
+ },
+ {
+ "name": "g2-powerpc64-cpu",
+ "parent": "G2-family-powerpc64-cpu"
+ },
+ {
+ "name": "750cxe_v3.1b-powerpc64-cpu",
+ "parent": "750cx-family-powerpc64-cpu"
+ },
+ {
+ "name": "7450_v2.1-powerpc64-cpu",
+ "parent": "7450-family-powerpc64-cpu"
+ },
+ {
+ "name": "chardev-braille",
+ "parent": "chardev"
+ },
+ {
+ "name": "chardev-file",
+ "parent": "chardev-fd"
+ },
+ {
+ "name": "7448_v2.0-powerpc64-cpu",
+ "parent": "7400-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc5200_v12-powerpc64-cpu",
+ "parent": "G2LE-family-powerpc64-cpu"
+ },
+ {
+ "name": "mac99-machine",
+ "parent": "machine"
+ },
+ {
+ "name": "e300c4-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8377e-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "750l_v3.0-powerpc64-cpu",
+ "parent": "750-family-powerpc64-cpu"
+ },
+ {
+ "name": "PCIE",
+ "parent": "PCI"
+ },
+ {
+ "name": "g2h4-powerpc64-cpu",
+ "parent": "G2-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8610-powerpc64-cpu",
+ "parent": "e600-family-powerpc64-cpu"
+ },
+ {
+ "name": "qio-dns-resolver",
+ "parent": "object"
+ },
+ {
+ "name": "750cx_v2.1-powerpc64-cpu",
+ "parent": "750cx-family-powerpc64-cpu"
+ },
+ {
+ "name": "vhost-user-blk-pci",
+ "parent": "virtio-pci"
+ },
+ {
+ "name": "cuda",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "virtio-serial-bus",
+ "parent": "bus"
+ },
+ {
+ "name": "604e_v1.0-powerpc64-cpu",
+ "parent": "604E-family-powerpc64-cpu"
+ },
+ {
+ "name": "secondary-vga",
+ "parent": "pci-vga"
+ },
+ {
+ "name": "vhost-scsi",
+ "parent": "vhost-scsi-common"
+ },
+ {
+ "name": "g2legp3-powerpc64-cpu",
+ "parent": "G2LE-family-powerpc64-cpu"
+ },
+ {
+ "name": "e500-ccsr",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "601_v2-powerpc64-cpu",
+ "parent": "601v-family-powerpc64-cpu"
+ },
+ {
+ "name": "usb-hub",
+ "parent": "usb-device"
+ },
+ {
+ "name": "ccid-bus",
+ "parent": "bus"
+ },
+ {
+ "name": "pci-ohci",
+ "parent": "pci-device"
+ },
+ {
+ "name": "cfi.pflash02",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "cfi.pflash01",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "pseries-2.9-machine",
+ "parent": "spapr-machine"
+ },
+ {
+ "name": "exynos4210-ehci-usb",
+ "parent": "sysbus-ehci-usb"
+ },
+ {
+ "name": "750fx_v2.3-powerpc64-cpu",
+ "parent": "750fx-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc5200b_v21-powerpc64-cpu",
+ "parent": "G2LE-family-powerpc64-cpu"
+ },
+ {
+ "name": "power8_v2.0-pnv-chip",
+ "parent": "pnv8-chip"
+ },
+ {
+ "name": "input-linux",
+ "parent": "object"
+ },
+ {
+ "name": "mpc8533_v11-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8347ap-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "x2vp4-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "7410_v1.2-powerpc64-cpu",
+ "parent": "7410-family-powerpc64-cpu"
+ },
+ {
+ "name": "7400_v1.0-powerpc64-cpu",
+ "parent": "7400-family-powerpc64-cpu"
+ },
+ {
+ "name": "745_v2.2-powerpc64-cpu",
+ "parent": "745-family-powerpc64-cpu"
+ },
+ {
+ "name": "740_v2.1-powerpc64-cpu",
+ "parent": "740-family-powerpc64-cpu"
+ },
+ {
+ "name": "401e2-powerpc64-cpu",
+ "parent": "401x2-family-powerpc64-cpu"
+ },
+ {
+ "name": "7448_v1.0-powerpc64-cpu",
+ "parent": "7400-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8544_v11-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "xlnx.xps-uartlite",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "e5500-powerpc64-cpu",
+ "parent": "e5500-family-powerpc64-cpu"
+ },
+ {
+ "name": "pci-serial",
+ "parent": "pci-device"
+ },
+ {
+ "name": "mpc8560_v10-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "vhost-user-scsi-pci",
+ "parent": "virtio-pci"
+ },
+ {
+ "name": "ipmi-bmc-extern",
+ "parent": "ipmi-bmc"
+ },
+ {
+ "name": "745_v2.7-powerpc64-cpu",
+ "parent": "745-family-powerpc64-cpu"
+ },
+ {
+ "name": "i8042",
+ "parent": "isa-device"
+ },
+ {
+ "name": "750cxe_v2.3-powerpc64-cpu",
+ "parent": "750cx-family-powerpc64-cpu"
+ },
+ {
+ "name": "i82559c",
+ "parent": "pci-device"
+ },
+ {
+ "name": "i82559b",
+ "parent": "pci-device"
+ },
+ {
+ "name": "i82559a",
+ "parent": "pci-device"
+ },
+ {
+ "name": "macio-bus",
+ "parent": "bus"
+ },
+ {
+ "name": "SCSI",
+ "parent": "bus"
+ },
+ {
+ "name": "rocker",
+ "parent": "pci-device"
+ },
+ {
+ "name": "pcnet",
+ "parent": "pci-device"
+ },
+ {
+ "name": "rng-egd",
+ "parent": "rng-backend"
+ },
+ {
+ "name": "spapr-tce-table",
+ "parent": "device"
+ },
+ {
+ "name": "7400_v2.0-powerpc64-cpu",
+ "parent": "7400-family-powerpc64-cpu"
+ },
+ {
+ "name": "405cra-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "sm501",
+ "parent": "pci-device"
+ },
+ {
+ "name": "chardev-testdev",
+ "parent": "chardev"
+ },
+ {
+ "name": "e200z5-powerpc64-cpu",
+ "parent": "e200-family-powerpc64-cpu"
+ },
+ {
+ "name": "740_v3.1-powerpc64-cpu",
+ "parent": "740-family-powerpc64-cpu"
+ },
+ {
+ "name": "migration",
+ "parent": "device"
+ },
+ {
+ "name": "usb-storage",
+ "parent": "usb-storage-dev"
+ },
+ {
+ "name": "filter-dump",
+ "parent": "netfilter"
+ },
+ {
+ "name": "i82558b",
+ "parent": "pci-device"
+ },
+ {
+ "name": "power8_v2.0-powerpc64-cpu",
+ "parent": "POWER8-family-powerpc64-cpu"
+ },
+ {
+ "name": "tls-creds-x509",
+ "parent": "tls-creds"
+ },
+ {
+ "name": "401d2-powerpc64-cpu",
+ "parent": "401x2-family-powerpc64-cpu"
+ },
+ {
+ "name": "i82558a",
+ "parent": "pci-device"
+ },
+ {
+ "name": "smbus-eeprom",
+ "parent": "smbus-device"
+ },
+ {
+ "name": "mpc8543_v20-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8548e_v11-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8347eap-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8543e_v10-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "host-powerpc64-cpu",
+ "parent": "power9_v2.0-powerpc64-cpu"
+ },
+ {
+ "name": "i82801",
+ "parent": "pci-device"
+ },
+ {
+ "name": "chardev-mux",
+ "parent": "chardev"
+ },
+ {
+ "name": "virtio-net-pci",
+ "parent": "virtio-pci"
+ },
+ {
+ "name": "virtio-keyboard-device",
+ "parent": "virtio-input-hid-device"
+ },
+ {
+ "name": "i82562",
+ "parent": "pci-device"
+ },
+ {
+ "name": "usb-net",
+ "parent": "usb-device"
+ },
+ {
+ "name": "throttle-group",
+ "parent": "object"
+ },
+ {
+ "name": "chardev-memory",
+ "parent": "chardev-ringbuf"
+ },
+ {
+ "name": "power9_v2.0-spapr-cpu-core",
+ "parent": "spapr-cpu-core"
+ },
+ {
+ "name": "603e_v1.3-powerpc64-cpu",
+ "parent": "603E-family-powerpc64-cpu"
+ },
+ {
+ "name": "755_v1.0-powerpc64-cpu",
+ "parent": "755-family-powerpc64-cpu"
+ },
+ {
+ "name": "i82557c",
+ "parent": "pci-device"
+ },
+ {
+ "name": "i82557b",
+ "parent": "pci-device"
+ },
+ {
+ "name": "i82557a",
+ "parent": "pci-device"
+ },
+ {
+ "name": "mpc8347t-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "can-host-socketcan",
+ "parent": "can-host"
+ },
+ {
+ "name": "sdhci-bus",
+ "parent": "sd-bus"
+ },
+ {
+ "name": "uni-north",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "isa-i8259",
+ "parent": "pic-common"
+ },
+ {
+ "name": "virtio-gpu-pci",
+ "parent": "virtio-pci"
+ },
+ {
+ "name": "mpc8548_v20-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "power8nvl_v1.0-pnv-chip",
+ "parent": "pnv8-chip"
+ },
+ {
+ "name": "mpc8343-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8560_v21-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "split-irq",
+ "parent": "device"
+ },
+ {
+ "name": "usb-mouse",
+ "parent": "usb-hid"
+ },
+ {
+ "name": "i82551",
+ "parent": "pci-device"
+ },
+ {
+ "name": "i82550",
+ "parent": "pci-device"
+ },
+ {
+ "name": "pseries-2.12-machine",
+ "parent": "spapr-machine"
+ },
+ {
+ "name": "macio-gpio",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "405d2-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "piix3-usb-uhci",
+ "parent": "pci-uhci-usb"
+ },
+ {
+ "name": "mpc8540_v20-powerpc64-cpu",
+ "parent": "e500v1-family-powerpc64-cpu"
+ },
+ {
+ "name": "7455_v3.3-powerpc64-cpu",
+ "parent": "7455-family-powerpc64-cpu"
+ },
+ {
+ "name": "nec-usb-xhci",
+ "parent": "base-xhci"
+ },
+ {
+ "name": "e500v2_v30-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "pseries-2.11-machine",
+ "parent": "spapr-machine"
+ },
+ {
+ "name": "power9_v2.0-pnv-chip",
+ "parent": "pnv9-chip"
+ },
+ {
+ "name": "host-spapr-cpu-core",
+ "parent": "spapr-cpu-core"
+ },
+ {
+ "name": "macio-ide",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "virtio-crypto-pci",
+ "parent": "virtio-pci"
+ },
+ {
+ "name": "755_v2.0-powerpc64-cpu",
+ "parent": "755-family-powerpc64-cpu"
+ },
+ {
+ "name": "filter-mirror",
+ "parent": "netfilter"
+ },
+ {
+ "name": "ich9-usb-ehci2",
+ "parent": "pci-ehci-usb"
+ },
+ {
+ "name": "ich9-usb-ehci1",
+ "parent": "pci-ehci-usb"
+ },
+ {
+ "name": "vfio-pci",
+ "parent": "pci-device"
+ },
+ {
+ "name": "prep-machine",
+ "parent": "machine"
+ },
+ {
+ "name": "pvscsi",
+ "parent": "pci-device"
+ },
+ {
+ "name": "irq",
+ "parent": "object"
+ },
+ {
+ "name": "pseries-2.10-machine",
+ "parent": "spapr-machine"
+ },
+ {
+ "name": "apple-desktop-bus",
+ "parent": "bus"
+ },
+ {
+ "name": "memory-backend-memfd",
+ "parent": "memory-backend"
+ },
+ {
+ "name": "isa-ide",
+ "parent": "isa-device"
+ },
+ {
+ "name": "745_v2.3-powerpc64-cpu",
+ "parent": "745-family-powerpc64-cpu"
+ },
+ {
+ "name": "7410_v1.3-powerpc64-cpu",
+ "parent": "7410-family-powerpc64-cpu"
+ },
+ {
+ "name": "755_v2.5-powerpc64-cpu",
+ "parent": "755-family-powerpc64-cpu"
+ },
+ {
+ "name": "7450_v1.2-powerpc64-cpu",
+ "parent": "7450-family-powerpc64-cpu"
+ },
+ {
+ "name": "7457_v1.0-powerpc64-cpu",
+ "parent": "7455-family-powerpc64-cpu"
+ },
+ {
+ "name": "pnv9-chip",
+ "parent": "pnv-chip"
+ },
+ {
+ "name": "ich9-ahci",
+ "parent": "pci-device"
+ },
+ {
+ "name": "750l_v2.1-powerpc64-cpu",
+ "parent": "750-family-powerpc64-cpu"
+ },
+ {
+ "name": "qio-channel-socket",
+ "parent": "qio-channel"
+ },
+ {
+ "name": "mpc8543e_v21-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "sd-bus",
+ "parent": "bus"
+ },
+ {
+ "name": "virtserialport",
+ "parent": "virtio-serial-port"
+ },
+ {
+ "name": "mpc8641d-powerpc64-cpu",
+ "parent": "e600-family-powerpc64-cpu"
+ },
+ {
+ "name": "pseries-3.0-machine",
+ "parent": "spapr-machine"
+ },
+ {
+ "name": "750cxe_v2.4-powerpc64-cpu",
+ "parent": "750cx-family-powerpc64-cpu"
+ },
+ {
+ "name": "i82378",
+ "parent": "pci-device"
+ },
+ {
+ "name": "chardev-stdio",
+ "parent": "chardev-fd"
+ },
+ {
+ "name": "750gx_v1.1-powerpc64-cpu",
+ "parent": "750gx-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8541_v11-powerpc64-cpu",
+ "parent": "e500v1-family-powerpc64-cpu"
+ },
+ {
+ "name": "gus",
+ "parent": "isa-device"
+ },
+ {
+ "name": "e500_v20-powerpc64-cpu",
+ "parent": "e500v1-family-powerpc64-cpu"
+ },
+ {
+ "name": "cobra-powerpc64-cpu",
+ "parent": "401-family-powerpc64-cpu"
+ },
+ {
+ "name": "pnv-lpc",
+ "parent": "device"
+ },
+ {
+ "name": "i82374",
+ "parent": "isa-device"
+ },
+ {
+ "name": "7445_v2.1-powerpc64-cpu",
+ "parent": "7445-family-powerpc64-cpu"
+ },
+ {
+ "name": "pc-dimm",
+ "parent": "device"
+ },
+ {
+ "name": "440-xilinx-w-dfpu-powerpc64-cpu",
+ "parent": "440x5wDFPU-family-powerpc64-cpu"
+ },
+ {
+ "name": "7400_v2.1-powerpc64-cpu",
+ "parent": "7400-family-powerpc64-cpu"
+ },
+ {
+ "name": "604-powerpc64-cpu",
+ "parent": "604-family-powerpc64-cpu"
+ },
+ {
+ "name": "g3beige-machine",
+ "parent": "machine"
+ },
+ {
+ "name": "405crb-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "fusbh200-ehci-usb",
+ "parent": "sysbus-ehci-usb"
+ },
+ {
+ "name": "IndustryPack",
+ "parent": "bus"
+ },
+ {
+ "name": "7441_v2.3-powerpc64-cpu",
+ "parent": "7440-family-powerpc64-cpu"
+ },
+ {
+ "name": "7448_v2.1-powerpc64-cpu",
+ "parent": "7400-family-powerpc64-cpu"
+ },
+ {
+ "name": "603e7-powerpc64-cpu",
+ "parent": "603E-family-powerpc64-cpu"
+ },
+ {
+ "name": "virtio-rng-pci",
+ "parent": "virtio-pci"
+ },
+ {
+ "name": "cryptodev-backend",
+ "parent": "object"
+ },
+ {
+ "name": "adb-mouse",
+ "parent": "adb-device"
+ },
+ {
+ "name": "or-irq",
+ "parent": "device"
+ },
+ {
+ "name": "mpc8543_v21-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "750cx_v2.2-powerpc64-cpu",
+ "parent": "750cx-family-powerpc64-cpu"
+ },
+ {
+ "name": "ipmi-bmc-sim",
+ "parent": "ipmi-bmc"
+ },
+ {
+ "name": "usb-ehci",
+ "parent": "pci-ehci-usb"
+ },
+ {
+ "name": "chardev-serial",
+ "parent": "chardev-fd"
+ },
+ {
+ "name": "7451_v2.10-powerpc64-cpu",
+ "parent": "7450-family-powerpc64-cpu"
+ },
+ {
+ "name": "401c2-powerpc64-cpu",
+ "parent": "401x2-family-powerpc64-cpu"
+ },
+ {
+ "name": "chardev-vc",
+ "parent": "chardev"
+ },
+ {
+ "name": "bamboo-machine",
+ "parent": "machine"
+ },
+ {
+ "name": "mpc8543_v10-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "filter-replay",
+ "parent": "netfilter"
+ },
+ {
+ "name": "603e_v1.4-powerpc64-cpu",
+ "parent": "603E-family-powerpc64-cpu"
+ },
+ {
+ "name": "970mp_v1.1-spapr-cpu-core",
+ "parent": "spapr-cpu-core"
+ },
+ {
+ "name": "pseries-2.12-sxxm-machine",
+ "parent": "spapr-machine"
+ },
+ {
+ "name": "dec-21154-sysbus",
+ "parent": "pci-host-bridge"
+ },
+ {
+ "name": "chardev-wctablet",
+ "parent": "chardev"
+ },
+ {
+ "name": "e1000-82545em",
+ "parent": "e1000-base"
+ },
+ {
+ "name": "spapr-vty",
+ "parent": "vio-spapr-device"
+ },
+ {
+ "name": "power9_v1.0-spapr-cpu-core",
+ "parent": "spapr-cpu-core"
+ },
+ {
+ "name": "7441_v2.10-powerpc64-cpu",
+ "parent": "7440-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8347et-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "virtio-9p-pci",
+ "parent": "virtio-pci"
+ },
+ {
+ "name": "7400_v1.1-powerpc64-cpu",
+ "parent": "7400-family-powerpc64-cpu"
+ },
+ {
+ "name": "e1000-82544gc",
+ "parent": "e1000-base"
+ },
+ {
+ "name": "filter-buffer",
+ "parent": "netfilter"
+ },
+ {
+ "name": "7448_v1.1-powerpc64-cpu",
+ "parent": "7400-family-powerpc64-cpu"
+ },
+ {
+ "name": "740_v2.2-powerpc64-cpu",
+ "parent": "740-family-powerpc64-cpu"
+ },
+ {
+ "name": "7455_v3.4-powerpc64-cpu",
+ "parent": "7455-family-powerpc64-cpu"
+ },
+ {
+ "name": "pseries-3.1-machine",
+ "parent": "spapr-machine"
+ },
+ {
+ "name": "mpc8548_v10-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8545_v20-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8343ea-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "npe405l-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "imx-usdhc",
+ "parent": "generic-sdhci"
+ },
+ {
+ "name": "prep-systemio",
+ "parent": "isa-device"
+ },
+ {
+ "name": "e500-spin",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "745_v2.8-powerpc64-cpu",
+ "parent": "745-family-powerpc64-cpu"
+ },
+ {
+ "name": "755_v2.1-powerpc64-cpu",
+ "parent": "755-family-powerpc64-cpu"
+ },
+ {
+ "name": "mos6522-pmu",
+ "parent": "mos6522"
+ },
+ {
+ "name": "mpc8544ds-machine",
+ "parent": "ppce500-base-machine"
+ },
+ {
+ "name": "mpc8540_v10-powerpc64-cpu",
+ "parent": "e500v1-family-powerpc64-cpu"
+ },
+ {
+ "name": "pci-serial-4x",
+ "parent": "pci-device"
+ },
+ {
+ "name": "ich9-intel-hda",
+ "parent": "intel-hda-generic"
+ },
+ {
+ "name": "virtio-9p-device",
+ "parent": "virtio-device"
+ },
+ {
+ "name": "power8nvl_v1.0-powerpc64-cpu",
+ "parent": "POWER8-family-powerpc64-cpu"
+ },
+ {
+ "name": "ivshmem",
+ "parent": "ivshmem-common"
+ },
+ {
+ "name": "e500v2_v20-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "virtio-scsi-pci",
+ "parent": "virtio-pci"
+ },
+ {
+ "name": "qemu-console",
+ "parent": "object"
+ },
+ {
+ "name": "mpc8349ea-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "7457a_v1.0-powerpc64-cpu",
+ "parent": "7455-family-powerpc64-cpu"
+ },
+ {
+ "name": "piix4-usb-uhci",
+ "parent": "pci-uhci-usb"
+ },
+ {
+ "name": "mpc8547e_v20-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "e200z6-powerpc64-cpu",
+ "parent": "e200-family-powerpc64-cpu"
+ },
+ {
+ "name": "isa-ipmi-bt",
+ "parent": "isa-device"
+ },
+ {
+ "name": "ppce500-machine",
+ "parent": "ppce500-base-machine"
+ },
+ {
+ "name": "virtio-pci-bus",
+ "parent": "virtio-bus"
+ },
+ {
+ "name": "750l_v2.2-powerpc64-cpu",
+ "parent": "750-family-powerpc64-cpu"
+ },
+ {
+ "name": "pci-testdev",
+ "parent": "pci-device"
+ },
+ {
+ "name": "ipoctal232",
+ "parent": "ipack-device"
+ },
+ {
+ "name": "uni-north-pci-pcihost",
+ "parent": "pci-host-bridge"
+ },
+ {
+ "name": "spapr-drc-lmb",
+ "parent": "spapr-drc-logical"
+ },
+ {
+ "name": "440epx-powerpc64-cpu",
+ "parent": "440EP-family-powerpc64-cpu"
+ },
+ {
+ "name": "405gpa-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "7400_v2.6-powerpc64-cpu",
+ "parent": "7400-family-powerpc64-cpu"
+ },
+ {
+ "name": "m41t80",
+ "parent": "i2c-slave"
+ },
+ {
+ "name": "virtio-tablet-device",
+ "parent": "virtio-input-hid-device"
+ },
+ {
+ "name": "powernv-machine",
+ "parent": "machine"
+ },
+ {
+ "name": "ISA",
+ "parent": "bus"
+ },
+ {
+ "name": "750_v3.0-powerpc64-cpu",
+ "parent": "750-family-powerpc64-cpu"
+ },
+ {
+ "name": "ES1370",
+ "parent": "pci-device"
+ },
+ {
+ "name": "mpc8543e_v11-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "603e7v-powerpc64-cpu",
+ "parent": "603E-family-powerpc64-cpu"
+ },
+ {
+ "name": "power7_v2.3-powerpc64-cpu",
+ "parent": "POWER7-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8544-guts",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "power9_v2.0-powerpc64-cpu",
+ "parent": "POWER9-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8555e_v10-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8347p-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "openpic",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "mpc8541e_v10-powerpc64-cpu",
+ "parent": "e500v1-family-powerpc64-cpu"
+ },
+ {
+ "name": "750cxe_v3.0-powerpc64-cpu",
+ "parent": "750cx-family-powerpc64-cpu"
+ },
+ {
+ "name": "e500_v10-powerpc64-cpu",
+ "parent": "e500v1-family-powerpc64-cpu"
+ },
+ {
+ "name": "power8_v2.0-powernv-cpu-core",
+ "parent": "powernv-cpu-core"
+ },
+ {
+ "name": "755_v1.1-powerpc64-cpu",
+ "parent": "755-family-powerpc64-cpu"
+ },
+ {
+ "name": "tcg-accel",
+ "parent": "accel"
+ },
+ {
+ "name": "virtconsole",
+ "parent": "virtserialport"
+ },
+ {
+ "name": "750_v1.0-powerpc64-cpu",
+ "parent": "750-family-powerpc64-cpu"
+ },
+ {
+ "name": "750l_v3.2-powerpc64-cpu",
+ "parent": "750-family-powerpc64-cpu"
+ },
+ {
+ "name": "virtio-tablet-pci",
+ "parent": "virtio-input-hid-pci"
+ },
+ {
+ "name": "pci-serial-2x",
+ "parent": "pci-device"
+ },
+ {
+ "name": "ne2k_isa",
+ "parent": "isa-device"
+ },
+ {
+ "name": "isa-fdc",
+ "parent": "isa-device"
+ },
+ {
+ "name": "esp",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "mpc8548_v21-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "usb-bus",
+ "parent": "bus"
+ },
+ {
+ "name": "colo-compare",
+ "parent": "object"
+ },
+ {
+ "name": "ne2k_pci",
+ "parent": "pci-device"
+ },
+ {
+ "name": "spapr-drc-pci",
+ "parent": "spapr-drc-physical"
+ },
+ {
+ "name": "745_v2.4-powerpc64-cpu",
+ "parent": "745-family-powerpc64-cpu"
+ },
+ {
+ "name": "sii3112",
+ "parent": "pci-ide"
+ },
+ {
+ "name": "403gcx-powerpc64-cpu",
+ "parent": "403GCX-family-powerpc64-cpu"
+ },
+ {
+ "name": "power8e_v2.1-pnv-chip",
+ "parent": "pnv8-chip"
+ },
+ {
+ "name": "e1000e",
+ "parent": "pci-device"
+ },
+ {
+ "name": "mpc8543_v11-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8540_v21-powerpc64-cpu",
+ "parent": "e500v1-family-powerpc64-cpu"
+ },
+ {
+ "name": "7445_v3.2-powerpc64-cpu",
+ "parent": "7445-family-powerpc64-cpu"
+ },
+ {
+ "name": "rs6000-mc",
+ "parent": "isa-device"
+ },
+ {
+ "name": "mpc8347ep-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8349-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "PCI",
+ "parent": "bus"
+ },
+ {
+ "name": "kvm-openpic",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "isabus-bridge",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "401b2-powerpc64-cpu",
+ "parent": "401x2-family-powerpc64-cpu"
+ },
+ {
+ "name": "vhost-user-scsi",
+ "parent": "vhost-scsi-common"
+ },
+ {
+ "name": "tpm-emulator",
+ "parent": "tpm-backend"
+ },
+ {
+ "name": "virtex-ml507-machine",
+ "parent": "machine"
+ },
+ {
+ "name": "usb-kbd",
+ "parent": "usb-hid"
+ },
+ {
+ "name": "750_v2.0-powerpc64-cpu",
+ "parent": "750-family-powerpc64-cpu"
+ },
+ {
+ "name": "e500-host-bridge",
+ "parent": "pci-device"
+ },
+ {
+ "name": "vhost-user-blk",
+ "parent": "virtio-device"
+ },
+ {
+ "name": "7410_v1.4-powerpc64-cpu",
+ "parent": "7410-family-powerpc64-cpu"
+ },
+ {
+ "name": "g2ls-powerpc64-cpu",
+ "parent": "G2-family-powerpc64-cpu"
+ },
+ {
+ "name": "npe405h-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "power9_v1.0-powerpc64-cpu",
+ "parent": "POWER9-family-powerpc64-cpu"
+ },
+ {
+ "name": "970mp_v1.0-spapr-cpu-core",
+ "parent": "spapr-cpu-core"
+ },
+ {
+ "name": "401a1-powerpc64-cpu",
+ "parent": "401-family-powerpc64-cpu"
+ },
+ {
+ "name": "ivshmem-plain",
+ "parent": "ivshmem-common"
+ },
+ {
+ "name": "755_v2.6-powerpc64-cpu",
+ "parent": "755-family-powerpc64-cpu"
+ },
+ {
+ "name": "604e_v2.2-powerpc64-cpu",
+ "parent": "604E-family-powerpc64-cpu"
+ },
+ {
+ "name": "970fx_v3.0-powerpc64-cpu",
+ "parent": "970-family-powerpc64-cpu"
+ },
+ {
+ "name": "7457_v1.1-powerpc64-cpu",
+ "parent": "7455-family-powerpc64-cpu"
+ },
+ {
+ "name": "pnv-occ",
+ "parent": "device"
+ },
+ {
+ "name": "spapr-vio-bridge",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "secret",
+ "parent": "object"
+ },
+ {
+ "name": "hda-duplex",
+ "parent": "hda-audio"
+ },
+ {
+ "name": "ppc4xx-ehci-usb",
+ "parent": "sysbus-ehci-usb"
+ },
+ {
+ "name": "u3-agp-pcihost",
+ "parent": "pci-host-bridge"
+ },
+ {
+ "name": "qio-channel-buffer",
+ "parent": "qio-channel"
+ },
+ {
+ "name": "none-machine",
+ "parent": "machine"
+ },
+ {
+ "name": "raven-pcihost",
+ "parent": "pci-host-bridge"
+ },
+ {
+ "name": "virtio-balloon-device",
+ "parent": "virtio-device"
+ },
+ {
+ "name": "sysbus-fdc",
+ "parent": "base-sysbus-fdc"
+ },
+ {
+ "name": "750fx_v1.0-powerpc64-cpu",
+ "parent": "750fx-family-powerpc64-cpu"
+ },
+ {
+ "name": "750gx_v1.2-powerpc64-cpu",
+ "parent": "750gx-family-powerpc64-cpu"
+ },
+ {
+ "name": "megasas-gen2",
+ "parent": "megasas-base"
+ },
+ {
+ "name": "nvme",
+ "parent": "pci-device"
+ },
+ {
+ "name": "usb-tablet",
+ "parent": "usb-hid"
+ },
+ {
+ "name": "970fx_v1.0-powerpc64-cpu",
+ "parent": "970-family-powerpc64-cpu"
+ },
+ {
+ "name": "e500v2_v21-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8572e-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "e300c1-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "7400_v2.2-powerpc64-cpu",
+ "parent": "7400-family-powerpc64-cpu"
+ },
+ {
+ "name": "7447a_v1.0-powerpc64-cpu",
+ "parent": "7445-family-powerpc64-cpu"
+ },
+ {
+ "name": "403ga-powerpc64-cpu",
+ "parent": "403-family-powerpc64-cpu"
+ },
+ {
+ "name": "405crc-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "usb-wacom-tablet",
+ "parent": "usb-device"
+ },
+ {
+ "name": "740e-powerpc64-cpu",
+ "parent": "740-family-powerpc64-cpu"
+ },
+ {
+ "name": "usb-braille",
+ "parent": "usb-serial-dev"
+ },
+ {
+ "name": "scsi-disk",
+ "parent": "scsi-disk-base"
+ },
+ {
+ "name": "intel-hda",
+ "parent": "intel-hda-generic"
+ },
+ {
+ "name": "970mp_v1.0-powerpc64-cpu",
+ "parent": "970-family-powerpc64-cpu"
+ },
+ {
+ "name": "603e7v1-powerpc64-cpu",
+ "parent": "603E-family-powerpc64-cpu"
+ },
+ {
+ "name": "e500mc-powerpc64-cpu",
+ "parent": "e500mc-family-powerpc64-cpu"
+ },
+ {
+ "name": "e500v2_v10-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8544e_v10-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "kvm-accel",
+ "parent": "accel"
+ },
+ {
+ "name": "power8nvl_v1.0-spapr-cpu-core",
+ "parent": "spapr-cpu-core"
+ },
+ {
+ "name": "7400_v2.7-powerpc64-cpu",
+ "parent": "7400-family-powerpc64-cpu"
+ },
+ {
+ "name": "virtio-scsi-device",
+ "parent": "virtio-scsi-common"
+ },
+ {
+ "name": "405gpb-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "603p-powerpc64-cpu",
+ "parent": "603E-family-powerpc64-cpu"
+ },
+ {
+ "name": "grackle",
+ "parent": "pci-device"
+ },
+ {
+ "name": "970_v2.2-spapr-cpu-core",
+ "parent": "spapr-cpu-core"
+ },
+ {
+ "name": "AC97",
+ "parent": "pci-device"
+ },
+ {
+ "name": "750fx_v2.0-powerpc64-cpu",
+ "parent": "750fx-family-powerpc64-cpu"
+ },
+ {
+ "name": "power9_v2.0-powernv-cpu-core",
+ "parent": "powernv-cpu-core"
+ },
+ {
+ "name": "970fx_v2.0-powerpc64-cpu",
+ "parent": "970-family-powerpc64-cpu"
+ },
+ {
+ "name": "i2c-bus",
+ "parent": "bus"
+ },
+ {
+ "name": "virtio-mouse-device",
+ "parent": "virtio-input-hid-device"
+ },
+ {
+ "name": "mos6522-cuda",
+ "parent": "mos6522"
+ },
+ {
+ "name": "mpc8572-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "750e-powerpc64-cpu",
+ "parent": "750-family-powerpc64-cpu"
+ },
+ {
+ "name": "g2hip3-powerpc64-cpu",
+ "parent": "G2-family-powerpc64-cpu"
+ },
+ {
+ "name": "g2le-powerpc64-cpu",
+ "parent": "G2LE-family-powerpc64-cpu"
+ },
+ {
+ "name": "macio-nvram",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "isa-pit",
+ "parent": "pit-common"
+ },
+ {
+ "name": "i6300esb",
+ "parent": "pci-device"
+ },
+ {
+ "name": "ide-drive",
+ "parent": "ide-device"
+ },
+ {
+ "name": "sam460ex-machine",
+ "parent": "machine"
+ },
+ {
+ "name": "generic-sdhci",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "pvrdma",
+ "parent": "pci-device"
+ },
+ {
+ "name": "ref405ep-machine",
+ "parent": "machine"
+ },
+ {
+ "name": "grackle-pcihost",
+ "parent": "pci-host-bridge"
+ },
+ {
+ "name": "vfio-platform",
+ "parent": "sys-bus-device"
+ },
+ {
+ "name": "405d4-powerpc64-cpu",
+ "parent": "405-family-powerpc64-cpu"
+ },
+ {
+ "name": "sungem",
+ "parent": "pci-device"
+ },
+ {
+ "name": "mpc8548_v11-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8545_v21-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "sd-card",
+ "parent": "device"
+ },
+ {
+ "name": "7455_v1.0-powerpc64-cpu",
+ "parent": "7455-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8377-powerpc64-cpu",
+ "parent": "e300-family-powerpc64-cpu"
+ },
+ {
+ "name": "745_v2.0-powerpc64-cpu",
+ "parent": "745-family-powerpc64-cpu"
+ },
+ {
+ "name": "755_v2.2-powerpc64-cpu",
+ "parent": "755-family-powerpc64-cpu"
+ },
+ {
+ "name": "e500-pcihost",
+ "parent": "pci-host-bridge"
+ },
+ {
+ "name": "kvaser_pci",
+ "parent": "pci-device"
+ },
+ {
+ "name": "750_v2.1-powerpc64-cpu",
+ "parent": "750-family-powerpc64-cpu"
+ },
+ {
+ "name": "power8e_v2.1-powernv-cpu-core",
+ "parent": "powernv-cpu-core"
+ },
+ {
+ "name": "uni-north-internal-pci",
+ "parent": "pci-device"
+ },
+ {
+ "name": "spapr-rtc",
+ "parent": "device"
+ },
+ {
+ "name": "755_v2.7-powerpc64-cpu",
+ "parent": "755-family-powerpc64-cpu"
+ },
+ {
+ "name": "750cxe_v2.1-powerpc64-cpu",
+ "parent": "750cx-family-powerpc64-cpu"
+ },
+ {
+ "name": "rng-random",
+ "parent": "rng-backend"
+ },
+ {
+ "name": "g2gp-powerpc64-cpu",
+ "parent": "G2-family-powerpc64-cpu"
+ },
+ {
+ "name": "7457a_v1.1-powerpc64-cpu",
+ "parent": "7455-family-powerpc64-cpu"
+ },
+ {
+ "name": "750cl_v1.0-powerpc64-cpu",
+ "parent": "750cl-family-powerpc64-cpu"
+ },
+ {
+ "name": "mpc8547e_v21-powerpc64-cpu",
+ "parent": "e500v2-family-powerpc64-cpu"
+ },
+ {
+ "name": "virtio-keyboard-pci",
+ "parent": "virtio-input-hid-pci"
+ }
+ ],
+ "id": "libvirt-9"
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "virtio-blk-pci"
+ },
+ "id": "libvirt-10"
+}
+
+{
+ "return": [
+ {
+ "name": "iothread",
+ "type": "link<iothread>"
+ },
+ {
+ "name": "request-merging",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "secs",
+ "type": "uint32"
+ },
+ {
+ "name": "min_io_size",
+ "type": "uint16"
+ },
+ {
+ "name": "event_idx",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "serial",
+ "type": "str"
+ },
+ {
+ "name": "heads",
+ "type": "uint32"
+ },
+ {
+ "name": "ioeventfd",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "multifunction",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "rombar",
+ "type": "uint32"
+ },
+ {
+ "name": "scsi",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "cyls",
+ "type": "uint32"
+ },
+ {
+ "name": "x-disable-pcie",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "logical_block_size",
+ "description": "A power of two between 512 and 32768",
+ "type": "uint16"
+ },
+ {
+ "name": "indirect_desc",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "queue-size",
+ "type": "uint16"
+ },
+ {
+ "name": "disable-modern",
+ "type": "bool"
+ },
+ {
+ "name": "drive",
+ "description": "Node name or ID of a block device to use as a backend",
+ "type": "str"
+ },
+ {
+ "name": "disable-legacy",
+ "description": "on/off/auto",
+ "type": "OnOffAuto"
+ },
+ {
+ "name": "command_serr_enable",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-lnkctl-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "werror",
+ "description": "Error handling policy, report/ignore/enospc/stop/auto",
+ "type": "BlockdevOnError"
+ },
+ {
+ "name": "bootindex",
+ "type": "int32"
+ },
+ {
+ "name": "discard_granularity",
+ "type": "uint32"
+ },
+ {
+ "name": "rerror",
+ "description": "Error handling policy, report/ignore/enospc/stop/auto",
+ "type": "BlockdevOnError"
+ },
+ {
+ "name": "page-per-vq",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-deverr-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-pm-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "any_layout",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-lnksta-dllla",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "share-rw",
+ "type": "bool"
+ },
+ {
+ "name": "physical_block_size",
+ "description": "A power of two between 512 and 32768",
+ "type": "uint16"
+ },
+ {
+ "name": "config-wce",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "class",
+ "type": "uint32"
+ },
+ {
+ "name": "addr",
+ "description": "Slot and optional function number, example: 06.0 or 06",
+ "type": "int32"
+ },
+ {
+ "name": "migrate-extra",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "modern-pio-notify",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "vectors",
+ "type": "uint32"
+ },
+ {
+ "name": "iommu_platform",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-extcap-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "virtio-backend",
+ "type": "child<virtio-blk-device>"
+ },
+ {
+ "name": "x-ignore-backend-features",
+ "type": "bool"
+ },
+ {
+ "name": "notify_on_empty",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "write-cache",
+ "description": "on/off/auto",
+ "type": "OnOffAuto"
+ },
+ {
+ "name": "num-queues",
+ "type": "uint16"
+ },
+ {
+ "name": "opt_io_size",
+ "type": "uint32"
+ },
+ {
+ "name": "ats",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "virtio-pci-bus-master-bug-migration",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "romfile",
+ "type": "str"
+ }
+ ],
+ "id": "libvirt-10"
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "virtio-net-pci"
+ },
+ "id": "libvirt-11"
+}
+
+{
+ "return": [
+ {
+ "name": "ctrl_mac_addr",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "romfile",
+ "type": "str"
+ },
+ {
+ "name": "x-pcie-lnksta-dllla",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "status",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "notify_on_empty",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "command_serr_enable",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "indirect_desc",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-pm-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "guest_csum",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "speed",
+ "type": "int32"
+ },
+ {
+ "name": "ctrl_rx",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "ctrl_vq",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "bootindex",
+ "type": "int32"
+ },
+ {
+ "name": "multifunction",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "disable-modern",
+ "type": "bool"
+ },
+ {
+ "name": "mrg_rxbuf",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "host_tso6",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-txtimer",
+ "type": "uint32"
+ },
+ {
+ "name": "host_tso4",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "rombar",
+ "type": "uint32"
+ },
+ {
+ "name": "ctrl_rx_extra",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "gso",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "page-per-vq",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-disable-pcie",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-ignore-backend-features",
+ "type": "bool"
+ },
+ {
+ "name": "x-txburst",
+ "type": "int32"
+ },
+ {
+ "name": "iommu_platform",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-lnkctl-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "host_mtu",
+ "type": "uint16"
+ },
+ {
+ "name": "ctrl_vlan",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "guest_tso4",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "virtio-backend",
+ "type": "child<virtio-net-device>"
+ },
+ {
+ "name": "vectors",
+ "type": "uint32"
+ },
+ {
+ "name": "event_idx",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "guest_announce",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "host_ecn",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "addr",
+ "description": "Slot and optional function number, example: 06.0 or 06",
+ "type": "int32"
+ },
+ {
+ "name": "ats",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "host_ufo",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "duplex",
+ "type": "str"
+ },
+ {
+ "name": "guest_tso6",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "ctrl_guest_offloads",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "csum",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "modern-pio-notify",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "ioeventfd",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "mq",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "any_layout",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "guest_ecn",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "guest_ufo",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "virtio-pci-bus-master-bug-migration",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "mac",
+ "description": "Ethernet 6-byte MAC Address, example: 52:54:00:12:34:56",
+ "type": "str"
+ },
+ {
+ "name": "tx_queue_size",
+ "type": "uint16"
+ },
+ {
+ "name": "disable-legacy",
+ "description": "on/off/auto",
+ "type": "OnOffAuto"
+ },
+ {
+ "name": "tx",
+ "type": "str"
+ },
+ {
+ "name": "x-pcie-extcap-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-mtu-bypass-backend",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-deverr-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "netdev",
+ "description": "ID of a netdev to use as a backend",
+ "type": "str"
+ },
+ {
+ "name": "migrate-extra",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "rx_queue_size",
+ "type": "uint16"
+ }
+ ],
+ "id": "libvirt-11"
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "virtio-scsi-pci"
+ },
+ "id": "libvirt-12"
+}
+
+{
+ "return": [
+ {
+ "name": "event_idx",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "ioeventfd",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "multifunction",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "rombar",
+ "type": "uint32"
+ },
+ {
+ "name": "virtqueue_size",
+ "type": "uint32"
+ },
+ {
+ "name": "x-disable-pcie",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "indirect_desc",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "disable-modern",
+ "type": "bool"
+ },
+ {
+ "name": "disable-legacy",
+ "description": "on/off/auto",
+ "type": "OnOffAuto"
+ },
+ {
+ "name": "num_queues",
+ "type": "uint32"
+ },
+ {
+ "name": "cmd_per_lun",
+ "type": "uint32"
+ },
+ {
+ "name": "command_serr_enable",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-lnkctl-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "hotplug",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "page-per-vq",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-deverr-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-pm-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-lnksta-dllla",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "max_sectors",
+ "type": "uint32"
+ },
+ {
+ "name": "param_change",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "any_layout",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "iothread",
+ "type": "link<iothread>"
+ },
+ {
+ "name": "addr",
+ "description": "Slot and optional function number, example: 06.0 or 06",
+ "type": "int32"
+ },
+ {
+ "name": "migrate-extra",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "modern-pio-notify",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "vectors",
+ "type": "uint32"
+ },
+ {
+ "name": "x-pcie-extcap-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "virtio-backend",
+ "type": "child<virtio-scsi-device>"
+ },
+ {
+ "name": "x-ignore-backend-features",
+ "type": "bool"
+ },
+ {
+ "name": "notify_on_empty",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "iommu_platform",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "ats",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "virtio-pci-bus-master-bug-migration",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "romfile",
+ "type": "str"
+ }
+ ],
+ "id": "libvirt-12"
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "virtio-net-ccw"
+ },
+ "id": "libvirt-13"
+}
+
+{
+ "id": "libvirt-13",
+ "error": {
+ "class": "DeviceNotFound",
+ "desc": "Device 'virtio-net-ccw' not found"
+ }
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "virtio-scsi-ccw"
+ },
+ "id": "libvirt-14"
+}
+
+{
+ "id": "libvirt-14",
+ "error": {
+ "class": "DeviceNotFound",
+ "desc": "Device 'virtio-scsi-ccw' not found"
+ }
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "virtio-net-s390"
+ },
+ "id": "libvirt-15"
+}
+
+{
+ "id": "libvirt-15",
+ "error": {
+ "class": "DeviceNotFound",
+ "desc": "Device 'virtio-net-s390' not found"
+ }
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "pci-assign"
+ },
+ "id": "libvirt-16"
+}
+
+{
+ "id": "libvirt-16",
+ "error": {
+ "class": "DeviceNotFound",
+ "desc": "Device 'pci-assign' not found"
+ }
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "kvm-pci-assign"
+ },
+ "id": "libvirt-17"
+}
+
+{
+ "id": "libvirt-17",
+ "error": {
+ "class": "DeviceNotFound",
+ "desc": "Device 'kvm-pci-assign' not found"
+ }
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "vfio-pci"
+ },
+ "id": "libvirt-18"
+}
+
+{
+ "return": [
+ {
+ "name": "x-igd-opregion",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pci-vendor-id",
+ "type": "uint32"
+ },
+ {
+ "name": "x-pci-sub-device-id",
+ "type": "uint32"
+ },
+ {
+ "name": "x-no-kvm-ioeventfd",
+ "type": "bool"
+ },
+ {
+ "name": "rombar",
+ "type": "uint32"
+ },
+ {
+ "name": "multifunction",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-req",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-no-kvm-msi",
+ "type": "bool"
+ },
+ {
+ "name": "x-no-vfio-ioeventfd",
+ "type": "bool"
+ },
+ {
+ "name": "x-no-kvm-intx",
+ "type": "bool"
+ },
+ {
+ "name": "host",
+ "description": "Address (bus/device/function) of the host device, example: 04:10.0",
+ "type": "str"
+ },
+ {
+ "name": "x-no-kvm-msix",
+ "type": "bool"
+ },
+ {
+ "name": "command_serr_enable",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-balloon-allowed",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-lnksta-dllla",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pci-sub-vendor-id",
+ "type": "uint32"
+ },
+ {
+ "name": "x-pci-device-id",
+ "type": "uint32"
+ },
+ {
+ "name": "x-no-geforce-quirks",
+ "type": "bool"
+ },
+ {
+ "name": "display",
+ "description": "on/off/auto",
+ "type": "OnOffAuto"
+ },
+ {
+ "name": "x-nv-gpudirect-clique",
+ "description": "NVIDIA GPUDirect Clique ID (0 - 15)",
+ "type": "uint4"
+ },
+ {
+ "name": "x-igd-gms",
+ "type": "uint32"
+ },
+ {
+ "name": "addr",
+ "description": "Slot and optional function number, example: 06.0 or 06",
+ "type": "int32"
+ },
+ {
+ "name": "x-msix-relocation",
+ "description": "off/auto/bar0/bar1/bar2/bar3/bar4/bar5",
+ "type": "OffAutoPCIBAR"
+ },
+ {
+ "name": "x-intx-mmap-timeout-ms",
+ "type": "uint32"
+ },
+ {
+ "name": "x-pcie-extcap-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-no-mmap",
+ "type": "bool"
+ },
+ {
+ "name": "bootindex",
+ "type": "int32"
+ },
+ {
+ "name": "sysfsdev",
+ "type": "str"
+ },
+ {
+ "name": "x-vga",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "romfile",
+ "type": "str"
+ }
+ ],
+ "id": "libvirt-18"
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "scsi-disk"
+ },
+ "id": "libvirt-19"
+}
+
+{
+ "return": [
+ {
+ "name": "serial",
+ "type": "str"
+ },
+ {
+ "name": "port_index",
+ "type": "uint16"
+ },
+ {
+ "name": "dpofua",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "lun",
+ "type": "uint32"
+ },
+ {
+ "name": "logical_block_size",
+ "description": "A power of two between 512 and 32768",
+ "type": "uint16"
+ },
+ {
+ "name": "discard_granularity",
+ "type": "uint32"
+ },
+ {
+ "name": "scsi_version",
+ "type": "int32"
+ },
+ {
+ "name": "max_unmap_size",
+ "type": "uint64"
+ },
+ {
+ "name": "drive",
+ "description": "Node name or ID of a block device to use as a backend",
+ "type": "str"
+ },
+ {
+ "name": "port_wwn",
+ "type": "uint64"
+ },
+ {
+ "name": "write-cache",
+ "description": "on/off/auto",
+ "type": "OnOffAuto"
+ },
+ {
+ "name": "share-rw",
+ "type": "bool"
+ },
+ {
+ "name": "min_io_size",
+ "type": "uint16"
+ },
+ {
+ "name": "opt_io_size",
+ "type": "uint32"
+ },
+ {
+ "name": "product",
+ "type": "str"
+ },
+ {
+ "name": "scsi-id",
+ "type": "uint32"
+ },
+ {
+ "name": "bootindex",
+ "type": "int32"
+ },
+ {
+ "name": "vendor",
+ "type": "str"
+ },
+ {
+ "name": "channel",
+ "type": "uint32"
+ },
+ {
+ "name": "wwn",
+ "type": "uint64"
+ },
+ {
+ "name": "werror",
+ "description": "Error handling policy, report/ignore/enospc/stop/auto",
+ "type": "BlockdevOnError"
+ },
+ {
+ "name": "removable",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "rerror",
+ "description": "Error handling policy, report/ignore/enospc/stop/auto",
+ "type": "BlockdevOnError"
+ },
+ {
+ "name": "ver",
+ "type": "str"
+ },
+ {
+ "name": "physical_block_size",
+ "description": "A power of two between 512 and 32768",
+ "type": "uint16"
+ },
+ {
+ "name": "max_io_size",
+ "type": "uint64"
+ }
+ ],
+ "id": "libvirt-19"
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "ide-drive"
+ },
+ "id": "libvirt-20"
+}
+
+{
+ "return": [
+ {
+ "name": "serial",
+ "type": "str"
+ },
+ {
+ "name": "logical_block_size",
+ "description": "A power of two between 512 and 32768",
+ "type": "uint16"
+ },
+ {
+ "name": "discard_granularity",
+ "type": "uint32"
+ },
+ {
+ "name": "drive",
+ "description": "Node name or ID of a block device to use as a backend",
+ "type": "str"
+ },
+ {
+ "name": "bootindex",
+ "type": "int32"
+ },
+ {
+ "name": "write-cache",
+ "description": "on/off/auto",
+ "type": "OnOffAuto"
+ },
+ {
+ "name": "share-rw",
+ "type": "bool"
+ },
+ {
+ "name": "min_io_size",
+ "type": "uint16"
+ },
+ {
+ "name": "opt_io_size",
+ "type": "uint32"
+ },
+ {
+ "name": "unit",
+ "type": "uint32"
+ },
+ {
+ "name": "wwn",
+ "type": "uint64"
+ },
+ {
+ "name": "werror",
+ "description": "Error handling policy, report/ignore/enospc/stop/auto",
+ "type": "BlockdevOnError"
+ },
+ {
+ "name": "model",
+ "type": "str"
+ },
+ {
+ "name": "rerror",
+ "description": "Error handling policy, report/ignore/enospc/stop/auto",
+ "type": "BlockdevOnError"
+ },
+ {
+ "name": "ver",
+ "type": "str"
+ },
+ {
+ "name": "physical_block_size",
+ "description": "A power of two between 512 and 32768",
+ "type": "uint16"
+ }
+ ],
+ "id": "libvirt-20"
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "PIIX4_PM"
+ },
+ "id": "libvirt-21"
+}
+
+{
+ "id": "libvirt-21",
+ "error": {
+ "class": "DeviceNotFound",
+ "desc": "Device 'PIIX4_PM' not found"
+ }
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "usb-host"
+ },
+ "id": "libvirt-22"
+}
+
+{
+ "return": [
+ {
+ "name": "isobufs",
+ "type": "uint32"
+ },
+ {
+ "name": "hostaddr",
+ "type": "uint32"
+ },
+ {
+ "name": "msos-desc",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "productid",
+ "type": "uint32"
+ },
+ {
+ "name": "serial",
+ "type": "str"
+ },
+ {
+ "name": "bootindex",
+ "type": "int32"
+ },
+ {
+ "name": "isobsize",
+ "type": "uint32"
+ },
+ {
+ "name": "port",
+ "type": "str"
+ },
+ {
+ "name": "vendorid",
+ "type": "uint32"
+ },
+ {
+ "name": "pipeline",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "attached",
+ "type": "bool"
+ },
+ {
+ "name": "hostport",
+ "type": "str"
+ },
+ {
+ "name": "full-path",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "loglevel",
+ "type": "uint32"
+ },
+ {
+ "name": "hostbus",
+ "type": "uint32"
+ }
+ ],
+ "id": "libvirt-22"
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "scsi-generic"
+ },
+ "id": "libvirt-23"
+}
+
+{
+ "return": [
+ {
+ "name": "bootindex",
+ "type": "int32"
+ },
+ {
+ "name": "drive",
+ "description": "Node name or ID of a block device to use as a backend",
+ "type": "str"
+ },
+ {
+ "name": "lun",
+ "type": "uint32"
+ },
+ {
+ "name": "share-rw",
+ "type": "bool"
+ },
+ {
+ "name": "channel",
+ "type": "uint32"
+ },
+ {
+ "name": "scsi-id",
+ "type": "uint32"
+ }
+ ],
+ "id": "libvirt-23"
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "i440FX-pcihost"
+ },
+ "id": "libvirt-24"
+}
+
+{
+ "id": "libvirt-24",
+ "error": {
+ "class": "DeviceNotFound",
+ "desc": "Device 'i440FX-pcihost' not found"
+ }
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "q35-pcihost"
+ },
+ "id": "libvirt-25"
+}
+
+{
+ "id": "libvirt-25",
+ "error": {
+ "class": "DeviceNotFound",
+ "desc": "Device 'q35-pcihost' not found"
+ }
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "usb-storage"
+ },
+ "id": "libvirt-26"
+}
+
+{
+ "return": [
+ {
+ "name": "serial",
+ "type": "str"
+ },
+ {
+ "name": "msos-desc",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "logical_block_size",
+ "description": "A power of two between 512 and 32768",
+ "type": "uint16"
+ },
+ {
+ "name": "discard_granularity",
+ "type": "uint32"
+ },
+ {
+ "name": "drive",
+ "description": "Node name or ID of a block device to use as a backend",
+ "type": "str"
+ },
+ {
+ "name": "bootindex",
+ "type": "int32"
+ },
+ {
+ "name": "write-cache",
+ "description": "on/off/auto",
+ "type": "OnOffAuto"
+ },
+ {
+ "name": "share-rw",
+ "type": "bool"
+ },
+ {
+ "name": "min_io_size",
+ "type": "uint16"
+ },
+ {
+ "name": "opt_io_size",
+ "type": "uint32"
+ },
+ {
+ "name": "port",
+ "type": "str"
+ },
+ {
+ "name": "attached",
+ "type": "bool"
+ },
+ {
+ "name": "werror",
+ "description": "Error handling policy, report/ignore/enospc/stop/auto",
+ "type": "BlockdevOnError"
+ },
+ {
+ "name": "full-path",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "rerror",
+ "description": "Error handling policy, report/ignore/enospc/stop/auto",
+ "type": "BlockdevOnError"
+ },
+ {
+ "name": "removable",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "physical_block_size",
+ "description": "A power of two between 512 and 32768",
+ "type": "uint16"
+ }
+ ],
+ "id": "libvirt-26"
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "kvm-pit"
+ },
+ "id": "libvirt-27"
+}
+
+{
+ "id": "libvirt-27",
+ "error": {
+ "class": "DeviceNotFound",
+ "desc": "Device 'kvm-pit' not found"
+ }
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "VGA"
+ },
+ "id": "libvirt-28"
+}
+
+{
+ "return": [
+ {
+ "name": "rombar",
+ "type": "uint32"
+ },
+ {
+ "name": "x-pcie-lnksta-dllla",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "mmio",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "multifunction",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "qemu-extended-regs",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "big-endian-framebuffer",
+ "type": "bool"
+ },
+ {
+ "name": "command_serr_enable",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "romfile",
+ "type": "str"
+ },
+ {
+ "name": "yres",
+ "type": "uint32"
+ },
+ {
+ "name": "vgamem_mb",
+ "type": "uint32"
+ },
+ {
+ "name": "x-pcie-extcap-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "addr",
+ "description": "Slot and optional function number, example: 06.0 or 06",
+ "type": "int32"
+ },
+ {
+ "name": "global-vmstate",
+ "type": "bool"
+ },
+ {
+ "name": "edid",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "xres",
+ "type": "uint32"
+ }
+ ],
+ "id": "libvirt-28"
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "virtio-gpu-pci"
+ },
+ "id": "libvirt-29"
+}
+
+{
+ "return": [
+ {
+ "name": "event_idx",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "yres",
+ "type": "uint32"
+ },
+ {
+ "name": "ioeventfd",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "multifunction",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "rombar",
+ "type": "uint32"
+ },
+ {
+ "name": "xres",
+ "type": "uint32"
+ },
+ {
+ "name": "x-disable-pcie",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "indirect_desc",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "disable-modern",
+ "type": "bool"
+ },
+ {
+ "name": "disable-legacy",
+ "description": "on/off/auto",
+ "type": "OnOffAuto"
+ },
+ {
+ "name": "command_serr_enable",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-lnkctl-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "page-per-vq",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-deverr-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-pm-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "max_outputs",
+ "type": "uint32"
+ },
+ {
+ "name": "x-pcie-lnksta-dllla",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "any_layout",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "addr",
+ "description": "Slot and optional function number, example: 06.0 or 06",
+ "type": "int32"
+ },
+ {
+ "name": "migrate-extra",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "modern-pio-notify",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "vectors",
+ "type": "uint32"
+ },
+ {
+ "name": "x-pcie-extcap-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "virtio-backend",
+ "type": "child<virtio-gpu-device>"
+ },
+ {
+ "name": "max_hostmem",
+ "type": "size"
+ },
+ {
+ "name": "x-ignore-backend-features",
+ "type": "bool"
+ },
+ {
+ "name": "notify_on_empty",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "iommu_platform",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "ats",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "virtio-pci-bus-master-bug-migration",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "romfile",
+ "type": "str"
+ }
+ ],
+ "id": "libvirt-29"
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "virtio-gpu-device"
+ },
+ "id": "libvirt-30"
+}
+
+{
+ "return": [
+ {
+ "name": "notify_on_empty",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "any_layout",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "indirect_desc",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "event_idx",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "yres",
+ "type": "uint32"
+ },
+ {
+ "name": "iommu_platform",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "max_outputs",
+ "type": "uint32"
+ },
+ {
+ "name": "xres",
+ "type": "uint32"
+ },
+ {
+ "name": "max_hostmem",
+ "type": "size"
+ }
+ ],
+ "id": "libvirt-30"
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "ICH9-LPC"
+ },
+ "id": "libvirt-31"
+}
+
+{
+ "id": "libvirt-31",
+ "error": {
+ "class": "DeviceNotFound",
+ "desc": "Device 'ICH9-LPC' not found"
+ }
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "virtio-balloon-pci"
+ },
+ "id": "libvirt-32"
+}
+
+{
+ "return": [
+ {
+ "name": "guest-stats-polling-interval",
+ "type": "int"
+ },
+ {
+ "name": "event_idx",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "rombar",
+ "type": "uint32"
+ },
+ {
+ "name": "multifunction",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-disable-pcie",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "indirect_desc",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "disable-modern",
+ "type": "bool"
+ },
+ {
+ "name": "disable-legacy",
+ "description": "on/off/auto",
+ "type": "OnOffAuto"
+ },
+ {
+ "name": "command_serr_enable",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-lnkctl-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "guest-stats",
+ "type": "guest statistics"
+ },
+ {
+ "name": "deflate-on-oom",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "page-per-vq",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-deverr-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-pm-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-lnksta-dllla",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "any_layout",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "class",
+ "type": "uint32"
+ },
+ {
+ "name": "addr",
+ "description": "Slot and optional function number, example: 06.0 or 06",
+ "type": "int32"
+ },
+ {
+ "name": "migrate-extra",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "modern-pio-notify",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-extcap-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "virtio-backend",
+ "type": "child<virtio-balloon-device>"
+ },
+ {
+ "name": "x-ignore-backend-features",
+ "type": "bool"
+ },
+ {
+ "name": "notify_on_empty",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "iommu_platform",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "ats",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "virtio-pci-bus-master-bug-migration",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "romfile",
+ "type": "str"
+ }
+ ],
+ "id": "libvirt-32"
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "virtio-balloon-ccw"
+ },
+ "id": "libvirt-33"
+}
+
+{
+ "id": "libvirt-33",
+ "error": {
+ "class": "DeviceNotFound",
+ "desc": "Device 'virtio-balloon-ccw' not found"
+ }
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "virtio-balloon-device"
+ },
+ "id": "libvirt-34"
+}
+
+{
+ "return": [
+ {
+ "name": "notify_on_empty",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "any_layout",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "indirect_desc",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "guest-stats",
+ "type": "guest statistics"
+ },
+ {
+ "name": "guest-stats-polling-interval",
+ "type": "int"
+ },
+ {
+ "name": "event_idx",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "iommu_platform",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "deflate-on-oom",
+ "description": "on/off",
+ "type": "bool"
+ }
+ ],
+ "id": "libvirt-34"
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "nec-usb-xhci"
+ },
+ "id": "libvirt-35"
+}
+
+{
+ "return": [
+ {
+ "name": "rombar",
+ "type": "uint32"
+ },
+ {
+ "name": "intrs",
+ "type": "uint32"
+ },
+ {
+ "name": "x-pcie-lnksta-dllla",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "msix",
+ "description": "on/off/auto",
+ "type": "OnOffAuto"
+ },
+ {
+ "name": "multifunction",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "msi",
+ "description": "on/off/auto",
+ "type": "OnOffAuto"
+ },
+ {
+ "name": "superspeed-ports-first",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "streams",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "romfile",
+ "type": "str"
+ },
+ {
+ "name": "force-pcie-endcap",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "x-pcie-extcap-init",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "command_serr_enable",
+ "description": "on/off",
+ "type": "bool"
+ },
+ {
+ "name": "addr",
+ "description": "Slot and optional function number, example: 06.0 or 06",
+ "type": "int32"
+ },
+ {
+ "name": "p3",
+ "type": "uint32"
+ },
+ {
+ "name": "p2",
+ "type": "uint32"
+ },
+ {
+ "name": "slots",
+ "type": "uint32"
+ }
+ ],
+ "id": "libvirt-35"
+}
+
+{
+ "execute": "device-list-properties",
+ "arguments": {
+ "typename": "spapr-pci-host-bridge"
+ },
+ "id": "libvirt-36"
+}
+
+{
+ "return": [
+ {
+ "name": "dynamic-reconfiguration",
+ "type": "bool"
+ },
+ {
+ "name": "ddw",
+ "type": "bool"
+ },
+ {
+ "name": "dma_win_size",
+ "type": "uint64"
+ },
+ {
+ "name": "numa_node",
+ "type": "uint32"
+ },
+ {
+ "name": "mem64_win_size",
+ "type": "uint64"
+ },
+ {
+ "name": "pgsz",
+ "type": "uint64"
+ },
+ {
+ "name": "pre-2.8-migration",
+ "type": "bool"
+ },
+ {
+ "name": "dma_win_addr",
+ "type": "uint64"
+ },
+ {
+ "name": "io_win_size",
+ "type": "uint64"
+ },
+ {
+ "name": "mem_win_size",
+ "type": "uint64"
+ },
+ {
+ "name": "dma64_win_addr",
+ "type": "uint64"
+ },
+ {
+ "name": "pcie-extended-configuration-space",
+ "type": "bool"
+ },
+ {
+ "name": "index",
+ "type": "uint32"
+ }
+ ],
+ "id": "libvirt-36"
+}
+
+{
+ "execute": "qom-list-properties",
+ "arguments": {
+ "typename": "memory-backend-file"
+ },
+ "id": "libvirt-37"
+}
+
+{
+ "return": [
+ {
+ "name": "type",
+ "type": "string"
+ },
+ {
+ "name": "policy",
+ "description": "Set the NUMA policy",
+ "type": "HostMemPolicy"
+ },
+ {
+ "name": "share",
+ "description": "Mark the memory as private to QEMU or shared",
+ "type": "bool"
+ },
+ {
+ "name": "host-nodes",
+ "description": "Binds memory to the list of NUMA host nodes",
+ "type": "int"
+ },
+ {
+ "name": "prealloc",
+ "description": "Preallocate memory",
+ "type": "bool"
+ },
+ {
+ "name": "dump",
+ "description": "Set to 'off' to exclude from core dump",
+ "type": "bool"
+ },
+ {
+ "name": "size",
+ "description": "Size of the memory region (ex: 500M)",
+ "type": "int"
+ },
+ {
+ "name": "merge",
+ "description": "Mark memory as mergeable",
+ "type": "bool"
+ },
+ {
+ "name": "pmem",
+ "type": "bool"
+ },
+ {
+ "name": "align",
+ "type": "int"
+ },
+ {
+ "name": "mem-path",
+ "type": "string"
+ },
+ {
+ "name": "discard-data",
+ "type": "bool"
+ }
+ ],
+ "id": "libvirt-37"
+}
+
+{
+ "execute": "qom-list-properties",
+ "arguments": {
+ "typename": "spapr-machine"
+ },
+ "id": "libvirt-38"
+}
+
+{
+ "return": [
+ {
+ "name": "type",
+ "type": "string"
+ },
+ {
+ "name": "graphics",
+ "description": "Set on/off to enable/disable graphics emulation",
+ "type": "bool"
+ },
+ {
+ "name": "phandle-start",
+ "description": "The first phandle ID we may generate dynamically",
+ "type": "int"
+ },
+ {
+ "name": "dump-guest-core",
+ "description": "Include guest memory in a core dump",
+ "type": "bool"
+ },
+ {
+ "name": "kernel-irqchip",
+ "description": "Configure KVM in-kernel irqchip",
+ "type": "on|off|split"
+ },
+ {
+ "name": "accel",
+ "description": "Accelerator list",
+ "type": "string"
+ },
+ {
+ "name": "append",
+ "description": "Linux kernel command line",
+ "type": "string"
+ },
+ {
+ "name": "dumpdtb",
+ "description": "Dump current dtb to a file and quit",
+ "type": "string"
+ },
+ {
+ "name": "memory-encryption",
+ "description": "Set memory encryption object to use",
+ "type": "string"
+ },
+ {
+ "name": "igd-passthru",
+ "description": "Set on/off to enable/disable igd passthrou",
+ "type": "bool"
+ },
+ {
+ "name": "dt-compatible",
+ "description": "Overrides the \"compatible\" property of the dt root node",
+ "type": "string"
+ },
+ {
+ "name": "kernel",
+ "description": "Linux kernel image file",
+ "type": "string"
+ },
+ {
+ "name": "usb",
+ "description": "Set on/off to enable/disable usb",
+ "type": "bool"
+ },
+ {
+ "name": "suppress-vmdesc",
+ "description": "Set on to disable self-describing migration",
+ "type": "bool"
+ },
+ {
+ "name": "dtb",
+ "description": "Linux kernel device tree file",
+ "type": "string"
+ },
+ {
+ "name": "firmware",
+ "description": "Firmware image",
+ "type": "string"
+ },
+ {
+ "name": "mem-merge",
+ "description": "Enable/disable memory merge support",
+ "type": "bool"
+ },
+ {
+ "name": "initrd",
+ "description": "Linux initial ramdisk file",
+ "type": "string"
+ },
+ {
+ "name": "enforce-config-section",
+ "description": "Set on to enforce configuration section migration",
+ "type": "bool"
+ },
+ {
+ "name": "kvm-shadow-mem",
+ "description": "KVM shadow MMU size",
+ "type": "int"
+ },
+ {
+ "name": "cap-hpt-max-page-size",
+ "description": "Maximum page size for Hash Page Table guests",
+ "type": "int"
+ },
+ {
+ "name": "cap-dfp",
+ "description": "Allow Decimal Floating Point (DFP)",
+ "type": "bool"
+ },
+ {
+ "name": "cap-cfpc",
+ "description": "Cache Flush on Privilege Change (broken, workaround, fixed)",
+ "type": "string"
+ },
+ {
+ "name": "cap-ibs",
+ "description": "Indirect Branch Speculation (broken, fixed-ibs, fixed-ccd)",
+ "type": "string"
+ },
+ {
+ "name": "cap-sbbc",
+ "description": "Speculation Barrier Bounds Checking (broken, workaround, fixed)",
+ "type": "string"
+ },
+ {
+ "name": "cap-vsx",
+ "description": "Allow Vector Scalar Extensions (VSX)",
+ "type": "bool"
+ },
+ {
+ "name": "cap-htm",
+ "description": "Allow Hardware Transactional Memory (HTM)",
+ "type": "bool"
+ },
+ {
+ "name": "cap-nested-hv",
+ "description": "Allow Nested KVM-HV",
+ "type": "bool"
+ }
+ ],
+ "id": "libvirt-38"
+}
+
+{
+ "execute": "query-machines",
+ "id": "libvirt-39"
+}
+
+{
+ "return": [
+ {
+ "hotpluggable-cpus": false,
+ "name": "ref405ep",
+ "cpu-max": 1
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "sam460ex",
+ "cpu-max": 1
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "none",
+ "cpu-max": 1
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "virtex-ml507",
+ "cpu-max": 1
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "powernv",
+ "cpu-max": 2048
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "ppce500",
+ "cpu-max": 32
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "mpc8544ds",
+ "cpu-max": 15
+ },
+ {
+ "hotpluggable-cpus": true,
+ "name": "pseries-3.1",
+ "is-default": true,
+ "cpu-max": 1024,
+ "alias": "pseries"
+ },
+ {
+ "hotpluggable-cpus": true,
+ "name": "pseries-2.12-sxxm",
+ "cpu-max": 1024
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "bamboo",
+ "cpu-max": 1
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "g3beige",
+ "cpu-max": 1
+ },
+ {
+ "hotpluggable-cpus": true,
+ "name": "pseries-3.0",
+ "cpu-max": 1024
+ },
+ {
+ "hotpluggable-cpus": true,
+ "name": "pseries-2.10",
+ "cpu-max": 1024
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "prep",
+ "cpu-max": 1
+ },
+ {
+ "hotpluggable-cpus": true,
+ "name": "pseries-2.11",
+ "cpu-max": 1024
+ },
+ {
+ "hotpluggable-cpus": true,
+ "name": "pseries-2.12",
+ "cpu-max": 1024
+ },
+ {
+ "hotpluggable-cpus": true,
+ "name": "pseries-2.9",
+ "cpu-max": 1024
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "mac99",
+ "cpu-max": 1
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "pseries-2.6",
+ "cpu-max": 1024
+ },
+ {
+ "hotpluggable-cpus": true,
+ "name": "pseries-2.7",
+ "cpu-max": 1024
+ },
+ {
+ "hotpluggable-cpus": true,
+ "name": "pseries-2.8",
+ "cpu-max": 1024
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "pseries-2.4",
+ "cpu-max": 1024
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "pseries-2.5",
+ "cpu-max": 1024
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "pseries-2.2",
+ "cpu-max": 1024
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "taihu",
+ "cpu-max": 1
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "pseries-2.3",
+ "cpu-max": 1024
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "pseries-2.1",
+ "cpu-max": 1024
+ },
+ {
+ "hotpluggable-cpus": false,
+ "name": "40p",
+ "cpu-max": 1
+ }
+ ],
+ "id": "libvirt-39"
+}
+
+{
+ "execute": "query-cpu-definitions",
+ "id": "libvirt-40"
+}
+
+{
+ "return": [
+ {
+ "name": "default",
+ "typename": "604-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "ppc",
+ "typename": "604-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "ppc32",
+ "typename": "604-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "ppc64",
+ "typename": "970fx_v3.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "power9",
+ "typename": "host-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "power8nvl",
+ "typename": "power8nvl_v1.0-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "power8",
+ "typename": "power8_v2.0-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "power8e",
+ "typename": "power8e_v2.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "power7+",
+ "typename": "power7+_v2.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "power7",
+ "typename": "power7_v2.3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "power5gs",
+ "typename": "power5+_v2.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "power5+",
+ "typename": "power5+_v2.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "970mp",
+ "typename": "970mp_v1.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "970fx",
+ "typename": "970fx_v3.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "970",
+ "typename": "970_v2.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "apollo7pm",
+ "typename": "7457a_v1.0-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7457a",
+ "typename": "7457a_v1.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7447a",
+ "typename": "7447a_v1.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "apollo7",
+ "typename": "7457_v1.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7457",
+ "typename": "7457_v1.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7447",
+ "typename": "7447_v1.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "apollo6",
+ "typename": "7455_v3.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7455",
+ "typename": "7455_v3.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7445",
+ "typename": "7445_v3.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7451",
+ "typename": "7451_v2.3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7441",
+ "typename": "7441_v2.3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "vger",
+ "typename": "7450_v2.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7450",
+ "typename": "7450_v2.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7448",
+ "typename": "7448_v2.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "nitro",
+ "typename": "7410_v1.4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7410",
+ "typename": "7410_v1.4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "g4",
+ "typename": "7400_v2.9-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "max",
+ "typename": "7400_v2.9-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7400",
+ "typename": "7400_v2.9-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "goldfinger",
+ "typename": "755_v2.8-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "755",
+ "typename": "755_v2.8-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "745",
+ "typename": "745_v2.8-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "lonestar",
+ "typename": "750l_v3.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "750l",
+ "typename": "750l_v3.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "750gx",
+ "typename": "750gx_v1.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "750fx",
+ "typename": "750fx_v2.3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "750cxe",
+ "typename": "750cxe_v3.1b-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "750cx",
+ "typename": "750cx_v2.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "750cl",
+ "typename": "750cl_v2.0-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "conan/doyle",
+ "typename": "750p-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "g3",
+ "typename": "750_v3.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "typhoon",
+ "typename": "750_v3.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "750",
+ "typename": "750_v3.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "arthur",
+ "typename": "740_v3.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "740",
+ "typename": "740_v3.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mach5",
+ "typename": "604r-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "sirocco",
+ "typename": "604e_v2.4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "604e",
+ "typename": "604e_v2.4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "goldeneye",
+ "typename": "603e7t-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "603r",
+ "typename": "603e7t-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "vaillant",
+ "typename": "603e7v-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "stretch",
+ "typename": "603e_v4.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "603e",
+ "typename": "603e_v4.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "vanilla",
+ "typename": "603-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "601v",
+ "typename": "601_v2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "601",
+ "typename": "601_v2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8560",
+ "typename": "mpc8560_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8555e",
+ "typename": "mpc8555e_v11-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8555",
+ "typename": "mpc8555_v11-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8548e",
+ "typename": "mpc8548e_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8548",
+ "typename": "mpc8548_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8547e",
+ "typename": "mpc8547e_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8545e",
+ "typename": "mpc8545e_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8545",
+ "typename": "mpc8545_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8544e",
+ "typename": "mpc8544e_v11-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8544",
+ "typename": "mpc8544_v11-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8543e",
+ "typename": "mpc8543e_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8543",
+ "typename": "mpc8543_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8541e",
+ "typename": "mpc8541e_v11-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8541",
+ "typename": "mpc8541_v11-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8540",
+ "typename": "mpc8540_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8533e",
+ "typename": "mpc8533e_v11-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8533",
+ "typename": "mpc8533_v11-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "e500v2",
+ "typename": "e500v2_v22-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "e500v1",
+ "typename": "e500_v20-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "e500",
+ "typename": "e500v2_v22-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8347ea",
+ "typename": "mpc8347eat-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8347e",
+ "typename": "mpc8347et-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8347a",
+ "typename": "mpc8347at-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8347",
+ "typename": "mpc8347t-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "e300",
+ "typename": "e300c3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "e200",
+ "typename": "e200z6-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8280",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8275",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8272",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8271",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8270",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8266_hip4",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8266_hip3",
+ "typename": "g2hip3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8266",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8265_hip4",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8265_hip3",
+ "typename": "g2hip3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8265",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8264_hip4",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8264_hip3",
+ "typename": "g2hip3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8264",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8260_hip4",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8260_hip3",
+ "typename": "g2hip3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8260",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8255_hip4",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8255_hip3",
+ "typename": "g2hip3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8255",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8250_hip4",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8250_hip3",
+ "typename": "g2hip3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8250",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8248",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8247",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8245",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8241",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "powerquicc-ii",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc82xx",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc5200b",
+ "typename": "mpc5200b_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc5200",
+ "typename": "mpc5200_v12-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc52xx",
+ "typename": "mpc5200_v12-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8240",
+ "typename": "603-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "460ex",
+ "typename": "460exb-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "440ep",
+ "typename": "440epb-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "x2vp50",
+ "typename": "x2vp20-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "x2vp7",
+ "typename": "x2vp4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "405gpe",
+ "typename": "405crc-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "405gp",
+ "typename": "405gpd-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "405cr",
+ "typename": "405crc-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "405",
+ "typename": "405d4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "403",
+ "typename": "403gc-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8547e_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cl_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7457a_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2gp",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxe_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.7",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8377",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7455_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8545_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8548_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405d4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2le",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2hip3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8572",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "970fx_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750fx_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603p",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405gpb",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v2.7",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8544e_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e500v2_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e500mc",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e7v1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "970mp_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "740e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405crc",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "403ga",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7447a_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e300c1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8572e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e500v2_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "970fx_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750gx_v1.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750fx_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7457_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "970fx_v3.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "604e_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.6",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "401a1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "power9_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "npe405h",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2ls",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7410_v1.4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "401b2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8349",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8347ep",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7445_v3.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8540_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8543_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "403gcx",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8548_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750l_v3.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e500_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxe_v3.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8541e_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8347p",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8555e_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "power9_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "power7_v2.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e7v",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8543e_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750_v3.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v2.6",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405gpa",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "440epx",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750l_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e200z6",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8547e_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7457a_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8349ea",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e500v2_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "power8nvl_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8540_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.8",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "npe405l",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8343ea",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8545_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8548_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7455_v3.4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "740_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7448_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8347et",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7441_v2.10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e_v1.4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8543_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "401c2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7451_v2.10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cx_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8543_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e7",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7448_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7441_v2.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405crb",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "604",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "440-xilinx-w-dfpu",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7445_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "cobra",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e500_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8541_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750gx_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxe_v2.4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8641d",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8543e_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750l_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7457_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7450_v1.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.5",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7410_v1.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e500v2_v30",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7455_v3.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8540_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405d2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8560_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8343",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8548_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8347t",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e_v1.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "host",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8543e_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8347eap",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8548e_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8543_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "401d2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "power8_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "740_v3.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e200z5",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405cra",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxe_v2.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.7",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8560_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e5500",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8544_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7448_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "401e2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "740_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7410_v1.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "x2vp4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8347ap",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8533_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc5200b_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750fx_v2.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "601_v2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2legp3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "604e_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cx_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8610",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2h4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750l_v3.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8377e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e300c4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc5200_v12",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7448_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7450_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxe_v3.1b",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v2.9",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405gpd",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e7t",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8555_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750gx_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8541_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8543e_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750fl",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750l_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "stb04",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8548e_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "x2vp20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405ez",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e600",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7450_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8379",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7445_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7447_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e_v4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2legp",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7455_v3.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "740_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8560_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "440-xilinx",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "401f2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8533_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8349a",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405gpr",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "stb25",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e_v1.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc5200b_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750fx_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8347eat",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "740_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "601_v1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cx_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8548e_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7447a_v1.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "403gc",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e300c3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc5200_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7441_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "740_v3.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8545e_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "602",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8378e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8343a",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "460exb",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8555_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8568",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7457a_v1.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cx_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxe_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "604e_v2.4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.8",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405lp",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.6",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "stb03",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405ep",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8544_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8347at",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7450_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7410_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750gl",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e6500",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750p",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7445_v3.4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "440epb",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "npe4gs3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e_v3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8349e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "970_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2lels",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2hip4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "740p",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8567e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8533e_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7451_v2.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "401g2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v2.8",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7455_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405gpc",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "power7+_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8544e_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7450_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "970mp_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e7v2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "604r",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e500v2_v22",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8548e_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8545e_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8378",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8567",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7457_v1.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7447_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "970fx_v3.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.5",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8379e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8641",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxe_v2.4b",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7410_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc603",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e_v4.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7445_v3.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "440epa",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "970fx_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "iop480",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750fx_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "601_v0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2legp1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cl_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxr",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "401",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8541e_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxe_v3.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8555e_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "power8e_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7447a_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "403gb",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e300c2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "npe405h2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8343e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8533e_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc5200_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750_v3.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "power5+_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8568e",
+ "typename": "",
+ "static": false
+ }
+ ],
+ "id": "libvirt-40"
+}
+
+{
+ "execute": "query-tpm-models",
+ "id": "libvirt-41"
+}
+
+{
+ "return": [
+ ],
+ "id": "libvirt-41"
+}
+
+{
+ "execute": "query-tpm-types",
+ "id": "libvirt-42"
+}
+
+{
+ "return": [
+ "emulator"
+ ],
+ "id": "libvirt-42"
+}
+
+{
+ "execute": "query-command-line-options",
+ "id": "libvirt-43"
+}
+
+{
+ "return": [
+ {
+ "parameters": [
+ {
+ "name": "timeout",
+ "help": "Request timeout in seconds (default 0 = no timeout)",
+ "type": "number"
+ },
+ {
+ "name": "initiator-name",
+ "help": "Initiator iqn name to use when connecting",
+ "type": "string"
+ },
+ {
+ "name": "header-digest",
+ "help": "HeaderDigest setting. {CRC32C|CRC32C-NONE|NONE-CRC32C|NONE}",
+ "type": "string"
+ },
+ {
+ "name": "password-secret",
+ "help": "ID of the secret providing password for CHAP authentication to target",
+ "type": "string"
+ },
+ {
+ "name": "password",
+ "help": "password for CHAP authentication to target",
+ "type": "string"
+ },
+ {
+ "name": "user",
+ "help": "username for CHAP authentication to target",
+ "type": "string"
+ }
+ ],
+ "option": "iscsi"
+ },
+ {
+ "parameters": [
+ {
+ "name": "non-adaptive",
+ "type": "boolean"
+ },
+ {
+ "name": "lossy",
+ "type": "boolean"
+ },
+ {
+ "name": "acl",
+ "type": "boolean"
+ },
+ {
+ "name": "sasl",
+ "type": "boolean"
+ },
+ {
+ "name": "key-delay-ms",
+ "type": "number"
+ },
+ {
+ "name": "lock-key-sync",
+ "type": "boolean"
+ },
+ {
+ "name": "reverse",
+ "type": "boolean"
+ },
+ {
+ "name": "password",
+ "type": "boolean"
+ },
+ {
+ "name": "ipv6",
+ "type": "boolean"
+ },
+ {
+ "name": "ipv4",
+ "type": "boolean"
+ },
+ {
+ "name": "to",
+ "type": "number"
+ },
+ {
+ "name": "connections",
+ "type": "number"
+ },
+ {
+ "name": "head",
+ "type": "number"
+ },
+ {
+ "name": "display",
+ "type": "string"
+ },
+ {
+ "name": "share",
+ "type": "string"
+ },
+ {
+ "name": "tls-creds",
+ "type": "string"
+ },
+ {
+ "name": "websocket",
+ "type": "string"
+ },
+ {
+ "name": "vnc",
+ "type": "string"
+ }
+ ],
+ "option": "vnc"
+ },
+ {
+ "parameters": [
+ {
+ "name": "dmode",
+ "type": "number"
+ },
+ {
+ "name": "fmode",
+ "type": "number"
+ },
+ {
+ "name": "sock_fd",
+ "type": "number"
+ },
+ {
+ "name": "socket",
+ "type": "string"
+ },
+ {
+ "name": "readonly",
+ "type": "boolean"
+ },
+ {
+ "name": "writeout",
+ "type": "string"
+ },
+ {
+ "name": "security_model",
+ "type": "string"
+ },
+ {
+ "name": "mount_tag",
+ "type": "string"
+ },
+ {
+ "name": "path",
+ "type": "string"
+ },
+ {
+ "name": "fsdriver",
+ "type": "string"
+ }
+ ],
+ "option": "virtfs"
+ },
+ {
+ "parameters": [
+ {
+ "name": "throttling.iops-size",
+ "help": "when limiting by iops max size of an I/O in bytes",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-write-max-length",
+ "help": "length of the bps-write-max burst period, in seconds",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-read-max-length",
+ "help": "length of the bps-read-max burst period, in seconds",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-total-max-length",
+ "help": "length of the bps-total-max burst period, in seconds",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-write-max-length",
+ "help": "length of the iops-write-max burst period, in seconds",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-read-max-length",
+ "help": "length of the iops-read-max burst period, in seconds",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-total-max-length",
+ "help": "length of the iops-total-max burst period, in seconds",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-write-max",
+ "help": "total bytes write burst",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-read-max",
+ "help": "total bytes read burst",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-total-max",
+ "help": "total bytes burst",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-write-max",
+ "help": "I/O operations write burst",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-read-max",
+ "help": "I/O operations read burst",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-total-max",
+ "help": "I/O operations burst",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-write",
+ "help": "limit write bytes per second",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-read",
+ "help": "limit read bytes per second",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-total",
+ "help": "limit total bytes per second",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-write",
+ "help": "limit write operations per second",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-read",
+ "help": "limit read operations per second",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-total",
+ "help": "limit total I/O operations per second",
+ "type": "number"
+ },
+ {
+ "name": "dmode",
+ "type": "number"
+ },
+ {
+ "name": "fmode",
+ "type": "number"
+ },
+ {
+ "name": "sock_fd",
+ "type": "number"
+ },
+ {
+ "name": "socket",
+ "type": "string"
+ },
+ {
+ "name": "readonly",
+ "type": "boolean"
+ },
+ {
+ "name": "writeout",
+ "type": "string"
+ },
+ {
+ "name": "security_model",
+ "type": "string"
+ },
+ {
+ "name": "path",
+ "type": "string"
+ },
+ {
+ "name": "fsdriver",
+ "type": "string"
+ }
+ ],
+ "option": "fsdev"
+ },
+ {
+ "parameters": [
+ {
+ "name": "resourcecontrol",
+ "type": "string"
+ },
+ {
+ "name": "spawn",
+ "type": "string"
+ },
+ {
+ "name": "elevateprivileges",
+ "type": "string"
+ },
+ {
+ "name": "obsolete",
+ "type": "string"
+ },
+ {
+ "name": "enable",
+ "type": "boolean"
+ }
+ ],
+ "option": "sandbox"
+ },
+ {
+ "parameters": [
+ {
+ "name": "string",
+ "help": "Sets content of the blob to be inserted from a string",
+ "type": "string"
+ },
+ {
+ "name": "file",
+ "help": "Sets the name of the file from which the fw_cfg blob will be loaded",
+ "type": "string"
+ },
+ {
+ "name": "name",
+ "help": "Sets the fw_cfg name of the blob to be inserted",
+ "type": "string"
+ }
+ ],
+ "option": "fw_cfg"
+ },
+ {
+ "parameters": [
+ {
+ "name": "arg",
+ "type": "string"
+ },
+ {
+ "name": "target",
+ "type": "string"
+ },
+ {
+ "name": "enable",
+ "type": "boolean"
+ }
+ ],
+ "option": "semihosting-config"
+ },
+ {
+ "parameters": [
+ {
+ "name": "rrsnapshot",
+ "type": "string"
+ },
+ {
+ "name": "rrfile",
+ "type": "string"
+ },
+ {
+ "name": "rr",
+ "type": "string"
+ },
+ {
+ "name": "sleep",
+ "type": "boolean"
+ },
+ {
+ "name": "align",
+ "type": "boolean"
+ },
+ {
+ "name": "shift",
+ "type": "string"
+ }
+ ],
+ "option": "icount"
+ },
+ {
+ "parameters": [
+ ],
+ "option": "numa"
+ },
+ {
+ "parameters": [
+ {
+ "name": "debug-threads",
+ "help": "When enabled, name the individual threads; defaults off.\nNOTE: The thread names are for debugging and not a\nstable API.",
+ "type": "boolean"
+ },
+ {
+ "name": "process",
+ "help": "Sets the name of the QEMU process, as shown in top etc",
+ "type": "string"
+ },
+ {
+ "name": "guest",
+ "help": "Sets the name of the guest.\nThis name will be displayed in the SDL window caption.\nThe name will also be used for the VNC server",
+ "type": "string"
+ }
+ ],
+ "option": "name"
+ },
+ {
+ "parameters": [
+ {
+ "name": "timestamp",
+ "type": "boolean"
+ }
+ ],
+ "option": "msg"
+ },
+ {
+ "parameters": [
+ {
+ "name": "cpu-pm",
+ "type": "boolean"
+ },
+ {
+ "name": "mem-lock",
+ "type": "boolean"
+ }
+ ],
+ "option": "overcommit"
+ },
+ {
+ "parameters": [
+ {
+ "name": "mlock",
+ "type": "boolean"
+ }
+ ],
+ "option": "realtime"
+ },
+ {
+ "parameters": [
+ ],
+ "option": "tpmdev"
+ },
+ {
+ "parameters": [
+ ],
+ "option": "object"
+ },
+ {
+ "parameters": [
+ {
+ "name": "opaque",
+ "help": "free-form string used to describe fd",
+ "type": "string"
+ },
+ {
+ "name": "set",
+ "help": "ID of the fd set to add fd to",
+ "type": "number"
+ },
+ {
+ "name": "fd",
+ "help": "file descriptor of which a duplicate is added to fd set",
+ "type": "number"
+ }
+ ],
+ "option": "add-fd"
+ },
+ {
+ "parameters": [
+ {
+ "name": "strict",
+ "type": "boolean"
+ },
+ {
+ "name": "reboot-timeout",
+ "type": "string"
+ },
+ {
+ "name": "splash-time",
+ "type": "string"
+ },
+ {
+ "name": "splash",
+ "type": "string"
+ },
+ {
+ "name": "menu",
+ "type": "boolean"
+ },
+ {
+ "name": "once",
+ "type": "string"
+ },
+ {
+ "name": "order",
+ "type": "string"
+ }
+ ],
+ "option": "boot-opts"
+ },
+ {
+ "parameters": [
+ {
+ "name": "maxcpus",
+ "type": "number"
+ },
+ {
+ "name": "threads",
+ "type": "number"
+ },
+ {
+ "name": "cores",
+ "type": "number"
+ },
+ {
+ "name": "sockets",
+ "type": "number"
+ },
+ {
+ "name": "cpus",
+ "type": "number"
+ }
+ ],
+ "option": "smp-opts"
+ },
+ {
+ "parameters": [
+ {
+ "name": "maxmem",
+ "type": "size"
+ },
+ {
+ "name": "slots",
+ "type": "number"
+ },
+ {
+ "name": "size",
+ "type": "size"
+ }
+ ],
+ "option": "memory"
+ },
+ {
+ "parameters": [
+ {
+ "name": "thread",
+ "help": "Enable/disable multi-threaded TCG",
+ "type": "string"
+ },
+ {
+ "name": "accel",
+ "help": "Select the type of accelerator",
+ "type": "string"
+ }
+ ],
+ "option": "accel"
+ },
+ {
+ "parameters": [
+ {
+ "name": "loadparm",
+ "help": "Up to 8 chars in set of [A-Za-z0-9. ](lower case chars converted to upper case) to pass to machine loader, boot manager, and guest kernel",
+ "type": "string"
+ },
+ {
+ "name": "dea-key-wrap",
+ "help": "enable/disable DEA key wrapping using the CPACF wrapping key",
+ "type": "boolean"
+ },
+ {
+ "name": "aes-key-wrap",
+ "help": "enable/disable AES key wrapping using the CPACF wrapping key",
+ "type": "boolean"
+ },
+ {
+ "name": "suppress-vmdesc",
+ "help": "Set on to disable self-describing migration",
+ "type": "boolean"
+ },
+ {
+ "name": "iommu",
+ "help": "Set on/off to enable/disable Intel IOMMU (VT-d)",
+ "type": "boolean"
+ },
+ {
+ "name": "firmware",
+ "help": "firmware image",
+ "type": "string"
+ },
+ {
+ "name": "usb",
+ "help": "Set on/off to enable/disable usb",
+ "type": "boolean"
+ },
+ {
+ "name": "mem-merge",
+ "help": "enable/disable memory merge support",
+ "type": "boolean"
+ },
+ {
+ "name": "dump-guest-core",
+ "help": "Include guest memory in a core dump",
+ "type": "boolean"
+ },
+ {
+ "name": "dt_compatible",
+ "help": "Overrides the \"compatible\" property of the dt root node",
+ "type": "string"
+ },
+ {
+ "name": "phandle_start",
+ "help": "The first phandle ID we may generate dynamically",
+ "type": "number"
+ },
+ {
+ "name": "dumpdtb",
+ "help": "Dump current dtb to a file and quit",
+ "type": "string"
+ },
+ {
+ "name": "dtb",
+ "help": "Linux kernel device tree file",
+ "type": "string"
+ },
+ {
+ "name": "append",
+ "help": "Linux kernel command line",
+ "type": "string"
+ },
+ {
+ "name": "initrd",
+ "help": "Linux initial ramdisk file",
+ "type": "string"
+ },
+ {
+ "name": "kernel",
+ "help": "Linux kernel image file",
+ "type": "string"
+ },
+ {
+ "name": "kvm_shadow_mem",
+ "help": "KVM shadow MMU size",
+ "type": "size"
+ },
+ {
+ "name": "kernel_irqchip",
+ "help": "use KVM in-kernel irqchip",
+ "type": "boolean"
+ },
+ {
+ "name": "accel",
+ "help": "accelerator list",
+ "type": "string"
+ },
+ {
+ "name": "type",
+ "help": "emulated machine",
+ "type": "string"
+ }
+ ],
+ "option": "machine"
+ },
+ {
+ "parameters": [
+ {
+ "name": "romfile",
+ "type": "string"
+ },
+ {
+ "name": "bootindex",
+ "type": "number"
+ }
+ ],
+ "option": "option-rom"
+ },
+ {
+ "parameters": [
+ {
+ "name": "file",
+ "type": "string"
+ },
+ {
+ "name": "events",
+ "type": "string"
+ },
+ {
+ "name": "enable",
+ "type": "string"
+ }
+ ],
+ "option": "trace"
+ },
+ {
+ "parameters": [
+ {
+ "name": "x-oob",
+ "type": "boolean"
+ },
+ {
+ "name": "pretty",
+ "type": "boolean"
+ },
+ {
+ "name": "chardev",
+ "type": "string"
+ },
+ {
+ "name": "mode",
+ "type": "string"
+ }
+ ],
+ "option": "mon"
+ },
+ {
+ "parameters": [
+ {
+ "name": "value",
+ "type": "string"
+ },
+ {
+ "name": "property",
+ "type": "string"
+ },
+ {
+ "name": "driver",
+ "type": "string"
+ }
+ ],
+ "option": "global"
+ },
+ {
+ "parameters": [
+ {
+ "name": "driftfix",
+ "type": "string"
+ },
+ {
+ "name": "clock",
+ "type": "string"
+ },
+ {
+ "name": "base",
+ "type": "string"
+ }
+ ],
+ "option": "rtc"
+ },
+ {
+ "parameters": [
+ ],
+ "option": "net"
+ },
+ {
+ "parameters": [
+ ],
+ "option": "nic"
+ },
+ {
+ "parameters": [
+ ],
+ "option": "netdev"
+ },
+ {
+ "parameters": [
+ ],
+ "option": "device"
+ },
+ {
+ "parameters": [
+ {
+ "name": "logappend",
+ "type": "boolean"
+ },
+ {
+ "name": "logfile",
+ "type": "string"
+ },
+ {
+ "name": "append",
+ "type": "boolean"
+ },
+ {
+ "name": "chardev",
+ "type": "string"
+ },
+ {
+ "name": "size",
+ "type": "size"
+ },
+ {
+ "name": "debug",
+ "type": "number"
+ },
+ {
+ "name": "name",
+ "type": "string"
+ },
+ {
+ "name": "signal",
+ "type": "boolean"
+ },
+ {
+ "name": "mux",
+ "type": "boolean"
+ },
+ {
+ "name": "rows",
+ "type": "number"
+ },
+ {
+ "name": "cols",
+ "type": "number"
+ },
+ {
+ "name": "height",
+ "type": "number"
+ },
+ {
+ "name": "width",
+ "type": "number"
+ },
+ {
+ "name": "websocket",
+ "type": "boolean"
+ },
+ {
+ "name": "tls-creds",
+ "type": "string"
+ },
+ {
+ "name": "tn3270",
+ "type": "boolean"
+ },
+ {
+ "name": "telnet",
+ "type": "boolean"
+ },
+ {
+ "name": "reconnect",
+ "type": "number"
+ },
+ {
+ "name": "delay",
+ "type": "boolean"
+ },
+ {
+ "name": "server",
+ "type": "boolean"
+ },
+ {
+ "name": "wait",
+ "type": "boolean"
+ },
+ {
+ "name": "ipv6",
+ "type": "boolean"
+ },
+ {
+ "name": "ipv4",
+ "type": "boolean"
+ },
+ {
+ "name": "to",
+ "type": "number"
+ },
+ {
+ "name": "localport",
+ "type": "string"
+ },
+ {
+ "name": "localaddr",
+ "type": "string"
+ },
+ {
+ "name": "fd",
+ "type": "string"
+ },
+ {
+ "name": "port",
+ "type": "string"
+ },
+ {
+ "name": "host",
+ "type": "string"
+ },
+ {
+ "name": "path",
+ "type": "string"
+ },
+ {
+ "name": "backend",
+ "type": "string"
+ }
+ ],
+ "option": "chardev"
+ },
+ {
+ "parameters": [
+ {
+ "name": "copy-on-read",
+ "help": "copy read data from backing file into image file",
+ "type": "boolean"
+ },
+ {
+ "name": "werror",
+ "help": "write error action",
+ "type": "string"
+ },
+ {
+ "name": "rerror",
+ "help": "read error action",
+ "type": "string"
+ },
+ {
+ "name": "read-only",
+ "help": "open drive file as read-only",
+ "type": "boolean"
+ },
+ {
+ "name": "file",
+ "help": "file name",
+ "type": "string"
+ },
+ {
+ "name": "if",
+ "help": "interface (ide, scsi, sd, mtd, floppy, pflash, virtio)",
+ "type": "string"
+ },
+ {
+ "name": "media",
+ "help": "media type (disk, cdrom)",
+ "type": "string"
+ },
+ {
+ "name": "index",
+ "help": "index number",
+ "type": "number"
+ },
+ {
+ "name": "unit",
+ "help": "unit number (i.e. lun for scsi)",
+ "type": "number"
+ },
+ {
+ "name": "bus",
+ "help": "bus number",
+ "type": "number"
+ },
+ {
+ "name": "stats-account-failed",
+ "help": "whether to account for failed I/O operations in the statistics",
+ "type": "boolean"
+ },
+ {
+ "name": "stats-account-invalid",
+ "help": "whether to account for invalid I/O operations in the statistics",
+ "type": "boolean"
+ },
+ {
+ "name": "detect-zeroes",
+ "help": "try to optimize zero writes (off, on, unmap)",
+ "type": "string"
+ },
+ {
+ "name": "throttling.group",
+ "help": "name of the block throttling group",
+ "type": "string"
+ },
+ {
+ "name": "throttling.iops-size",
+ "help": "when limiting by iops max size of an I/O in bytes",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-write-max-length",
+ "help": "length of the bps-write-max burst period, in seconds",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-read-max-length",
+ "help": "length of the bps-read-max burst period, in seconds",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-total-max-length",
+ "help": "length of the bps-total-max burst period, in seconds",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-write-max-length",
+ "help": "length of the iops-write-max burst period, in seconds",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-read-max-length",
+ "help": "length of the iops-read-max burst period, in seconds",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-total-max-length",
+ "help": "length of the iops-total-max burst period, in seconds",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-write-max",
+ "help": "total bytes write burst",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-read-max",
+ "help": "total bytes read burst",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-total-max",
+ "help": "total bytes burst",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-write-max",
+ "help": "I/O operations write burst",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-read-max",
+ "help": "I/O operations read burst",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-total-max",
+ "help": "I/O operations burst",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-write",
+ "help": "limit write bytes per second",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-read",
+ "help": "limit read bytes per second",
+ "type": "number"
+ },
+ {
+ "name": "throttling.bps-total",
+ "help": "limit total bytes per second",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-write",
+ "help": "limit write operations per second",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-read",
+ "help": "limit read operations per second",
+ "type": "number"
+ },
+ {
+ "name": "throttling.iops-total",
+ "help": "limit total I/O operations per second",
+ "type": "number"
+ },
+ {
+ "name": "werror",
+ "help": "write error action",
+ "type": "string"
+ },
+ {
+ "name": "format",
+ "help": "disk format (raw, qcow2, ...)",
+ "type": "string"
+ },
+ {
+ "name": "cache.writeback",
+ "help": "Enable writeback mode",
+ "type": "boolean"
+ },
+ {
+ "name": "aio",
+ "help": "host AIO implementation (threads, native)",
+ "type": "string"
+ },
+ {
+ "name": "snapshot",
+ "help": "enable/disable snapshot mode",
+ "type": "boolean"
+ },
+ {
+ "name": "force-share",
+ "help": "always accept other writers (default: off)",
+ "type": "boolean"
+ },
+ {
+ "name": "discard",
+ "help": "discard operation (ignore/off, unmap/on)",
+ "type": "string"
+ },
+ {
+ "name": "auto-read-only",
+ "help": "Node can become read-only if opening read-write fails",
+ "type": "boolean"
+ },
+ {
+ "name": "cache.no-flush",
+ "help": "Ignore flush requests",
+ "type": "boolean"
+ },
+ {
+ "name": "cache.direct",
+ "help": "Bypass software writeback cache on the host",
+ "type": "boolean"
+ },
+ {
+ "name": "driver",
+ "help": "Block driver to use for the node",
+ "type": "string"
+ },
+ {
+ "name": "node-name",
+ "help": "Node name of the block device node",
+ "type": "string"
+ }
+ ],
+ "option": "drive"
+ }
+ ],
+ "id": "libvirt-43"
+}
+
+{
+ "execute": "query-migrate-capabilities",
+ "id": "libvirt-44"
+}
+
+{
+ "return": [
+ {
+ "state": false,
+ "capability": "xbzrle"
+ },
+ {
+ "state": false,
+ "capability": "rdma-pin-all"
+ },
+ {
+ "state": false,
+ "capability": "auto-converge"
+ },
+ {
+ "state": false,
+ "capability": "zero-blocks"
+ },
+ {
+ "state": false,
+ "capability": "compress"
+ },
+ {
+ "state": false,
+ "capability": "events"
+ },
+ {
+ "state": false,
+ "capability": "postcopy-ram"
+ },
+ {
+ "state": false,
+ "capability": "x-colo"
+ },
+ {
+ "state": false,
+ "capability": "release-ram"
+ },
+ {
+ "state": false,
+ "capability": "block"
+ },
+ {
+ "state": false,
+ "capability": "return-path"
+ },
+ {
+ "state": false,
+ "capability": "pause-before-switchover"
+ },
+ {
+ "state": false,
+ "capability": "x-multifd"
+ },
+ {
+ "state": false,
+ "capability": "dirty-bitmaps"
+ },
+ {
+ "state": false,
+ "capability": "postcopy-blocktime"
+ },
+ {
+ "state": false,
+ "capability": "late-block-activate"
+ }
+ ],
+ "id": "libvirt-44"
+}
+
+{
+ "execute": "query-qmp-schema",
+ "id": "libvirt-45"
+}
+
+{
+ "return": [
+ {
+ "name": "query-status",
+ "ret-type": "1",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "SHUTDOWN",
+ "meta-type": "event",
+ "arg-type": "2"
+ },
+ {
+ "name": "POWERDOWN",
+ "meta-type": "event",
+ "arg-type": "0"
+ },
+ {
+ "name": "RESET",
+ "meta-type": "event",
+ "arg-type": "3"
+ },
+ {
+ "name": "STOP",
+ "meta-type": "event",
+ "arg-type": "0"
+ },
+ {
+ "name": "RESUME",
+ "meta-type": "event",
+ "arg-type": "0"
+ },
+ {
+ "name": "SUSPEND",
+ "meta-type": "event",
+ "arg-type": "0"
+ },
+ {
+ "name": "SUSPEND_DISK",
+ "meta-type": "event",
+ "arg-type": "0"
+ },
+ {
+ "name": "WAKEUP",
+ "meta-type": "event",
+ "arg-type": "0"
+ },
+ {
+ "name": "WATCHDOG",
+ "meta-type": "event",
+ "arg-type": "4"
+ },
+ {
+ "name": "watchdog-set-action",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "5"
+ },
+ {
+ "name": "GUEST_PANICKED",
+ "meta-type": "event",
+ "arg-type": "6"
+ },
+ {
+ "name": "JOB_STATUS_CHANGE",
+ "meta-type": "event",
+ "arg-type": "7"
+ },
+ {
+ "name": "job-pause",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "8"
+ },
+ {
+ "name": "job-resume",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "9"
+ },
+ {
+ "name": "job-cancel",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "10"
+ },
+ {
+ "name": "job-complete",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "11"
+ },
+ {
+ "name": "job-dismiss",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "12"
+ },
+ {
+ "name": "job-finalize",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "13"
+ },
+ {
+ "name": "query-jobs",
+ "ret-type": "[14]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "x-block-latency-histogram-set",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "15"
+ },
+ {
+ "name": "query-block",
+ "ret-type": "[16]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-blockstats",
+ "ret-type": "[18]",
+ "meta-type": "command",
+ "arg-type": "17"
+ },
+ {
+ "name": "query-block-jobs",
+ "ret-type": "[19]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "block_passwd",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "20"
+ },
+ {
+ "name": "block_resize",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "21"
+ },
+ {
+ "name": "blockdev-snapshot-sync",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "22"
+ },
+ {
+ "name": "blockdev-snapshot",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "23"
+ },
+ {
+ "name": "change-backing-file",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "24"
+ },
+ {
+ "name": "block-commit",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "25"
+ },
+ {
+ "name": "drive-backup",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "26"
+ },
+ {
+ "name": "blockdev-backup",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "27"
+ },
+ {
+ "name": "query-named-block-nodes",
+ "ret-type": "[28]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "drive-mirror",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "29"
+ },
+ {
+ "name": "block-dirty-bitmap-add",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "30"
+ },
+ {
+ "name": "block-dirty-bitmap-remove",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "31"
+ },
+ {
+ "name": "block-dirty-bitmap-clear",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "31"
+ },
+ {
+ "name": "x-block-dirty-bitmap-enable",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "31"
+ },
+ {
+ "name": "x-block-dirty-bitmap-disable",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "31"
+ },
+ {
+ "name": "x-block-dirty-bitmap-merge",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "32"
+ },
+ {
+ "name": "x-debug-block-dirty-bitmap-sha256",
+ "ret-type": "33",
+ "meta-type": "command",
+ "arg-type": "31"
+ },
+ {
+ "name": "blockdev-mirror",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "34"
+ },
+ {
+ "name": "block_set_io_throttle",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "35"
+ },
+ {
+ "name": "block-stream",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "36"
+ },
+ {
+ "name": "block-job-set-speed",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "37"
+ },
+ {
+ "name": "block-job-cancel",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "38"
+ },
+ {
+ "name": "block-job-pause",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "39"
+ },
+ {
+ "name": "block-job-resume",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "40"
+ },
+ {
+ "name": "block-job-complete",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "41"
+ },
+ {
+ "name": "block-job-dismiss",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "42"
+ },
+ {
+ "name": "block-job-finalize",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "43"
+ },
+ {
+ "name": "blockdev-add",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "44"
+ },
+ {
+ "name": "blockdev-del",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "45"
+ },
+ {
+ "name": "blockdev-create",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "46"
+ },
+ {
+ "name": "blockdev-open-tray",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "47"
+ },
+ {
+ "name": "blockdev-close-tray",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "48"
+ },
+ {
+ "name": "blockdev-remove-medium",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "49"
+ },
+ {
+ "name": "blockdev-insert-medium",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "50"
+ },
+ {
+ "name": "blockdev-change-medium",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "51"
+ },
+ {
+ "name": "BLOCK_IMAGE_CORRUPTED",
+ "meta-type": "event",
+ "arg-type": "52"
+ },
+ {
+ "name": "BLOCK_IO_ERROR",
+ "meta-type": "event",
+ "arg-type": "53"
+ },
+ {
+ "name": "BLOCK_JOB_COMPLETED",
+ "meta-type": "event",
+ "arg-type": "54"
+ },
+ {
+ "name": "BLOCK_JOB_CANCELLED",
+ "meta-type": "event",
+ "arg-type": "55"
+ },
+ {
+ "name": "BLOCK_JOB_ERROR",
+ "meta-type": "event",
+ "arg-type": "56"
+ },
+ {
+ "name": "BLOCK_JOB_READY",
+ "meta-type": "event",
+ "arg-type": "57"
+ },
+ {
+ "name": "BLOCK_JOB_PENDING",
+ "meta-type": "event",
+ "arg-type": "58"
+ },
+ {
+ "name": "BLOCK_WRITE_THRESHOLD",
+ "meta-type": "event",
+ "arg-type": "59"
+ },
+ {
+ "name": "block-set-write-threshold",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "60"
+ },
+ {
+ "name": "x-blockdev-change",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "61"
+ },
+ {
+ "name": "x-blockdev-set-iothread",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "62"
+ },
+ {
+ "name": "query-pr-managers",
+ "ret-type": "[63]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "blockdev-snapshot-internal-sync",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "64"
+ },
+ {
+ "name": "blockdev-snapshot-delete-internal-sync",
+ "ret-type": "66",
+ "meta-type": "command",
+ "arg-type": "65"
+ },
+ {
+ "name": "eject",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "67"
+ },
+ {
+ "name": "nbd-server-start",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "68"
+ },
+ {
+ "name": "nbd-server-add",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "69"
+ },
+ {
+ "name": "nbd-server-remove",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "70"
+ },
+ {
+ "name": "x-nbd-server-add-bitmap",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "71"
+ },
+ {
+ "name": "nbd-server-stop",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "DEVICE_TRAY_MOVED",
+ "meta-type": "event",
+ "arg-type": "72"
+ },
+ {
+ "name": "PR_MANAGER_STATUS_CHANGED",
+ "meta-type": "event",
+ "arg-type": "73"
+ },
+ {
+ "name": "QUORUM_FAILURE",
+ "meta-type": "event",
+ "arg-type": "74"
+ },
+ {
+ "name": "QUORUM_REPORT_BAD",
+ "meta-type": "event",
+ "arg-type": "75"
+ },
+ {
+ "name": "query-chardev",
+ "ret-type": "[76]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-chardev-backends",
+ "ret-type": "[77]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "ringbuf-write",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "78"
+ },
+ {
+ "name": "ringbuf-read",
+ "ret-type": "str",
+ "meta-type": "command",
+ "arg-type": "79"
+ },
+ {
+ "name": "chardev-add",
+ "ret-type": "81",
+ "meta-type": "command",
+ "arg-type": "80"
+ },
+ {
+ "name": "chardev-change",
+ "ret-type": "81",
+ "meta-type": "command",
+ "arg-type": "82"
+ },
+ {
+ "name": "chardev-remove",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "83"
+ },
+ {
+ "name": "chardev-send-break",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "84"
+ },
+ {
+ "name": "VSERPORT_CHANGE",
+ "meta-type": "event",
+ "arg-type": "85"
+ },
+ {
+ "name": "set_link",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "86"
+ },
+ {
+ "name": "netdev_add",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "87"
+ },
+ {
+ "name": "netdev_del",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "88"
+ },
+ {
+ "name": "query-rx-filter",
+ "ret-type": "[90]",
+ "meta-type": "command",
+ "arg-type": "89"
+ },
+ {
+ "name": "NIC_RX_FILTER_CHANGED",
+ "meta-type": "event",
+ "arg-type": "91"
+ },
+ {
+ "name": "query-rocker",
+ "ret-type": "93",
+ "meta-type": "command",
+ "arg-type": "92"
+ },
+ {
+ "name": "query-rocker-ports",
+ "ret-type": "[95]",
+ "meta-type": "command",
+ "arg-type": "94"
+ },
+ {
+ "name": "query-rocker-of-dpa-flows",
+ "ret-type": "[97]",
+ "meta-type": "command",
+ "arg-type": "96"
+ },
+ {
+ "name": "query-rocker-of-dpa-groups",
+ "ret-type": "[99]",
+ "meta-type": "command",
+ "arg-type": "98"
+ },
+ {
+ "name": "query-tpm-models",
+ "ret-type": "[100]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-tpm-types",
+ "ret-type": "[101]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-tpm",
+ "ret-type": "[102]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "set_password",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "103"
+ },
+ {
+ "name": "expire_password",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "104"
+ },
+ {
+ "name": "screendump",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "105"
+ },
+ {
+ "name": "query-vnc",
+ "ret-type": "110",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-vnc-servers",
+ "ret-type": "[111]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "change-vnc-password",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "112"
+ },
+ {
+ "name": "VNC_CONNECTED",
+ "meta-type": "event",
+ "arg-type": "113"
+ },
+ {
+ "name": "VNC_INITIALIZED",
+ "meta-type": "event",
+ "arg-type": "114"
+ },
+ {
+ "name": "VNC_DISCONNECTED",
+ "meta-type": "event",
+ "arg-type": "115"
+ },
+ {
+ "name": "query-mice",
+ "ret-type": "[116]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "send-key",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "117"
+ },
+ {
+ "name": "input-send-event",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "118"
+ },
+ {
+ "name": "query-migrate",
+ "ret-type": "119",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "migrate-set-capabilities",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "120"
+ },
+ {
+ "name": "query-migrate-capabilities",
+ "ret-type": "[121]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "migrate-set-parameters",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "122"
+ },
+ {
+ "name": "query-migrate-parameters",
+ "ret-type": "123",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "client_migrate_info",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "124"
+ },
+ {
+ "name": "migrate-start-postcopy",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "MIGRATION",
+ "meta-type": "event",
+ "arg-type": "125"
+ },
+ {
+ "name": "MIGRATION_PASS",
+ "meta-type": "event",
+ "arg-type": "126"
+ },
+ {
+ "name": "COLO_EXIT",
+ "meta-type": "event",
+ "arg-type": "127"
+ },
+ {
+ "name": "x-colo-lost-heartbeat",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "migrate_cancel",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "migrate-continue",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "128"
+ },
+ {
+ "name": "migrate_set_downtime",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "129"
+ },
+ {
+ "name": "migrate_set_speed",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "130"
+ },
+ {
+ "name": "migrate-set-cache-size",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "131"
+ },
+ {
+ "name": "query-migrate-cache-size",
+ "ret-type": "int",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "migrate",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "132"
+ },
+ {
+ "name": "migrate-incoming",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "133"
+ },
+ {
+ "name": "xen-save-devices-state",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "134"
+ },
+ {
+ "name": "xen-set-replication",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "135"
+ },
+ {
+ "name": "query-xen-replication-status",
+ "ret-type": "136",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "xen-colo-do-checkpoint",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-colo-status",
+ "ret-type": "137",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "migrate-recover",
+ "ret-type": "0",
+ "allow-oob": true,
+ "meta-type": "command",
+ "arg-type": "138"
+ },
+ {
+ "name": "migrate-pause",
+ "ret-type": "0",
+ "allow-oob": true,
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "transaction",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "139"
+ },
+ {
+ "name": "trace-event-get-state",
+ "ret-type": "[141]",
+ "meta-type": "command",
+ "arg-type": "140"
+ },
+ {
+ "name": "trace-event-set-state",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "142"
+ },
+ {
+ "name": "query-qmp-schema",
+ "ret-type": "[143]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "qmp_capabilities",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "144"
+ },
+ {
+ "name": "query-version",
+ "ret-type": "145",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-commands",
+ "ret-type": "[146]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "add_client",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "147"
+ },
+ {
+ "name": "query-name",
+ "ret-type": "148",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-kvm",
+ "ret-type": "149",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-uuid",
+ "ret-type": "150",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-events",
+ "ret-type": "[151]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-cpus",
+ "ret-type": "[152]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-cpus-fast",
+ "ret-type": "[153]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-iothreads",
+ "ret-type": "[154]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-balloon",
+ "ret-type": "155",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "BALLOON_CHANGE",
+ "meta-type": "event",
+ "arg-type": "156"
+ },
+ {
+ "name": "query-pci",
+ "ret-type": "[157]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "quit",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "stop",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "system_reset",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "system_powerdown",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "cpu-add",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "158"
+ },
+ {
+ "name": "memsave",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "159"
+ },
+ {
+ "name": "pmemsave",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "160"
+ },
+ {
+ "name": "cont",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "x-exit-preconfig",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "system_wakeup",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "inject-nmi",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "balloon",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "161"
+ },
+ {
+ "name": "human-monitor-command",
+ "ret-type": "str",
+ "meta-type": "command",
+ "arg-type": "162"
+ },
+ {
+ "name": "qom-list",
+ "ret-type": "[164]",
+ "meta-type": "command",
+ "arg-type": "163"
+ },
+ {
+ "name": "qom-get",
+ "ret-type": "any",
+ "meta-type": "command",
+ "arg-type": "165"
+ },
+ {
+ "name": "qom-set",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "166"
+ },
+ {
+ "name": "change",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "167"
+ },
+ {
+ "name": "qom-list-types",
+ "ret-type": "[169]",
+ "meta-type": "command",
+ "arg-type": "168"
+ },
+ {
+ "name": "device-list-properties",
+ "ret-type": "[164]",
+ "meta-type": "command",
+ "arg-type": "170"
+ },
+ {
+ "name": "qom-list-properties",
+ "ret-type": "[164]",
+ "meta-type": "command",
+ "arg-type": "171"
+ },
+ {
+ "name": "xen-set-global-dirty-log",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "172"
+ },
+ {
+ "name": "device_add",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "173"
+ },
+ {
+ "name": "device_del",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "174"
+ },
+ {
+ "name": "DEVICE_DELETED",
+ "meta-type": "event",
+ "arg-type": "175"
+ },
+ {
+ "name": "dump-guest-memory",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "176"
+ },
+ {
+ "name": "query-dump",
+ "ret-type": "177",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "DUMP_COMPLETED",
+ "meta-type": "event",
+ "arg-type": "178"
+ },
+ {
+ "name": "query-dump-guest-memory-capability",
+ "ret-type": "179",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "dump-skeys",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "180"
+ },
+ {
+ "name": "object-add",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "181"
+ },
+ {
+ "name": "object-del",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "182"
+ },
+ {
+ "name": "getfd",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "183"
+ },
+ {
+ "name": "closefd",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "184"
+ },
+ {
+ "name": "query-machines",
+ "ret-type": "[185]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-memory-size-summary",
+ "ret-type": "186",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-cpu-definitions",
+ "ret-type": "[187]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-cpu-model-expansion",
+ "ret-type": "189",
+ "meta-type": "command",
+ "arg-type": "188"
+ },
+ {
+ "name": "query-cpu-model-comparison",
+ "ret-type": "191",
+ "meta-type": "command",
+ "arg-type": "190"
+ },
+ {
+ "name": "query-cpu-model-baseline",
+ "ret-type": "193",
+ "meta-type": "command",
+ "arg-type": "192"
+ },
+ {
+ "name": "add-fd",
+ "ret-type": "195",
+ "meta-type": "command",
+ "arg-type": "194"
+ },
+ {
+ "name": "remove-fd",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "196"
+ },
+ {
+ "name": "query-fdsets",
+ "ret-type": "[197]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-target",
+ "ret-type": "198",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-command-line-options",
+ "ret-type": "[200]",
+ "meta-type": "command",
+ "arg-type": "199"
+ },
+ {
+ "name": "query-memdev",
+ "ret-type": "[201]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-memory-devices",
+ "ret-type": "[202]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "MEM_UNPLUG_ERROR",
+ "meta-type": "event",
+ "arg-type": "203"
+ },
+ {
+ "name": "query-acpi-ospm-status",
+ "ret-type": "[204]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "ACPI_DEVICE_OST",
+ "meta-type": "event",
+ "arg-type": "205"
+ },
+ {
+ "name": "rtc-reset-reinjection",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "RTC_CHANGE",
+ "meta-type": "event",
+ "arg-type": "206"
+ },
+ {
+ "name": "xen-load-devices-state",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "207"
+ },
+ {
+ "name": "query-gic-capabilities",
+ "ret-type": "[208]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-hotpluggable-cpus",
+ "ret-type": "[209]",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-vm-generation-id",
+ "ret-type": "210",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-sev",
+ "ret-type": "211",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-sev-launch-measure",
+ "ret-type": "212",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "query-sev-capabilities",
+ "ret-type": "213",
+ "meta-type": "command",
+ "arg-type": "0"
+ },
+ {
+ "name": "COMMAND_DROPPED",
+ "meta-type": "event",
+ "arg-type": "214"
+ },
+ {
+ "name": "set-numa-node",
+ "ret-type": "0",
+ "meta-type": "command",
+ "arg-type": "215"
+ },
+ {
+ "name": "0",
+ "members": [
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "1",
+ "members": [
+ {
+ "name": "running",
+ "type": "bool"
+ },
+ {
+ "name": "singlestep",
+ "type": "bool"
+ },
+ {
+ "name": "status",
+ "type": "216"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "2",
+ "members": [
+ {
+ "name": "guest",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "3",
+ "members": [
+ {
+ "name": "guest",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "4",
+ "members": [
+ {
+ "name": "action",
+ "type": "217"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "5",
+ "members": [
+ {
+ "name": "action",
+ "type": "217"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "6",
+ "members": [
+ {
+ "name": "action",
+ "type": "218"
+ },
+ {
+ "name": "info",
+ "default": null,
+ "type": "219"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "7",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ },
+ {
+ "name": "status",
+ "type": "220"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "8",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "9",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "10",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "11",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "12",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "13",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[14]",
+ "element-type": "14",
+ "meta-type": "array"
+ },
+ {
+ "name": "14",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ },
+ {
+ "name": "type",
+ "type": "221"
+ },
+ {
+ "name": "status",
+ "type": "220"
+ },
+ {
+ "name": "current-progress",
+ "type": "int"
+ },
+ {
+ "name": "total-progress",
+ "type": "int"
+ },
+ {
+ "name": "error",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "15",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "boundaries",
+ "default": null,
+ "type": "[int]"
+ },
+ {
+ "name": "boundaries-read",
+ "default": null,
+ "type": "[int]"
+ },
+ {
+ "name": "boundaries-write",
+ "default": null,
+ "type": "[int]"
+ },
+ {
+ "name": "boundaries-flush",
+ "default": null,
+ "type": "[int]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[16]",
+ "element-type": "16",
+ "meta-type": "array"
+ },
+ {
+ "name": "16",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "qdev",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "type",
+ "type": "str"
+ },
+ {
+ "name": "removable",
+ "type": "bool"
+ },
+ {
+ "name": "locked",
+ "type": "bool"
+ },
+ {
+ "name": "inserted",
+ "default": null,
+ "type": "28"
+ },
+ {
+ "name": "tray_open",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "io-status",
+ "default": null,
+ "type": "222"
+ },
+ {
+ "name": "dirty-bitmaps",
+ "default": null,
+ "type": "[223]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "17",
+ "members": [
+ {
+ "name": "query-nodes",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[18]",
+ "element-type": "18",
+ "meta-type": "array"
+ },
+ {
+ "name": "18",
+ "members": [
+ {
+ "name": "device",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "qdev",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "node-name",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "stats",
+ "type": "224"
+ },
+ {
+ "name": "parent",
+ "default": null,
+ "type": "18"
+ },
+ {
+ "name": "backing",
+ "default": null,
+ "type": "18"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[19]",
+ "element-type": "19",
+ "meta-type": "array"
+ },
+ {
+ "name": "19",
+ "members": [
+ {
+ "name": "type",
+ "type": "str"
+ },
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "len",
+ "type": "int"
+ },
+ {
+ "name": "offset",
+ "type": "int"
+ },
+ {
+ "name": "busy",
+ "type": "bool"
+ },
+ {
+ "name": "paused",
+ "type": "bool"
+ },
+ {
+ "name": "speed",
+ "type": "int"
+ },
+ {
+ "name": "io-status",
+ "type": "222"
+ },
+ {
+ "name": "ready",
+ "type": "bool"
+ },
+ {
+ "name": "status",
+ "type": "220"
+ },
+ {
+ "name": "auto-finalize",
+ "type": "bool"
+ },
+ {
+ "name": "auto-dismiss",
+ "type": "bool"
+ },
+ {
+ "name": "error",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "20",
+ "members": [
+ {
+ "name": "device",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "node-name",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "password",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "21",
+ "members": [
+ {
+ "name": "device",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "node-name",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "22",
+ "members": [
+ {
+ "name": "device",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "node-name",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "snapshot-file",
+ "type": "str"
+ },
+ {
+ "name": "snapshot-node-name",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "format",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "mode",
+ "default": null,
+ "type": "225"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "23",
+ "members": [
+ {
+ "name": "node",
+ "type": "str"
+ },
+ {
+ "name": "overlay",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "24",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "image-node-name",
+ "type": "str"
+ },
+ {
+ "name": "backing-file",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "25",
+ "members": [
+ {
+ "name": "job-id",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "base-node",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "base",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "top-node",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "top",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "backing-file",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "speed",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "filter-node-name",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "auto-finalize",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "auto-dismiss",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "26",
+ "members": [
+ {
+ "name": "job-id",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "target",
+ "type": "str"
+ },
+ {
+ "name": "format",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "sync",
+ "type": "226"
+ },
+ {
+ "name": "mode",
+ "default": null,
+ "type": "225"
+ },
+ {
+ "name": "speed",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "bitmap",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "compress",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "on-source-error",
+ "default": null,
+ "type": "227"
+ },
+ {
+ "name": "on-target-error",
+ "default": null,
+ "type": "227"
+ },
+ {
+ "name": "auto-finalize",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "auto-dismiss",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "27",
+ "members": [
+ {
+ "name": "job-id",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "target",
+ "type": "str"
+ },
+ {
+ "name": "sync",
+ "type": "226"
+ },
+ {
+ "name": "speed",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "bitmap",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "compress",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "on-source-error",
+ "default": null,
+ "type": "227"
+ },
+ {
+ "name": "on-target-error",
+ "default": null,
+ "type": "227"
+ },
+ {
+ "name": "auto-finalize",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "auto-dismiss",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[28]",
+ "element-type": "28",
+ "meta-type": "array"
+ },
+ {
+ "name": "28",
+ "members": [
+ {
+ "name": "file",
+ "type": "str"
+ },
+ {
+ "name": "node-name",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "ro",
+ "type": "bool"
+ },
+ {
+ "name": "drv",
+ "type": "str"
+ },
+ {
+ "name": "backing_file",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "backing_file_depth",
+ "type": "int"
+ },
+ {
+ "name": "encrypted",
+ "type": "bool"
+ },
+ {
+ "name": "encryption_key_missing",
+ "type": "bool"
+ },
+ {
+ "name": "detect_zeroes",
+ "type": "228"
+ },
+ {
+ "name": "bps",
+ "type": "int"
+ },
+ {
+ "name": "bps_rd",
+ "type": "int"
+ },
+ {
+ "name": "bps_wr",
+ "type": "int"
+ },
+ {
+ "name": "iops",
+ "type": "int"
+ },
+ {
+ "name": "iops_rd",
+ "type": "int"
+ },
+ {
+ "name": "iops_wr",
+ "type": "int"
+ },
+ {
+ "name": "image",
+ "type": "229"
+ },
+ {
+ "name": "bps_max",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "bps_rd_max",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "bps_wr_max",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "iops_max",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "iops_rd_max",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "iops_wr_max",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "bps_max_length",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "bps_rd_max_length",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "bps_wr_max_length",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "iops_max_length",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "iops_rd_max_length",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "iops_wr_max_length",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "iops_size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "group",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "cache",
+ "type": "230"
+ },
+ {
+ "name": "write_threshold",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "29",
+ "members": [
+ {
+ "name": "job-id",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "target",
+ "type": "str"
+ },
+ {
+ "name": "format",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "node-name",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "replaces",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "sync",
+ "type": "226"
+ },
+ {
+ "name": "mode",
+ "default": null,
+ "type": "225"
+ },
+ {
+ "name": "speed",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "granularity",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "buf-size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "on-source-error",
+ "default": null,
+ "type": "227"
+ },
+ {
+ "name": "on-target-error",
+ "default": null,
+ "type": "227"
+ },
+ {
+ "name": "unmap",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "copy-mode",
+ "default": null,
+ "type": "231"
+ },
+ {
+ "name": "auto-finalize",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "auto-dismiss",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "30",
+ "members": [
+ {
+ "name": "node",
+ "type": "str"
+ },
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "granularity",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "persistent",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "autoload",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "x-disabled",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "31",
+ "members": [
+ {
+ "name": "node",
+ "type": "str"
+ },
+ {
+ "name": "name",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "32",
+ "members": [
+ {
+ "name": "node",
+ "type": "str"
+ },
+ {
+ "name": "dst_name",
+ "type": "str"
+ },
+ {
+ "name": "src_name",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "33",
+ "members": [
+ {
+ "name": "sha256",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "34",
+ "members": [
+ {
+ "name": "job-id",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "target",
+ "type": "str"
+ },
+ {
+ "name": "replaces",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "sync",
+ "type": "226"
+ },
+ {
+ "name": "speed",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "granularity",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "buf-size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "on-source-error",
+ "default": null,
+ "type": "227"
+ },
+ {
+ "name": "on-target-error",
+ "default": null,
+ "type": "227"
+ },
+ {
+ "name": "filter-node-name",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "copy-mode",
+ "default": null,
+ "type": "231"
+ },
+ {
+ "name": "auto-finalize",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "auto-dismiss",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "35",
+ "members": [
+ {
+ "name": "device",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "id",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "bps",
+ "type": "int"
+ },
+ {
+ "name": "bps_rd",
+ "type": "int"
+ },
+ {
+ "name": "bps_wr",
+ "type": "int"
+ },
+ {
+ "name": "iops",
+ "type": "int"
+ },
+ {
+ "name": "iops_rd",
+ "type": "int"
+ },
+ {
+ "name": "iops_wr",
+ "type": "int"
+ },
+ {
+ "name": "bps_max",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "bps_rd_max",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "bps_wr_max",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "iops_max",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "iops_rd_max",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "iops_wr_max",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "bps_max_length",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "bps_rd_max_length",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "bps_wr_max_length",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "iops_max_length",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "iops_rd_max_length",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "iops_wr_max_length",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "iops_size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "group",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "36",
+ "members": [
+ {
+ "name": "job-id",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "base",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "base-node",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "backing-file",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "speed",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "on-error",
+ "default": null,
+ "type": "227"
+ },
+ {
+ "name": "auto-finalize",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "auto-dismiss",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "37",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "speed",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "38",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "force",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "39",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "40",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "41",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "42",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "43",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "44",
+ "tag": "driver",
+ "variants": [
+ {
+ "case": "blkdebug",
+ "type": "235"
+ },
+ {
+ "case": "blklogwrites",
+ "type": "236"
+ },
+ {
+ "case": "blkverify",
+ "type": "237"
+ },
+ {
+ "case": "bochs",
+ "type": "238"
+ },
+ {
+ "case": "cloop",
+ "type": "238"
+ },
+ {
+ "case": "copy-on-read",
+ "type": "238"
+ },
+ {
+ "case": "dmg",
+ "type": "238"
+ },
+ {
+ "case": "file",
+ "type": "239"
+ },
+ {
+ "case": "ftp",
+ "type": "240"
+ },
+ {
+ "case": "ftps",
+ "type": "241"
+ },
+ {
+ "case": "gluster",
+ "type": "242"
+ },
+ {
+ "case": "host_cdrom",
+ "type": "239"
+ },
+ {
+ "case": "host_device",
+ "type": "239"
+ },
+ {
+ "case": "http",
+ "type": "243"
+ },
+ {
+ "case": "https",
+ "type": "244"
+ },
+ {
+ "case": "iscsi",
+ "type": "245"
+ },
+ {
+ "case": "luks",
+ "type": "246"
+ },
+ {
+ "case": "nbd",
+ "type": "247"
+ },
+ {
+ "case": "nfs",
+ "type": "248"
+ },
+ {
+ "case": "null-aio",
+ "type": "249"
+ },
+ {
+ "case": "null-co",
+ "type": "249"
+ },
+ {
+ "case": "nvme",
+ "type": "250"
+ },
+ {
+ "case": "parallels",
+ "type": "238"
+ },
+ {
+ "case": "qcow2",
+ "type": "251"
+ },
+ {
+ "case": "qcow",
+ "type": "252"
+ },
+ {
+ "case": "qed",
+ "type": "253"
+ },
+ {
+ "case": "quorum",
+ "type": "254"
+ },
+ {
+ "case": "raw",
+ "type": "255"
+ },
+ {
+ "case": "rbd",
+ "type": "256"
+ },
+ {
+ "case": "replication",
+ "type": "257"
+ },
+ {
+ "case": "sheepdog",
+ "type": "258"
+ },
+ {
+ "case": "ssh",
+ "type": "259"
+ },
+ {
+ "case": "throttle",
+ "type": "260"
+ },
+ {
+ "case": "vdi",
+ "type": "238"
+ },
+ {
+ "case": "vhdx",
+ "type": "238"
+ },
+ {
+ "case": "vmdk",
+ "type": "253"
+ },
+ {
+ "case": "vpc",
+ "type": "238"
+ },
+ {
+ "case": "vvfat",
+ "type": "261"
+ },
+ {
+ "case": "vxhs",
+ "type": "262"
+ }
+ ],
+ "members": [
+ {
+ "name": "driver",
+ "type": "232"
+ },
+ {
+ "name": "node-name",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "discard",
+ "default": null,
+ "type": "233"
+ },
+ {
+ "name": "cache",
+ "default": null,
+ "type": "234"
+ },
+ {
+ "name": "read-only",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "auto-read-only",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "force-share",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "detect-zeroes",
+ "default": null,
+ "type": "228"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "45",
+ "members": [
+ {
+ "name": "node-name",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "46",
+ "members": [
+ {
+ "name": "job-id",
+ "type": "str"
+ },
+ {
+ "name": "options",
+ "type": "263"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "47",
+ "members": [
+ {
+ "name": "device",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "id",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "force",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "48",
+ "members": [
+ {
+ "name": "device",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "id",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "49",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "50",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ },
+ {
+ "name": "node-name",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "51",
+ "members": [
+ {
+ "name": "device",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "id",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "filename",
+ "type": "str"
+ },
+ {
+ "name": "format",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "read-only-mode",
+ "default": null,
+ "type": "264"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "52",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "node-name",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "msg",
+ "type": "str"
+ },
+ {
+ "name": "offset",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "fatal",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "53",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "node-name",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "operation",
+ "type": "265"
+ },
+ {
+ "name": "action",
+ "type": "266"
+ },
+ {
+ "name": "nospace",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "reason",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "54",
+ "members": [
+ {
+ "name": "type",
+ "type": "221"
+ },
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "len",
+ "type": "int"
+ },
+ {
+ "name": "offset",
+ "type": "int"
+ },
+ {
+ "name": "speed",
+ "type": "int"
+ },
+ {
+ "name": "error",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "55",
+ "members": [
+ {
+ "name": "type",
+ "type": "221"
+ },
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "len",
+ "type": "int"
+ },
+ {
+ "name": "offset",
+ "type": "int"
+ },
+ {
+ "name": "speed",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "56",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "operation",
+ "type": "265"
+ },
+ {
+ "name": "action",
+ "type": "266"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "57",
+ "members": [
+ {
+ "name": "type",
+ "type": "221"
+ },
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "len",
+ "type": "int"
+ },
+ {
+ "name": "offset",
+ "type": "int"
+ },
+ {
+ "name": "speed",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "58",
+ "members": [
+ {
+ "name": "type",
+ "type": "221"
+ },
+ {
+ "name": "id",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "59",
+ "members": [
+ {
+ "name": "node-name",
+ "type": "str"
+ },
+ {
+ "name": "amount-exceeded",
+ "type": "int"
+ },
+ {
+ "name": "write-threshold",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "60",
+ "members": [
+ {
+ "name": "node-name",
+ "type": "str"
+ },
+ {
+ "name": "write-threshold",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "61",
+ "members": [
+ {
+ "name": "parent",
+ "type": "str"
+ },
+ {
+ "name": "child",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "node",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "62",
+ "members": [
+ {
+ "name": "node-name",
+ "type": "str"
+ },
+ {
+ "name": "iothread",
+ "type": "267"
+ },
+ {
+ "name": "force",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[63]",
+ "element-type": "63",
+ "meta-type": "array"
+ },
+ {
+ "name": "63",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ },
+ {
+ "name": "connected",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "64",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "name",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "65",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "id",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "name",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "66",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ },
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "vm-state-size",
+ "type": "int"
+ },
+ {
+ "name": "date-sec",
+ "type": "int"
+ },
+ {
+ "name": "date-nsec",
+ "type": "int"
+ },
+ {
+ "name": "vm-clock-sec",
+ "type": "int"
+ },
+ {
+ "name": "vm-clock-nsec",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "67",
+ "members": [
+ {
+ "name": "device",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "id",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "force",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "68",
+ "members": [
+ {
+ "name": "addr",
+ "type": "268"
+ },
+ {
+ "name": "tls-creds",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "69",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "name",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "writable",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "70",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "mode",
+ "default": null,
+ "type": "269"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "71",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "bitmap",
+ "type": "str"
+ },
+ {
+ "name": "bitmap-export-name",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "72",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "id",
+ "type": "str"
+ },
+ {
+ "name": "tray-open",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "73",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ },
+ {
+ "name": "connected",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "74",
+ "members": [
+ {
+ "name": "reference",
+ "type": "str"
+ },
+ {
+ "name": "sector-num",
+ "type": "int"
+ },
+ {
+ "name": "sectors-count",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "75",
+ "members": [
+ {
+ "name": "type",
+ "type": "270"
+ },
+ {
+ "name": "error",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "node-name",
+ "type": "str"
+ },
+ {
+ "name": "sector-num",
+ "type": "int"
+ },
+ {
+ "name": "sectors-count",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[76]",
+ "element-type": "76",
+ "meta-type": "array"
+ },
+ {
+ "name": "76",
+ "members": [
+ {
+ "name": "label",
+ "type": "str"
+ },
+ {
+ "name": "filename",
+ "type": "str"
+ },
+ {
+ "name": "frontend-open",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[77]",
+ "element-type": "77",
+ "meta-type": "array"
+ },
+ {
+ "name": "77",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "78",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "data",
+ "type": "str"
+ },
+ {
+ "name": "format",
+ "default": null,
+ "type": "271"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "79",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ },
+ {
+ "name": "format",
+ "default": null,
+ "type": "271"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "str",
+ "json-type": "string",
+ "meta-type": "builtin"
+ },
+ {
+ "name": "80",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ },
+ {
+ "name": "backend",
+ "type": "272"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "81",
+ "members": [
+ {
+ "name": "pty",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "82",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ },
+ {
+ "name": "backend",
+ "type": "272"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "83",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "84",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "85",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ },
+ {
+ "name": "open",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "86",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "up",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "87",
+ "members": [
+ {
+ "name": "type",
+ "type": "str"
+ },
+ {
+ "name": "id",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "88",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "89",
+ "members": [
+ {
+ "name": "name",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[90]",
+ "element-type": "90",
+ "meta-type": "array"
+ },
+ {
+ "name": "90",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "promiscuous",
+ "type": "bool"
+ },
+ {
+ "name": "multicast",
+ "type": "273"
+ },
+ {
+ "name": "unicast",
+ "type": "273"
+ },
+ {
+ "name": "vlan",
+ "type": "273"
+ },
+ {
+ "name": "broadcast-allowed",
+ "type": "bool"
+ },
+ {
+ "name": "multicast-overflow",
+ "type": "bool"
+ },
+ {
+ "name": "unicast-overflow",
+ "type": "bool"
+ },
+ {
+ "name": "main-mac",
+ "type": "str"
+ },
+ {
+ "name": "vlan-table",
+ "type": "[int]"
+ },
+ {
+ "name": "unicast-table",
+ "type": "[str]"
+ },
+ {
+ "name": "multicast-table",
+ "type": "[str]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "91",
+ "members": [
+ {
+ "name": "name",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "path",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "92",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "93",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "id",
+ "type": "int"
+ },
+ {
+ "name": "ports",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "94",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[95]",
+ "element-type": "95",
+ "meta-type": "array"
+ },
+ {
+ "name": "95",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "enabled",
+ "type": "bool"
+ },
+ {
+ "name": "link-up",
+ "type": "bool"
+ },
+ {
+ "name": "speed",
+ "type": "int"
+ },
+ {
+ "name": "duplex",
+ "type": "274"
+ },
+ {
+ "name": "autoneg",
+ "type": "275"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "96",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "tbl-id",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[97]",
+ "element-type": "97",
+ "meta-type": "array"
+ },
+ {
+ "name": "97",
+ "members": [
+ {
+ "name": "cookie",
+ "type": "int"
+ },
+ {
+ "name": "hits",
+ "type": "int"
+ },
+ {
+ "name": "key",
+ "type": "276"
+ },
+ {
+ "name": "mask",
+ "type": "277"
+ },
+ {
+ "name": "action",
+ "type": "278"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "98",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "type",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[99]",
+ "element-type": "99",
+ "meta-type": "array"
+ },
+ {
+ "name": "99",
+ "members": [
+ {
+ "name": "id",
+ "type": "int"
+ },
+ {
+ "name": "type",
+ "type": "int"
+ },
+ {
+ "name": "vlan-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "pport",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "index",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "out-pport",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "group-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "set-vlan-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "pop-vlan",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "group-ids",
+ "default": null,
+ "type": "[int]"
+ },
+ {
+ "name": "set-eth-src",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "set-eth-dst",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "ttl-check",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[100]",
+ "element-type": "100",
+ "meta-type": "array"
+ },
+ {
+ "name": "100",
+ "meta-type": "enum",
+ "values": [
+ "tpm-tis",
+ "tpm-crb"
+ ]
+ },
+ {
+ "name": "[101]",
+ "element-type": "101",
+ "meta-type": "array"
+ },
+ {
+ "name": "101",
+ "meta-type": "enum",
+ "values": [
+ "passthrough",
+ "emulator"
+ ]
+ },
+ {
+ "name": "[102]",
+ "element-type": "102",
+ "meta-type": "array"
+ },
+ {
+ "name": "102",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ },
+ {
+ "name": "model",
+ "type": "100"
+ },
+ {
+ "name": "options",
+ "type": "279"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "103",
+ "members": [
+ {
+ "name": "protocol",
+ "type": "str"
+ },
+ {
+ "name": "password",
+ "type": "str"
+ },
+ {
+ "name": "connected",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "104",
+ "members": [
+ {
+ "name": "protocol",
+ "type": "str"
+ },
+ {
+ "name": "time",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "105",
+ "members": [
+ {
+ "name": "filename",
+ "type": "str"
+ },
+ {
+ "name": "device",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "head",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "110",
+ "members": [
+ {
+ "name": "enabled",
+ "type": "bool"
+ },
+ {
+ "name": "host",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "family",
+ "default": null,
+ "type": "284"
+ },
+ {
+ "name": "service",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "auth",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "clients",
+ "default": null,
+ "type": "[285]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[111]",
+ "element-type": "111",
+ "meta-type": "array"
+ },
+ {
+ "name": "111",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ },
+ {
+ "name": "server",
+ "type": "[286]"
+ },
+ {
+ "name": "clients",
+ "type": "[285]"
+ },
+ {
+ "name": "auth",
+ "type": "287"
+ },
+ {
+ "name": "vencrypt",
+ "default": null,
+ "type": "288"
+ },
+ {
+ "name": "display",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "112",
+ "members": [
+ {
+ "name": "password",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "113",
+ "members": [
+ {
+ "name": "server",
+ "type": "289"
+ },
+ {
+ "name": "client",
+ "type": "290"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "114",
+ "members": [
+ {
+ "name": "server",
+ "type": "289"
+ },
+ {
+ "name": "client",
+ "type": "285"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "115",
+ "members": [
+ {
+ "name": "server",
+ "type": "289"
+ },
+ {
+ "name": "client",
+ "type": "285"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[116]",
+ "element-type": "116",
+ "meta-type": "array"
+ },
+ {
+ "name": "116",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "index",
+ "type": "int"
+ },
+ {
+ "name": "current",
+ "type": "bool"
+ },
+ {
+ "name": "absolute",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "117",
+ "members": [
+ {
+ "name": "keys",
+ "type": "[291]"
+ },
+ {
+ "name": "hold-time",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "118",
+ "members": [
+ {
+ "name": "device",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "head",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "events",
+ "type": "[292]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "119",
+ "members": [
+ {
+ "name": "status",
+ "default": null,
+ "type": "293"
+ },
+ {
+ "name": "ram",
+ "default": null,
+ "type": "294"
+ },
+ {
+ "name": "disk",
+ "default": null,
+ "type": "294"
+ },
+ {
+ "name": "xbzrle-cache",
+ "default": null,
+ "type": "295"
+ },
+ {
+ "name": "total-time",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "expected-downtime",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "downtime",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "setup-time",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "cpu-throttle-percentage",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "error-desc",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "postcopy-blocktime",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "postcopy-vcpu-blocktime",
+ "default": null,
+ "type": "[int]"
+ },
+ {
+ "name": "compression",
+ "default": null,
+ "type": "296"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "120",
+ "members": [
+ {
+ "name": "capabilities",
+ "type": "[121]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[121]",
+ "element-type": "121",
+ "meta-type": "array"
+ },
+ {
+ "name": "121",
+ "members": [
+ {
+ "name": "capability",
+ "type": "297"
+ },
+ {
+ "name": "state",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "122",
+ "members": [
+ {
+ "name": "compress-level",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "compress-threads",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "compress-wait-thread",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "decompress-threads",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "cpu-throttle-initial",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "cpu-throttle-increment",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "tls-creds",
+ "default": null,
+ "type": "267"
+ },
+ {
+ "name": "tls-hostname",
+ "default": null,
+ "type": "267"
+ },
+ {
+ "name": "max-bandwidth",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "downtime-limit",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "x-checkpoint-delay",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "block-incremental",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "x-multifd-channels",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "x-multifd-page-count",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "xbzrle-cache-size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "max-postcopy-bandwidth",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "max-cpu-throttle",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "123",
+ "members": [
+ {
+ "name": "compress-level",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "compress-threads",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "compress-wait-thread",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "decompress-threads",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "cpu-throttle-initial",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "cpu-throttle-increment",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "tls-creds",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "tls-hostname",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "max-bandwidth",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "downtime-limit",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "x-checkpoint-delay",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "block-incremental",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "x-multifd-channels",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "x-multifd-page-count",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "xbzrle-cache-size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "max-postcopy-bandwidth",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "max-cpu-throttle",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "124",
+ "members": [
+ {
+ "name": "protocol",
+ "type": "str"
+ },
+ {
+ "name": "hostname",
+ "type": "str"
+ },
+ {
+ "name": "port",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "tls-port",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "cert-subject",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "125",
+ "members": [
+ {
+ "name": "status",
+ "type": "293"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "126",
+ "members": [
+ {
+ "name": "pass",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "127",
+ "members": [
+ {
+ "name": "mode",
+ "type": "298"
+ },
+ {
+ "name": "reason",
+ "type": "299"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "128",
+ "members": [
+ {
+ "name": "state",
+ "type": "293"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "129",
+ "members": [
+ {
+ "name": "value",
+ "type": "number"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "130",
+ "members": [
+ {
+ "name": "value",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "131",
+ "members": [
+ {
+ "name": "value",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "int",
+ "json-type": "int",
+ "meta-type": "builtin"
+ },
+ {
+ "name": "132",
+ "members": [
+ {
+ "name": "uri",
+ "type": "str"
+ },
+ {
+ "name": "blk",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "inc",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "detach",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "resume",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "133",
+ "members": [
+ {
+ "name": "uri",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "134",
+ "members": [
+ {
+ "name": "filename",
+ "type": "str"
+ },
+ {
+ "name": "live",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "135",
+ "members": [
+ {
+ "name": "enable",
+ "type": "bool"
+ },
+ {
+ "name": "primary",
+ "type": "bool"
+ },
+ {
+ "name": "failover",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "136",
+ "members": [
+ {
+ "name": "error",
+ "type": "bool"
+ },
+ {
+ "name": "desc",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "137",
+ "members": [
+ {
+ "name": "mode",
+ "type": "298"
+ },
+ {
+ "name": "reason",
+ "type": "299"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "138",
+ "members": [
+ {
+ "name": "uri",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "139",
+ "members": [
+ {
+ "name": "actions",
+ "type": "[300]"
+ },
+ {
+ "name": "properties",
+ "default": null,
+ "type": "301"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "140",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "vcpu",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[141]",
+ "element-type": "141",
+ "meta-type": "array"
+ },
+ {
+ "name": "141",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "state",
+ "type": "302"
+ },
+ {
+ "name": "vcpu",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "142",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "enable",
+ "type": "bool"
+ },
+ {
+ "name": "ignore-unavailable",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "vcpu",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[143]",
+ "element-type": "143",
+ "meta-type": "array"
+ },
+ {
+ "name": "143",
+ "tag": "meta-type",
+ "variants": [
+ {
+ "case": "builtin",
+ "type": "304"
+ },
+ {
+ "case": "enum",
+ "type": "305"
+ },
+ {
+ "case": "array",
+ "type": "306"
+ },
+ {
+ "case": "object",
+ "type": "307"
+ },
+ {
+ "case": "alternate",
+ "type": "308"
+ },
+ {
+ "case": "command",
+ "type": "309"
+ },
+ {
+ "case": "event",
+ "type": "310"
+ }
+ ],
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "meta-type",
+ "type": "303"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "144",
+ "members": [
+ {
+ "name": "enable",
+ "default": null,
+ "type": "[311]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "145",
+ "members": [
+ {
+ "name": "qemu",
+ "type": "312"
+ },
+ {
+ "name": "package",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[146]",
+ "element-type": "146",
+ "meta-type": "array"
+ },
+ {
+ "name": "146",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "147",
+ "members": [
+ {
+ "name": "protocol",
+ "type": "str"
+ },
+ {
+ "name": "fdname",
+ "type": "str"
+ },
+ {
+ "name": "skipauth",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "tls",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "148",
+ "members": [
+ {
+ "name": "name",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "149",
+ "members": [
+ {
+ "name": "enabled",
+ "type": "bool"
+ },
+ {
+ "name": "present",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "150",
+ "members": [
+ {
+ "name": "UUID",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[151]",
+ "element-type": "151",
+ "meta-type": "array"
+ },
+ {
+ "name": "151",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[152]",
+ "element-type": "152",
+ "meta-type": "array"
+ },
+ {
+ "name": "152",
+ "tag": "arch",
+ "variants": [
+ {
+ "case": "x86",
+ "type": "315"
+ },
+ {
+ "case": "sparc",
+ "type": "316"
+ },
+ {
+ "case": "ppc",
+ "type": "317"
+ },
+ {
+ "case": "mips",
+ "type": "318"
+ },
+ {
+ "case": "tricore",
+ "type": "319"
+ },
+ {
+ "case": "s390",
+ "type": "320"
+ },
+ {
+ "case": "riscv",
+ "type": "321"
+ },
+ {
+ "case": "other",
+ "type": "0"
+ }
+ ],
+ "members": [
+ {
+ "name": "CPU",
+ "type": "int"
+ },
+ {
+ "name": "current",
+ "type": "bool"
+ },
+ {
+ "name": "halted",
+ "type": "bool"
+ },
+ {
+ "name": "qom_path",
+ "type": "str"
+ },
+ {
+ "name": "thread_id",
+ "type": "int"
+ },
+ {
+ "name": "props",
+ "default": null,
+ "type": "313"
+ },
+ {
+ "name": "arch",
+ "type": "314"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[153]",
+ "element-type": "153",
+ "meta-type": "array"
+ },
+ {
+ "name": "153",
+ "tag": "target",
+ "variants": [
+ {
+ "case": "s390x",
+ "type": "320"
+ },
+ {
+ "case": "aarch64",
+ "type": "0"
+ },
+ {
+ "case": "alpha",
+ "type": "0"
+ },
+ {
+ "case": "arm",
+ "type": "0"
+ },
+ {
+ "case": "cris",
+ "type": "0"
+ },
+ {
+ "case": "hppa",
+ "type": "0"
+ },
+ {
+ "case": "i386",
+ "type": "0"
+ },
+ {
+ "case": "lm32",
+ "type": "0"
+ },
+ {
+ "case": "m68k",
+ "type": "0"
+ },
+ {
+ "case": "microblaze",
+ "type": "0"
+ },
+ {
+ "case": "microblazeel",
+ "type": "0"
+ },
+ {
+ "case": "mips",
+ "type": "0"
+ },
+ {
+ "case": "mips64",
+ "type": "0"
+ },
+ {
+ "case": "mips64el",
+ "type": "0"
+ },
+ {
+ "case": "mipsel",
+ "type": "0"
+ },
+ {
+ "case": "moxie",
+ "type": "0"
+ },
+ {
+ "case": "nios2",
+ "type": "0"
+ },
+ {
+ "case": "or1k",
+ "type": "0"
+ },
+ {
+ "case": "ppc",
+ "type": "0"
+ },
+ {
+ "case": "ppc64",
+ "type": "0"
+ },
+ {
+ "case": "riscv32",
+ "type": "0"
+ },
+ {
+ "case": "riscv64",
+ "type": "0"
+ },
+ {
+ "case": "sh4",
+ "type": "0"
+ },
+ {
+ "case": "sh4eb",
+ "type": "0"
+ },
+ {
+ "case": "sparc",
+ "type": "0"
+ },
+ {
+ "case": "sparc64",
+ "type": "0"
+ },
+ {
+ "case": "tricore",
+ "type": "0"
+ },
+ {
+ "case": "unicore32",
+ "type": "0"
+ },
+ {
+ "case": "x86_64",
+ "type": "0"
+ },
+ {
+ "case": "xtensa",
+ "type": "0"
+ },
+ {
+ "case": "xtensaeb",
+ "type": "0"
+ }
+ ],
+ "members": [
+ {
+ "name": "cpu-index",
+ "type": "int"
+ },
+ {
+ "name": "qom-path",
+ "type": "str"
+ },
+ {
+ "name": "thread-id",
+ "type": "int"
+ },
+ {
+ "name": "props",
+ "default": null,
+ "type": "313"
+ },
+ {
+ "name": "arch",
+ "type": "314"
+ },
+ {
+ "name": "target",
+ "type": "322"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[154]",
+ "element-type": "154",
+ "meta-type": "array"
+ },
+ {
+ "name": "154",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ },
+ {
+ "name": "thread-id",
+ "type": "int"
+ },
+ {
+ "name": "poll-max-ns",
+ "type": "int"
+ },
+ {
+ "name": "poll-grow",
+ "type": "int"
+ },
+ {
+ "name": "poll-shrink",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "155",
+ "members": [
+ {
+ "name": "actual",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "156",
+ "members": [
+ {
+ "name": "actual",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[157]",
+ "element-type": "157",
+ "meta-type": "array"
+ },
+ {
+ "name": "157",
+ "members": [
+ {
+ "name": "bus",
+ "type": "int"
+ },
+ {
+ "name": "devices",
+ "type": "[323]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "158",
+ "members": [
+ {
+ "name": "id",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "159",
+ "members": [
+ {
+ "name": "val",
+ "type": "int"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ },
+ {
+ "name": "filename",
+ "type": "str"
+ },
+ {
+ "name": "cpu-index",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "160",
+ "members": [
+ {
+ "name": "val",
+ "type": "int"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ },
+ {
+ "name": "filename",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "161",
+ "members": [
+ {
+ "name": "value",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "162",
+ "members": [
+ {
+ "name": "command-line",
+ "type": "str"
+ },
+ {
+ "name": "cpu-index",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "163",
+ "members": [
+ {
+ "name": "path",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[164]",
+ "element-type": "164",
+ "meta-type": "array"
+ },
+ {
+ "name": "164",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "type",
+ "type": "str"
+ },
+ {
+ "name": "description",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "165",
+ "members": [
+ {
+ "name": "path",
+ "type": "str"
+ },
+ {
+ "name": "property",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "any",
+ "json-type": "value",
+ "meta-type": "builtin"
+ },
+ {
+ "name": "166",
+ "members": [
+ {
+ "name": "path",
+ "type": "str"
+ },
+ {
+ "name": "property",
+ "type": "str"
+ },
+ {
+ "name": "value",
+ "type": "any"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "167",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "target",
+ "type": "str"
+ },
+ {
+ "name": "arg",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "168",
+ "members": [
+ {
+ "name": "implements",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "abstract",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[169]",
+ "element-type": "169",
+ "meta-type": "array"
+ },
+ {
+ "name": "169",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "abstract",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "parent",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "170",
+ "members": [
+ {
+ "name": "typename",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "171",
+ "members": [
+ {
+ "name": "typename",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "172",
+ "members": [
+ {
+ "name": "enable",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "173",
+ "members": [
+ {
+ "name": "driver",
+ "type": "str"
+ },
+ {
+ "name": "bus",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "id",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "174",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "175",
+ "members": [
+ {
+ "name": "device",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "path",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "176",
+ "members": [
+ {
+ "name": "paging",
+ "type": "bool"
+ },
+ {
+ "name": "protocol",
+ "type": "str"
+ },
+ {
+ "name": "detach",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "begin",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "length",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "format",
+ "default": null,
+ "type": "324"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "177",
+ "members": [
+ {
+ "name": "status",
+ "type": "325"
+ },
+ {
+ "name": "completed",
+ "type": "int"
+ },
+ {
+ "name": "total",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "178",
+ "members": [
+ {
+ "name": "result",
+ "type": "177"
+ },
+ {
+ "name": "error",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "179",
+ "members": [
+ {
+ "name": "formats",
+ "type": "[324]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "180",
+ "members": [
+ {
+ "name": "filename",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "181",
+ "members": [
+ {
+ "name": "qom-type",
+ "type": "str"
+ },
+ {
+ "name": "id",
+ "type": "str"
+ },
+ {
+ "name": "props",
+ "default": null,
+ "type": "any"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "182",
+ "members": [
+ {
+ "name": "id",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "183",
+ "members": [
+ {
+ "name": "fdname",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "184",
+ "members": [
+ {
+ "name": "fdname",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[185]",
+ "element-type": "185",
+ "meta-type": "array"
+ },
+ {
+ "name": "185",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "alias",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "is-default",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "cpu-max",
+ "type": "int"
+ },
+ {
+ "name": "hotpluggable-cpus",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "186",
+ "members": [
+ {
+ "name": "base-memory",
+ "type": "int"
+ },
+ {
+ "name": "plugged-memory",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[187]",
+ "element-type": "187",
+ "meta-type": "array"
+ },
+ {
+ "name": "187",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "migration-safe",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "static",
+ "type": "bool"
+ },
+ {
+ "name": "unavailable-features",
+ "default": null,
+ "type": "[str]"
+ },
+ {
+ "name": "typename",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "188",
+ "members": [
+ {
+ "name": "type",
+ "type": "326"
+ },
+ {
+ "name": "model",
+ "type": "327"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "189",
+ "members": [
+ {
+ "name": "model",
+ "type": "327"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "190",
+ "members": [
+ {
+ "name": "modela",
+ "type": "327"
+ },
+ {
+ "name": "modelb",
+ "type": "327"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "191",
+ "members": [
+ {
+ "name": "result",
+ "type": "328"
+ },
+ {
+ "name": "responsible-properties",
+ "type": "[str]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "192",
+ "members": [
+ {
+ "name": "modela",
+ "type": "327"
+ },
+ {
+ "name": "modelb",
+ "type": "327"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "193",
+ "members": [
+ {
+ "name": "model",
+ "type": "327"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "194",
+ "members": [
+ {
+ "name": "fdset-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "opaque",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "195",
+ "members": [
+ {
+ "name": "fdset-id",
+ "type": "int"
+ },
+ {
+ "name": "fd",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "196",
+ "members": [
+ {
+ "name": "fdset-id",
+ "type": "int"
+ },
+ {
+ "name": "fd",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[197]",
+ "element-type": "197",
+ "meta-type": "array"
+ },
+ {
+ "name": "197",
+ "members": [
+ {
+ "name": "fdset-id",
+ "type": "int"
+ },
+ {
+ "name": "fds",
+ "type": "[329]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "198",
+ "members": [
+ {
+ "name": "arch",
+ "type": "322"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "199",
+ "members": [
+ {
+ "name": "option",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[200]",
+ "element-type": "200",
+ "meta-type": "array"
+ },
+ {
+ "name": "200",
+ "members": [
+ {
+ "name": "option",
+ "type": "str"
+ },
+ {
+ "name": "parameters",
+ "type": "[330]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[201]",
+ "element-type": "201",
+ "meta-type": "array"
+ },
+ {
+ "name": "201",
+ "members": [
+ {
+ "name": "id",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ },
+ {
+ "name": "merge",
+ "type": "bool"
+ },
+ {
+ "name": "dump",
+ "type": "bool"
+ },
+ {
+ "name": "prealloc",
+ "type": "bool"
+ },
+ {
+ "name": "host-nodes",
+ "type": "[int]"
+ },
+ {
+ "name": "policy",
+ "type": "331"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[202]",
+ "element-type": "202",
+ "meta-type": "array"
+ },
+ {
+ "name": "202",
+ "tag": "type",
+ "variants": [
+ {
+ "case": "dimm",
+ "type": "333"
+ },
+ {
+ "case": "nvdimm",
+ "type": "333"
+ }
+ ],
+ "members": [
+ {
+ "name": "type",
+ "type": "332"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "203",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "msg",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[204]",
+ "element-type": "204",
+ "meta-type": "array"
+ },
+ {
+ "name": "204",
+ "members": [
+ {
+ "name": "device",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "slot",
+ "type": "str"
+ },
+ {
+ "name": "slot-type",
+ "type": "334"
+ },
+ {
+ "name": "source",
+ "type": "int"
+ },
+ {
+ "name": "status",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "205",
+ "members": [
+ {
+ "name": "info",
+ "type": "204"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "206",
+ "members": [
+ {
+ "name": "offset",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "207",
+ "members": [
+ {
+ "name": "filename",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[208]",
+ "element-type": "208",
+ "meta-type": "array"
+ },
+ {
+ "name": "208",
+ "members": [
+ {
+ "name": "version",
+ "type": "int"
+ },
+ {
+ "name": "emulated",
+ "type": "bool"
+ },
+ {
+ "name": "kernel",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[209]",
+ "element-type": "209",
+ "meta-type": "array"
+ },
+ {
+ "name": "209",
+ "members": [
+ {
+ "name": "type",
+ "type": "str"
+ },
+ {
+ "name": "vcpus-count",
+ "type": "int"
+ },
+ {
+ "name": "props",
+ "type": "313"
+ },
+ {
+ "name": "qom-path",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "210",
+ "members": [
+ {
+ "name": "guid",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "211",
+ "members": [
+ {
+ "name": "enabled",
+ "type": "bool"
+ },
+ {
+ "name": "api-major",
+ "type": "int"
+ },
+ {
+ "name": "api-minor",
+ "type": "int"
+ },
+ {
+ "name": "build-id",
+ "type": "int"
+ },
+ {
+ "name": "policy",
+ "type": "int"
+ },
+ {
+ "name": "state",
+ "type": "335"
+ },
+ {
+ "name": "handle",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "212",
+ "members": [
+ {
+ "name": "data",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "213",
+ "members": [
+ {
+ "name": "pdh",
+ "type": "str"
+ },
+ {
+ "name": "cert-chain",
+ "type": "str"
+ },
+ {
+ "name": "cbitpos",
+ "type": "int"
+ },
+ {
+ "name": "reduced-phys-bits",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "214",
+ "members": [
+ {
+ "name": "id",
+ "type": "any"
+ },
+ {
+ "name": "reason",
+ "type": "336"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "215",
+ "tag": "type",
+ "variants": [
+ {
+ "case": "node",
+ "type": "338"
+ },
+ {
+ "case": "dist",
+ "type": "339"
+ },
+ {
+ "case": "cpu",
+ "type": "340"
+ }
+ ],
+ "members": [
+ {
+ "name": "type",
+ "type": "337"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "bool",
+ "json-type": "boolean",
+ "meta-type": "builtin"
+ },
+ {
+ "name": "216",
+ "meta-type": "enum",
+ "values": [
+ "debug",
+ "inmigrate",
+ "internal-error",
+ "io-error",
+ "paused",
+ "postmigrate",
+ "prelaunch",
+ "finish-migrate",
+ "restore-vm",
+ "running",
+ "save-vm",
+ "shutdown",
+ "suspended",
+ "watchdog",
+ "guest-panicked",
+ "colo",
+ "preconfig"
+ ]
+ },
+ {
+ "name": "217",
+ "meta-type": "enum",
+ "values": [
+ "reset",
+ "shutdown",
+ "poweroff",
+ "pause",
+ "debug",
+ "none",
+ "inject-nmi"
+ ]
+ },
+ {
+ "name": "218",
+ "meta-type": "enum",
+ "values": [
+ "pause",
+ "poweroff"
+ ]
+ },
+ {
+ "name": "219",
+ "tag": "type",
+ "variants": [
+ {
+ "case": "hyper-v",
+ "type": "342"
+ },
+ {
+ "case": "s390",
+ "type": "343"
+ }
+ ],
+ "members": [
+ {
+ "name": "type",
+ "type": "341"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "220",
+ "meta-type": "enum",
+ "values": [
+ "undefined",
+ "created",
+ "running",
+ "paused",
+ "ready",
+ "standby",
+ "waiting",
+ "pending",
+ "aborting",
+ "concluded",
+ "null"
+ ]
+ },
+ {
+ "name": "221",
+ "meta-type": "enum",
+ "values": [
+ "commit",
+ "stream",
+ "mirror",
+ "backup",
+ "create"
+ ]
+ },
+ {
+ "name": "[int]",
+ "element-type": "int",
+ "meta-type": "array"
+ },
+ {
+ "name": "222",
+ "meta-type": "enum",
+ "values": [
+ "ok",
+ "failed",
+ "nospace"
+ ]
+ },
+ {
+ "name": "[223]",
+ "element-type": "223",
+ "meta-type": "array"
+ },
+ {
+ "name": "223",
+ "members": [
+ {
+ "name": "name",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "count",
+ "type": "int"
+ },
+ {
+ "name": "granularity",
+ "type": "int"
+ },
+ {
+ "name": "status",
+ "type": "344"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "224",
+ "members": [
+ {
+ "name": "rd_bytes",
+ "type": "int"
+ },
+ {
+ "name": "wr_bytes",
+ "type": "int"
+ },
+ {
+ "name": "rd_operations",
+ "type": "int"
+ },
+ {
+ "name": "wr_operations",
+ "type": "int"
+ },
+ {
+ "name": "flush_operations",
+ "type": "int"
+ },
+ {
+ "name": "flush_total_time_ns",
+ "type": "int"
+ },
+ {
+ "name": "wr_total_time_ns",
+ "type": "int"
+ },
+ {
+ "name": "rd_total_time_ns",
+ "type": "int"
+ },
+ {
+ "name": "wr_highest_offset",
+ "type": "int"
+ },
+ {
+ "name": "rd_merged",
+ "type": "int"
+ },
+ {
+ "name": "wr_merged",
+ "type": "int"
+ },
+ {
+ "name": "idle_time_ns",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "failed_rd_operations",
+ "type": "int"
+ },
+ {
+ "name": "failed_wr_operations",
+ "type": "int"
+ },
+ {
+ "name": "failed_flush_operations",
+ "type": "int"
+ },
+ {
+ "name": "invalid_rd_operations",
+ "type": "int"
+ },
+ {
+ "name": "invalid_wr_operations",
+ "type": "int"
+ },
+ {
+ "name": "invalid_flush_operations",
+ "type": "int"
+ },
+ {
+ "name": "account_invalid",
+ "type": "bool"
+ },
+ {
+ "name": "account_failed",
+ "type": "bool"
+ },
+ {
+ "name": "timed_stats",
+ "type": "[345]"
+ },
+ {
+ "name": "x_rd_latency_histogram",
+ "default": null,
+ "type": "346"
+ },
+ {
+ "name": "x_wr_latency_histogram",
+ "default": null,
+ "type": "346"
+ },
+ {
+ "name": "x_flush_latency_histogram",
+ "default": null,
+ "type": "346"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "225",
+ "meta-type": "enum",
+ "values": [
+ "existing",
+ "absolute-paths"
+ ]
+ },
+ {
+ "name": "226",
+ "meta-type": "enum",
+ "values": [
+ "top",
+ "full",
+ "none",
+ "incremental"
+ ]
+ },
+ {
+ "name": "227",
+ "meta-type": "enum",
+ "values": [
+ "report",
+ "ignore",
+ "enospc",
+ "stop",
+ "auto"
+ ]
+ },
+ {
+ "name": "228",
+ "meta-type": "enum",
+ "values": [
+ "off",
+ "on",
+ "unmap"
+ ]
+ },
+ {
+ "name": "229",
+ "members": [
+ {
+ "name": "filename",
+ "type": "str"
+ },
+ {
+ "name": "format",
+ "type": "str"
+ },
+ {
+ "name": "dirty-flag",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "actual-size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "virtual-size",
+ "type": "int"
+ },
+ {
+ "name": "cluster-size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "encrypted",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "compressed",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "backing-filename",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "full-backing-filename",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "backing-filename-format",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "snapshots",
+ "default": null,
+ "type": "[66]"
+ },
+ {
+ "name": "backing-image",
+ "default": null,
+ "type": "229"
+ },
+ {
+ "name": "format-specific",
+ "default": null,
+ "type": "347"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "230",
+ "members": [
+ {
+ "name": "writeback",
+ "type": "bool"
+ },
+ {
+ "name": "direct",
+ "type": "bool"
+ },
+ {
+ "name": "no-flush",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "231",
+ "meta-type": "enum",
+ "values": [
+ "background",
+ "write-blocking"
+ ]
+ },
+ {
+ "name": "232",
+ "meta-type": "enum",
+ "values": [
+ "blkdebug",
+ "blklogwrites",
+ "blkverify",
+ "bochs",
+ "cloop",
+ "copy-on-read",
+ "dmg",
+ "file",
+ "ftp",
+ "ftps",
+ "gluster",
+ "host_cdrom",
+ "host_device",
+ "http",
+ "https",
+ "iscsi",
+ "luks",
+ "nbd",
+ "nfs",
+ "null-aio",
+ "null-co",
+ "nvme",
+ "parallels",
+ "qcow",
+ "qcow2",
+ "qed",
+ "quorum",
+ "raw",
+ "rbd",
+ "replication",
+ "sheepdog",
+ "ssh",
+ "throttle",
+ "vdi",
+ "vhdx",
+ "vmdk",
+ "vpc",
+ "vvfat",
+ "vxhs"
+ ]
+ },
+ {
+ "name": "233",
+ "meta-type": "enum",
+ "values": [
+ "ignore",
+ "unmap"
+ ]
+ },
+ {
+ "name": "234",
+ "members": [
+ {
+ "name": "direct",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "no-flush",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "235",
+ "members": [
+ {
+ "name": "image",
+ "type": "348"
+ },
+ {
+ "name": "config",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "align",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "max-transfer",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "opt-write-zero",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "max-write-zero",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "opt-discard",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "max-discard",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "inject-error",
+ "default": null,
+ "type": "[349]"
+ },
+ {
+ "name": "set-state",
+ "default": null,
+ "type": "[350]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "236",
+ "members": [
+ {
+ "name": "file",
+ "type": "348"
+ },
+ {
+ "name": "log",
+ "type": "348"
+ },
+ {
+ "name": "log-sector-size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "log-append",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "log-super-update-interval",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "237",
+ "members": [
+ {
+ "name": "test",
+ "type": "348"
+ },
+ {
+ "name": "raw",
+ "type": "348"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "238",
+ "members": [
+ {
+ "name": "file",
+ "type": "348"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "239",
+ "members": [
+ {
+ "name": "filename",
+ "type": "str"
+ },
+ {
+ "name": "pr-manager",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "locking",
+ "default": null,
+ "type": "351"
+ },
+ {
+ "name": "aio",
+ "default": null,
+ "type": "352"
+ },
+ {
+ "name": "x-check-cache-dropped",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "240",
+ "members": [
+ {
+ "name": "url",
+ "type": "str"
+ },
+ {
+ "name": "readahead",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "timeout",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "username",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "password-secret",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "proxy-username",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "proxy-password-secret",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "241",
+ "members": [
+ {
+ "name": "url",
+ "type": "str"
+ },
+ {
+ "name": "readahead",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "timeout",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "username",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "password-secret",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "proxy-username",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "proxy-password-secret",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "sslverify",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "242",
+ "members": [
+ {
+ "name": "volume",
+ "type": "str"
+ },
+ {
+ "name": "path",
+ "type": "str"
+ },
+ {
+ "name": "server",
+ "type": "[353]"
+ },
+ {
+ "name": "debug",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "logfile",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "243",
+ "members": [
+ {
+ "name": "url",
+ "type": "str"
+ },
+ {
+ "name": "readahead",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "timeout",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "username",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "password-secret",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "proxy-username",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "proxy-password-secret",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "cookie",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "cookie-secret",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "244",
+ "members": [
+ {
+ "name": "url",
+ "type": "str"
+ },
+ {
+ "name": "readahead",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "timeout",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "username",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "password-secret",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "proxy-username",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "proxy-password-secret",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "cookie",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "sslverify",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "cookie-secret",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "245",
+ "members": [
+ {
+ "name": "transport",
+ "type": "354"
+ },
+ {
+ "name": "portal",
+ "type": "str"
+ },
+ {
+ "name": "target",
+ "type": "str"
+ },
+ {
+ "name": "lun",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "user",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "password-secret",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "initiator-name",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "header-digest",
+ "default": null,
+ "type": "355"
+ },
+ {
+ "name": "timeout",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "246",
+ "members": [
+ {
+ "name": "file",
+ "type": "348"
+ },
+ {
+ "name": "key-secret",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "247",
+ "members": [
+ {
+ "name": "server",
+ "type": "353"
+ },
+ {
+ "name": "export",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "tls-creds",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "x-dirty-bitmap",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "248",
+ "members": [
+ {
+ "name": "server",
+ "type": "356"
+ },
+ {
+ "name": "path",
+ "type": "str"
+ },
+ {
+ "name": "user",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "group",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "tcp-syn-count",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "readahead-size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "page-cache-size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "debug",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "249",
+ "members": [
+ {
+ "name": "size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "latency-ns",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "250",
+ "members": [
+ {
+ "name": "device",
+ "type": "str"
+ },
+ {
+ "name": "namespace",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "251",
+ "members": [
+ {
+ "name": "file",
+ "type": "348"
+ },
+ {
+ "name": "backing",
+ "default": null,
+ "type": "357"
+ },
+ {
+ "name": "lazy-refcounts",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "pass-discard-request",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "pass-discard-snapshot",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "pass-discard-other",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "overlap-check",
+ "default": null,
+ "type": "358"
+ },
+ {
+ "name": "cache-size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "l2-cache-size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "l2-cache-entry-size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "refcount-cache-size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "cache-clean-interval",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "encrypt",
+ "default": null,
+ "type": "359"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "252",
+ "members": [
+ {
+ "name": "file",
+ "type": "348"
+ },
+ {
+ "name": "backing",
+ "default": null,
+ "type": "357"
+ },
+ {
+ "name": "encrypt",
+ "default": null,
+ "type": "360"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "253",
+ "members": [
+ {
+ "name": "file",
+ "type": "348"
+ },
+ {
+ "name": "backing",
+ "default": null,
+ "type": "357"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "254",
+ "members": [
+ {
+ "name": "blkverify",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "children",
+ "type": "[348]"
+ },
+ {
+ "name": "vote-threshold",
+ "type": "int"
+ },
+ {
+ "name": "rewrite-corrupted",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "read-pattern",
+ "default": null,
+ "type": "361"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "255",
+ "members": [
+ {
+ "name": "file",
+ "type": "348"
+ },
+ {
+ "name": "offset",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "size",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "256",
+ "members": [
+ {
+ "name": "pool",
+ "type": "str"
+ },
+ {
+ "name": "image",
+ "type": "str"
+ },
+ {
+ "name": "conf",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "snapshot",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "user",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "auth-client-required",
+ "default": null,
+ "type": "[362]"
+ },
+ {
+ "name": "key-secret",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "server",
+ "default": null,
+ "type": "[363]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "257",
+ "members": [
+ {
+ "name": "file",
+ "type": "348"
+ },
+ {
+ "name": "mode",
+ "type": "364"
+ },
+ {
+ "name": "top-id",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "258",
+ "members": [
+ {
+ "name": "server",
+ "type": "353"
+ },
+ {
+ "name": "vdi",
+ "type": "str"
+ },
+ {
+ "name": "snap-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "tag",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "259",
+ "members": [
+ {
+ "name": "server",
+ "type": "365"
+ },
+ {
+ "name": "path",
+ "type": "str"
+ },
+ {
+ "name": "user",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "host-key-check",
+ "default": null,
+ "type": "366"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "260",
+ "members": [
+ {
+ "name": "throttle-group",
+ "type": "str"
+ },
+ {
+ "name": "file",
+ "type": "348"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "261",
+ "members": [
+ {
+ "name": "dir",
+ "type": "str"
+ },
+ {
+ "name": "fat-type",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "floppy",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "label",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "rw",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "262",
+ "members": [
+ {
+ "name": "vdisk-id",
+ "type": "str"
+ },
+ {
+ "name": "server",
+ "type": "363"
+ },
+ {
+ "name": "tls-creds",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "263",
+ "tag": "driver",
+ "variants": [
+ {
+ "case": "file",
+ "type": "367"
+ },
+ {
+ "case": "gluster",
+ "type": "368"
+ },
+ {
+ "case": "luks",
+ "type": "369"
+ },
+ {
+ "case": "nfs",
+ "type": "370"
+ },
+ {
+ "case": "parallels",
+ "type": "371"
+ },
+ {
+ "case": "qcow",
+ "type": "372"
+ },
+ {
+ "case": "qcow2",
+ "type": "373"
+ },
+ {
+ "case": "qed",
+ "type": "374"
+ },
+ {
+ "case": "rbd",
+ "type": "375"
+ },
+ {
+ "case": "sheepdog",
+ "type": "376"
+ },
+ {
+ "case": "ssh",
+ "type": "377"
+ },
+ {
+ "case": "vdi",
+ "type": "378"
+ },
+ {
+ "case": "vhdx",
+ "type": "379"
+ },
+ {
+ "case": "vpc",
+ "type": "380"
+ },
+ {
+ "case": "blkdebug",
+ "type": "0"
+ },
+ {
+ "case": "blklogwrites",
+ "type": "0"
+ },
+ {
+ "case": "blkverify",
+ "type": "0"
+ },
+ {
+ "case": "bochs",
+ "type": "0"
+ },
+ {
+ "case": "cloop",
+ "type": "0"
+ },
+ {
+ "case": "copy-on-read",
+ "type": "0"
+ },
+ {
+ "case": "dmg",
+ "type": "0"
+ },
+ {
+ "case": "ftp",
+ "type": "0"
+ },
+ {
+ "case": "ftps",
+ "type": "0"
+ },
+ {
+ "case": "host_cdrom",
+ "type": "0"
+ },
+ {
+ "case": "host_device",
+ "type": "0"
+ },
+ {
+ "case": "http",
+ "type": "0"
+ },
+ {
+ "case": "https",
+ "type": "0"
+ },
+ {
+ "case": "iscsi",
+ "type": "0"
+ },
+ {
+ "case": "nbd",
+ "type": "0"
+ },
+ {
+ "case": "null-aio",
+ "type": "0"
+ },
+ {
+ "case": "null-co",
+ "type": "0"
+ },
+ {
+ "case": "nvme",
+ "type": "0"
+ },
+ {
+ "case": "quorum",
+ "type": "0"
+ },
+ {
+ "case": "raw",
+ "type": "0"
+ },
+ {
+ "case": "replication",
+ "type": "0"
+ },
+ {
+ "case": "throttle",
+ "type": "0"
+ },
+ {
+ "case": "vmdk",
+ "type": "0"
+ },
+ {
+ "case": "vvfat",
+ "type": "0"
+ },
+ {
+ "case": "vxhs",
+ "type": "0"
+ }
+ ],
+ "members": [
+ {
+ "name": "driver",
+ "type": "232"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "264",
+ "meta-type": "enum",
+ "values": [
+ "retain",
+ "read-only",
+ "read-write"
+ ]
+ },
+ {
+ "name": "265",
+ "meta-type": "enum",
+ "values": [
+ "read",
+ "write"
+ ]
+ },
+ {
+ "name": "266",
+ "meta-type": "enum",
+ "values": [
+ "ignore",
+ "report",
+ "stop"
+ ]
+ },
+ {
+ "name": "267",
+ "members": [
+ {
+ "type": "str"
+ },
+ {
+ "type": "null"
+ }
+ ],
+ "meta-type": "alternate"
+ },
+ {
+ "name": "268",
+ "tag": "type",
+ "variants": [
+ {
+ "case": "inet",
+ "type": "382"
+ },
+ {
+ "case": "unix",
+ "type": "383"
+ },
+ {
+ "case": "vsock",
+ "type": "384"
+ },
+ {
+ "case": "fd",
+ "type": "385"
+ }
+ ],
+ "members": [
+ {
+ "name": "type",
+ "type": "381"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "269",
+ "meta-type": "enum",
+ "values": [
+ "safe",
+ "hard"
+ ]
+ },
+ {
+ "name": "270",
+ "meta-type": "enum",
+ "values": [
+ "read",
+ "write",
+ "flush"
+ ]
+ },
+ {
+ "name": "271",
+ "meta-type": "enum",
+ "values": [
+ "utf8",
+ "base64"
+ ]
+ },
+ {
+ "name": "272",
+ "tag": "type",
+ "variants": [
+ {
+ "case": "file",
+ "type": "387"
+ },
+ {
+ "case": "serial",
+ "type": "388"
+ },
+ {
+ "case": "parallel",
+ "type": "388"
+ },
+ {
+ "case": "pipe",
+ "type": "388"
+ },
+ {
+ "case": "socket",
+ "type": "389"
+ },
+ {
+ "case": "udp",
+ "type": "390"
+ },
+ {
+ "case": "pty",
+ "type": "391"
+ },
+ {
+ "case": "null",
+ "type": "391"
+ },
+ {
+ "case": "mux",
+ "type": "392"
+ },
+ {
+ "case": "msmouse",
+ "type": "391"
+ },
+ {
+ "case": "wctablet",
+ "type": "391"
+ },
+ {
+ "case": "braille",
+ "type": "391"
+ },
+ {
+ "case": "testdev",
+ "type": "391"
+ },
+ {
+ "case": "stdio",
+ "type": "393"
+ },
+ {
+ "case": "console",
+ "type": "391"
+ },
+ {
+ "case": "spicevmc",
+ "type": "394"
+ },
+ {
+ "case": "spiceport",
+ "type": "395"
+ },
+ {
+ "case": "vc",
+ "type": "396"
+ },
+ {
+ "case": "ringbuf",
+ "type": "397"
+ },
+ {
+ "case": "memory",
+ "type": "397"
+ }
+ ],
+ "members": [
+ {
+ "name": "type",
+ "type": "386"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "273",
+ "meta-type": "enum",
+ "values": [
+ "normal",
+ "none",
+ "all"
+ ]
+ },
+ {
+ "name": "[str]",
+ "element-type": "str",
+ "meta-type": "array"
+ },
+ {
+ "name": "274",
+ "meta-type": "enum",
+ "values": [
+ "half",
+ "full"
+ ]
+ },
+ {
+ "name": "275",
+ "meta-type": "enum",
+ "values": [
+ "off",
+ "on"
+ ]
+ },
+ {
+ "name": "276",
+ "members": [
+ {
+ "name": "priority",
+ "type": "int"
+ },
+ {
+ "name": "tbl-id",
+ "type": "int"
+ },
+ {
+ "name": "in-pport",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "tunnel-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "vlan-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "eth-type",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "eth-src",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "eth-dst",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "ip-proto",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "ip-tos",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "ip-dst",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "277",
+ "members": [
+ {
+ "name": "in-pport",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "tunnel-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "vlan-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "eth-src",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "eth-dst",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "ip-proto",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "ip-tos",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "278",
+ "members": [
+ {
+ "name": "goto-tbl",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "group-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "tunnel-lport",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "vlan-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "new-vlan-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "out-pport",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "279",
+ "tag": "type",
+ "variants": [
+ {
+ "case": "passthrough",
+ "type": "399"
+ },
+ {
+ "case": "emulator",
+ "type": "400"
+ }
+ ],
+ "members": [
+ {
+ "name": "type",
+ "type": "398"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "284",
+ "meta-type": "enum",
+ "values": [
+ "ipv4",
+ "ipv6",
+ "unix",
+ "vsock",
+ "unknown"
+ ]
+ },
+ {
+ "name": "[285]",
+ "element-type": "285",
+ "meta-type": "array"
+ },
+ {
+ "name": "285",
+ "members": [
+ {
+ "name": "host",
+ "type": "str"
+ },
+ {
+ "name": "service",
+ "type": "str"
+ },
+ {
+ "name": "family",
+ "type": "284"
+ },
+ {
+ "name": "websocket",
+ "type": "bool"
+ },
+ {
+ "name": "x509_dname",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "sasl_username",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[286]",
+ "element-type": "286",
+ "meta-type": "array"
+ },
+ {
+ "name": "286",
+ "members": [
+ {
+ "name": "host",
+ "type": "str"
+ },
+ {
+ "name": "service",
+ "type": "str"
+ },
+ {
+ "name": "family",
+ "type": "284"
+ },
+ {
+ "name": "websocket",
+ "type": "bool"
+ },
+ {
+ "name": "auth",
+ "type": "287"
+ },
+ {
+ "name": "vencrypt",
+ "default": null,
+ "type": "288"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "287",
+ "meta-type": "enum",
+ "values": [
+ "none",
+ "vnc",
+ "ra2",
+ "ra2ne",
+ "tight",
+ "ultra",
+ "tls",
+ "vencrypt",
+ "sasl"
+ ]
+ },
+ {
+ "name": "288",
+ "meta-type": "enum",
+ "values": [
+ "plain",
+ "tls-none",
+ "x509-none",
+ "tls-vnc",
+ "x509-vnc",
+ "tls-plain",
+ "x509-plain",
+ "tls-sasl",
+ "x509-sasl"
+ ]
+ },
+ {
+ "name": "289",
+ "members": [
+ {
+ "name": "host",
+ "type": "str"
+ },
+ {
+ "name": "service",
+ "type": "str"
+ },
+ {
+ "name": "family",
+ "type": "284"
+ },
+ {
+ "name": "websocket",
+ "type": "bool"
+ },
+ {
+ "name": "auth",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "290",
+ "members": [
+ {
+ "name": "host",
+ "type": "str"
+ },
+ {
+ "name": "service",
+ "type": "str"
+ },
+ {
+ "name": "family",
+ "type": "284"
+ },
+ {
+ "name": "websocket",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[291]",
+ "element-type": "291",
+ "meta-type": "array"
+ },
+ {
+ "name": "291",
+ "tag": "type",
+ "variants": [
+ {
+ "case": "number",
+ "type": "402"
+ },
+ {
+ "case": "qcode",
+ "type": "403"
+ }
+ ],
+ "members": [
+ {
+ "name": "type",
+ "type": "401"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[292]",
+ "element-type": "292",
+ "meta-type": "array"
+ },
+ {
+ "name": "292",
+ "tag": "type",
+ "variants": [
+ {
+ "case": "key",
+ "type": "405"
+ },
+ {
+ "case": "btn",
+ "type": "406"
+ },
+ {
+ "case": "rel",
+ "type": "407"
+ },
+ {
+ "case": "abs",
+ "type": "407"
+ }
+ ],
+ "members": [
+ {
+ "name": "type",
+ "type": "404"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "293",
+ "meta-type": "enum",
+ "values": [
+ "none",
+ "setup",
+ "cancelling",
+ "cancelled",
+ "active",
+ "postcopy-active",
+ "postcopy-paused",
+ "postcopy-recover",
+ "completed",
+ "failed",
+ "colo",
+ "pre-switchover",
+ "device"
+ ]
+ },
+ {
+ "name": "294",
+ "members": [
+ {
+ "name": "transferred",
+ "type": "int"
+ },
+ {
+ "name": "remaining",
+ "type": "int"
+ },
+ {
+ "name": "total",
+ "type": "int"
+ },
+ {
+ "name": "duplicate",
+ "type": "int"
+ },
+ {
+ "name": "skipped",
+ "type": "int"
+ },
+ {
+ "name": "normal",
+ "type": "int"
+ },
+ {
+ "name": "normal-bytes",
+ "type": "int"
+ },
+ {
+ "name": "dirty-pages-rate",
+ "type": "int"
+ },
+ {
+ "name": "mbps",
+ "type": "number"
+ },
+ {
+ "name": "dirty-sync-count",
+ "type": "int"
+ },
+ {
+ "name": "postcopy-requests",
+ "type": "int"
+ },
+ {
+ "name": "page-size",
+ "type": "int"
+ },
+ {
+ "name": "multifd-bytes",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "295",
+ "members": [
+ {
+ "name": "cache-size",
+ "type": "int"
+ },
+ {
+ "name": "bytes",
+ "type": "int"
+ },
+ {
+ "name": "pages",
+ "type": "int"
+ },
+ {
+ "name": "cache-miss",
+ "type": "int"
+ },
+ {
+ "name": "cache-miss-rate",
+ "type": "number"
+ },
+ {
+ "name": "overflow",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "296",
+ "members": [
+ {
+ "name": "pages",
+ "type": "int"
+ },
+ {
+ "name": "busy",
+ "type": "int"
+ },
+ {
+ "name": "busy-rate",
+ "type": "number"
+ },
+ {
+ "name": "compressed-size",
+ "type": "int"
+ },
+ {
+ "name": "compression-rate",
+ "type": "number"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "297",
+ "meta-type": "enum",
+ "values": [
+ "xbzrle",
+ "rdma-pin-all",
+ "auto-converge",
+ "zero-blocks",
+ "compress",
+ "events",
+ "postcopy-ram",
+ "x-colo",
+ "release-ram",
+ "block",
+ "return-path",
+ "pause-before-switchover",
+ "x-multifd",
+ "dirty-bitmaps",
+ "postcopy-blocktime",
+ "late-block-activate"
+ ]
+ },
+ {
+ "name": "298",
+ "meta-type": "enum",
+ "values": [
+ "none",
+ "primary",
+ "secondary"
+ ]
+ },
+ {
+ "name": "299",
+ "meta-type": "enum",
+ "values": [
+ "none",
+ "request",
+ "error"
+ ]
+ },
+ {
+ "name": "number",
+ "json-type": "number",
+ "meta-type": "builtin"
+ },
+ {
+ "name": "[300]",
+ "element-type": "300",
+ "meta-type": "array"
+ },
+ {
+ "name": "300",
+ "tag": "type",
+ "variants": [
+ {
+ "case": "abort",
+ "type": "409"
+ },
+ {
+ "case": "block-dirty-bitmap-add",
+ "type": "410"
+ },
+ {
+ "case": "block-dirty-bitmap-clear",
+ "type": "411"
+ },
+ {
+ "case": "x-block-dirty-bitmap-enable",
+ "type": "411"
+ },
+ {
+ "case": "x-block-dirty-bitmap-disable",
+ "type": "411"
+ },
+ {
+ "case": "x-block-dirty-bitmap-merge",
+ "type": "412"
+ },
+ {
+ "case": "blockdev-backup",
+ "type": "413"
+ },
+ {
+ "case": "blockdev-snapshot",
+ "type": "414"
+ },
+ {
+ "case": "blockdev-snapshot-internal-sync",
+ "type": "415"
+ },
+ {
+ "case": "blockdev-snapshot-sync",
+ "type": "416"
+ },
+ {
+ "case": "drive-backup",
+ "type": "417"
+ }
+ ],
+ "members": [
+ {
+ "name": "type",
+ "type": "408"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "301",
+ "members": [
+ {
+ "name": "completion-mode",
+ "default": null,
+ "type": "418"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "302",
+ "meta-type": "enum",
+ "values": [
+ "unavailable",
+ "disabled",
+ "enabled"
+ ]
+ },
+ {
+ "name": "303",
+ "meta-type": "enum",
+ "values": [
+ "builtin",
+ "enum",
+ "array",
+ "object",
+ "alternate",
+ "command",
+ "event"
+ ]
+ },
+ {
+ "name": "304",
+ "members": [
+ {
+ "name": "json-type",
+ "type": "419"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "305",
+ "members": [
+ {
+ "name": "values",
+ "type": "[str]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "306",
+ "members": [
+ {
+ "name": "element-type",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "307",
+ "members": [
+ {
+ "name": "members",
+ "type": "[420]"
+ },
+ {
+ "name": "tag",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "variants",
+ "default": null,
+ "type": "[421]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "308",
+ "members": [
+ {
+ "name": "members",
+ "type": "[422]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "309",
+ "members": [
+ {
+ "name": "arg-type",
+ "type": "str"
+ },
+ {
+ "name": "ret-type",
+ "type": "str"
+ },
+ {
+ "name": "allow-oob",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "310",
+ "members": [
+ {
+ "name": "arg-type",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[311]",
+ "element-type": "311",
+ "meta-type": "array"
+ },
+ {
+ "name": "311",
+ "meta-type": "enum",
+ "values": [
+ "oob"
+ ]
+ },
+ {
+ "name": "312",
+ "members": [
+ {
+ "name": "major",
+ "type": "int"
+ },
+ {
+ "name": "minor",
+ "type": "int"
+ },
+ {
+ "name": "micro",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "313",
+ "members": [
+ {
+ "name": "node-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "socket-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "core-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "thread-id",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "314",
+ "meta-type": "enum",
+ "values": [
+ "x86",
+ "sparc",
+ "ppc",
+ "mips",
+ "tricore",
+ "s390",
+ "riscv",
+ "other"
+ ]
+ },
+ {
+ "name": "315",
+ "members": [
+ {
+ "name": "pc",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "316",
+ "members": [
+ {
+ "name": "pc",
+ "type": "int"
+ },
+ {
+ "name": "npc",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "317",
+ "members": [
+ {
+ "name": "nip",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "318",
+ "members": [
+ {
+ "name": "PC",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "319",
+ "members": [
+ {
+ "name": "PC",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "320",
+ "members": [
+ {
+ "name": "cpu-state",
+ "type": "423"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "321",
+ "members": [
+ {
+ "name": "pc",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "322",
+ "meta-type": "enum",
+ "values": [
+ "aarch64",
+ "alpha",
+ "arm",
+ "cris",
+ "hppa",
+ "i386",
+ "lm32",
+ "m68k",
+ "microblaze",
+ "microblazeel",
+ "mips",
+ "mips64",
+ "mips64el",
+ "mipsel",
+ "moxie",
+ "nios2",
+ "or1k",
+ "ppc",
+ "ppc64",
+ "riscv32",
+ "riscv64",
+ "s390x",
+ "sh4",
+ "sh4eb",
+ "sparc",
+ "sparc64",
+ "tricore",
+ "unicore32",
+ "x86_64",
+ "xtensa",
+ "xtensaeb"
+ ]
+ },
+ {
+ "name": "[323]",
+ "element-type": "323",
+ "meta-type": "array"
+ },
+ {
+ "name": "323",
+ "members": [
+ {
+ "name": "bus",
+ "type": "int"
+ },
+ {
+ "name": "slot",
+ "type": "int"
+ },
+ {
+ "name": "function",
+ "type": "int"
+ },
+ {
+ "name": "class_info",
+ "type": "424"
+ },
+ {
+ "name": "id",
+ "type": "425"
+ },
+ {
+ "name": "irq",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "qdev_id",
+ "type": "str"
+ },
+ {
+ "name": "pci_bridge",
+ "default": null,
+ "type": "426"
+ },
+ {
+ "name": "regions",
+ "type": "[427]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "324",
+ "meta-type": "enum",
+ "values": [
+ "elf",
+ "kdump-zlib",
+ "kdump-lzo",
+ "kdump-snappy",
+ "win-dmp"
+ ]
+ },
+ {
+ "name": "325",
+ "meta-type": "enum",
+ "values": [
+ "none",
+ "active",
+ "completed",
+ "failed"
+ ]
+ },
+ {
+ "name": "[324]",
+ "element-type": "324",
+ "meta-type": "array"
+ },
+ {
+ "name": "326",
+ "meta-type": "enum",
+ "values": [
+ "static",
+ "full"
+ ]
+ },
+ {
+ "name": "327",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "props",
+ "default": null,
+ "type": "any"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "328",
+ "meta-type": "enum",
+ "values": [
+ "incompatible",
+ "identical",
+ "superset",
+ "subset"
+ ]
+ },
+ {
+ "name": "[329]",
+ "element-type": "329",
+ "meta-type": "array"
+ },
+ {
+ "name": "329",
+ "members": [
+ {
+ "name": "fd",
+ "type": "int"
+ },
+ {
+ "name": "opaque",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[330]",
+ "element-type": "330",
+ "meta-type": "array"
+ },
+ {
+ "name": "330",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "type",
+ "type": "428"
+ },
+ {
+ "name": "help",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "default",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "331",
+ "meta-type": "enum",
+ "values": [
+ "default",
+ "preferred",
+ "bind",
+ "interleave"
+ ]
+ },
+ {
+ "name": "332",
+ "meta-type": "enum",
+ "values": [
+ "dimm",
+ "nvdimm"
+ ]
+ },
+ {
+ "name": "333",
+ "members": [
+ {
+ "name": "data",
+ "type": "429"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "334",
+ "meta-type": "enum",
+ "values": [
+ "DIMM",
+ "CPU"
+ ]
+ },
+ {
+ "name": "335",
+ "meta-type": "enum",
+ "values": [
+ "uninit",
+ "launch-update",
+ "launch-secret",
+ "running",
+ "send-update",
+ "receive-update"
+ ]
+ },
+ {
+ "name": "336",
+ "meta-type": "enum",
+ "values": [
+ "queue-full"
+ ]
+ },
+ {
+ "name": "337",
+ "meta-type": "enum",
+ "values": [
+ "node",
+ "dist",
+ "cpu"
+ ]
+ },
+ {
+ "name": "338",
+ "members": [
+ {
+ "name": "nodeid",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "cpus",
+ "default": null,
+ "type": "[int]"
+ },
+ {
+ "name": "mem",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "memdev",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "339",
+ "members": [
+ {
+ "name": "src",
+ "type": "int"
+ },
+ {
+ "name": "dst",
+ "type": "int"
+ },
+ {
+ "name": "val",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "340",
+ "members": [
+ {
+ "name": "node-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "socket-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "core-id",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "thread-id",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "341",
+ "meta-type": "enum",
+ "values": [
+ "hyper-v",
+ "s390"
+ ]
+ },
+ {
+ "name": "342",
+ "members": [
+ {
+ "name": "arg1",
+ "type": "int"
+ },
+ {
+ "name": "arg2",
+ "type": "int"
+ },
+ {
+ "name": "arg3",
+ "type": "int"
+ },
+ {
+ "name": "arg4",
+ "type": "int"
+ },
+ {
+ "name": "arg5",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "343",
+ "members": [
+ {
+ "name": "core",
+ "type": "int"
+ },
+ {
+ "name": "psw-mask",
+ "type": "int"
+ },
+ {
+ "name": "psw-addr",
+ "type": "int"
+ },
+ {
+ "name": "reason",
+ "type": "430"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "344",
+ "meta-type": "enum",
+ "values": [
+ "active",
+ "disabled",
+ "frozen",
+ "locked"
+ ]
+ },
+ {
+ "name": "[345]",
+ "element-type": "345",
+ "meta-type": "array"
+ },
+ {
+ "name": "345",
+ "members": [
+ {
+ "name": "interval_length",
+ "type": "int"
+ },
+ {
+ "name": "min_rd_latency_ns",
+ "type": "int"
+ },
+ {
+ "name": "max_rd_latency_ns",
+ "type": "int"
+ },
+ {
+ "name": "avg_rd_latency_ns",
+ "type": "int"
+ },
+ {
+ "name": "min_wr_latency_ns",
+ "type": "int"
+ },
+ {
+ "name": "max_wr_latency_ns",
+ "type": "int"
+ },
+ {
+ "name": "avg_wr_latency_ns",
+ "type": "int"
+ },
+ {
+ "name": "min_flush_latency_ns",
+ "type": "int"
+ },
+ {
+ "name": "max_flush_latency_ns",
+ "type": "int"
+ },
+ {
+ "name": "avg_flush_latency_ns",
+ "type": "int"
+ },
+ {
+ "name": "avg_rd_queue_depth",
+ "type": "number"
+ },
+ {
+ "name": "avg_wr_queue_depth",
+ "type": "number"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "346",
+ "members": [
+ {
+ "name": "boundaries",
+ "type": "[int]"
+ },
+ {
+ "name": "bins",
+ "type": "[int]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[66]",
+ "element-type": "66",
+ "meta-type": "array"
+ },
+ {
+ "name": "347",
+ "tag": "type",
+ "variants": [
+ {
+ "case": "qcow2",
+ "type": "432"
+ },
+ {
+ "case": "vmdk",
+ "type": "433"
+ },
+ {
+ "case": "luks",
+ "type": "434"
+ }
+ ],
+ "members": [
+ {
+ "name": "type",
+ "type": "431"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "348",
+ "members": [
+ {
+ "type": "44"
+ },
+ {
+ "type": "str"
+ }
+ ],
+ "meta-type": "alternate"
+ },
+ {
+ "name": "[349]",
+ "element-type": "349",
+ "meta-type": "array"
+ },
+ {
+ "name": "349",
+ "members": [
+ {
+ "name": "event",
+ "type": "435"
+ },
+ {
+ "name": "state",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "errno",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "sector",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "once",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "immediately",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[350]",
+ "element-type": "350",
+ "meta-type": "array"
+ },
+ {
+ "name": "350",
+ "members": [
+ {
+ "name": "event",
+ "type": "435"
+ },
+ {
+ "name": "state",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "new_state",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "351",
+ "meta-type": "enum",
+ "values": [
+ "auto",
+ "on",
+ "off"
+ ]
+ },
+ {
+ "name": "352",
+ "meta-type": "enum",
+ "values": [
+ "threads",
+ "native"
+ ]
+ },
+ {
+ "name": "[353]",
+ "element-type": "353",
+ "meta-type": "array"
+ },
+ {
+ "name": "353",
+ "tag": "type",
+ "variants": [
+ {
+ "case": "inet",
+ "type": "365"
+ },
+ {
+ "case": "unix",
+ "type": "437"
+ },
+ {
+ "case": "vsock",
+ "type": "438"
+ },
+ {
+ "case": "fd",
+ "type": "439"
+ }
+ ],
+ "members": [
+ {
+ "name": "type",
+ "type": "436"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "354",
+ "meta-type": "enum",
+ "values": [
+ "tcp",
+ "iser"
+ ]
+ },
+ {
+ "name": "355",
+ "meta-type": "enum",
+ "values": [
+ "crc32c",
+ "none",
+ "crc32c-none",
+ "none-crc32c"
+ ]
+ },
+ {
+ "name": "356",
+ "members": [
+ {
+ "name": "type",
+ "type": "440"
+ },
+ {
+ "name": "host",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "357",
+ "members": [
+ {
+ "type": "44"
+ },
+ {
+ "type": "str"
+ },
+ {
+ "type": "null"
+ }
+ ],
+ "meta-type": "alternate"
+ },
+ {
+ "name": "358",
+ "members": [
+ {
+ "type": "441"
+ },
+ {
+ "type": "442"
+ }
+ ],
+ "meta-type": "alternate"
+ },
+ {
+ "name": "359",
+ "tag": "format",
+ "variants": [
+ {
+ "case": "aes",
+ "type": "444"
+ },
+ {
+ "case": "luks",
+ "type": "445"
+ }
+ ],
+ "members": [
+ {
+ "name": "format",
+ "type": "443"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "360",
+ "tag": "format",
+ "variants": [
+ {
+ "case": "aes",
+ "type": "444"
+ }
+ ],
+ "members": [
+ {
+ "name": "format",
+ "type": "446"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[348]",
+ "element-type": "348",
+ "meta-type": "array"
+ },
+ {
+ "name": "361",
+ "meta-type": "enum",
+ "values": [
+ "quorum",
+ "fifo"
+ ]
+ },
+ {
+ "name": "[362]",
+ "element-type": "362",
+ "meta-type": "array"
+ },
+ {
+ "name": "362",
+ "meta-type": "enum",
+ "values": [
+ "cephx",
+ "none"
+ ]
+ },
+ {
+ "name": "[363]",
+ "element-type": "363",
+ "meta-type": "array"
+ },
+ {
+ "name": "363",
+ "members": [
+ {
+ "name": "host",
+ "type": "str"
+ },
+ {
+ "name": "port",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "364",
+ "meta-type": "enum",
+ "values": [
+ "primary",
+ "secondary"
+ ]
+ },
+ {
+ "name": "365",
+ "members": [
+ {
+ "name": "host",
+ "type": "str"
+ },
+ {
+ "name": "port",
+ "type": "str"
+ },
+ {
+ "name": "numeric",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "to",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "ipv4",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "ipv6",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "366",
+ "tag": "mode",
+ "variants": [
+ {
+ "case": "hash",
+ "type": "448"
+ },
+ {
+ "case": "none",
+ "type": "0"
+ },
+ {
+ "case": "known_hosts",
+ "type": "0"
+ }
+ ],
+ "members": [
+ {
+ "name": "mode",
+ "type": "447"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "367",
+ "members": [
+ {
+ "name": "filename",
+ "type": "str"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ },
+ {
+ "name": "preallocation",
+ "default": null,
+ "type": "449"
+ },
+ {
+ "name": "nocow",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "368",
+ "members": [
+ {
+ "name": "location",
+ "type": "242"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ },
+ {
+ "name": "preallocation",
+ "default": null,
+ "type": "449"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "369",
+ "members": [
+ {
+ "name": "key-secret",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "cipher-alg",
+ "default": null,
+ "type": "450"
+ },
+ {
+ "name": "cipher-mode",
+ "default": null,
+ "type": "451"
+ },
+ {
+ "name": "ivgen-alg",
+ "default": null,
+ "type": "452"
+ },
+ {
+ "name": "ivgen-hash-alg",
+ "default": null,
+ "type": "453"
+ },
+ {
+ "name": "hash-alg",
+ "default": null,
+ "type": "453"
+ },
+ {
+ "name": "iter-time",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "file",
+ "type": "348"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "370",
+ "members": [
+ {
+ "name": "location",
+ "type": "248"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "371",
+ "members": [
+ {
+ "name": "file",
+ "type": "348"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ },
+ {
+ "name": "cluster-size",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "372",
+ "members": [
+ {
+ "name": "file",
+ "type": "348"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ },
+ {
+ "name": "backing-file",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "encrypt",
+ "default": null,
+ "type": "454"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "373",
+ "members": [
+ {
+ "name": "file",
+ "type": "348"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ },
+ {
+ "name": "version",
+ "default": null,
+ "type": "455"
+ },
+ {
+ "name": "backing-file",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "backing-fmt",
+ "default": null,
+ "type": "232"
+ },
+ {
+ "name": "encrypt",
+ "default": null,
+ "type": "454"
+ },
+ {
+ "name": "cluster-size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "preallocation",
+ "default": null,
+ "type": "449"
+ },
+ {
+ "name": "lazy-refcounts",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "refcount-bits",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "374",
+ "members": [
+ {
+ "name": "file",
+ "type": "348"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ },
+ {
+ "name": "backing-file",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "backing-fmt",
+ "default": null,
+ "type": "232"
+ },
+ {
+ "name": "cluster-size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "table-size",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "375",
+ "members": [
+ {
+ "name": "location",
+ "type": "256"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ },
+ {
+ "name": "cluster-size",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "376",
+ "members": [
+ {
+ "name": "location",
+ "type": "258"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ },
+ {
+ "name": "backing-file",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "preallocation",
+ "default": null,
+ "type": "449"
+ },
+ {
+ "name": "redundancy",
+ "default": null,
+ "type": "456"
+ },
+ {
+ "name": "object-size",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "377",
+ "members": [
+ {
+ "name": "location",
+ "type": "259"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "378",
+ "members": [
+ {
+ "name": "file",
+ "type": "348"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ },
+ {
+ "name": "preallocation",
+ "default": null,
+ "type": "449"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "379",
+ "members": [
+ {
+ "name": "file",
+ "type": "348"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ },
+ {
+ "name": "log-size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "block-size",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "subformat",
+ "default": null,
+ "type": "457"
+ },
+ {
+ "name": "block-state-zero",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "380",
+ "members": [
+ {
+ "name": "file",
+ "type": "348"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ },
+ {
+ "name": "subformat",
+ "default": null,
+ "type": "458"
+ },
+ {
+ "name": "force-size",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "null",
+ "json-type": "null",
+ "meta-type": "builtin"
+ },
+ {
+ "name": "381",
+ "meta-type": "enum",
+ "values": [
+ "inet",
+ "unix",
+ "vsock",
+ "fd"
+ ]
+ },
+ {
+ "name": "382",
+ "members": [
+ {
+ "name": "data",
+ "type": "365"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "383",
+ "members": [
+ {
+ "name": "data",
+ "type": "437"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "384",
+ "members": [
+ {
+ "name": "data",
+ "type": "438"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "385",
+ "members": [
+ {
+ "name": "data",
+ "type": "439"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "386",
+ "meta-type": "enum",
+ "values": [
+ "file",
+ "serial",
+ "parallel",
+ "pipe",
+ "socket",
+ "udp",
+ "pty",
+ "null",
+ "mux",
+ "msmouse",
+ "wctablet",
+ "braille",
+ "testdev",
+ "stdio",
+ "console",
+ "spicevmc",
+ "spiceport",
+ "vc",
+ "ringbuf",
+ "memory"
+ ]
+ },
+ {
+ "name": "387",
+ "members": [
+ {
+ "name": "data",
+ "type": "459"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "388",
+ "members": [
+ {
+ "name": "data",
+ "type": "460"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "389",
+ "members": [
+ {
+ "name": "data",
+ "type": "461"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "390",
+ "members": [
+ {
+ "name": "data",
+ "type": "462"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "391",
+ "members": [
+ {
+ "name": "data",
+ "type": "463"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "392",
+ "members": [
+ {
+ "name": "data",
+ "type": "464"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "393",
+ "members": [
+ {
+ "name": "data",
+ "type": "465"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "394",
+ "members": [
+ {
+ "name": "data",
+ "type": "466"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "395",
+ "members": [
+ {
+ "name": "data",
+ "type": "467"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "396",
+ "members": [
+ {
+ "name": "data",
+ "type": "468"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "397",
+ "members": [
+ {
+ "name": "data",
+ "type": "469"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "398",
+ "meta-type": "enum",
+ "values": [
+ "passthrough",
+ "emulator"
+ ]
+ },
+ {
+ "name": "399",
+ "members": [
+ {
+ "name": "data",
+ "type": "470"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "400",
+ "members": [
+ {
+ "name": "data",
+ "type": "471"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "401",
+ "meta-type": "enum",
+ "values": [
+ "number",
+ "qcode"
+ ]
+ },
+ {
+ "name": "402",
+ "members": [
+ {
+ "name": "data",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "403",
+ "members": [
+ {
+ "name": "data",
+ "type": "472"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "404",
+ "meta-type": "enum",
+ "values": [
+ "key",
+ "btn",
+ "rel",
+ "abs"
+ ]
+ },
+ {
+ "name": "405",
+ "members": [
+ {
+ "name": "data",
+ "type": "473"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "406",
+ "members": [
+ {
+ "name": "data",
+ "type": "474"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "407",
+ "members": [
+ {
+ "name": "data",
+ "type": "475"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "408",
+ "meta-type": "enum",
+ "values": [
+ "abort",
+ "block-dirty-bitmap-add",
+ "block-dirty-bitmap-clear",
+ "x-block-dirty-bitmap-enable",
+ "x-block-dirty-bitmap-disable",
+ "x-block-dirty-bitmap-merge",
+ "blockdev-backup",
+ "blockdev-snapshot",
+ "blockdev-snapshot-internal-sync",
+ "blockdev-snapshot-sync",
+ "drive-backup"
+ ]
+ },
+ {
+ "name": "409",
+ "members": [
+ {
+ "name": "data",
+ "type": "476"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "410",
+ "members": [
+ {
+ "name": "data",
+ "type": "30"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "411",
+ "members": [
+ {
+ "name": "data",
+ "type": "31"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "412",
+ "members": [
+ {
+ "name": "data",
+ "type": "32"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "413",
+ "members": [
+ {
+ "name": "data",
+ "type": "27"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "414",
+ "members": [
+ {
+ "name": "data",
+ "type": "23"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "415",
+ "members": [
+ {
+ "name": "data",
+ "type": "64"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "416",
+ "members": [
+ {
+ "name": "data",
+ "type": "22"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "417",
+ "members": [
+ {
+ "name": "data",
+ "type": "26"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "418",
+ "meta-type": "enum",
+ "values": [
+ "individual",
+ "grouped"
+ ]
+ },
+ {
+ "name": "419",
+ "meta-type": "enum",
+ "values": [
+ "string",
+ "number",
+ "int",
+ "boolean",
+ "null",
+ "object",
+ "array",
+ "value"
+ ]
+ },
+ {
+ "name": "[420]",
+ "element-type": "420",
+ "meta-type": "array"
+ },
+ {
+ "name": "420",
+ "members": [
+ {
+ "name": "name",
+ "type": "str"
+ },
+ {
+ "name": "type",
+ "type": "str"
+ },
+ {
+ "name": "default",
+ "default": null,
+ "type": "any"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[421]",
+ "element-type": "421",
+ "meta-type": "array"
+ },
+ {
+ "name": "421",
+ "members": [
+ {
+ "name": "case",
+ "type": "str"
+ },
+ {
+ "name": "type",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[422]",
+ "element-type": "422",
+ "meta-type": "array"
+ },
+ {
+ "name": "422",
+ "members": [
+ {
+ "name": "type",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "423",
+ "meta-type": "enum",
+ "values": [
+ "uninitialized",
+ "stopped",
+ "check-stop",
+ "operating",
+ "load"
+ ]
+ },
+ {
+ "name": "424",
+ "members": [
+ {
+ "name": "desc",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "class",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "425",
+ "members": [
+ {
+ "name": "device",
+ "type": "int"
+ },
+ {
+ "name": "vendor",
+ "type": "int"
+ },
+ {
+ "name": "subsystem",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "subsystem-vendor",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "426",
+ "members": [
+ {
+ "name": "bus",
+ "type": "477"
+ },
+ {
+ "name": "devices",
+ "default": null,
+ "type": "[323]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[427]",
+ "element-type": "427",
+ "meta-type": "array"
+ },
+ {
+ "name": "427",
+ "members": [
+ {
+ "name": "bar",
+ "type": "int"
+ },
+ {
+ "name": "type",
+ "type": "str"
+ },
+ {
+ "name": "address",
+ "type": "int"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ },
+ {
+ "name": "prefetch",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "mem_type_64",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "428",
+ "meta-type": "enum",
+ "values": [
+ "string",
+ "boolean",
+ "number",
+ "size"
+ ]
+ },
+ {
+ "name": "429",
+ "members": [
+ {
+ "name": "id",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "addr",
+ "type": "int"
+ },
+ {
+ "name": "size",
+ "type": "int"
+ },
+ {
+ "name": "slot",
+ "type": "int"
+ },
+ {
+ "name": "node",
+ "type": "int"
+ },
+ {
+ "name": "memdev",
+ "type": "str"
+ },
+ {
+ "name": "hotplugged",
+ "type": "bool"
+ },
+ {
+ "name": "hotpluggable",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "430",
+ "meta-type": "enum",
+ "values": [
+ "unknown",
+ "disabled-wait",
+ "extint-loop",
+ "pgmint-loop",
+ "opint-loop"
+ ]
+ },
+ {
+ "name": "431",
+ "meta-type": "enum",
+ "values": [
+ "qcow2",
+ "vmdk",
+ "luks"
+ ]
+ },
+ {
+ "name": "432",
+ "members": [
+ {
+ "name": "data",
+ "type": "478"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "433",
+ "members": [
+ {
+ "name": "data",
+ "type": "479"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "434",
+ "members": [
+ {
+ "name": "data",
+ "type": "480"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "435",
+ "meta-type": "enum",
+ "values": [
+ "l1_update",
+ "l1_grow_alloc_table",
+ "l1_grow_write_table",
+ "l1_grow_activate_table",
+ "l2_load",
+ "l2_update",
+ "l2_update_compressed",
+ "l2_alloc_cow_read",
+ "l2_alloc_write",
+ "read_aio",
+ "read_backing_aio",
+ "read_compressed",
+ "write_aio",
+ "write_compressed",
+ "vmstate_load",
+ "vmstate_save",
+ "cow_read",
+ "cow_write",
+ "reftable_load",
+ "reftable_grow",
+ "reftable_update",
+ "refblock_load",
+ "refblock_update",
+ "refblock_update_part",
+ "refblock_alloc",
+ "refblock_alloc_hookup",
+ "refblock_alloc_write",
+ "refblock_alloc_write_blocks",
+ "refblock_alloc_write_table",
+ "refblock_alloc_switch_table",
+ "cluster_alloc",
+ "cluster_alloc_bytes",
+ "cluster_free",
+ "flush_to_os",
+ "flush_to_disk",
+ "pwritev_rmw_head",
+ "pwritev_rmw_after_head",
+ "pwritev_rmw_tail",
+ "pwritev_rmw_after_tail",
+ "pwritev",
+ "pwritev_zero",
+ "pwritev_done",
+ "empty_image_prepare",
+ "l1_shrink_write_table",
+ "l1_shrink_free_l2_clusters",
+ "cor_write"
+ ]
+ },
+ {
+ "name": "436",
+ "meta-type": "enum",
+ "values": [
+ "inet",
+ "unix",
+ "vsock",
+ "fd"
+ ]
+ },
+ {
+ "name": "437",
+ "members": [
+ {
+ "name": "path",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "438",
+ "members": [
+ {
+ "name": "cid",
+ "type": "str"
+ },
+ {
+ "name": "port",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "439",
+ "members": [
+ {
+ "name": "str",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "440",
+ "meta-type": "enum",
+ "values": [
+ "inet"
+ ]
+ },
+ {
+ "name": "441",
+ "members": [
+ {
+ "name": "template",
+ "default": null,
+ "type": "442"
+ },
+ {
+ "name": "main-header",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "active-l1",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "active-l2",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "refcount-table",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "refcount-block",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "snapshot-table",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "inactive-l1",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "inactive-l2",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "bitmap-directory",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "442",
+ "meta-type": "enum",
+ "values": [
+ "none",
+ "constant",
+ "cached",
+ "all"
+ ]
+ },
+ {
+ "name": "443",
+ "meta-type": "enum",
+ "values": [
+ "aes",
+ "luks"
+ ]
+ },
+ {
+ "name": "444",
+ "members": [
+ {
+ "name": "key-secret",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "445",
+ "members": [
+ {
+ "name": "key-secret",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "446",
+ "meta-type": "enum",
+ "values": [
+ "aes"
+ ]
+ },
+ {
+ "name": "447",
+ "meta-type": "enum",
+ "values": [
+ "none",
+ "hash",
+ "known_hosts"
+ ]
+ },
+ {
+ "name": "448",
+ "members": [
+ {
+ "name": "type",
+ "type": "481"
+ },
+ {
+ "name": "hash",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "449",
+ "meta-type": "enum",
+ "values": [
+ "off",
+ "metadata",
+ "falloc",
+ "full"
+ ]
+ },
+ {
+ "name": "450",
+ "meta-type": "enum",
+ "values": [
+ "aes-128",
+ "aes-192",
+ "aes-256",
+ "des-rfb",
+ "3des",
+ "cast5-128",
+ "serpent-128",
+ "serpent-192",
+ "serpent-256",
+ "twofish-128",
+ "twofish-192",
+ "twofish-256"
+ ]
+ },
+ {
+ "name": "451",
+ "meta-type": "enum",
+ "values": [
+ "ecb",
+ "cbc",
+ "xts",
+ "ctr"
+ ]
+ },
+ {
+ "name": "452",
+ "meta-type": "enum",
+ "values": [
+ "plain",
+ "plain64",
+ "essiv"
+ ]
+ },
+ {
+ "name": "453",
+ "meta-type": "enum",
+ "values": [
+ "md5",
+ "sha1",
+ "sha224",
+ "sha256",
+ "sha384",
+ "sha512",
+ "ripemd160"
+ ]
+ },
+ {
+ "name": "454",
+ "tag": "format",
+ "variants": [
+ {
+ "case": "qcow",
+ "type": "444"
+ },
+ {
+ "case": "luks",
+ "type": "483"
+ }
+ ],
+ "members": [
+ {
+ "name": "format",
+ "type": "482"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "455",
+ "meta-type": "enum",
+ "values": [
+ "v2",
+ "v3"
+ ]
+ },
+ {
+ "name": "456",
+ "tag": "type",
+ "variants": [
+ {
+ "case": "full",
+ "type": "485"
+ },
+ {
+ "case": "erasure-coded",
+ "type": "486"
+ }
+ ],
+ "members": [
+ {
+ "name": "type",
+ "type": "484"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "457",
+ "meta-type": "enum",
+ "values": [
+ "dynamic",
+ "fixed"
+ ]
+ },
+ {
+ "name": "458",
+ "meta-type": "enum",
+ "values": [
+ "dynamic",
+ "fixed"
+ ]
+ },
+ {
+ "name": "459",
+ "members": [
+ {
+ "name": "logfile",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "logappend",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "in",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "out",
+ "type": "str"
+ },
+ {
+ "name": "append",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "460",
+ "members": [
+ {
+ "name": "logfile",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "logappend",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "device",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "461",
+ "members": [
+ {
+ "name": "logfile",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "logappend",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "addr",
+ "type": "268"
+ },
+ {
+ "name": "tls-creds",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "server",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "wait",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "nodelay",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "telnet",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "tn3270",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "websocket",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "reconnect",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "462",
+ "members": [
+ {
+ "name": "logfile",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "logappend",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "remote",
+ "type": "268"
+ },
+ {
+ "name": "local",
+ "default": null,
+ "type": "268"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "463",
+ "members": [
+ {
+ "name": "logfile",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "logappend",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "464",
+ "members": [
+ {
+ "name": "logfile",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "logappend",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "chardev",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "465",
+ "members": [
+ {
+ "name": "logfile",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "logappend",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "signal",
+ "default": null,
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "466",
+ "members": [
+ {
+ "name": "logfile",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "logappend",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "type",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "467",
+ "members": [
+ {
+ "name": "logfile",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "logappend",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "fqdn",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "468",
+ "members": [
+ {
+ "name": "logfile",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "logappend",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "width",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "height",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "cols",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "rows",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "469",
+ "members": [
+ {
+ "name": "logfile",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "logappend",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "size",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "470",
+ "members": [
+ {
+ "name": "path",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "cancel-path",
+ "default": null,
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "471",
+ "members": [
+ {
+ "name": "chardev",
+ "type": "str"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "472",
+ "meta-type": "enum",
+ "values": [
+ "unmapped",
+ "shift",
+ "shift_r",
+ "alt",
+ "alt_r",
+ "ctrl",
+ "ctrl_r",
+ "menu",
+ "esc",
+ "1",
+ "2",
+ "3",
+ "4",
+ "5",
+ "6",
+ "7",
+ "8",
+ "9",
+ "0",
+ "minus",
+ "equal",
+ "backspace",
+ "tab",
+ "q",
+ "w",
+ "e",
+ "r",
+ "t",
+ "y",
+ "u",
+ "i",
+ "o",
+ "p",
+ "bracket_left",
+ "bracket_right",
+ "ret",
+ "a",
+ "s",
+ "d",
+ "f",
+ "g",
+ "h",
+ "j",
+ "k",
+ "l",
+ "semicolon",
+ "apostrophe",
+ "grave_accent",
+ "backslash",
+ "z",
+ "x",
+ "c",
+ "v",
+ "b",
+ "n",
+ "m",
+ "comma",
+ "dot",
+ "slash",
+ "asterisk",
+ "spc",
+ "caps_lock",
+ "f1",
+ "f2",
+ "f3",
+ "f4",
+ "f5",
+ "f6",
+ "f7",
+ "f8",
+ "f9",
+ "f10",
+ "num_lock",
+ "scroll_lock",
+ "kp_divide",
+ "kp_multiply",
+ "kp_subtract",
+ "kp_add",
+ "kp_enter",
+ "kp_decimal",
+ "sysrq",
+ "kp_0",
+ "kp_1",
+ "kp_2",
+ "kp_3",
+ "kp_4",
+ "kp_5",
+ "kp_6",
+ "kp_7",
+ "kp_8",
+ "kp_9",
+ "less",
+ "f11",
+ "f12",
+ "print",
+ "home",
+ "pgup",
+ "pgdn",
+ "end",
+ "left",
+ "up",
+ "down",
+ "right",
+ "insert",
+ "delete",
+ "stop",
+ "again",
+ "props",
+ "undo",
+ "front",
+ "copy",
+ "open",
+ "paste",
+ "find",
+ "cut",
+ "lf",
+ "help",
+ "meta_l",
+ "meta_r",
+ "compose",
+ "pause",
+ "ro",
+ "hiragana",
+ "henkan",
+ "yen",
+ "muhenkan",
+ "katakanahiragana",
+ "kp_comma",
+ "kp_equals",
+ "power",
+ "sleep",
+ "wake",
+ "audionext",
+ "audioprev",
+ "audiostop",
+ "audioplay",
+ "audiomute",
+ "volumeup",
+ "volumedown",
+ "mediaselect",
+ "mail",
+ "calculator",
+ "computer",
+ "ac_home",
+ "ac_back",
+ "ac_forward",
+ "ac_refresh",
+ "ac_bookmarks"
+ ]
+ },
+ {
+ "name": "473",
+ "members": [
+ {
+ "name": "key",
+ "type": "291"
+ },
+ {
+ "name": "down",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "474",
+ "members": [
+ {
+ "name": "button",
+ "type": "487"
+ },
+ {
+ "name": "down",
+ "type": "bool"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "475",
+ "members": [
+ {
+ "name": "axis",
+ "type": "488"
+ },
+ {
+ "name": "value",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "476",
+ "members": [
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "477",
+ "members": [
+ {
+ "name": "number",
+ "type": "int"
+ },
+ {
+ "name": "secondary",
+ "type": "int"
+ },
+ {
+ "name": "subordinate",
+ "type": "int"
+ },
+ {
+ "name": "io_range",
+ "type": "489"
+ },
+ {
+ "name": "memory_range",
+ "type": "489"
+ },
+ {
+ "name": "prefetchable_range",
+ "type": "489"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "478",
+ "members": [
+ {
+ "name": "compat",
+ "type": "str"
+ },
+ {
+ "name": "lazy-refcounts",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "corrupt",
+ "default": null,
+ "type": "bool"
+ },
+ {
+ "name": "refcount-bits",
+ "type": "int"
+ },
+ {
+ "name": "encrypt",
+ "default": null,
+ "type": "490"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "479",
+ "members": [
+ {
+ "name": "create-type",
+ "type": "str"
+ },
+ {
+ "name": "cid",
+ "type": "int"
+ },
+ {
+ "name": "parent-cid",
+ "type": "int"
+ },
+ {
+ "name": "extents",
+ "type": "[229]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "480",
+ "members": [
+ {
+ "name": "cipher-alg",
+ "type": "450"
+ },
+ {
+ "name": "cipher-mode",
+ "type": "451"
+ },
+ {
+ "name": "ivgen-alg",
+ "type": "452"
+ },
+ {
+ "name": "ivgen-hash-alg",
+ "default": null,
+ "type": "453"
+ },
+ {
+ "name": "hash-alg",
+ "type": "453"
+ },
+ {
+ "name": "payload-offset",
+ "type": "int"
+ },
+ {
+ "name": "master-key-iters",
+ "type": "int"
+ },
+ {
+ "name": "uuid",
+ "type": "str"
+ },
+ {
+ "name": "slots",
+ "type": "[491]"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "481",
+ "meta-type": "enum",
+ "values": [
+ "md5",
+ "sha1"
+ ]
+ },
+ {
+ "name": "482",
+ "meta-type": "enum",
+ "values": [
+ "qcow",
+ "luks"
+ ]
+ },
+ {
+ "name": "483",
+ "members": [
+ {
+ "name": "key-secret",
+ "default": null,
+ "type": "str"
+ },
+ {
+ "name": "cipher-alg",
+ "default": null,
+ "type": "450"
+ },
+ {
+ "name": "cipher-mode",
+ "default": null,
+ "type": "451"
+ },
+ {
+ "name": "ivgen-alg",
+ "default": null,
+ "type": "452"
+ },
+ {
+ "name": "ivgen-hash-alg",
+ "default": null,
+ "type": "453"
+ },
+ {
+ "name": "hash-alg",
+ "default": null,
+ "type": "453"
+ },
+ {
+ "name": "iter-time",
+ "default": null,
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "484",
+ "meta-type": "enum",
+ "values": [
+ "full",
+ "erasure-coded"
+ ]
+ },
+ {
+ "name": "485",
+ "members": [
+ {
+ "name": "copies",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "486",
+ "members": [
+ {
+ "name": "data-strips",
+ "type": "int"
+ },
+ {
+ "name": "parity-strips",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "487",
+ "meta-type": "enum",
+ "values": [
+ "left",
+ "middle",
+ "right",
+ "wheel-up",
+ "wheel-down",
+ "side",
+ "extra"
+ ]
+ },
+ {
+ "name": "488",
+ "meta-type": "enum",
+ "values": [
+ "x",
+ "y"
+ ]
+ },
+ {
+ "name": "489",
+ "members": [
+ {
+ "name": "base",
+ "type": "int"
+ },
+ {
+ "name": "limit",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "490",
+ "tag": "format",
+ "variants": [
+ {
+ "case": "luks",
+ "type": "480"
+ },
+ {
+ "case": "aes",
+ "type": "0"
+ }
+ ],
+ "members": [
+ {
+ "name": "format",
+ "type": "443"
+ }
+ ],
+ "meta-type": "object"
+ },
+ {
+ "name": "[229]",
+ "element-type": "229",
+ "meta-type": "array"
+ },
+ {
+ "name": "[491]",
+ "element-type": "491",
+ "meta-type": "array"
+ },
+ {
+ "name": "491",
+ "members": [
+ {
+ "name": "active",
+ "type": "bool"
+ },
+ {
+ "name": "iters",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "stripes",
+ "default": null,
+ "type": "int"
+ },
+ {
+ "name": "key-offset",
+ "type": "int"
+ }
+ ],
+ "meta-type": "object"
+ }
+ ],
+ "id": "libvirt-45"
+}
+
+{
+ "execute": "qmp_capabilities",
+ "id": "libvirt-1"
+}
+
+{
+ "return": {
+ },
+ "id": "libvirt-1"
+}
+
+{
+ "execute": "query-cpu-definitions",
+ "id": "libvirt-2"
+}
+
+{
+ "return": [
+ {
+ "name": "default",
+ "typename": "604-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "ppc",
+ "typename": "604-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "ppc32",
+ "typename": "604-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "ppc64",
+ "typename": "970fx_v3.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "power9",
+ "typename": "power9_v2.0-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "power8nvl",
+ "typename": "power8nvl_v1.0-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "power8",
+ "typename": "power8_v2.0-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "power8e",
+ "typename": "power8e_v2.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "power7+",
+ "typename": "power7+_v2.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "power7",
+ "typename": "power7_v2.3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "power5gs",
+ "typename": "power5+_v2.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "power5+",
+ "typename": "power5+_v2.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "970mp",
+ "typename": "970mp_v1.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "970fx",
+ "typename": "970fx_v3.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "970",
+ "typename": "970_v2.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "apollo7pm",
+ "typename": "7457a_v1.0-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7457a",
+ "typename": "7457a_v1.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7447a",
+ "typename": "7447a_v1.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "apollo7",
+ "typename": "7457_v1.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7457",
+ "typename": "7457_v1.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7447",
+ "typename": "7447_v1.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "apollo6",
+ "typename": "7455_v3.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7455",
+ "typename": "7455_v3.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7445",
+ "typename": "7445_v3.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7451",
+ "typename": "7451_v2.3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7441",
+ "typename": "7441_v2.3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "vger",
+ "typename": "7450_v2.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7450",
+ "typename": "7450_v2.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7448",
+ "typename": "7448_v2.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "nitro",
+ "typename": "7410_v1.4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7410",
+ "typename": "7410_v1.4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "g4",
+ "typename": "7400_v2.9-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "max",
+ "typename": "7400_v2.9-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "7400",
+ "typename": "7400_v2.9-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "goldfinger",
+ "typename": "755_v2.8-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "755",
+ "typename": "755_v2.8-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "745",
+ "typename": "745_v2.8-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "lonestar",
+ "typename": "750l_v3.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "750l",
+ "typename": "750l_v3.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "750gx",
+ "typename": "750gx_v1.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "750fx",
+ "typename": "750fx_v2.3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "750cxe",
+ "typename": "750cxe_v3.1b-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "750cx",
+ "typename": "750cx_v2.2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "750cl",
+ "typename": "750cl_v2.0-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "conan/doyle",
+ "typename": "750p-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "g3",
+ "typename": "750_v3.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "typhoon",
+ "typename": "750_v3.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "750",
+ "typename": "750_v3.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "arthur",
+ "typename": "740_v3.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "740",
+ "typename": "740_v3.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mach5",
+ "typename": "604r-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "sirocco",
+ "typename": "604e_v2.4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "604e",
+ "typename": "604e_v2.4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "goldeneye",
+ "typename": "603e7t-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "603r",
+ "typename": "603e7t-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "vaillant",
+ "typename": "603e7v-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "stretch",
+ "typename": "603e_v4.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "603e",
+ "typename": "603e_v4.1-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "vanilla",
+ "typename": "603-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "601v",
+ "typename": "601_v2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "601",
+ "typename": "601_v2-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8560",
+ "typename": "mpc8560_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8555e",
+ "typename": "mpc8555e_v11-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8555",
+ "typename": "mpc8555_v11-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8548e",
+ "typename": "mpc8548e_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8548",
+ "typename": "mpc8548_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8547e",
+ "typename": "mpc8547e_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8545e",
+ "typename": "mpc8545e_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8545",
+ "typename": "mpc8545_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8544e",
+ "typename": "mpc8544e_v11-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8544",
+ "typename": "mpc8544_v11-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8543e",
+ "typename": "mpc8543e_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8543",
+ "typename": "mpc8543_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8541e",
+ "typename": "mpc8541e_v11-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8541",
+ "typename": "mpc8541_v11-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8540",
+ "typename": "mpc8540_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8533e",
+ "typename": "mpc8533e_v11-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8533",
+ "typename": "mpc8533_v11-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "e500v2",
+ "typename": "e500v2_v22-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "e500v1",
+ "typename": "e500_v20-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "e500",
+ "typename": "e500v2_v22-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8347ea",
+ "typename": "mpc8347eat-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8347e",
+ "typename": "mpc8347et-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8347a",
+ "typename": "mpc8347at-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8347",
+ "typename": "mpc8347t-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "e300",
+ "typename": "e300c3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "e200",
+ "typename": "e200z6-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8280",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8275",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8272",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8271",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8270",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8266_hip4",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8266_hip3",
+ "typename": "g2hip3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8266",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8265_hip4",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8265_hip3",
+ "typename": "g2hip3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8265",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8264_hip4",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8264_hip3",
+ "typename": "g2hip3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8264",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8260_hip4",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8260_hip3",
+ "typename": "g2hip3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8260",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8255_hip4",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8255_hip3",
+ "typename": "g2hip3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8255",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8250_hip4",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8250_hip3",
+ "typename": "g2hip3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8250",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8248",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8247",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8245",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8241",
+ "typename": "g2hip4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "powerquicc-ii",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc82xx",
+ "typename": "g2legp3-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc5200b",
+ "typename": "mpc5200b_v21-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc5200",
+ "typename": "mpc5200_v12-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc52xx",
+ "typename": "mpc5200_v12-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8240",
+ "typename": "603-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "460ex",
+ "typename": "460exb-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "440ep",
+ "typename": "440epb-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "x2vp50",
+ "typename": "x2vp20-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "x2vp7",
+ "typename": "x2vp4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "405gpe",
+ "typename": "405crc-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "405gp",
+ "typename": "405gpd-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "405cr",
+ "typename": "405crc-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "405",
+ "typename": "405d4-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "403",
+ "typename": "403gc-powerpc64-cpu",
+ "static": false
+ },
+ {
+ "name": "mpc8547e_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cl_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7457a_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2gp",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxe_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.7",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8377",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7455_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8545_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8548_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405d4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2le",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2hip3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8572",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "970fx_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750fx_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603p",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405gpb",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v2.7",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8544e_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e500v2_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e500mc",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e7v1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "970mp_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "740e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405crc",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "403ga",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7447a_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e300c1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8572e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e500v2_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "970fx_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750gx_v1.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750fx_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7457_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "970fx_v3.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "604e_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.6",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "401a1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "power9_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "npe405h",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2ls",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7410_v1.4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "401b2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8349",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8347ep",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7445_v3.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8540_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8543_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "403gcx",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8548_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750l_v3.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e500_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxe_v3.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8541e_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8347p",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8555e_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "power9_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "power7_v2.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e7v",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8543e_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750_v3.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v2.6",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405gpa",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "440epx",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750l_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e200z6",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8547e_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7457a_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8349ea",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e500v2_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "power8nvl_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8540_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.8",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "npe405l",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8343ea",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8545_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8548_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7455_v3.4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "740_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7448_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8347et",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7441_v2.10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e_v1.4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8543_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "401c2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7451_v2.10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cx_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8543_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e7",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7448_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7441_v2.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405crb",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "604",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "440-xilinx-w-dfpu",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7445_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "cobra",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e500_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8541_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750gx_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxe_v2.4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8641d",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8543e_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750l_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7457_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7450_v1.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.5",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7410_v1.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e500v2_v30",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7455_v3.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8540_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405d2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8560_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8343",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8548_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8347t",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e_v1.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8543e_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8347eap",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8548e_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8543_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "401d2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "power8_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "740_v3.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e200z5",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405cra",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxe_v2.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.7",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8560_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e5500",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8544_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7448_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "401e2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "740_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7410_v1.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "x2vp4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8347ap",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8533_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc5200b_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750fx_v2.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "601_v2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2legp3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "604e_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cx_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8610",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2h4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750l_v3.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8377e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e300c4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc5200_v12",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7448_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7450_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxe_v3.1b",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v2.9",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405gpd",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e7t",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8555_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750gx_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8541_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8543e_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750fl",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750l_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "stb04",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8548e_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "x2vp20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405ez",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e600",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7450_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8379",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7445_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7447_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e_v4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2legp",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7455_v3.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "740_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8560_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "440-xilinx",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "401f2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8533_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8349a",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405gpr",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "stb25",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e_v1.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc5200b_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750fx_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8347eat",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "740_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "601_v1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cx_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8548e_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7447a_v1.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "403gc",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e300c3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc5200_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7441_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "740_v3.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8545e_v21",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "602",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8378e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8343a",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "460exb",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8555_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8568",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7457a_v1.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cx_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxe_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "604e_v2.4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.8",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405lp",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.6",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "stb03",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405ep",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8544_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8347at",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7450_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "755_v2.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7410_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750gl",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e6500",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750p",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7445_v3.4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "440epb",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "npe4gs3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e_v3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8349e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "970_v2.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2lels",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2hip4",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "740p",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8567e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8533e_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7451_v2.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "401g2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7400_v2.8",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7455_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "405gpc",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "power7+_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8544e_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7450_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "970mp_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e7v2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "604r",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e500v2_v22",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8548e_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8545e_v20",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8378",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8567",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7457_v1.2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7447_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "970fx_v3.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v2.5",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8379e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8641",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxe_v2.4b",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7410_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc603",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "603e_v4.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7445_v3.3",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "440epa",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "970fx_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "iop480",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750fx_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "601_v0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "g2legp1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "745_v1.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cl_v2.0",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxr",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "401",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8541e_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750cxe_v3.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8555e_v11",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "power8e_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "7447a_v1.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "403gb",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "e300c2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "npe405h2",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8343e",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8533e_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc5200_v10",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "750_v3.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "power5+_v2.1",
+ "typename": "",
+ "static": false
+ },
+ {
+ "name": "mpc8568e",
+ "typename": "",
+ "static": false
+ }
+ ],
+ "id": "libvirt-2"
+}
diff --git a/tests/qemucapabilitiesdata/caps_3.1.0.ppc64.xml b/tests/qemucapabilitiesdata/caps_3.1.0.ppc64.xml
new file mode 100644
index 0000000000..bdd339c54a
--- /dev/null
+++ b/tests/qemucapabilitiesdata/caps_3.1.0.ppc64.xml
@@ -0,0 +1,1081 @@
+<qemuCaps>
+ <qemuctime>0</qemuctime>
+ <selfctime>0</selfctime>
+ <selfvers>0</selfvers>
+ <usedQMP/>
+ <flag name='kvm'/>
+ <flag name='boot-index'/>
+ <flag name='hda-duplex'/>
+ <flag name='virtio-tx-alg'/>
+ <flag name='virtio-blk-pci.ioeventfd'/>
+ <flag name='virtio-blk-pci.event_idx'/>
+ <flag name='virtio-net-pci.event_idx'/>
+ <flag name='piix3-usb-uhci'/>
+ <flag name='piix4-usb-uhci'/>
+ <flag name='usb-ehci'/>
+ <flag name='ich9-usb-ehci1'/>
+ <flag name='vt82c686b-usb-uhci'/>
+ <flag name='pci-ohci'/>
+ <flag name='usb-hub'/>
+ <flag name='ich9-ahci'/>
+ <flag name='virtio-blk-pci.scsi'/>
+ <flag name='scsi-disk.channel'/>
+ <flag name='scsi-block'/>
+ <flag name='transaction'/>
+ <flag name='block-job-async'/>
+ <flag name='scsi-cd'/>
+ <flag name='ide-cd'/>
+ <flag name='hda-micro'/>
+ <flag name='dump-guest-memory'/>
+ <flag name='nec-usb-xhci'/>
+ <flag name='balloon-event'/>
+ <flag name='lsi'/>
+ <flag name='virtio-scsi-pci'/>
+ <flag name='blockio'/>
+ <flag name='ide-drive.wwn'/>
+ <flag name='scsi-disk.wwn'/>
+ <flag name='seccomp-sandbox'/>
+ <flag name='reboot-timeout'/>
+ <flag name='seamless-migration'/>
+ <flag name='block-commit'/>
+ <flag name='vnc'/>
+ <flag name='drive-mirror'/>
+ <flag name='usb-host.bootindex'/>
+ <flag name='blockdev-snapshot-sync'/>
+ <flag name='VGA'/>
+ <flag name='cirrus-vga'/>
+ <flag name='device-video-primary'/>
+ <flag name='usb-serial'/>
+ <flag name='usb-net'/>
+ <flag name='add-fd'/>
+ <flag name='nbd-server'/>
+ <flag name='virtio-rng'/>
+ <flag name='rng-random'/>
+ <flag name='rng-egd'/>
+ <flag name='megasas'/>
+ <flag name='nvram'/>
+ <flag name='pci-bridge'/>
+ <flag name='vfio-pci'/>
+ <flag name='vfio-pci.bootindex'/>
+ <flag name='scsi-generic'/>
+ <flag name='scsi-generic.bootindex'/>
+ <flag name='mem-merge'/>
+ <flag name='vnc-websocket'/>
+ <flag name='drive-discard'/>
+ <flag name='mlock'/>
+ <flag name='device-del-event'/>
+ <flag name='usb-storage'/>
+ <flag name='usb-storage.removable'/>
+ <flag name='ich9-intel-hda'/>
+ <flag name='boot-strict'/>
+ <flag name='spiceport'/>
+ <flag name='usb-kbd'/>
+ <flag name='msg-timestamp'/>
+ <flag name='active-commit'/>
+ <flag name='change-backing-file'/>
+ <flag name='memory-backend-ram'/>
+ <flag name='numa'/>
+ <flag name='memory-backend-file'/>
+ <flag name='usb-audio'/>
+ <flag name='splash-timeout'/>
+ <flag name='iothread'/>
+ <flag name='migrate-rdma'/>
+ <flag name='ivshmem'/>
+ <flag name='drive-iotune-max'/>
+ <flag name='VGA.vgamem_mb'/>
+ <flag name='pc-dimm'/>
+ <flag name='machine-vmport-opt'/>
+ <flag name='aes-key-wrap'/>
+ <flag name='dea-key-wrap'/>
+ <flag name='pci-serial'/>
+ <flag name='vhost-user-multiqueue'/>
+ <flag name='migration-event'/>
+ <flag name='rtl8139'/>
+ <flag name='e1000'/>
+ <flag name='virtio-net'/>
+ <flag name='gic-version'/>
+ <flag name='incoming-defer'/>
+ <flag name='virtio-gpu'/>
+ <flag name='virtio-keyboard'/>
+ <flag name='virtio-mouse'/>
+ <flag name='virtio-tablet'/>
+ <flag name='virtio-input-host'/>
+ <flag name='chardev-file-append'/>
+ <flag name='vserport-change-event'/>
+ <flag name='virtio-balloon-pci.deflate-on-oom'/>
+ <flag name='mptsas1068'/>
+ <flag name='chardev-logfile'/>
+ <flag name='debug-threads'/>
+ <flag name='secret'/>
+ <flag name='device-tray-moved-event'/>
+ <flag name='nec-usb-xhci-ports'/>
+ <flag name='virtio-scsi-pci.iothread'/>
+ <flag name='name-guest'/>
+ <flag name='drive-detect-zeroes'/>
+ <flag name='tls-creds-x509'/>
+ <flag name='smm'/>
+ <flag name='virtio-pci-disable-legacy'/>
+ <flag name='query-hotpluggable-cpus'/>
+ <flag name='virtio-net.rx_queue_size'/>
+ <flag name='virtio-vga'/>
+ <flag name='drive-iotune-max-length'/>
+ <flag name='ivshmem-plain'/>
+ <flag name='ivshmem-doorbell'/>
+ <flag name='query-qmp-schema'/>
+ <flag name='gluster.debug_level'/>
+ <flag name='vhost-scsi'/>
+ <flag name='drive-iotune-group'/>
+ <flag name='virtio-net.host_mtu'/>
+ <flag name='query-cpu-definitions'/>
+ <flag name='block-write-threshold'/>
+ <flag name='query-named-block-nodes'/>
+ <flag name='qemu-xhci'/>
+ <flag name='kernel-irqchip'/>
+ <flag name='kernel-irqchip.split'/>
+ <flag name='virtio.iommu_platform'/>
+ <flag name='virtio.ats'/>
+ <flag name='loadparm'/>
+ <flag name='spapr-pci-host-bridge'/>
+ <flag name='spapr-pci-host-bridge.numa_node'/>
+ <flag name='vnc-multi-servers'/>
+ <flag name='virtio-net.tx_queue_size'/>
+ <flag name='chardev-reconnect'/>
+ <flag name='virtio-gpu.max_outputs'/>
+ <flag name='vxhs'/>
+ <flag name='virtio-blk.num-queues'/>
+ <flag name='machine.pseries.resize-hpt'/>
+ <flag name='spapr-vty'/>
+ <flag name='numa.dist'/>
+ <flag name='disk-share-rw'/>
+ <flag name='iscsi.password-secret'/>
+ <flag name='isa-serial'/>
+ <flag name='machine.pseries.max-cpu-compat'/>
+ <flag name='dump-completed'/>
+ <flag name='qcow2-luks'/>
+ <flag name='seccomp-blacklist'/>
+ <flag name='query-cpus-fast'/>
+ <flag name='disk-write-cache'/>
+ <flag name='nbd-tls'/>
+ <flag name='pr-manager-helper'/>
+ <flag name='qom-list-properties'/>
+ <flag name='memory-backend-file.discard-data'/>
+ <flag name='sdl-gl'/>
+ <flag name='screendump_device'/>
+ <flag name='hda-output'/>
+ <flag name='blockdev-del'/>
+ <flag name='vhost-vsock'/>
+ <flag name='chardev-fd-pass'/>
+ <flag name='tpm-emulator'/>
+ <flag name='machine.pseries.cap-hpt-max-page-size'/>
+ <flag name='machine.pseries.cap-htm'/>
+ <flag name='usb-storage.werror'/>
+ <flag name='egl-headless'/>
+ <flag name='vfio-pci.display'/>
+ <version>3000091</version>
+ <kvmVersion>0</kvmVersion>
+ <microcodeVersion>439018</microcodeVersion>
+ <package>v3.1.0-rc1-74-g3c035a41dc</package>
+ <arch>ppc64</arch>
+ <cpu type='kvm' name='default'/>
+ <cpu type='kvm' name='ppc'/>
+ <cpu type='kvm' name='ppc32'/>
+ <cpu type='kvm' name='ppc64'/>
+ <cpu type='kvm' name='power9'/>
+ <cpu type='kvm' name='power8nvl'/>
+ <cpu type='kvm' name='power8'/>
+ <cpu type='kvm' name='power8e'/>
+ <cpu type='kvm' name='power7+'/>
+ <cpu type='kvm' name='power7'/>
+ <cpu type='kvm' name='power5gs'/>
+ <cpu type='kvm' name='power5+'/>
+ <cpu type='kvm' name='970mp'/>
+ <cpu type='kvm' name='970fx'/>
+ <cpu type='kvm' name='970'/>
+ <cpu type='kvm' name='apollo7pm'/>
+ <cpu type='kvm' name='7457a'/>
+ <cpu type='kvm' name='7447a'/>
+ <cpu type='kvm' name='apollo7'/>
+ <cpu type='kvm' name='7457'/>
+ <cpu type='kvm' name='7447'/>
+ <cpu type='kvm' name='apollo6'/>
+ <cpu type='kvm' name='7455'/>
+ <cpu type='kvm' name='7445'/>
+ <cpu type='kvm' name='7451'/>
+ <cpu type='kvm' name='7441'/>
+ <cpu type='kvm' name='vger'/>
+ <cpu type='kvm' name='7450'/>
+ <cpu type='kvm' name='7448'/>
+ <cpu type='kvm' name='nitro'/>
+ <cpu type='kvm' name='7410'/>
+ <cpu type='kvm' name='g4'/>
+ <cpu type='kvm' name='max'/>
+ <cpu type='kvm' name='7400'/>
+ <cpu type='kvm' name='goldfinger'/>
+ <cpu type='kvm' name='755'/>
+ <cpu type='kvm' name='745'/>
+ <cpu type='kvm' name='lonestar'/>
+ <cpu type='kvm' name='750l'/>
+ <cpu type='kvm' name='750gx'/>
+ <cpu type='kvm' name='750fx'/>
+ <cpu type='kvm' name='750cxe'/>
+ <cpu type='kvm' name='750cx'/>
+ <cpu type='kvm' name='750cl'/>
+ <cpu type='kvm' name='conan/doyle'/>
+ <cpu type='kvm' name='g3'/>
+ <cpu type='kvm' name='typhoon'/>
+ <cpu type='kvm' name='750'/>
+ <cpu type='kvm' name='arthur'/>
+ <cpu type='kvm' name='740'/>
+ <cpu type='kvm' name='mach5'/>
+ <cpu type='kvm' name='sirocco'/>
+ <cpu type='kvm' name='604e'/>
+ <cpu type='kvm' name='goldeneye'/>
+ <cpu type='kvm' name='603r'/>
+ <cpu type='kvm' name='vaillant'/>
+ <cpu type='kvm' name='stretch'/>
+ <cpu type='kvm' name='603e'/>
+ <cpu type='kvm' name='vanilla'/>
+ <cpu type='kvm' name='601v'/>
+ <cpu type='kvm' name='601'/>
+ <cpu type='kvm' name='mpc8560'/>
+ <cpu type='kvm' name='mpc8555e'/>
+ <cpu type='kvm' name='mpc8555'/>
+ <cpu type='kvm' name='mpc8548e'/>
+ <cpu type='kvm' name='mpc8548'/>
+ <cpu type='kvm' name='mpc8547e'/>
+ <cpu type='kvm' name='mpc8545e'/>
+ <cpu type='kvm' name='mpc8545'/>
+ <cpu type='kvm' name='mpc8544e'/>
+ <cpu type='kvm' name='mpc8544'/>
+ <cpu type='kvm' name='mpc8543e'/>
+ <cpu type='kvm' name='mpc8543'/>
+ <cpu type='kvm' name='mpc8541e'/>
+ <cpu type='kvm' name='mpc8541'/>
+ <cpu type='kvm' name='mpc8540'/>
+ <cpu type='kvm' name='mpc8533e'/>
+ <cpu type='kvm' name='mpc8533'/>
+ <cpu type='kvm' name='e500v2'/>
+ <cpu type='kvm' name='e500v1'/>
+ <cpu type='kvm' name='e500'/>
+ <cpu type='kvm' name='mpc8347ea'/>
+ <cpu type='kvm' name='mpc8347e'/>
+ <cpu type='kvm' name='mpc8347a'/>
+ <cpu type='kvm' name='mpc8347'/>
+ <cpu type='kvm' name='e300'/>
+ <cpu type='kvm' name='e200'/>
+ <cpu type='kvm' name='mpc8280'/>
+ <cpu type='kvm' name='mpc8275'/>
+ <cpu type='kvm' name='mpc8272'/>
+ <cpu type='kvm' name='mpc8271'/>
+ <cpu type='kvm' name='mpc8270'/>
+ <cpu type='kvm' name='mpc8266_hip4'/>
+ <cpu type='kvm' name='mpc8266_hip3'/>
+ <cpu type='kvm' name='mpc8266'/>
+ <cpu type='kvm' name='mpc8265_hip4'/>
+ <cpu type='kvm' name='mpc8265_hip3'/>
+ <cpu type='kvm' name='mpc8265'/>
+ <cpu type='kvm' name='mpc8264_hip4'/>
+ <cpu type='kvm' name='mpc8264_hip3'/>
+ <cpu type='kvm' name='mpc8264'/>
+ <cpu type='kvm' name='mpc8260_hip4'/>
+ <cpu type='kvm' name='mpc8260_hip3'/>
+ <cpu type='kvm' name='mpc8260'/>
+ <cpu type='kvm' name='mpc8255_hip4'/>
+ <cpu type='kvm' name='mpc8255_hip3'/>
+ <cpu type='kvm' name='mpc8255'/>
+ <cpu type='kvm' name='mpc8250_hip4'/>
+ <cpu type='kvm' name='mpc8250_hip3'/>
+ <cpu type='kvm' name='mpc8250'/>
+ <cpu type='kvm' name='mpc8248'/>
+ <cpu type='kvm' name='mpc8247'/>
+ <cpu type='kvm' name='mpc8245'/>
+ <cpu type='kvm' name='mpc8241'/>
+ <cpu type='kvm' name='powerquicc-ii'/>
+ <cpu type='kvm' name='mpc82xx'/>
+ <cpu type='kvm' name='mpc5200b'/>
+ <cpu type='kvm' name='mpc5200'/>
+ <cpu type='kvm' name='mpc52xx'/>
+ <cpu type='kvm' name='mpc8240'/>
+ <cpu type='kvm' name='460ex'/>
+ <cpu type='kvm' name='440ep'/>
+ <cpu type='kvm' name='x2vp50'/>
+ <cpu type='kvm' name='x2vp7'/>
+ <cpu type='kvm' name='405gpe'/>
+ <cpu type='kvm' name='405gp'/>
+ <cpu type='kvm' name='405cr'/>
+ <cpu type='kvm' name='405'/>
+ <cpu type='kvm' name='403'/>
+ <cpu type='kvm' name='mpc8547e_v21'/>
+ <cpu type='kvm' name='750cl_v1.0'/>
+ <cpu type='kvm' name='7457a_v1.1'/>
+ <cpu type='kvm' name='g2gp'/>
+ <cpu type='kvm' name='750cxe_v2.1'/>
+ <cpu type='kvm' name='755_v2.7'/>
+ <cpu type='kvm' name='750_v2.1'/>
+ <cpu type='kvm' name='755_v2.2'/>
+ <cpu type='kvm' name='745_v2.0'/>
+ <cpu type='kvm' name='mpc8377'/>
+ <cpu type='kvm' name='7455_v1.0'/>
+ <cpu type='kvm' name='mpc8545_v21'/>
+ <cpu type='kvm' name='mpc8548_v11'/>
+ <cpu type='kvm' name='405d4'/>
+ <cpu type='kvm' name='g2le'/>
+ <cpu type='kvm' name='g2hip3'/>
+ <cpu type='kvm' name='750e'/>
+ <cpu type='kvm' name='mpc8572'/>
+ <cpu type='kvm' name='970fx_v2.0'/>
+ <cpu type='kvm' name='750fx_v2.0'/>
+ <cpu type='kvm' name='603p'/>
+ <cpu type='kvm' name='405gpb'/>
+ <cpu type='kvm' name='7400_v2.7'/>
+ <cpu type='kvm' name='mpc8544e_v10'/>
+ <cpu type='kvm' name='e500v2_v10'/>
+ <cpu type='kvm' name='e500mc'/>
+ <cpu type='kvm' name='603e7v1'/>
+ <cpu type='kvm' name='970mp_v1.0'/>
+ <cpu type='kvm' name='740e'/>
+ <cpu type='kvm' name='405crc'/>
+ <cpu type='kvm' name='403ga'/>
+ <cpu type='kvm' name='7447a_v1.0'/>
+ <cpu type='kvm' name='7400_v2.2'/>
+ <cpu type='kvm' name='e300c1'/>
+ <cpu type='kvm' name='mpc8572e'/>
+ <cpu type='kvm' name='e500v2_v21'/>
+ <cpu type='kvm' name='970fx_v1.0'/>
+ <cpu type='kvm' name='750gx_v1.2'/>
+ <cpu type='kvm' name='750fx_v1.0'/>
+ <cpu type='kvm' name='7457_v1.1'/>
+ <cpu type='kvm' name='970fx_v3.0'/>
+ <cpu type='kvm' name='604e_v2.2'/>
+ <cpu type='kvm' name='755_v2.6'/>
+ <cpu type='kvm' name='401a1'/>
+ <cpu type='kvm' name='power9_v1.0'/>
+ <cpu type='kvm' name='npe405h'/>
+ <cpu type='kvm' name='g2ls'/>
+ <cpu type='kvm' name='7410_v1.4'/>
+ <cpu type='kvm' name='750_v2.0'/>
+ <cpu type='kvm' name='401b2'/>
+ <cpu type='kvm' name='mpc8349'/>
+ <cpu type='kvm' name='mpc8347ep'/>
+ <cpu type='kvm' name='7445_v3.2'/>
+ <cpu type='kvm' name='mpc8540_v21'/>
+ <cpu type='kvm' name='mpc8543_v11'/>
+ <cpu type='kvm' name='403gcx'/>
+ <cpu type='kvm' name='745_v2.4'/>
+ <cpu type='kvm' name='mpc8548_v21'/>
+ <cpu type='kvm' name='750l_v3.2'/>
+ <cpu type='kvm' name='750_v1.0'/>
+ <cpu type='kvm' name='755_v1.1'/>
+ <cpu type='kvm' name='e500_v10'/>
+ <cpu type='kvm' name='750cxe_v3.0'/>
+ <cpu type='kvm' name='mpc8541e_v10'/>
+ <cpu type='kvm' name='mpc8347p'/>
+ <cpu type='kvm' name='mpc8555e_v10'/>
+ <cpu type='kvm' name='power9_v2.0'/>
+ <cpu type='kvm' name='power7_v2.3'/>
+ <cpu type='kvm' name='603e7v'/>
+ <cpu type='kvm' name='mpc8543e_v11'/>
+ <cpu type='kvm' name='750_v3.0'/>
+ <cpu type='kvm' name='7400_v2.6'/>
+ <cpu type='kvm' name='405gpa'/>
+ <cpu type='kvm' name='440epx'/>
+ <cpu type='kvm' name='750l_v2.2'/>
+ <cpu type='kvm' name='e200z6'/>
+ <cpu type='kvm' name='mpc8547e_v20'/>
+ <cpu type='kvm' name='7457a_v1.0'/>
+ <cpu type='kvm' name='mpc8349ea'/>
+ <cpu type='kvm' name='e500v2_v20'/>
+ <cpu type='kvm' name='power8nvl_v1.0'/>
+ <cpu type='kvm' name='mpc8540_v10'/>
+ <cpu type='kvm' name='755_v2.1'/>
+ <cpu type='kvm' name='745_v2.8'/>
+ <cpu type='kvm' name='npe405l'/>
+ <cpu type='kvm' name='mpc8343ea'/>
+ <cpu type='kvm' name='mpc8545_v20'/>
+ <cpu type='kvm' name='mpc8548_v10'/>
+ <cpu type='kvm' name='7455_v3.4'/>
+ <cpu type='kvm' name='740_v2.2'/>
+ <cpu type='kvm' name='7448_v1.1'/>
+ <cpu type='kvm' name='7400_v1.1'/>
+ <cpu type='kvm' name='mpc8347et'/>
+ <cpu type='kvm' name='7441_v2.10'/>
+ <cpu type='kvm' name='603e_v1.4'/>
+ <cpu type='kvm' name='mpc8543_v10'/>
+ <cpu type='kvm' name='401c2'/>
+ <cpu type='kvm' name='7451_v2.10'/>
+ <cpu type='kvm' name='750cx_v2.2'/>
+ <cpu type='kvm' name='mpc8543_v21'/>
+ <cpu type='kvm' name='603e7'/>
+ <cpu type='kvm' name='7448_v2.1'/>
+ <cpu type='kvm' name='7441_v2.3'/>
+ <cpu type='kvm' name='405crb'/>
+ <cpu type='kvm' name='604'/>
+ <cpu type='kvm' name='7400_v2.1'/>
+ <cpu type='kvm' name='440-xilinx-w-dfpu'/>
+ <cpu type='kvm' name='7445_v2.1'/>
+ <cpu type='kvm' name='cobra'/>
+ <cpu type='kvm' name='e500_v20'/>
+ <cpu type='kvm' name='mpc8541_v11'/>
+ <cpu type='kvm' name='750gx_v1.1'/>
+ <cpu type='kvm' name='750cxe_v2.4'/>
+ <cpu type='kvm' name='mpc8641d'/>
+ <cpu type='kvm' name='mpc8543e_v21'/>
+ <cpu type='kvm' name='750l_v2.1'/>
+ <cpu type='kvm' name='7457_v1.0'/>
+ <cpu type='kvm' name='7450_v1.2'/>
+ <cpu type='kvm' name='755_v2.5'/>
+ <cpu type='kvm' name='7410_v1.3'/>
+ <cpu type='kvm' name='745_v2.3'/>
+ <cpu type='kvm' name='755_v2.0'/>
+ <cpu type='kvm' name='e500v2_v30'/>
+ <cpu type='kvm' name='7455_v3.3'/>
+ <cpu type='kvm' name='mpc8540_v20'/>
+ <cpu type='kvm' name='405d2'/>
+ <cpu type='kvm' name='mpc8560_v21'/>
+ <cpu type='kvm' name='mpc8343'/>
+ <cpu type='kvm' name='mpc8548_v20'/>
+ <cpu type='kvm' name='mpc8347t'/>
+ <cpu type='kvm' name='755_v1.0'/>
+ <cpu type='kvm' name='603e_v1.3'/>
+ <cpu type='kvm' name='host'/>
+ <cpu type='kvm' name='mpc8543e_v10'/>
+ <cpu type='kvm' name='mpc8347eap'/>
+ <cpu type='kvm' name='mpc8548e_v11'/>
+ <cpu type='kvm' name='mpc8543_v20'/>
+ <cpu type='kvm' name='401d2'/>
+ <cpu type='kvm' name='power8_v2.0'/>
+ <cpu type='kvm' name='740_v3.1'/>
+ <cpu type='kvm' name='e200z5'/>
+ <cpu type='kvm' name='405cra'/>
+ <cpu type='kvm' name='7400_v2.0'/>
+ <cpu type='kvm' name='750cxe_v2.3'/>
+ <cpu type='kvm' name='745_v2.7'/>
+ <cpu type='kvm' name='mpc8560_v10'/>
+ <cpu type='kvm' name='e5500'/>
+ <cpu type='kvm' name='mpc8544_v11'/>
+ <cpu type='kvm' name='7448_v1.0'/>
+ <cpu type='kvm' name='401e2'/>
+ <cpu type='kvm' name='740_v2.1'/>
+ <cpu type='kvm' name='745_v2.2'/>
+ <cpu type='kvm' name='7400_v1.0'/>
+ <cpu type='kvm' name='7410_v1.2'/>
+ <cpu type='kvm' name='x2vp4'/>
+ <cpu type='kvm' name='mpc8347ap'/>
+ <cpu type='kvm' name='mpc8533_v11'/>
+ <cpu type='kvm' name='mpc5200b_v21'/>
+ <cpu type='kvm' name='750fx_v2.3'/>
+ <cpu type='kvm' name='601_v2'/>
+ <cpu type='kvm' name='g2legp3'/>
+ <cpu type='kvm' name='604e_v1.0'/>
+ <cpu type='kvm' name='750cx_v2.1'/>
+ <cpu type='kvm' name='mpc8610'/>
+ <cpu type='kvm' name='g2h4'/>
+ <cpu type='kvm' name='750l_v3.0'/>
+ <cpu type='kvm' name='mpc8377e'/>
+ <cpu type='kvm' name='e300c4'/>
+ <cpu type='kvm' name='mpc5200_v12'/>
+ <cpu type='kvm' name='7448_v2.0'/>
+ <cpu type='kvm' name='7450_v2.1'/>
+ <cpu type='kvm' name='750cxe_v3.1b'/>
+ <cpu type='kvm' name='g2'/>
+ <cpu type='kvm' name='7400_v2.9'/>
+ <cpu type='kvm' name='405gpd'/>
+ <cpu type='kvm' name='603'/>
+ <cpu type='kvm' name='603e7t'/>
+ <cpu type='kvm' name='mpc8555_v11'/>
+ <cpu type='kvm' name='750gx_v1.0'/>
+ <cpu type='kvm' name='mpc8541_v10'/>
+ <cpu type='kvm' name='mpc8543e_v20'/>
+ <cpu type='kvm' name='750fl'/>
+ <cpu type='kvm' name='750l_v2.0'/>
+ <cpu type='kvm' name='stb04'/>
+ <cpu type='kvm' name='mpc8548e_v21'/>
+ <cpu type='kvm' name='x2vp20'/>
+ <cpu type='kvm' name='405ez'/>
+ <cpu type='kvm' name='e600'/>
+ <cpu type='kvm' name='7450_v1.1'/>
+ <cpu type='kvm' name='755_v2.4'/>
+ <cpu type='kvm' name='mpc8379'/>
+ <cpu type='kvm' name='7445_v1.0'/>
+ <cpu type='kvm' name='7447_v1.1'/>
+ <cpu type='kvm' name='603e_v2.2'/>
+ <cpu type='kvm' name='603e_v4'/>
+ <cpu type='kvm' name='g2legp'/>
+ <cpu type='kvm' name='7455_v3.2'/>
+ <cpu type='kvm' name='740_v2.0'/>
+ <cpu type='kvm' name='mpc8560_v20'/>
+ <cpu type='kvm' name='440-xilinx'/>
+ <cpu type='kvm' name='401f2'/>
+ <cpu type='kvm' name='mpc8533_v10'/>
+ <cpu type='kvm' name='mpc8349a'/>
+ <cpu type='kvm' name='405gpr'/>
+ <cpu type='kvm' name='stb25'/>
+ <cpu type='kvm' name='603e_v1.2'/>
+ <cpu type='kvm' name='mpc5200b_v20'/>
+ <cpu type='kvm' name='750fx_v2.2'/>
+ <cpu type='kvm' name='mpc8347eat'/>
+ <cpu type='kvm' name='740_v1.0'/>
+ <cpu type='kvm' name='601_v1'/>
+ <cpu type='kvm' name='745_v1.1'/>
+ <cpu type='kvm' name='750cx_v2.0'/>
+ <cpu type='kvm' name='mpc8548e_v10'/>
+ <cpu type='kvm' name='7447a_v1.2'/>
+ <cpu type='kvm' name='403gc'/>
+ <cpu type='kvm' name='e300c3'/>
+ <cpu type='kvm' name='mpc5200_v11'/>
+ <cpu type='kvm' name='7441_v2.1'/>
+ <cpu type='kvm' name='740_v3.0'/>
+ <cpu type='kvm' name='mpc8545e_v21'/>
+ <cpu type='kvm' name='602'/>
+ <cpu type='kvm' name='mpc8378e'/>
+ <cpu type='kvm' name='mpc8343a'/>
+ <cpu type='kvm' name='460exb'/>
+ <cpu type='kvm' name='mpc8555_v10'/>
+ <cpu type='kvm' name='mpc8568'/>
+ <cpu type='kvm' name='7457a_v1.2'/>
+ <cpu type='kvm' name='750cx_v1.0'/>
+ <cpu type='kvm' name='750cxe_v2.2'/>
+ <cpu type='kvm' name='604e_v2.4'/>
+ <cpu type='kvm' name='755_v2.8'/>
+ <cpu type='kvm' name='405lp'/>
+ <cpu type='kvm' name='745_v2.6'/>
+ <cpu type='kvm' name='stb03'/>
+ <cpu type='kvm' name='405ep'/>
+ <cpu type='kvm' name='mpc8544_v10'/>
+ <cpu type='kvm' name='mpc8347at'/>
+ <cpu type='kvm' name='750_v2.2'/>
+ <cpu type='kvm' name='7450_v1.0'/>
+ <cpu type='kvm' name='755_v2.3'/>
+ <cpu type='kvm' name='7410_v1.1'/>
+ <cpu type='kvm' name='745_v2.1'/>
+ <cpu type='kvm' name='750gl'/>
+ <cpu type='kvm' name='e6500'/>
+ <cpu type='kvm' name='750p'/>
+ <cpu type='kvm' name='7445_v3.4'/>
+ <cpu type='kvm' name='440epb'/>
+ <cpu type='kvm' name='npe4gs3'/>
+ <cpu type='kvm' name='603e_v3'/>
+ <cpu type='kvm' name='mpc8349e'/>
+ <cpu type='kvm' name='970_v2.2'/>
+ <cpu type='kvm' name='g2lels'/>
+ <cpu type='kvm' name='g2hip4'/>
+ <cpu type='kvm' name='740p'/>
+ <cpu type='kvm' name='mpc8567e'/>
+ <cpu type='kvm' name='mpc8533e_v11'/>
+ <cpu type='kvm' name='7451_v2.3'/>
+ <cpu type='kvm' name='603e_v1.1'/>
+ <cpu type='kvm' name='401g2'/>
+ <cpu type='kvm' name='7400_v2.8'/>
+ <cpu type='kvm' name='7455_v2.1'/>
+ <cpu type='kvm' name='405gpc'/>
+ <cpu type='kvm' name='power7+_v2.1'/>
+ <cpu type='kvm' name='mpc8544e_v11'/>
+ <cpu type='kvm' name='7450_v2.0'/>
+ <cpu type='kvm' name='970mp_v1.1'/>
+ <cpu type='kvm' name='603e7v2'/>
+ <cpu type='kvm' name='604r'/>
+ <cpu type='kvm' name='e500v2_v22'/>
+ <cpu type='kvm' name='mpc8548e_v20'/>
+ <cpu type='kvm' name='mpc8545e_v20'/>
+ <cpu type='kvm' name='mpc8378'/>
+ <cpu type='kvm' name='mpc8567'/>
+ <cpu type='kvm' name='7457_v1.2'/>
+ <cpu type='kvm' name='7447_v1.0'/>
+ <cpu type='kvm' name='970fx_v3.1'/>
+ <cpu type='kvm' name='745_v2.5'/>
+ <cpu type='kvm' name='mpc8379e'/>
+ <cpu type='kvm' name='mpc8641'/>
+ <cpu type='kvm' name='750cxe_v2.4b'/>
+ <cpu type='kvm' name='7410_v1.0'/>
+ <cpu type='kvm' name='mpc603'/>
+ <cpu type='kvm' name='603e_v4.1'/>
+ <cpu type='kvm' name='7445_v3.3'/>
+ <cpu type='kvm' name='440epa'/>
+ <cpu type='kvm' name='970fx_v2.1'/>
+ <cpu type='kvm' name='iop480'/>
+ <cpu type='kvm' name='750fx_v2.1'/>
+ <cpu type='kvm' name='601_v0'/>
+ <cpu type='kvm' name='g2legp1'/>
+ <cpu type='kvm' name='745_v1.0'/>
+ <cpu type='kvm' name='750cl_v2.0'/>
+ <cpu type='kvm' name='750cxr'/>
+ <cpu type='kvm' name='401'/>
+ <cpu type='kvm' name='mpc8541e_v11'/>
+ <cpu type='kvm' name='750cxe_v3.1'/>
+ <cpu type='kvm' name='mpc8555e_v11'/>
+ <cpu type='kvm' name='power8e_v2.1'/>
+ <cpu type='kvm' name='7447a_v1.1'/>
+ <cpu type='kvm' name='403gb'/>
+ <cpu type='kvm' name='e300c2'/>
+ <cpu type='kvm' name='npe405h2'/>
+ <cpu type='kvm' name='mpc8343e'/>
+ <cpu type='kvm' name='mpc8533e_v10'/>
+ <cpu type='kvm' name='mpc5200_v10'/>
+ <cpu type='kvm' name='750_v3.1'/>
+ <cpu type='kvm' name='power5+_v2.1'/>
+ <cpu type='kvm' name='mpc8568e'/>
+ <cpu type='tcg' name='default'/>
+ <cpu type='tcg' name='ppc'/>
+ <cpu type='tcg' name='ppc32'/>
+ <cpu type='tcg' name='ppc64'/>
+ <cpu type='tcg' name='power9'/>
+ <cpu type='tcg' name='power8nvl'/>
+ <cpu type='tcg' name='power8'/>
+ <cpu type='tcg' name='power8e'/>
+ <cpu type='tcg' name='power7+'/>
+ <cpu type='tcg' name='power7'/>
+ <cpu type='tcg' name='power5gs'/>
+ <cpu type='tcg' name='power5+'/>
+ <cpu type='tcg' name='970mp'/>
+ <cpu type='tcg' name='970fx'/>
+ <cpu type='tcg' name='970'/>
+ <cpu type='tcg' name='apollo7pm'/>
+ <cpu type='tcg' name='7457a'/>
+ <cpu type='tcg' name='7447a'/>
+ <cpu type='tcg' name='apollo7'/>
+ <cpu type='tcg' name='7457'/>
+ <cpu type='tcg' name='7447'/>
+ <cpu type='tcg' name='apollo6'/>
+ <cpu type='tcg' name='7455'/>
+ <cpu type='tcg' name='7445'/>
+ <cpu type='tcg' name='7451'/>
+ <cpu type='tcg' name='7441'/>
+ <cpu type='tcg' name='vger'/>
+ <cpu type='tcg' name='7450'/>
+ <cpu type='tcg' name='7448'/>
+ <cpu type='tcg' name='nitro'/>
+ <cpu type='tcg' name='7410'/>
+ <cpu type='tcg' name='g4'/>
+ <cpu type='tcg' name='max'/>
+ <cpu type='tcg' name='7400'/>
+ <cpu type='tcg' name='goldfinger'/>
+ <cpu type='tcg' name='755'/>
+ <cpu type='tcg' name='745'/>
+ <cpu type='tcg' name='lonestar'/>
+ <cpu type='tcg' name='750l'/>
+ <cpu type='tcg' name='750gx'/>
+ <cpu type='tcg' name='750fx'/>
+ <cpu type='tcg' name='750cxe'/>
+ <cpu type='tcg' name='750cx'/>
+ <cpu type='tcg' name='750cl'/>
+ <cpu type='tcg' name='conan/doyle'/>
+ <cpu type='tcg' name='g3'/>
+ <cpu type='tcg' name='typhoon'/>
+ <cpu type='tcg' name='750'/>
+ <cpu type='tcg' name='arthur'/>
+ <cpu type='tcg' name='740'/>
+ <cpu type='tcg' name='mach5'/>
+ <cpu type='tcg' name='sirocco'/>
+ <cpu type='tcg' name='604e'/>
+ <cpu type='tcg' name='goldeneye'/>
+ <cpu type='tcg' name='603r'/>
+ <cpu type='tcg' name='vaillant'/>
+ <cpu type='tcg' name='stretch'/>
+ <cpu type='tcg' name='603e'/>
+ <cpu type='tcg' name='vanilla'/>
+ <cpu type='tcg' name='601v'/>
+ <cpu type='tcg' name='601'/>
+ <cpu type='tcg' name='mpc8560'/>
+ <cpu type='tcg' name='mpc8555e'/>
+ <cpu type='tcg' name='mpc8555'/>
+ <cpu type='tcg' name='mpc8548e'/>
+ <cpu type='tcg' name='mpc8548'/>
+ <cpu type='tcg' name='mpc8547e'/>
+ <cpu type='tcg' name='mpc8545e'/>
+ <cpu type='tcg' name='mpc8545'/>
+ <cpu type='tcg' name='mpc8544e'/>
+ <cpu type='tcg' name='mpc8544'/>
+ <cpu type='tcg' name='mpc8543e'/>
+ <cpu type='tcg' name='mpc8543'/>
+ <cpu type='tcg' name='mpc8541e'/>
+ <cpu type='tcg' name='mpc8541'/>
+ <cpu type='tcg' name='mpc8540'/>
+ <cpu type='tcg' name='mpc8533e'/>
+ <cpu type='tcg' name='mpc8533'/>
+ <cpu type='tcg' name='e500v2'/>
+ <cpu type='tcg' name='e500v1'/>
+ <cpu type='tcg' name='e500'/>
+ <cpu type='tcg' name='mpc8347ea'/>
+ <cpu type='tcg' name='mpc8347e'/>
+ <cpu type='tcg' name='mpc8347a'/>
+ <cpu type='tcg' name='mpc8347'/>
+ <cpu type='tcg' name='e300'/>
+ <cpu type='tcg' name='e200'/>
+ <cpu type='tcg' name='mpc8280'/>
+ <cpu type='tcg' name='mpc8275'/>
+ <cpu type='tcg' name='mpc8272'/>
+ <cpu type='tcg' name='mpc8271'/>
+ <cpu type='tcg' name='mpc8270'/>
+ <cpu type='tcg' name='mpc8266_hip4'/>
+ <cpu type='tcg' name='mpc8266_hip3'/>
+ <cpu type='tcg' name='mpc8266'/>
+ <cpu type='tcg' name='mpc8265_hip4'/>
+ <cpu type='tcg' name='mpc8265_hip3'/>
+ <cpu type='tcg' name='mpc8265'/>
+ <cpu type='tcg' name='mpc8264_hip4'/>
+ <cpu type='tcg' name='mpc8264_hip3'/>
+ <cpu type='tcg' name='mpc8264'/>
+ <cpu type='tcg' name='mpc8260_hip4'/>
+ <cpu type='tcg' name='mpc8260_hip3'/>
+ <cpu type='tcg' name='mpc8260'/>
+ <cpu type='tcg' name='mpc8255_hip4'/>
+ <cpu type='tcg' name='mpc8255_hip3'/>
+ <cpu type='tcg' name='mpc8255'/>
+ <cpu type='tcg' name='mpc8250_hip4'/>
+ <cpu type='tcg' name='mpc8250_hip3'/>
+ <cpu type='tcg' name='mpc8250'/>
+ <cpu type='tcg' name='mpc8248'/>
+ <cpu type='tcg' name='mpc8247'/>
+ <cpu type='tcg' name='mpc8245'/>
+ <cpu type='tcg' name='mpc8241'/>
+ <cpu type='tcg' name='powerquicc-ii'/>
+ <cpu type='tcg' name='mpc82xx'/>
+ <cpu type='tcg' name='mpc5200b'/>
+ <cpu type='tcg' name='mpc5200'/>
+ <cpu type='tcg' name='mpc52xx'/>
+ <cpu type='tcg' name='mpc8240'/>
+ <cpu type='tcg' name='460ex'/>
+ <cpu type='tcg' name='440ep'/>
+ <cpu type='tcg' name='x2vp50'/>
+ <cpu type='tcg' name='x2vp7'/>
+ <cpu type='tcg' name='405gpe'/>
+ <cpu type='tcg' name='405gp'/>
+ <cpu type='tcg' name='405cr'/>
+ <cpu type='tcg' name='405'/>
+ <cpu type='tcg' name='403'/>
+ <cpu type='tcg' name='mpc8547e_v21'/>
+ <cpu type='tcg' name='750cl_v1.0'/>
+ <cpu type='tcg' name='7457a_v1.1'/>
+ <cpu type='tcg' name='g2gp'/>
+ <cpu type='tcg' name='750cxe_v2.1'/>
+ <cpu type='tcg' name='755_v2.7'/>
+ <cpu type='tcg' name='750_v2.1'/>
+ <cpu type='tcg' name='755_v2.2'/>
+ <cpu type='tcg' name='745_v2.0'/>
+ <cpu type='tcg' name='mpc8377'/>
+ <cpu type='tcg' name='7455_v1.0'/>
+ <cpu type='tcg' name='mpc8545_v21'/>
+ <cpu type='tcg' name='mpc8548_v11'/>
+ <cpu type='tcg' name='405d4'/>
+ <cpu type='tcg' name='g2le'/>
+ <cpu type='tcg' name='g2hip3'/>
+ <cpu type='tcg' name='750e'/>
+ <cpu type='tcg' name='mpc8572'/>
+ <cpu type='tcg' name='970fx_v2.0'/>
+ <cpu type='tcg' name='750fx_v2.0'/>
+ <cpu type='tcg' name='603p'/>
+ <cpu type='tcg' name='405gpb'/>
+ <cpu type='tcg' name='7400_v2.7'/>
+ <cpu type='tcg' name='mpc8544e_v10'/>
+ <cpu type='tcg' name='e500v2_v10'/>
+ <cpu type='tcg' name='e500mc'/>
+ <cpu type='tcg' name='603e7v1'/>
+ <cpu type='tcg' name='970mp_v1.0'/>
+ <cpu type='tcg' name='740e'/>
+ <cpu type='tcg' name='405crc'/>
+ <cpu type='tcg' name='403ga'/>
+ <cpu type='tcg' name='7447a_v1.0'/>
+ <cpu type='tcg' name='7400_v2.2'/>
+ <cpu type='tcg' name='e300c1'/>
+ <cpu type='tcg' name='mpc8572e'/>
+ <cpu type='tcg' name='e500v2_v21'/>
+ <cpu type='tcg' name='970fx_v1.0'/>
+ <cpu type='tcg' name='750gx_v1.2'/>
+ <cpu type='tcg' name='750fx_v1.0'/>
+ <cpu type='tcg' name='7457_v1.1'/>
+ <cpu type='tcg' name='970fx_v3.0'/>
+ <cpu type='tcg' name='604e_v2.2'/>
+ <cpu type='tcg' name='755_v2.6'/>
+ <cpu type='tcg' name='401a1'/>
+ <cpu type='tcg' name='power9_v1.0'/>
+ <cpu type='tcg' name='npe405h'/>
+ <cpu type='tcg' name='g2ls'/>
+ <cpu type='tcg' name='7410_v1.4'/>
+ <cpu type='tcg' name='750_v2.0'/>
+ <cpu type='tcg' name='401b2'/>
+ <cpu type='tcg' name='mpc8349'/>
+ <cpu type='tcg' name='mpc8347ep'/>
+ <cpu type='tcg' name='7445_v3.2'/>
+ <cpu type='tcg' name='mpc8540_v21'/>
+ <cpu type='tcg' name='mpc8543_v11'/>
+ <cpu type='tcg' name='403gcx'/>
+ <cpu type='tcg' name='745_v2.4'/>
+ <cpu type='tcg' name='mpc8548_v21'/>
+ <cpu type='tcg' name='750l_v3.2'/>
+ <cpu type='tcg' name='750_v1.0'/>
+ <cpu type='tcg' name='755_v1.1'/>
+ <cpu type='tcg' name='e500_v10'/>
+ <cpu type='tcg' name='750cxe_v3.0'/>
+ <cpu type='tcg' name='mpc8541e_v10'/>
+ <cpu type='tcg' name='mpc8347p'/>
+ <cpu type='tcg' name='mpc8555e_v10'/>
+ <cpu type='tcg' name='power9_v2.0'/>
+ <cpu type='tcg' name='power7_v2.3'/>
+ <cpu type='tcg' name='603e7v'/>
+ <cpu type='tcg' name='mpc8543e_v11'/>
+ <cpu type='tcg' name='750_v3.0'/>
+ <cpu type='tcg' name='7400_v2.6'/>
+ <cpu type='tcg' name='405gpa'/>
+ <cpu type='tcg' name='440epx'/>
+ <cpu type='tcg' name='750l_v2.2'/>
+ <cpu type='tcg' name='e200z6'/>
+ <cpu type='tcg' name='mpc8547e_v20'/>
+ <cpu type='tcg' name='7457a_v1.0'/>
+ <cpu type='tcg' name='mpc8349ea'/>
+ <cpu type='tcg' name='e500v2_v20'/>
+ <cpu type='tcg' name='power8nvl_v1.0'/>
+ <cpu type='tcg' name='mpc8540_v10'/>
+ <cpu type='tcg' name='755_v2.1'/>
+ <cpu type='tcg' name='745_v2.8'/>
+ <cpu type='tcg' name='npe405l'/>
+ <cpu type='tcg' name='mpc8343ea'/>
+ <cpu type='tcg' name='mpc8545_v20'/>
+ <cpu type='tcg' name='mpc8548_v10'/>
+ <cpu type='tcg' name='7455_v3.4'/>
+ <cpu type='tcg' name='740_v2.2'/>
+ <cpu type='tcg' name='7448_v1.1'/>
+ <cpu type='tcg' name='7400_v1.1'/>
+ <cpu type='tcg' name='mpc8347et'/>
+ <cpu type='tcg' name='7441_v2.10'/>
+ <cpu type='tcg' name='603e_v1.4'/>
+ <cpu type='tcg' name='mpc8543_v10'/>
+ <cpu type='tcg' name='401c2'/>
+ <cpu type='tcg' name='7451_v2.10'/>
+ <cpu type='tcg' name='750cx_v2.2'/>
+ <cpu type='tcg' name='mpc8543_v21'/>
+ <cpu type='tcg' name='603e7'/>
+ <cpu type='tcg' name='7448_v2.1'/>
+ <cpu type='tcg' name='7441_v2.3'/>
+ <cpu type='tcg' name='405crb'/>
+ <cpu type='tcg' name='604'/>
+ <cpu type='tcg' name='7400_v2.1'/>
+ <cpu type='tcg' name='440-xilinx-w-dfpu'/>
+ <cpu type='tcg' name='7445_v2.1'/>
+ <cpu type='tcg' name='cobra'/>
+ <cpu type='tcg' name='e500_v20'/>
+ <cpu type='tcg' name='mpc8541_v11'/>
+ <cpu type='tcg' name='750gx_v1.1'/>
+ <cpu type='tcg' name='750cxe_v2.4'/>
+ <cpu type='tcg' name='mpc8641d'/>
+ <cpu type='tcg' name='mpc8543e_v21'/>
+ <cpu type='tcg' name='750l_v2.1'/>
+ <cpu type='tcg' name='7457_v1.0'/>
+ <cpu type='tcg' name='7450_v1.2'/>
+ <cpu type='tcg' name='755_v2.5'/>
+ <cpu type='tcg' name='7410_v1.3'/>
+ <cpu type='tcg' name='745_v2.3'/>
+ <cpu type='tcg' name='755_v2.0'/>
+ <cpu type='tcg' name='e500v2_v30'/>
+ <cpu type='tcg' name='7455_v3.3'/>
+ <cpu type='tcg' name='mpc8540_v20'/>
+ <cpu type='tcg' name='405d2'/>
+ <cpu type='tcg' name='mpc8560_v21'/>
+ <cpu type='tcg' name='mpc8343'/>
+ <cpu type='tcg' name='mpc8548_v20'/>
+ <cpu type='tcg' name='mpc8347t'/>
+ <cpu type='tcg' name='755_v1.0'/>
+ <cpu type='tcg' name='603e_v1.3'/>
+ <cpu type='tcg' name='mpc8543e_v10'/>
+ <cpu type='tcg' name='mpc8347eap'/>
+ <cpu type='tcg' name='mpc8548e_v11'/>
+ <cpu type='tcg' name='mpc8543_v20'/>
+ <cpu type='tcg' name='401d2'/>
+ <cpu type='tcg' name='power8_v2.0'/>
+ <cpu type='tcg' name='740_v3.1'/>
+ <cpu type='tcg' name='e200z5'/>
+ <cpu type='tcg' name='405cra'/>
+ <cpu type='tcg' name='7400_v2.0'/>
+ <cpu type='tcg' name='750cxe_v2.3'/>
+ <cpu type='tcg' name='745_v2.7'/>
+ <cpu type='tcg' name='mpc8560_v10'/>
+ <cpu type='tcg' name='e5500'/>
+ <cpu type='tcg' name='mpc8544_v11'/>
+ <cpu type='tcg' name='7448_v1.0'/>
+ <cpu type='tcg' name='401e2'/>
+ <cpu type='tcg' name='740_v2.1'/>
+ <cpu type='tcg' name='745_v2.2'/>
+ <cpu type='tcg' name='7400_v1.0'/>
+ <cpu type='tcg' name='7410_v1.2'/>
+ <cpu type='tcg' name='x2vp4'/>
+ <cpu type='tcg' name='mpc8347ap'/>
+ <cpu type='tcg' name='mpc8533_v11'/>
+ <cpu type='tcg' name='mpc5200b_v21'/>
+ <cpu type='tcg' name='750fx_v2.3'/>
+ <cpu type='tcg' name='601_v2'/>
+ <cpu type='tcg' name='g2legp3'/>
+ <cpu type='tcg' name='604e_v1.0'/>
+ <cpu type='tcg' name='750cx_v2.1'/>
+ <cpu type='tcg' name='mpc8610'/>
+ <cpu type='tcg' name='g2h4'/>
+ <cpu type='tcg' name='750l_v3.0'/>
+ <cpu type='tcg' name='mpc8377e'/>
+ <cpu type='tcg' name='e300c4'/>
+ <cpu type='tcg' name='mpc5200_v12'/>
+ <cpu type='tcg' name='7448_v2.0'/>
+ <cpu type='tcg' name='7450_v2.1'/>
+ <cpu type='tcg' name='750cxe_v3.1b'/>
+ <cpu type='tcg' name='g2'/>
+ <cpu type='tcg' name='7400_v2.9'/>
+ <cpu type='tcg' name='405gpd'/>
+ <cpu type='tcg' name='603'/>
+ <cpu type='tcg' name='603e7t'/>
+ <cpu type='tcg' name='mpc8555_v11'/>
+ <cpu type='tcg' name='750gx_v1.0'/>
+ <cpu type='tcg' name='mpc8541_v10'/>
+ <cpu type='tcg' name='mpc8543e_v20'/>
+ <cpu type='tcg' name='750fl'/>
+ <cpu type='tcg' name='750l_v2.0'/>
+ <cpu type='tcg' name='stb04'/>
+ <cpu type='tcg' name='mpc8548e_v21'/>
+ <cpu type='tcg' name='x2vp20'/>
+ <cpu type='tcg' name='405ez'/>
+ <cpu type='tcg' name='e600'/>
+ <cpu type='tcg' name='7450_v1.1'/>
+ <cpu type='tcg' name='755_v2.4'/>
+ <cpu type='tcg' name='mpc8379'/>
+ <cpu type='tcg' name='7445_v1.0'/>
+ <cpu type='tcg' name='7447_v1.1'/>
+ <cpu type='tcg' name='603e_v2.2'/>
+ <cpu type='tcg' name='603e_v4'/>
+ <cpu type='tcg' name='g2legp'/>
+ <cpu type='tcg' name='7455_v3.2'/>
+ <cpu type='tcg' name='740_v2.0'/>
+ <cpu type='tcg' name='mpc8560_v20'/>
+ <cpu type='tcg' name='440-xilinx'/>
+ <cpu type='tcg' name='401f2'/>
+ <cpu type='tcg' name='mpc8533_v10'/>
+ <cpu type='tcg' name='mpc8349a'/>
+ <cpu type='tcg' name='405gpr'/>
+ <cpu type='tcg' name='stb25'/>
+ <cpu type='tcg' name='603e_v1.2'/>
+ <cpu type='tcg' name='mpc5200b_v20'/>
+ <cpu type='tcg' name='750fx_v2.2'/>
+ <cpu type='tcg' name='mpc8347eat'/>
+ <cpu type='tcg' name='740_v1.0'/>
+ <cpu type='tcg' name='601_v1'/>
+ <cpu type='tcg' name='745_v1.1'/>
+ <cpu type='tcg' name='750cx_v2.0'/>
+ <cpu type='tcg' name='mpc8548e_v10'/>
+ <cpu type='tcg' name='7447a_v1.2'/>
+ <cpu type='tcg' name='403gc'/>
+ <cpu type='tcg' name='e300c3'/>
+ <cpu type='tcg' name='mpc5200_v11'/>
+ <cpu type='tcg' name='7441_v2.1'/>
+ <cpu type='tcg' name='740_v3.0'/>
+ <cpu type='tcg' name='mpc8545e_v21'/>
+ <cpu type='tcg' name='602'/>
+ <cpu type='tcg' name='mpc8378e'/>
+ <cpu type='tcg' name='mpc8343a'/>
+ <cpu type='tcg' name='460exb'/>
+ <cpu type='tcg' name='mpc8555_v10'/>
+ <cpu type='tcg' name='mpc8568'/>
+ <cpu type='tcg' name='7457a_v1.2'/>
+ <cpu type='tcg' name='750cx_v1.0'/>
+ <cpu type='tcg' name='750cxe_v2.2'/>
+ <cpu type='tcg' name='604e_v2.4'/>
+ <cpu type='tcg' name='755_v2.8'/>
+ <cpu type='tcg' name='405lp'/>
+ <cpu type='tcg' name='745_v2.6'/>
+ <cpu type='tcg' name='stb03'/>
+ <cpu type='tcg' name='405ep'/>
+ <cpu type='tcg' name='mpc8544_v10'/>
+ <cpu type='tcg' name='mpc8347at'/>
+ <cpu type='tcg' name='750_v2.2'/>
+ <cpu type='tcg' name='7450_v1.0'/>
+ <cpu type='tcg' name='755_v2.3'/>
+ <cpu type='tcg' name='7410_v1.1'/>
+ <cpu type='tcg' name='745_v2.1'/>
+ <cpu type='tcg' name='750gl'/>
+ <cpu type='tcg' name='e6500'/>
+ <cpu type='tcg' name='750p'/>
+ <cpu type='tcg' name='7445_v3.4'/>
+ <cpu type='tcg' name='440epb'/>
+ <cpu type='tcg' name='npe4gs3'/>
+ <cpu type='tcg' name='603e_v3'/>
+ <cpu type='tcg' name='mpc8349e'/>
+ <cpu type='tcg' name='970_v2.2'/>
+ <cpu type='tcg' name='g2lels'/>
+ <cpu type='tcg' name='g2hip4'/>
+ <cpu type='tcg' name='740p'/>
+ <cpu type='tcg' name='mpc8567e'/>
+ <cpu type='tcg' name='mpc8533e_v11'/>
+ <cpu type='tcg' name='7451_v2.3'/>
+ <cpu type='tcg' name='603e_v1.1'/>
+ <cpu type='tcg' name='401g2'/>
+ <cpu type='tcg' name='7400_v2.8'/>
+ <cpu type='tcg' name='7455_v2.1'/>
+ <cpu type='tcg' name='405gpc'/>
+ <cpu type='tcg' name='power7+_v2.1'/>
+ <cpu type='tcg' name='mpc8544e_v11'/>
+ <cpu type='tcg' name='7450_v2.0'/>
+ <cpu type='tcg' name='970mp_v1.1'/>
+ <cpu type='tcg' name='603e7v2'/>
+ <cpu type='tcg' name='604r'/>
+ <cpu type='tcg' name='e500v2_v22'/>
+ <cpu type='tcg' name='mpc8548e_v20'/>
+ <cpu type='tcg' name='mpc8545e_v20'/>
+ <cpu type='tcg' name='mpc8378'/>
+ <cpu type='tcg' name='mpc8567'/>
+ <cpu type='tcg' name='7457_v1.2'/>
+ <cpu type='tcg' name='7447_v1.0'/>
+ <cpu type='tcg' name='970fx_v3.1'/>
+ <cpu type='tcg' name='745_v2.5'/>
+ <cpu type='tcg' name='mpc8379e'/>
+ <cpu type='tcg' name='mpc8641'/>
+ <cpu type='tcg' name='750cxe_v2.4b'/>
+ <cpu type='tcg' name='7410_v1.0'/>
+ <cpu type='tcg' name='mpc603'/>
+ <cpu type='tcg' name='603e_v4.1'/>
+ <cpu type='tcg' name='7445_v3.3'/>
+ <cpu type='tcg' name='440epa'/>
+ <cpu type='tcg' name='970fx_v2.1'/>
+ <cpu type='tcg' name='iop480'/>
+ <cpu type='tcg' name='750fx_v2.1'/>
+ <cpu type='tcg' name='601_v0'/>
+ <cpu type='tcg' name='g2legp1'/>
+ <cpu type='tcg' name='745_v1.0'/>
+ <cpu type='tcg' name='750cl_v2.0'/>
+ <cpu type='tcg' name='750cxr'/>
+ <cpu type='tcg' name='401'/>
+ <cpu type='tcg' name='mpc8541e_v11'/>
+ <cpu type='tcg' name='750cxe_v3.1'/>
+ <cpu type='tcg' name='mpc8555e_v11'/>
+ <cpu type='tcg' name='power8e_v2.1'/>
+ <cpu type='tcg' name='7447a_v1.1'/>
+ <cpu type='tcg' name='403gb'/>
+ <cpu type='tcg' name='e300c2'/>
+ <cpu type='tcg' name='npe405h2'/>
+ <cpu type='tcg' name='mpc8343e'/>
+ <cpu type='tcg' name='mpc8533e_v10'/>
+ <cpu type='tcg' name='mpc5200_v10'/>
+ <cpu type='tcg' name='750_v3.1'/>
+ <cpu type='tcg' name='power5+_v2.1'/>
+ <cpu type='tcg' name='mpc8568e'/>
+ <machine name='pseries-3.1' alias='pseries' hotplugCpus='yes' maxCpus='1024'/>
+ <machine name='ref405ep' maxCpus='1'/>
+ <machine name='sam460ex' maxCpus='1'/>
+ <machine name='virtex-ml507' maxCpus='1'/>
+ <machine name='powernv' maxCpus='2048'/>
+ <machine name='ppce500' maxCpus='32'/>
+ <machine name='mpc8544ds' maxCpus='15'/>
+ <machine name='pseries-2.12-sxxm' hotplugCpus='yes' maxCpus='1024'/>
+ <machine name='bamboo' maxCpus='1'/>
+ <machine name='g3beige' maxCpus='1'/>
+ <machine name='pseries-3.0' hotplugCpus='yes' maxCpus='1024'/>
+ <machine name='pseries-2.10' hotplugCpus='yes' maxCpus='1024'/>
+ <machine name='prep' maxCpus='1'/>
+ <machine name='pseries-2.11' hotplugCpus='yes' maxCpus='1024'/>
+ <machine name='pseries-2.12' hotplugCpus='yes' maxCpus='1024'/>
+ <machine name='pseries-2.9' hotplugCpus='yes' maxCpus='1024'/>
+ <machine name='mac99' maxCpus='1'/>
+ <machine name='pseries-2.6' maxCpus='1024'/>
+ <machine name='pseries-2.7' hotplugCpus='yes' maxCpus='1024'/>
+ <machine name='pseries-2.8' hotplugCpus='yes' maxCpus='1024'/>
+ <machine name='pseries-2.4' maxCpus='1024'/>
+ <machine name='pseries-2.5' maxCpus='1024'/>
+ <machine name='pseries-2.2' maxCpus='1024'/>
+ <machine name='taihu' maxCpus='1'/>
+ <machine name='pseries-2.3' maxCpus='1024'/>
+ <machine name='pseries-2.1' maxCpus='1024'/>
+ <machine name='40p' maxCpus='1'/>
+</qemuCaps>
diff --git a/tests/qemucapabilitiestest.c b/tests/qemucapabilitiestest.c
index 828e3d106c..ccc4e45817 100644
--- a/tests/qemucapabilitiestest.c
+++ b/tests/qemucapabilitiestest.c
@@ -186,6 +186,7 @@ mymain(void)
DO_TEST("ppc64", "caps_2.10.0");
DO_TEST("ppc64", "caps_2.12.0");
DO_TEST("ppc64", "caps_3.0.0");
+ DO_TEST("ppc64", "caps_3.1.0");
DO_TEST("s390x", "caps_2.7.0");
DO_TEST("s390x", "caps_2.8.0");
DO_TEST("s390x", "caps_2.9.0");
diff --git a/tests/qemucaps2xmloutdata/caps_3.1.0.ppc64.xml b/tests/qemucaps2xmloutdata/caps_3.1.0.ppc64.xml
new file mode 100644
index 0000000000..74eaf3ba0e
--- /dev/null
+++ b/tests/qemucaps2xmloutdata/caps_3.1.0.ppc64.xml
@@ -0,0 +1,28 @@
+<capabilities>
+
+ <host>
+ <cpu>
+ <arch>ppc64</arch>
+ </cpu>
+ <power_management/>
+ <iommu support='no'/>
+ </host>
+
+ <guest>
+ <os_type>hvm</os_type>
+ <arch name='ppc64'>
+ <wordsize>64</wordsize>
+ <emulator>/usr/bin/qemu-system-ppc64</emulator>
+ <domain type='qemu'/>
+ <domain type='kvm'>
+ <emulator>/usr/bin/qemu-system-ppc64</emulator>
+ </domain>
+ </arch>
+ <features>
+ <cpuselection/>
+ <deviceboot/>
+ <disksnapshot default='on' toggle='no'/>
+ </features>
+ </guest>
+
+</capabilities>
diff --git a/tests/qemucaps2xmltest.c b/tests/qemucaps2xmltest.c
index cd951b2bb4..631b6ca2d4 100644
--- a/tests/qemucaps2xmltest.c
+++ b/tests/qemucaps2xmltest.c
@@ -210,6 +210,7 @@ mymain(void)
DO_TEST("ppc64", "caps_2.10.0");
DO_TEST("ppc64", "caps_2.12.0");
DO_TEST("ppc64", "caps_3.0.0");
+ DO_TEST("ppc64", "caps_3.1.0");
DO_TEST("s390x", "caps_2.7.0");
DO_TEST("s390x", "caps_2.8.0");
DO_TEST("s390x", "caps_2.9.0");
--
2.20.1